const __vite__mapDeps=(i,m=__vite__mapDeps,d=(m.f||(m.f=["assets/dagre-C4YA43F3-Bqs1a8e8.js","assets/graph-CNOjBV59.js","assets/_baseUniq-D-a5PhGx.js","assets/layout-DJNM6ZuE.js","assets/min-CUCDDHc2.js","assets/json-Ey-Dal3N.js","assets/c4Diagram-THADGKDP-BFbNSC5V.js","assets/chunk-XVOYOM2C-CAcBx2Cm.js","assets/flowDiagram-44NOAMKU-BzmuMPc-.js","assets/chunk-WZBIATSK-CC8e0XIK.js","assets/chunk-GWHMDDHB-Dfk1ooFR.js","assets/channel-DiVVaL6E.js","assets/erDiagram-62CBQV5Y-cUnQPdiU.js","assets/gitGraphDiagram-UL5UFKDR-DXWsuj5k.js","assets/chunk-OQCM5LHU-dckQFE5O.js","assets/chunk-2RYQ3QTB-CgzLvJ5o.js","assets/gitGraph-YCYPL57B-Cvxvd_4h.js","assets/ganttDiagram-ASEIQ4P5-BfuRS4E1.js","assets/linear-Bd0kBnnP.js","assets/init-Gi6I4Gst.js","assets/infoDiagram-YGJTP6AA-A3umWN2W.js","assets/pieDiagram-OZX6XH5M-Dee-Ek5M.js","assets/arc-DMqbBgUh.js","assets/ordinal-Cboi1Yqb.js","assets/quadrantDiagram-VG34DGKC-BLUXKpVf.js","assets/xychartDiagram-TMT7NLBN-x2i_u-FJ.js","assets/requirementDiagram-FPZB6IJI-CDJ5F8TE.js","assets/sequenceDiagram-K7U7U2PU-Dngl0M8z.js","assets/classDiagram-4BHYIK4I-Dnf4VAQi.js","assets/chunk-BAVOGKFW-C_2wWZ24.js","assets/classDiagram-v2-SPY6V32O-BCTkYO0W.js","assets/chunk-MKAIFKW5-BugszPS1.js","assets/stateDiagram-WG76EWPK-BuXHEjbz.js","assets/chunk-NQF7MJAO-DKTeqC3Z.js","assets/stateDiagram-v2-KR4XTQLW-Cw4bFDWZ.js","assets/journeyDiagram-G5LC7W2K-BGFwquG0.js","assets/timeline-definition-KYQAIZUX-DhBORywN.js","assets/mindmap-definition-26FWEE7H-BBP3vBAf.js","assets/cytoscape.esm-CaUcC0lI.js","assets/sankeyDiagram-KMMQDL5K-B3PLxkMw.js","assets/diagram-QS5GVLUX-D-4v2UtS.js","assets/blockDiagram-SLEYNUNN-C7FT7Xn4.js","assets/architectureDiagram-WQGLKCSC-Itz-U252.js"])))=>i.map(i=>d[i]); var yA=Object.defineProperty;var dS=Ra=>{throw TypeError(Ra)};var EA=(Ra,qa,ed)=>qa in Ra?yA(Ra,qa,{enumerable:!0,configurable:!0,writable:!0,value:ed}):Ra[qa]=ed;var n_=(Ra,qa,ed)=>EA(Ra,typeof qa!="symbol"?qa+"":qa,ed),TA=(Ra,qa,ed)=>qa.has(Ra)||dS("Cannot "+ed);var pS=(Ra,qa,ed)=>qa.has(Ra)?dS("Cannot add the same private member more than once"):qa instanceof WeakSet?qa.add(Ra):qa.set(Ra,ed);var kE=(Ra,qa,ed)=>(TA(Ra,qa,"access private method"),ed);(function(){const qa=document.createElement("link").relList;if(qa&&qa.supports&&qa.supports("modulepreload"))return;for(const rd of document.querySelectorAll('link[rel="modulepreload"]'))td(rd);new MutationObserver(rd=>{for(const sd of rd)if(sd.type==="childList")for(const od of sd.addedNodes)od.tagName==="LINK"&&od.rel==="modulepreload"&&td(od)}).observe(document,{childList:!0,subtree:!0});function ed(rd){const sd={};return rd.integrity&&(sd.integrity=rd.integrity),rd.referrerPolicy&&(sd.referrerPolicy=rd.referrerPolicy),rd.crossOrigin==="use-credentials"?sd.credentials="include":rd.crossOrigin==="anonymous"?sd.credentials="omit":sd.credentials="same-origin",sd}function td(rd){if(rd.ep)return;rd.ep=!0;const sd=ed(rd);fetch(rd.href,sd)}})();const global$1=globalThis||void 0||self;/** * @vue/shared v3.5.3 * (c) 2018-present Yuxi (Evan) You and Vue contributors * @license MIT **//*! #__NO_SIDE_EFFECTS__ */function makeMap(Ra,qa){const ed=new Set(Ra.split(","));return td=>ed.has(td)}const EMPTY_OBJ={},EMPTY_ARR=[],NOOP=()=>{},NO=()=>!1,isOn=Ra=>Ra.charCodeAt(0)===111&&Ra.charCodeAt(1)===110&&(Ra.charCodeAt(2)>122||Ra.charCodeAt(2)<97),isModelListener=Ra=>Ra.startsWith("onUpdate:"),extend$3=Object.assign,remove$1=(Ra,qa)=>{const ed=Ra.indexOf(qa);ed>-1&&Ra.splice(ed,1)},hasOwnProperty$i=Object.prototype.hasOwnProperty,hasOwn$1=(Ra,qa)=>hasOwnProperty$i.call(Ra,qa),isArray$2=Array.isArray,isMap$1=Ra=>toTypeString(Ra)==="[object Map]",isSet$1=Ra=>toTypeString(Ra)==="[object Set]",isDate$1=Ra=>toTypeString(Ra)==="[object Date]",isFunction$4=Ra=>typeof Ra=="function",isString$3=Ra=>typeof Ra=="string",isSymbol$1=Ra=>typeof Ra=="symbol",isObject$5=Ra=>Ra!==null&&typeof Ra=="object",isPromise=Ra=>(isObject$5(Ra)||isFunction$4(Ra))&&isFunction$4(Ra.then)&&isFunction$4(Ra.catch),objectToString$1=Object.prototype.toString,toTypeString=Ra=>objectToString$1.call(Ra),toRawType=Ra=>toTypeString(Ra).slice(8,-1),isPlainObject$3=Ra=>toTypeString(Ra)==="[object Object]",isIntegerKey=Ra=>isString$3(Ra)&&Ra!=="NaN"&&Ra[0]!=="-"&&""+parseInt(Ra,10)===Ra,isReservedProp=makeMap(",key,ref,ref_for,ref_key,onVnodeBeforeMount,onVnodeMounted,onVnodeBeforeUpdate,onVnodeUpdated,onVnodeBeforeUnmount,onVnodeUnmounted"),cacheStringFunction=Ra=>{const qa=Object.create(null);return ed=>qa[ed]||(qa[ed]=Ra(ed))},camelizeRE=/-(\w)/g,camelize=cacheStringFunction(Ra=>Ra.replace(camelizeRE,(qa,ed)=>ed?ed.toUpperCase():"")),hyphenateRE=/\B([A-Z])/g,hyphenate=cacheStringFunction(Ra=>Ra.replace(hyphenateRE,"-$1").toLowerCase()),capitalize$1=cacheStringFunction(Ra=>Ra.charAt(0).toUpperCase()+Ra.slice(1)),toHandlerKey=cacheStringFunction(Ra=>Ra?`on${capitalize$1(Ra)}`:""),hasChanged=(Ra,qa)=>!Object.is(Ra,qa),invokeArrayFns=(Ra,...qa)=>{for(let ed=0;ed{Object.defineProperty(Ra,qa,{configurable:!0,enumerable:!1,writable:td,value:ed})},looseToNumber=Ra=>{const qa=parseFloat(Ra);return isNaN(qa)?Ra:qa},toNumber$3=Ra=>{const qa=isString$3(Ra)?Number(Ra):NaN;return isNaN(qa)?Ra:qa};let _globalThis;const getGlobalThis=()=>_globalThis||(_globalThis=typeof globalThis<"u"?globalThis:typeof self<"u"?self:typeof window<"u"?window:typeof global$1<"u"?global$1:{});function normalizeStyle(Ra){if(isArray$2(Ra)){const qa={};for(let ed=0;ed{if(ed){const td=ed.split(propertyDelimiterRE);td.length>1&&(qa[td[0].trim()]=td[1].trim())}}),qa}function normalizeClass(Ra){let qa="";if(isString$3(Ra))qa=Ra;else if(isArray$2(Ra))for(let ed=0;edlooseEqual(ed,qa))}const isRef$1=Ra=>!!(Ra&&Ra.__v_isRef===!0),toDisplayString=Ra=>isString$3(Ra)?Ra:Ra==null?"":isArray$2(Ra)||isObject$5(Ra)&&(Ra.toString===objectToString$1||!isFunction$4(Ra.toString))?isRef$1(Ra)?toDisplayString(Ra.value):JSON.stringify(Ra,replacer$1,2):String(Ra),replacer$1=(Ra,qa)=>isRef$1(qa)?replacer$1(Ra,qa.value):isMap$1(qa)?{[`Map(${qa.size})`]:[...qa.entries()].reduce((ed,[td,rd],sd)=>(ed[stringifySymbol(td,sd)+" =>"]=rd,ed),{})}:isSet$1(qa)?{[`Set(${qa.size})`]:[...qa.values()].map(ed=>stringifySymbol(ed))}:isSymbol$1(qa)?stringifySymbol(qa):isObject$5(qa)&&!isArray$2(qa)&&!isPlainObject$3(qa)?String(qa):qa,stringifySymbol=(Ra,qa="")=>{var ed;return isSymbol$1(Ra)?`Symbol(${(ed=Ra.description)!=null?ed:qa})`:Ra};/** * @vue/reactivity v3.5.3 * (c) 2018-present Yuxi (Evan) You and Vue contributors * @license MIT **/let activeEffectScope;class EffectScope{constructor(qa=!1){this.detached=qa,this._active=!0,this.effects=[],this.cleanups=[],this._isPaused=!1,this.parent=activeEffectScope,!qa&&activeEffectScope&&(this.index=(activeEffectScope.scopes||(activeEffectScope.scopes=[])).push(this)-1)}get active(){return this._active}pause(){if(this._active){this._isPaused=!0;let qa,ed;if(this.scopes)for(qa=0,ed=this.scopes.length;qa0)return;let Ra;for(;batchedEffect;){let qa=batchedEffect;for(batchedEffect=void 0;qa;){const ed=qa.nextEffect;if(qa.nextEffect=void 0,qa.flags&=-9,qa.flags&1)try{qa.trigger()}catch(td){Ra||(Ra=td)}qa=ed}}if(Ra)throw Ra}function prepareDeps(Ra){for(let qa=Ra.deps;qa;qa=qa.nextDep)qa.version=-1,qa.prevActiveLink=qa.dep.activeLink,qa.dep.activeLink=qa}function cleanupDeps(Ra){let qa,ed=Ra.depsTail;for(let td=ed;td;td=td.prevDep)td.version===-1?(td===ed&&(ed=td.prevDep),removeSub(td),removeDep(td)):qa=td,td.dep.activeLink=td.prevActiveLink,td.prevActiveLink=void 0;Ra.deps=qa,Ra.depsTail=ed}function isDirty(Ra){for(let qa=Ra.deps;qa;qa=qa.nextDep)if(qa.dep.version!==qa.version||qa.dep.computed&&refreshComputed(qa.dep.computed)===!1||qa.dep.version!==qa.version)return!0;return!!Ra._dirty}function refreshComputed(Ra){if(Ra.flags&2)return!1;if(Ra.flags&4&&!(Ra.flags&16)||(Ra.flags&=-17,Ra.globalVersion===globalVersion))return;Ra.globalVersion=globalVersion;const qa=Ra.dep;if(Ra.flags|=2,qa.version>0&&!Ra.isSSR&&!isDirty(Ra)){Ra.flags&=-3;return}const ed=activeSub,td=shouldTrack;activeSub=Ra,shouldTrack=!0;try{prepareDeps(Ra);const rd=Ra.fn(Ra._value);(qa.version===0||hasChanged(rd,Ra._value))&&(Ra._value=rd,qa.version++)}catch(rd){throw qa.version++,rd}finally{activeSub=ed,shouldTrack=td,cleanupDeps(Ra),Ra.flags&=-3}}function removeSub(Ra){const{dep:qa,prevSub:ed,nextSub:td}=Ra;if(ed&&(ed.nextSub=td,Ra.prevSub=void 0),td&&(td.prevSub=ed,Ra.nextSub=void 0),qa.subs===Ra&&(qa.subs=ed),!qa.subs&&qa.computed){qa.computed.flags&=-5;for(let rd=qa.computed.deps;rd;rd=rd.nextDep)removeSub(rd)}}function removeDep(Ra){const{prevDep:qa,nextDep:ed}=Ra;qa&&(qa.nextDep=ed,Ra.prevDep=void 0),ed&&(ed.prevDep=qa,Ra.nextDep=void 0)}let shouldTrack=!0;const trackStack=[];function pauseTracking(){trackStack.push(shouldTrack),shouldTrack=!1}function resetTracking(){const Ra=trackStack.pop();shouldTrack=Ra===void 0?!0:Ra}function cleanupEffect(Ra){const{cleanup:qa}=Ra;if(Ra.cleanup=void 0,qa){const ed=activeSub;activeSub=void 0;try{qa()}finally{activeSub=ed}}}let globalVersion=0;class Dep{constructor(qa){this.computed=qa,this.version=0,this.activeLink=void 0,this.subs=void 0}track(qa){if(!activeSub||!shouldTrack||activeSub===this.computed)return;let ed=this.activeLink;if(ed===void 0||ed.sub!==activeSub)ed=this.activeLink={dep:this,sub:activeSub,version:this.version,nextDep:void 0,prevDep:void 0,nextSub:void 0,prevSub:void 0,prevActiveLink:void 0},activeSub.deps?(ed.prevDep=activeSub.depsTail,activeSub.depsTail.nextDep=ed,activeSub.depsTail=ed):activeSub.deps=activeSub.depsTail=ed,activeSub.flags&4&&addSub(ed);else if(ed.version===-1&&(ed.version=this.version,ed.nextDep)){const td=ed.nextDep;td.prevDep=ed.prevDep,ed.prevDep&&(ed.prevDep.nextDep=td),ed.prevDep=activeSub.depsTail,ed.nextDep=void 0,activeSub.depsTail.nextDep=ed,activeSub.depsTail=ed,activeSub.deps===ed&&(activeSub.deps=td)}return ed}trigger(qa){this.version++,globalVersion++,this.notify(qa)}notify(qa){startBatch();try{for(let ed=this.subs;ed;ed=ed.prevSub)ed.sub.notify()}finally{endBatch()}}}function addSub(Ra){const qa=Ra.dep.computed;if(qa&&!Ra.dep.subs){qa.flags|=20;for(let td=qa.deps;td;td=td.nextDep)addSub(td)}const ed=Ra.dep.subs;ed!==Ra&&(Ra.prevSub=ed,ed&&(ed.nextSub=Ra)),Ra.dep.subs=Ra}const targetMap=new WeakMap,ITERATE_KEY=Symbol(""),MAP_KEY_ITERATE_KEY=Symbol(""),ARRAY_ITERATE_KEY=Symbol("");function track(Ra,qa,ed){if(shouldTrack&&activeSub){let td=targetMap.get(Ra);td||targetMap.set(Ra,td=new Map);let rd=td.get(ed);rd||td.set(ed,rd=new Dep),rd.track()}}function trigger(Ra,qa,ed,td,rd,sd){const od=targetMap.get(Ra);if(!od){globalVersion++;return}let ld=[];if(qa==="clear")ld=[...od.values()];else{const cd=isArray$2(Ra),ud=cd&&isIntegerKey(ed);if(cd&&ed==="length"){const _d=Number(td);od.forEach((Ed,Ad)=>{(Ad==="length"||Ad===ARRAY_ITERATE_KEY||!isSymbol$1(Ad)&&Ad>=_d)&&ld.push(Ed)})}else{const _d=Ed=>Ed&&ld.push(Ed);switch(ed!==void 0&&_d(od.get(ed)),ud&&_d(od.get(ARRAY_ITERATE_KEY)),qa){case"add":cd?ud&&_d(od.get("length")):(_d(od.get(ITERATE_KEY)),isMap$1(Ra)&&_d(od.get(MAP_KEY_ITERATE_KEY)));break;case"delete":cd||(_d(od.get(ITERATE_KEY)),isMap$1(Ra)&&_d(od.get(MAP_KEY_ITERATE_KEY)));break;case"set":isMap$1(Ra)&&_d(od.get(ITERATE_KEY));break}}}startBatch();for(const cd of ld)cd.trigger();endBatch()}function getDepFromReactive(Ra,qa){var ed;return(ed=targetMap.get(Ra))==null?void 0:ed.get(qa)}function reactiveReadArray(Ra){const qa=toRaw(Ra);return qa===Ra?qa:(track(qa,"iterate",ARRAY_ITERATE_KEY),isShallow(Ra)?qa:qa.map(toReactive))}function shallowReadArray(Ra){return track(Ra=toRaw(Ra),"iterate",ARRAY_ITERATE_KEY),Ra}const arrayInstrumentations={__proto__:null,[Symbol.iterator](){return iterator(this,Symbol.iterator,toReactive)},concat(...Ra){return reactiveReadArray(this).concat(...Ra.map(qa=>isArray$2(qa)?reactiveReadArray(qa):qa))},entries(){return iterator(this,"entries",Ra=>(Ra[1]=toReactive(Ra[1]),Ra))},every(Ra,qa){return apply$3(this,"every",Ra,qa,void 0,arguments)},filter(Ra,qa){return apply$3(this,"filter",Ra,qa,ed=>ed.map(toReactive),arguments)},find(Ra,qa){return apply$3(this,"find",Ra,qa,toReactive,arguments)},findIndex(Ra,qa){return apply$3(this,"findIndex",Ra,qa,void 0,arguments)},findLast(Ra,qa){return apply$3(this,"findLast",Ra,qa,toReactive,arguments)},findLastIndex(Ra,qa){return apply$3(this,"findLastIndex",Ra,qa,void 0,arguments)},forEach(Ra,qa){return apply$3(this,"forEach",Ra,qa,void 0,arguments)},includes(...Ra){return searchProxy(this,"includes",Ra)},indexOf(...Ra){return searchProxy(this,"indexOf",Ra)},join(Ra){return reactiveReadArray(this).join(Ra)},lastIndexOf(...Ra){return searchProxy(this,"lastIndexOf",Ra)},map(Ra,qa){return apply$3(this,"map",Ra,qa,void 0,arguments)},pop(){return noTracking(this,"pop")},push(...Ra){return noTracking(this,"push",Ra)},reduce(Ra,...qa){return reduce$2(this,"reduce",Ra,qa)},reduceRight(Ra,...qa){return reduce$2(this,"reduceRight",Ra,qa)},shift(){return noTracking(this,"shift")},some(Ra,qa){return apply$3(this,"some",Ra,qa,void 0,arguments)},splice(...Ra){return noTracking(this,"splice",Ra)},toReversed(){return reactiveReadArray(this).toReversed()},toSorted(Ra){return reactiveReadArray(this).toSorted(Ra)},toSpliced(...Ra){return reactiveReadArray(this).toSpliced(...Ra)},unshift(...Ra){return noTracking(this,"unshift",Ra)},values(){return iterator(this,"values",toReactive)}};function iterator(Ra,qa,ed){const td=shallowReadArray(Ra),rd=td[qa]();return td!==Ra&&!isShallow(Ra)&&(rd._next=rd.next,rd.next=()=>{const sd=rd._next();return sd.value&&(sd.value=ed(sd.value)),sd}),rd}const arrayProto$1=Array.prototype;function apply$3(Ra,qa,ed,td,rd,sd){const od=shallowReadArray(Ra),ld=od!==Ra&&!isShallow(Ra),cd=od[qa];if(cd!==arrayProto$1[qa]){const Ed=cd.apply(Ra,sd);return ld?toReactive(Ed):Ed}let ud=ed;od!==Ra&&(ld?ud=function(Ed,Ad){return ed.call(this,toReactive(Ed),Ad,Ra)}:ed.length>2&&(ud=function(Ed,Ad){return ed.call(this,Ed,Ad,Ra)}));const _d=cd.call(od,ud,td);return ld&&rd?rd(_d):_d}function reduce$2(Ra,qa,ed,td){const rd=shallowReadArray(Ra);let sd=ed;return rd!==Ra&&(isShallow(Ra)?ed.length>3&&(sd=function(od,ld,cd){return ed.call(this,od,ld,cd,Ra)}):sd=function(od,ld,cd){return ed.call(this,od,toReactive(ld),cd,Ra)}),rd[qa](sd,...td)}function searchProxy(Ra,qa,ed){const td=toRaw(Ra);track(td,"iterate",ARRAY_ITERATE_KEY);const rd=td[qa](...ed);return(rd===-1||rd===!1)&&isProxy(ed[0])?(ed[0]=toRaw(ed[0]),td[qa](...ed)):rd}function noTracking(Ra,qa,ed=[]){pauseTracking(),startBatch();const td=toRaw(Ra)[qa].apply(Ra,ed);return endBatch(),resetTracking(),td}const isNonTrackableKeys=makeMap("__proto__,__v_isRef,__isVue"),builtInSymbols=new Set(Object.getOwnPropertyNames(Symbol).filter(Ra=>Ra!=="arguments"&&Ra!=="caller").map(Ra=>Symbol[Ra]).filter(isSymbol$1));function hasOwnProperty$h(Ra){isSymbol$1(Ra)||(Ra=String(Ra));const qa=toRaw(this);return track(qa,"has",Ra),qa.hasOwnProperty(Ra)}class BaseReactiveHandler{constructor(qa=!1,ed=!1){this._isReadonly=qa,this._isShallow=ed}get(qa,ed,td){const rd=this._isReadonly,sd=this._isShallow;if(ed==="__v_isReactive")return!rd;if(ed==="__v_isReadonly")return rd;if(ed==="__v_isShallow")return sd;if(ed==="__v_raw")return td===(rd?sd?shallowReadonlyMap:readonlyMap:sd?shallowReactiveMap:reactiveMap).get(qa)||Object.getPrototypeOf(qa)===Object.getPrototypeOf(td)?qa:void 0;const od=isArray$2(qa);if(!rd){let cd;if(od&&(cd=arrayInstrumentations[ed]))return cd;if(ed==="hasOwnProperty")return hasOwnProperty$h}const ld=Reflect.get(qa,ed,isRef(qa)?qa:td);return(isSymbol$1(ed)?builtInSymbols.has(ed):isNonTrackableKeys(ed))||(rd||track(qa,"get",ed),sd)?ld:isRef(ld)?od&&isIntegerKey(ed)?ld:ld.value:isObject$5(ld)?rd?readonly(ld):reactive(ld):ld}}class MutableReactiveHandler extends BaseReactiveHandler{constructor(qa=!1){super(!1,qa)}set(qa,ed,td,rd){let sd=qa[ed];if(!this._isShallow){const cd=isReadonly(sd);if(!isShallow(td)&&!isReadonly(td)&&(sd=toRaw(sd),td=toRaw(td)),!isArray$2(qa)&&isRef(sd)&&!isRef(td))return cd?!1:(sd.value=td,!0)}const od=isArray$2(qa)&&isIntegerKey(ed)?Number(ed)Ra,getProto$2=Ra=>Reflect.getPrototypeOf(Ra);function get$3(Ra,qa,ed=!1,td=!1){Ra=Ra.__v_raw;const rd=toRaw(Ra),sd=toRaw(qa);ed||(hasChanged(qa,sd)&&track(rd,"get",qa),track(rd,"get",sd));const{has:od}=getProto$2(rd),ld=td?toShallow:ed?toReadonly:toReactive;if(od.call(rd,qa))return ld(Ra.get(qa));if(od.call(rd,sd))return ld(Ra.get(sd));Ra!==rd&&Ra.get(qa)}function has(Ra,qa=!1){const ed=this.__v_raw,td=toRaw(ed),rd=toRaw(Ra);return qa||(hasChanged(Ra,rd)&&track(td,"has",Ra),track(td,"has",rd)),Ra===rd?ed.has(Ra):ed.has(Ra)||ed.has(rd)}function size$2(Ra,qa=!1){return Ra=Ra.__v_raw,!qa&&track(toRaw(Ra),"iterate",ITERATE_KEY),Reflect.get(Ra,"size",Ra)}function add(Ra,qa=!1){!qa&&!isShallow(Ra)&&!isReadonly(Ra)&&(Ra=toRaw(Ra));const ed=toRaw(this);return getProto$2(ed).has.call(ed,Ra)||(ed.add(Ra),trigger(ed,"add",Ra,Ra)),this}function set$4(Ra,qa,ed=!1){!ed&&!isShallow(qa)&&!isReadonly(qa)&&(qa=toRaw(qa));const td=toRaw(this),{has:rd,get:sd}=getProto$2(td);let od=rd.call(td,Ra);od||(Ra=toRaw(Ra),od=rd.call(td,Ra));const ld=sd.call(td,Ra);return td.set(Ra,qa),od?hasChanged(qa,ld)&&trigger(td,"set",Ra,qa):trigger(td,"add",Ra,qa),this}function deleteEntry(Ra){const qa=toRaw(this),{has:ed,get:td}=getProto$2(qa);let rd=ed.call(qa,Ra);rd||(Ra=toRaw(Ra),rd=ed.call(qa,Ra)),td&&td.call(qa,Ra);const sd=qa.delete(Ra);return rd&&trigger(qa,"delete",Ra,void 0),sd}function clear$2(){const Ra=toRaw(this),qa=Ra.size!==0,ed=Ra.clear();return qa&&trigger(Ra,"clear",void 0,void 0),ed}function createForEach(Ra,qa){return function(td,rd){const sd=this,od=sd.__v_raw,ld=toRaw(od),cd=qa?toShallow:Ra?toReadonly:toReactive;return!Ra&&track(ld,"iterate",ITERATE_KEY),od.forEach((ud,_d)=>td.call(rd,cd(ud),cd(_d),sd))}}function createIterableMethod(Ra,qa,ed){return function(...td){const rd=this.__v_raw,sd=toRaw(rd),od=isMap$1(sd),ld=Ra==="entries"||Ra===Symbol.iterator&&od,cd=Ra==="keys"&&od,ud=rd[Ra](...td),_d=ed?toShallow:qa?toReadonly:toReactive;return!qa&&track(sd,"iterate",cd?MAP_KEY_ITERATE_KEY:ITERATE_KEY),{next(){const{value:Ed,done:Ad}=ud.next();return Ad?{value:Ed,done:Ad}:{value:ld?[_d(Ed[0]),_d(Ed[1])]:_d(Ed),done:Ad}},[Symbol.iterator](){return this}}}}function createReadonlyMethod(Ra){return function(...qa){return Ra==="delete"?!1:Ra==="clear"?void 0:this}}function createInstrumentations(){const Ra={get(sd){return get$3(this,sd)},get size(){return size$2(this)},has,add,set:set$4,delete:deleteEntry,clear:clear$2,forEach:createForEach(!1,!1)},qa={get(sd){return get$3(this,sd,!1,!0)},get size(){return size$2(this)},has,add(sd){return add.call(this,sd,!0)},set(sd,od){return set$4.call(this,sd,od,!0)},delete:deleteEntry,clear:clear$2,forEach:createForEach(!1,!0)},ed={get(sd){return get$3(this,sd,!0)},get size(){return size$2(this,!0)},has(sd){return has.call(this,sd,!0)},add:createReadonlyMethod("add"),set:createReadonlyMethod("set"),delete:createReadonlyMethod("delete"),clear:createReadonlyMethod("clear"),forEach:createForEach(!0,!1)},td={get(sd){return get$3(this,sd,!0,!0)},get size(){return size$2(this,!0)},has(sd){return has.call(this,sd,!0)},add:createReadonlyMethod("add"),set:createReadonlyMethod("set"),delete:createReadonlyMethod("delete"),clear:createReadonlyMethod("clear"),forEach:createForEach(!0,!0)};return["keys","values","entries",Symbol.iterator].forEach(sd=>{Ra[sd]=createIterableMethod(sd,!1,!1),ed[sd]=createIterableMethod(sd,!0,!1),qa[sd]=createIterableMethod(sd,!1,!0),td[sd]=createIterableMethod(sd,!0,!0)}),[Ra,ed,qa,td]}const[mutableInstrumentations,readonlyInstrumentations,shallowInstrumentations,shallowReadonlyInstrumentations]=createInstrumentations();function createInstrumentationGetter(Ra,qa){const ed=qa?Ra?shallowReadonlyInstrumentations:shallowInstrumentations:Ra?readonlyInstrumentations:mutableInstrumentations;return(td,rd,sd)=>rd==="__v_isReactive"?!Ra:rd==="__v_isReadonly"?Ra:rd==="__v_raw"?td:Reflect.get(hasOwn$1(ed,rd)&&rd in td?ed:td,rd,sd)}const mutableCollectionHandlers={get:createInstrumentationGetter(!1,!1)},shallowCollectionHandlers={get:createInstrumentationGetter(!1,!0)},readonlyCollectionHandlers={get:createInstrumentationGetter(!0,!1)},shallowReadonlyCollectionHandlers={get:createInstrumentationGetter(!0,!0)},reactiveMap=new WeakMap,shallowReactiveMap=new WeakMap,readonlyMap=new WeakMap,shallowReadonlyMap=new WeakMap;function targetTypeMap(Ra){switch(Ra){case"Object":case"Array":return 1;case"Map":case"Set":case"WeakMap":case"WeakSet":return 2;default:return 0}}function getTargetType(Ra){return Ra.__v_skip||!Object.isExtensible(Ra)?0:targetTypeMap(toRawType(Ra))}function reactive(Ra){return isReadonly(Ra)?Ra:createReactiveObject(Ra,!1,mutableHandlers,mutableCollectionHandlers,reactiveMap)}function shallowReactive(Ra){return createReactiveObject(Ra,!1,shallowReactiveHandlers,shallowCollectionHandlers,shallowReactiveMap)}function readonly(Ra){return createReactiveObject(Ra,!0,readonlyHandlers,readonlyCollectionHandlers,readonlyMap)}function shallowReadonly(Ra){return createReactiveObject(Ra,!0,shallowReadonlyHandlers,shallowReadonlyCollectionHandlers,shallowReadonlyMap)}function createReactiveObject(Ra,qa,ed,td,rd){if(!isObject$5(Ra)||Ra.__v_raw&&!(qa&&Ra.__v_isReactive))return Ra;const sd=rd.get(Ra);if(sd)return sd;const od=getTargetType(Ra);if(od===0)return Ra;const ld=new Proxy(Ra,od===2?td:ed);return rd.set(Ra,ld),ld}function isReactive(Ra){return isReadonly(Ra)?isReactive(Ra.__v_raw):!!(Ra&&Ra.__v_isReactive)}function isReadonly(Ra){return!!(Ra&&Ra.__v_isReadonly)}function isShallow(Ra){return!!(Ra&&Ra.__v_isShallow)}function isProxy(Ra){return Ra?!!Ra.__v_raw:!1}function toRaw(Ra){const qa=Ra&&Ra.__v_raw;return qa?toRaw(qa):Ra}function markRaw(Ra){return Object.isExtensible(Ra)&&def$2(Ra,"__v_skip",!0),Ra}const toReactive=Ra=>isObject$5(Ra)?reactive(Ra):Ra,toReadonly=Ra=>isObject$5(Ra)?readonly(Ra):Ra;function isRef(Ra){return Ra?Ra.__v_isRef===!0:!1}function ref$1(Ra){return createRef(Ra,!1)}function shallowRef(Ra){return createRef(Ra,!0)}function createRef(Ra,qa){return isRef(Ra)?Ra:new RefImpl(Ra,qa)}class RefImpl{constructor(qa,ed){this.dep=new Dep,this.__v_isRef=!0,this.__v_isShallow=!1,this._rawValue=ed?qa:toRaw(qa),this._value=ed?qa:toReactive(qa),this.__v_isShallow=ed}get value(){return this.dep.track(),this._value}set value(qa){const ed=this._rawValue,td=this.__v_isShallow||isShallow(qa)||isReadonly(qa);qa=td?qa:toRaw(qa),hasChanged(qa,ed)&&(this._rawValue=qa,this._value=td?qa:toReactive(qa),this.dep.trigger())}}function unref(Ra){return isRef(Ra)?Ra.value:Ra}const shallowUnwrapHandlers={get:(Ra,qa,ed)=>qa==="__v_raw"?Ra:unref(Reflect.get(Ra,qa,ed)),set:(Ra,qa,ed,td)=>{const rd=Ra[qa];return isRef(rd)&&!isRef(ed)?(rd.value=ed,!0):Reflect.set(Ra,qa,ed,td)}};function proxyRefs(Ra){return isReactive(Ra)?Ra:new Proxy(Ra,shallowUnwrapHandlers)}class CustomRefImpl{constructor(qa){this.__v_isRef=!0,this._value=void 0;const ed=this.dep=new Dep,{get:td,set:rd}=qa(ed.track.bind(ed),ed.trigger.bind(ed));this._get=td,this._set=rd}get value(){return this._value=this._get()}set value(qa){this._set(qa)}}function customRef(Ra){return new CustomRefImpl(Ra)}function toRefs(Ra){const qa=isArray$2(Ra)?new Array(Ra.length):{};for(const ed in Ra)qa[ed]=propertyToRef(Ra,ed);return qa}class ObjectRefImpl{constructor(qa,ed,td){this._object=qa,this._key=ed,this._defaultValue=td,this.__v_isRef=!0,this._value=void 0}get value(){const qa=this._object[this._key];return this._value=qa===void 0?this._defaultValue:qa}set value(qa){this._object[this._key]=qa}get dep(){return getDepFromReactive(toRaw(this._object),this._key)}}class GetterRefImpl{constructor(qa){this._getter=qa,this.__v_isRef=!0,this.__v_isReadonly=!0,this._value=void 0}get value(){return this._value=this._getter()}}function toRef$1(Ra,qa,ed){return isRef(Ra)?Ra:isFunction$4(Ra)?new GetterRefImpl(Ra):isObject$5(Ra)&&arguments.length>1?propertyToRef(Ra,qa,ed):ref$1(Ra)}function propertyToRef(Ra,qa,ed){const td=Ra[qa];return isRef(td)?td:new ObjectRefImpl(Ra,qa,ed)}class ComputedRefImpl{constructor(qa,ed,td){this.fn=qa,this.setter=ed,this._value=void 0,this.dep=new Dep(this),this.__v_isRef=!0,this.deps=void 0,this.depsTail=void 0,this.flags=16,this.globalVersion=globalVersion-1,this.effect=this,this.__v_isReadonly=!ed,this.isSSR=td}notify(){activeSub!==this&&(this.flags|=16,this.dep.notify())}get value(){const qa=this.dep.track();return refreshComputed(this),qa&&(qa.version=this.dep.version),this._value}set value(qa){this.setter&&this.setter(qa)}}function computed$1(Ra,qa,ed=!1){let td,rd;return isFunction$4(Ra)?td=Ra:(td=Ra.get,rd=Ra.set),new ComputedRefImpl(td,rd,ed)}const INITIAL_WATCHER_VALUE={},cleanupMap=new WeakMap;let activeWatcher;function onWatcherCleanup(Ra,qa=!1,ed=activeWatcher){if(ed){let td=cleanupMap.get(ed);td||cleanupMap.set(ed,td=[]),td.push(Ra)}}function watch$1(Ra,qa,ed=EMPTY_OBJ){const{immediate:td,deep:rd,once:sd,scheduler:od,augmentJob:ld,call:cd}=ed,ud=Pd=>rd?Pd:isShallow(Pd)||rd===!1||rd===0?traverse(Pd,1):traverse(Pd);let _d,Ed,Ad,Td,Nd=!1,Rd=!1;if(isRef(Ra)?(Ed=()=>Ra.value,Nd=isShallow(Ra)):isReactive(Ra)?(Ed=()=>ud(Ra),Nd=!0):isArray$2(Ra)?(Rd=!0,Nd=Ra.some(Pd=>isReactive(Pd)||isShallow(Pd)),Ed=()=>Ra.map(Pd=>{if(isRef(Pd))return Pd.value;if(isReactive(Pd))return ud(Pd);if(isFunction$4(Pd))return cd?cd(Pd,2):Pd()})):isFunction$4(Ra)?qa?Ed=cd?()=>cd(Ra,2):Ra:Ed=()=>{if(Ad){pauseTracking();try{Ad()}finally{resetTracking()}}const Pd=activeWatcher;activeWatcher=_d;try{return cd?cd(Ra,3,[Td]):Ra(Td)}finally{activeWatcher=Pd}}:Ed=NOOP,qa&&rd){const Pd=Ed,Fd=rd===!0?1/0:rd;Ed=()=>traverse(Pd(),Fd)}const Bd=getCurrentScope(),kd=()=>{_d.stop(),Bd&&remove$1(Bd.effects,_d)};if(sd)if(qa){const Pd=qa;qa=(...Fd)=>{Pd(...Fd),kd()}}else{const Pd=Ed;Ed=()=>{Pd(),kd()}}let Od=Rd?new Array(Ra.length).fill(INITIAL_WATCHER_VALUE):INITIAL_WATCHER_VALUE;const Md=Pd=>{if(!(!(_d.flags&1)||!_d.dirty&&!Pd))if(qa){const Fd=_d.run();if(rd||Nd||(Rd?Fd.some((Ud,Gd)=>hasChanged(Ud,Od[Gd])):hasChanged(Fd,Od))){Ad&&Ad();const Ud=activeWatcher;activeWatcher=_d;try{const Gd=[Fd,Od===INITIAL_WATCHER_VALUE?void 0:Rd&&Od[0]===INITIAL_WATCHER_VALUE?[]:Od,Td];cd?cd(qa,3,Gd):qa(...Gd),Od=Fd}finally{activeWatcher=Ud}}}else _d.run()};return ld&&ld(Md),_d=new ReactiveEffect(Ed),_d.scheduler=od?()=>od(Md,!1):Md,Td=Pd=>onWatcherCleanup(Pd,!1,_d),Ad=_d.onStop=()=>{const Pd=cleanupMap.get(_d);if(Pd){if(cd)cd(Pd,4);else for(const Fd of Pd)Fd();cleanupMap.delete(_d)}},qa?td?Md(!0):Od=_d.run():od?od(Md.bind(null,!0),!0):_d.run(),kd.pause=_d.pause.bind(_d),kd.resume=_d.resume.bind(_d),kd.stop=kd,kd}function traverse(Ra,qa=1/0,ed){if(qa<=0||!isObject$5(Ra)||Ra.__v_skip||(ed=ed||new Set,ed.has(Ra)))return Ra;if(ed.add(Ra),qa--,isRef(Ra))traverse(Ra.value,qa,ed);else if(isArray$2(Ra))for(let td=0;td{traverse(td,qa,ed)});else if(isPlainObject$3(Ra)){for(const td in Ra)traverse(Ra[td],qa,ed);for(const td of Object.getOwnPropertySymbols(Ra))Object.prototype.propertyIsEnumerable.call(Ra,td)&&traverse(Ra[td],qa,ed)}return Ra}/** * @vue/runtime-core v3.5.3 * (c) 2018-present Yuxi (Evan) You and Vue contributors * @license MIT **/const stack=[];let isWarning=!1;function warn$1(Ra,...qa){if(isWarning)return;isWarning=!0,pauseTracking();const ed=stack.length?stack[stack.length-1].component:null,td=ed&&ed.appContext.config.warnHandler,rd=getComponentTrace();if(td)callWithErrorHandling(td,ed,11,[Ra+qa.map(sd=>{var od,ld;return(ld=(od=sd.toString)==null?void 0:od.call(sd))!=null?ld:JSON.stringify(sd)}).join(""),ed&&ed.proxy,rd.map(({vnode:sd})=>`at <${formatComponentName(ed,sd.type)}>`).join(` `),rd]);else{const sd=[`[Vue warn]: ${Ra}`,...qa];rd.length&&sd.push(` `,...formatTrace(rd)),console.warn(...sd)}resetTracking(),isWarning=!1}function getComponentTrace(){let Ra=stack[stack.length-1];if(!Ra)return[];const qa=[];for(;Ra;){const ed=qa[0];ed&&ed.vnode===Ra?ed.recurseCount++:qa.push({vnode:Ra,recurseCount:0});const td=Ra.component&&Ra.component.parent;Ra=td&&td.vnode}return qa}function formatTrace(Ra){const qa=[];return Ra.forEach((ed,td)=>{qa.push(...td===0?[]:[` `],...formatTraceEntry(ed))}),qa}function formatTraceEntry({vnode:Ra,recurseCount:qa}){const ed=qa>0?`... (${qa} recursive calls)`:"",td=Ra.component?Ra.component.parent==null:!1,rd=` at <${formatComponentName(Ra.component,Ra.type,td)}`,sd=">"+ed;return Ra.props?[rd,...formatProps(Ra.props),sd]:[rd+sd]}function formatProps(Ra){const qa=[],ed=Object.keys(Ra);return ed.slice(0,3).forEach(td=>{qa.push(...formatProp(td,Ra[td]))}),ed.length>3&&qa.push(" ..."),qa}function formatProp(Ra,qa,ed){return isString$3(qa)?(qa=JSON.stringify(qa),ed?qa:[`${Ra}=${qa}`]):typeof qa=="number"||typeof qa=="boolean"||qa==null?ed?qa:[`${Ra}=${qa}`]:isRef(qa)?(qa=formatProp(Ra,toRaw(qa.value),!0),ed?qa:[`${Ra}=Ref<`,qa,">"]):isFunction$4(qa)?[`${Ra}=fn${qa.name?`<${qa.name}>`:""}`]:(qa=toRaw(qa),ed?qa:[`${Ra}=`,qa])}function callWithErrorHandling(Ra,qa,ed,td){try{return td?Ra(...td):Ra()}catch(rd){handleError$1(rd,qa,ed)}}function callWithAsyncErrorHandling(Ra,qa,ed,td){if(isFunction$4(Ra)){const rd=callWithErrorHandling(Ra,qa,ed,td);return rd&&isPromise(rd)&&rd.catch(sd=>{handleError$1(sd,qa,ed)}),rd}if(isArray$2(Ra)){const rd=[];for(let sd=0;sd>>1,rd=queue$2[td],sd=getId(rd);sd=getId(ed)?queue$2.push(Ra):queue$2.splice(findInsertionIndex(qa),0,Ra),Ra.flags|=1,queueFlush()}}function queueFlush(){!isFlushing&&!isFlushPending&&(isFlushPending=!0,currentFlushPromise=resolvedPromise.then(flushJobs))}function queuePostFlushCb(Ra){isArray$2(Ra)?pendingPostFlushCbs.push(...Ra):activePostFlushCbs&&Ra.id===-1?activePostFlushCbs.splice(postFlushIndex+1,0,Ra):Ra.flags&1||(pendingPostFlushCbs.push(Ra),Ra.flags|=1),queueFlush()}function flushPreFlushCbs(Ra,qa,ed=isFlushing?flushIndex+1:0){for(;edgetId(ed)-getId(td));if(pendingPostFlushCbs.length=0,activePostFlushCbs){activePostFlushCbs.push(...qa);return}for(activePostFlushCbs=qa,postFlushIndex=0;postFlushIndexRa.id==null?Ra.flags&2?-1:1/0:Ra.id;function flushJobs(Ra){isFlushPending=!1,isFlushing=!0;try{for(flushIndex=0;flushIndex{td._d&&setBlockTracking(-1);const sd=setCurrentRenderingInstance(qa);let od;try{od=Ra(...rd)}finally{setCurrentRenderingInstance(sd),td._d&&setBlockTracking(1)}return od};return td._n=!0,td._c=!0,td._d=!0,td}function withDirectives(Ra,qa){if(currentRenderingInstance===null)return Ra;const ed=getComponentPublicInstance(currentRenderingInstance),td=Ra.dirs||(Ra.dirs=[]);for(let rd=0;rdRa.__isTeleport,isTeleportDisabled=Ra=>Ra&&(Ra.disabled||Ra.disabled===""),isTeleportDeferred=Ra=>Ra&&(Ra.defer||Ra.defer===""),isTargetSVG=Ra=>typeof SVGElement<"u"&&Ra instanceof SVGElement,isTargetMathML=Ra=>typeof MathMLElement=="function"&&Ra instanceof MathMLElement,resolveTarget=(Ra,qa)=>{const ed=Ra&&Ra.to;return isString$3(ed)?qa?qa(ed):null:ed},TeleportImpl={name:"Teleport",__isTeleport:!0,process(Ra,qa,ed,td,rd,sd,od,ld,cd,ud){const{mc:_d,pc:Ed,pbc:Ad,o:{insert:Td,querySelector:Nd,createText:Rd,createComment:Bd}}=ud,kd=isTeleportDisabled(qa.props);let{shapeFlag:Od,children:Md,dynamicChildren:Pd}=qa;if(Ra==null){const Fd=qa.el=Rd(""),Ud=qa.anchor=Rd("");Td(Fd,ed,td),Td(Ud,ed,td);const Gd=(Vd,zd)=>{Od&16&&_d(Md,Vd,zd,rd,sd,od,ld,cd)},Qd=()=>{const Vd=qa.target=resolveTarget(qa.props,Nd),zd=prepareAnchor(Vd,qa,Rd,Td);Vd&&(od!=="svg"&&isTargetSVG(Vd)?od="svg":od!=="mathml"&&isTargetMathML(Vd)&&(od="mathml"),kd||(Gd(Vd,zd),updateCssVars(qa)))};kd&&(Gd(ed,Ud),updateCssVars(qa)),isTeleportDeferred(qa.props)?queuePostRenderEffect(Qd,sd):Qd()}else{qa.el=Ra.el,qa.targetStart=Ra.targetStart;const Fd=qa.anchor=Ra.anchor,Ud=qa.target=Ra.target,Gd=qa.targetAnchor=Ra.targetAnchor,Qd=isTeleportDisabled(Ra.props),Vd=Qd?ed:Ud,zd=Qd?Fd:Gd;if(od==="svg"||isTargetSVG(Ud)?od="svg":(od==="mathml"||isTargetMathML(Ud))&&(od="mathml"),Pd?(Ad(Ra.dynamicChildren,Pd,Vd,rd,sd,od,ld),traverseStaticChildren(Ra,qa,!0)):cd||Ed(Ra,qa,Vd,zd,rd,sd,od,ld,!1),kd)Qd?qa.props&&Ra.props&&qa.props.to!==Ra.props.to&&(qa.props.to=Ra.props.to):moveTeleport(qa,ed,Fd,ud,1);else if((qa.props&&qa.props.to)!==(Ra.props&&Ra.props.to)){const Xd=qa.target=resolveTarget(qa.props,Nd);Xd&&moveTeleport(qa,Xd,null,ud,0)}else Qd&&moveTeleport(qa,Ud,Gd,ud,1);updateCssVars(qa)}},remove(Ra,qa,ed,{um:td,o:{remove:rd}},sd){const{shapeFlag:od,children:ld,anchor:cd,targetStart:ud,targetAnchor:_d,target:Ed,props:Ad}=Ra;if(Ed&&(rd(ud),rd(_d)),sd&&rd(cd),od&16){const Td=sd||!isTeleportDisabled(Ad);for(let Nd=0;Nd{Ra.isMounted=!0}),onBeforeUnmount(()=>{Ra.isUnmounting=!0}),Ra}const TransitionHookValidator=[Function,Array],BaseTransitionPropsValidators={mode:String,appear:Boolean,persisted:Boolean,onBeforeEnter:TransitionHookValidator,onEnter:TransitionHookValidator,onAfterEnter:TransitionHookValidator,onEnterCancelled:TransitionHookValidator,onBeforeLeave:TransitionHookValidator,onLeave:TransitionHookValidator,onAfterLeave:TransitionHookValidator,onLeaveCancelled:TransitionHookValidator,onBeforeAppear:TransitionHookValidator,onAppear:TransitionHookValidator,onAfterAppear:TransitionHookValidator,onAppearCancelled:TransitionHookValidator},recursiveGetSubtree=Ra=>{const qa=Ra.subTree;return qa.component?recursiveGetSubtree(qa.component):qa},BaseTransitionImpl={name:"BaseTransition",props:BaseTransitionPropsValidators,setup(Ra,{slots:qa}){const ed=getCurrentInstance(),td=useTransitionState();return()=>{const rd=qa.default&&getTransitionRawChildren(qa.default(),!0);if(!rd||!rd.length)return;const sd=findNonCommentChild(rd),od=toRaw(Ra),{mode:ld}=od;if(td.isLeaving)return emptyPlaceholder(sd);const cd=getInnerChild$1(sd);if(!cd)return emptyPlaceholder(sd);let ud=resolveTransitionHooks(cd,od,td,ed,Ad=>ud=Ad);cd.type!==Comment&&setTransitionHooks(cd,ud);const _d=ed.subTree,Ed=_d&&getInnerChild$1(_d);if(Ed&&Ed.type!==Comment&&!isSameVNodeType(cd,Ed)&&recursiveGetSubtree(ed).type!==Comment){const Ad=resolveTransitionHooks(Ed,od,td,ed);if(setTransitionHooks(Ed,Ad),ld==="out-in"&&cd.type!==Comment)return td.isLeaving=!0,Ad.afterLeave=()=>{td.isLeaving=!1,ed.job.flags&8||ed.update(),delete Ad.afterLeave},emptyPlaceholder(sd);ld==="in-out"&&cd.type!==Comment&&(Ad.delayLeave=(Td,Nd,Rd)=>{const Bd=getLeavingNodesForType(td,Ed);Bd[String(Ed.key)]=Ed,Td[leaveCbKey]=()=>{Nd(),Td[leaveCbKey]=void 0,delete ud.delayedLeave},ud.delayedLeave=Rd})}return sd}}};function findNonCommentChild(Ra){let qa=Ra[0];if(Ra.length>1){for(const ed of Ra)if(ed.type!==Comment){qa=ed;break}}return qa}const BaseTransition=BaseTransitionImpl;function getLeavingNodesForType(Ra,qa){const{leavingVNodes:ed}=Ra;let td=ed.get(qa.type);return td||(td=Object.create(null),ed.set(qa.type,td)),td}function resolveTransitionHooks(Ra,qa,ed,td,rd){const{appear:sd,mode:od,persisted:ld=!1,onBeforeEnter:cd,onEnter:ud,onAfterEnter:_d,onEnterCancelled:Ed,onBeforeLeave:Ad,onLeave:Td,onAfterLeave:Nd,onLeaveCancelled:Rd,onBeforeAppear:Bd,onAppear:kd,onAfterAppear:Od,onAppearCancelled:Md}=qa,Pd=String(Ra.key),Fd=getLeavingNodesForType(ed,Ra),Ud=(Vd,zd)=>{Vd&&callWithAsyncErrorHandling(Vd,td,9,zd)},Gd=(Vd,zd)=>{const Xd=zd[1];Ud(Vd,zd),isArray$2(Vd)?Vd.every(df=>df.length<=1)&&Xd():Vd.length<=1&&Xd()},Qd={mode:od,persisted:ld,beforeEnter(Vd){let zd=cd;if(!ed.isMounted)if(sd)zd=Bd||cd;else return;Vd[leaveCbKey]&&Vd[leaveCbKey](!0);const Xd=Fd[Pd];Xd&&isSameVNodeType(Ra,Xd)&&Xd.el[leaveCbKey]&&Xd.el[leaveCbKey](),Ud(zd,[Vd])},enter(Vd){let zd=ud,Xd=_d,df=Ed;if(!ed.isMounted)if(sd)zd=kd||ud,Xd=Od||_d,df=Md||Ed;else return;let mf=!1;const _f=Vd[enterCbKey$1]=hf=>{mf||(mf=!0,hf?Ud(df,[Vd]):Ud(Xd,[Vd]),Qd.delayedLeave&&Qd.delayedLeave(),Vd[enterCbKey$1]=void 0)};zd?Gd(zd,[Vd,_f]):_f()},leave(Vd,zd){const Xd=String(Ra.key);if(Vd[enterCbKey$1]&&Vd[enterCbKey$1](!0),ed.isUnmounting)return zd();Ud(Ad,[Vd]);let df=!1;const mf=Vd[leaveCbKey]=_f=>{df||(df=!0,zd(),_f?Ud(Rd,[Vd]):Ud(Nd,[Vd]),Vd[leaveCbKey]=void 0,Fd[Xd]===Ra&&delete Fd[Xd])};Fd[Xd]=Ra,Td?Gd(Td,[Vd,mf]):mf()},clone(Vd){const zd=resolveTransitionHooks(Vd,qa,ed,td,rd);return rd&&rd(zd),zd}};return Qd}function emptyPlaceholder(Ra){if(isKeepAlive(Ra))return Ra=cloneVNode(Ra),Ra.children=null,Ra}function getInnerChild$1(Ra){if(!isKeepAlive(Ra))return isTeleport(Ra.type)&&Ra.children?findNonCommentChild(Ra.children):Ra;const{shapeFlag:qa,children:ed}=Ra;if(ed){if(qa&16)return ed[0];if(qa&32&&isFunction$4(ed.default))return ed.default()}}function setTransitionHooks(Ra,qa){Ra.shapeFlag&6&&Ra.component?(Ra.transition=qa,setTransitionHooks(Ra.component.subTree,qa)):Ra.shapeFlag&128?(Ra.ssContent.transition=qa.clone(Ra.ssContent),Ra.ssFallback.transition=qa.clone(Ra.ssFallback)):Ra.transition=qa}function getTransitionRawChildren(Ra,qa=!1,ed){let td=[],rd=0;for(let sd=0;sd1)for(let sd=0;sdsetRef(Nd,qa&&(isArray$2(qa)?qa[Rd]:qa),ed,td,rd));return}if(isAsyncWrapper(td)&&!rd)return;const sd=td.shapeFlag&4?getComponentPublicInstance(td.component):td.el,od=rd?null:sd,{i:ld,r:cd}=Ra,ud=qa&&qa.r,_d=ld.refs===EMPTY_OBJ?ld.refs={}:ld.refs,Ed=ld.setupState,Ad=toRaw(Ed),Td=Ed===EMPTY_OBJ?()=>!1:Nd=>hasOwn$1(Ad,Nd);if(ud!=null&&ud!==cd&&(isString$3(ud)?(_d[ud]=null,Td(ud)&&(Ed[ud]=null)):isRef(ud)&&(ud.value=null)),isFunction$4(cd))callWithErrorHandling(cd,ld,12,[od,_d]);else{const Nd=isString$3(cd),Rd=isRef(cd);if(Nd||Rd){const Bd=()=>{if(Ra.f){const kd=Nd?Td(cd)?Ed[cd]:_d[cd]:cd.value;rd?isArray$2(kd)&&remove$1(kd,sd):isArray$2(kd)?kd.includes(sd)||kd.push(sd):Nd?(_d[cd]=[sd],Td(cd)&&(Ed[cd]=_d[cd])):(cd.value=[sd],Ra.k&&(_d[Ra.k]=cd.value))}else Nd?(_d[cd]=od,Td(cd)&&(Ed[cd]=od)):Rd&&(cd.value=od,Ra.k&&(_d[Ra.k]=od))};od?(Bd.id=-1,queuePostRenderEffect(Bd,ed)):Bd()}}}const isAsyncWrapper=Ra=>!!Ra.type.__asyncLoader,isKeepAlive=Ra=>Ra.type.__isKeepAlive;function onActivated(Ra,qa){registerKeepAliveHook(Ra,"a",qa)}function onDeactivated(Ra,qa){registerKeepAliveHook(Ra,"da",qa)}function registerKeepAliveHook(Ra,qa,ed=currentInstance){const td=Ra.__wdc||(Ra.__wdc=()=>{let rd=ed;for(;rd;){if(rd.isDeactivated)return;rd=rd.parent}return Ra()});if(injectHook(qa,td,ed),ed){let rd=ed.parent;for(;rd&&rd.parent;)isKeepAlive(rd.parent.vnode)&&injectToKeepAliveRoot(td,qa,ed,rd),rd=rd.parent}}function injectToKeepAliveRoot(Ra,qa,ed,td){const rd=injectHook(qa,Ra,td,!0);onUnmounted(()=>{remove$1(td[qa],rd)},ed)}function injectHook(Ra,qa,ed=currentInstance,td=!1){if(ed){const rd=ed[Ra]||(ed[Ra]=[]),sd=qa.__weh||(qa.__weh=(...od)=>{pauseTracking();const ld=setCurrentInstance(ed),cd=callWithAsyncErrorHandling(qa,ed,Ra,od);return ld(),resetTracking(),cd});return td?rd.unshift(sd):rd.push(sd),sd}}const createHook=Ra=>(qa,ed=currentInstance)=>{(!isInSSRComponentSetup||Ra==="sp")&&injectHook(Ra,(...td)=>qa(...td),ed)},onBeforeMount=createHook("bm"),onMounted=createHook("m"),onBeforeUpdate=createHook("bu"),onUpdated=createHook("u"),onBeforeUnmount=createHook("bum"),onUnmounted=createHook("um"),onServerPrefetch=createHook("sp"),onRenderTriggered=createHook("rtg"),onRenderTracked=createHook("rtc");function onErrorCaptured(Ra,qa=currentInstance){injectHook("ec",Ra,qa)}const COMPONENTS="components",DIRECTIVES="directives";function resolveComponent(Ra,qa){return resolveAsset(COMPONENTS,Ra,!0,qa)||Ra}const NULL_DYNAMIC_COMPONENT=Symbol.for("v-ndc");function resolveDynamicComponent(Ra){return isString$3(Ra)?resolveAsset(COMPONENTS,Ra,!1)||Ra:Ra||NULL_DYNAMIC_COMPONENT}function resolveDirective(Ra){return resolveAsset(DIRECTIVES,Ra)}function resolveAsset(Ra,qa,ed=!0,td=!1){const rd=currentRenderingInstance||currentInstance;if(rd){const sd=rd.type;if(Ra===COMPONENTS){const ld=getComponentName(sd,!1);if(ld&&(ld===qa||ld===camelize(qa)||ld===capitalize$1(camelize(qa))))return sd}const od=resolve$1(rd[Ra]||sd[Ra],qa)||resolve$1(rd.appContext[Ra],qa);return!od&&td?sd:od}}function resolve$1(Ra,qa){return Ra&&(Ra[qa]||Ra[camelize(qa)]||Ra[capitalize$1(camelize(qa))])}function renderList(Ra,qa,ed,td){let rd;const sd=ed,od=isArray$2(Ra);if(od||isString$3(Ra)){const ld=od&&isReactive(Ra);ld&&(Ra=shallowReadArray(Ra)),rd=new Array(Ra.length);for(let cd=0,ud=Ra.length;cdqa(ld,cd,void 0,sd));else{const ld=Object.keys(Ra);rd=new Array(ld.length);for(let cd=0,ud=ld.length;cd{const sd=td.fn(...rd);return sd&&(sd.key=td.key),sd}:td.fn)}return Ra}function renderSlot(Ra,qa,ed={},td,rd){if(currentRenderingInstance.ce||currentRenderingInstance.parent&&isAsyncWrapper(currentRenderingInstance.parent)&¤tRenderingInstance.parent.ce)return qa!=="default"&&(ed.name=qa),openBlock(),createBlock(Fragment,null,[createVNode("slot",ed,td&&td())],64);let sd=Ra[qa];sd&&sd._c&&(sd._d=!1),openBlock();const od=sd&&ensureValidVNode(sd(ed)),ld=createBlock(Fragment,{key:(ed.key||od&&od.key||`_${qa}`)+(!od&&td?"_fb":"")},od||(td?td():[]),od&&Ra._===1?64:-2);return ld.scopeId&&(ld.slotScopeIds=[ld.scopeId+"-s"]),sd&&sd._c&&(sd._d=!0),ld}function ensureValidVNode(Ra){return Ra.some(qa=>isVNode(qa)?!(qa.type===Comment||qa.type===Fragment&&!ensureValidVNode(qa.children)):!0)?Ra:null}const getPublicInstance=Ra=>Ra?isStatefulComponent(Ra)?getComponentPublicInstance(Ra):getPublicInstance(Ra.parent):null,publicPropertiesMap=extend$3(Object.create(null),{$:Ra=>Ra,$el:Ra=>Ra.vnode.el,$data:Ra=>Ra.data,$props:Ra=>Ra.props,$attrs:Ra=>Ra.attrs,$slots:Ra=>Ra.slots,$refs:Ra=>Ra.refs,$parent:Ra=>getPublicInstance(Ra.parent),$root:Ra=>getPublicInstance(Ra.root),$host:Ra=>Ra.ce,$emit:Ra=>Ra.emit,$options:Ra=>resolveMergedOptions(Ra),$forceUpdate:Ra=>Ra.f||(Ra.f=()=>{queueJob(Ra.update)}),$nextTick:Ra=>Ra.n||(Ra.n=nextTick$1.bind(Ra.proxy)),$watch:Ra=>instanceWatch.bind(Ra)}),hasSetupBinding=(Ra,qa)=>Ra!==EMPTY_OBJ&&!Ra.__isScriptSetup&&hasOwn$1(Ra,qa),PublicInstanceProxyHandlers={get({_:Ra},qa){if(qa==="__v_skip")return!0;const{ctx:ed,setupState:td,data:rd,props:sd,accessCache:od,type:ld,appContext:cd}=Ra;let ud;if(qa[0]!=="$"){const Td=od[qa];if(Td!==void 0)switch(Td){case 1:return td[qa];case 2:return rd[qa];case 4:return ed[qa];case 3:return sd[qa]}else{if(hasSetupBinding(td,qa))return od[qa]=1,td[qa];if(rd!==EMPTY_OBJ&&hasOwn$1(rd,qa))return od[qa]=2,rd[qa];if((ud=Ra.propsOptions[0])&&hasOwn$1(ud,qa))return od[qa]=3,sd[qa];if(ed!==EMPTY_OBJ&&hasOwn$1(ed,qa))return od[qa]=4,ed[qa];shouldCacheAccess&&(od[qa]=0)}}const _d=publicPropertiesMap[qa];let Ed,Ad;if(_d)return qa==="$attrs"&&track(Ra.attrs,"get",""),_d(Ra);if((Ed=ld.__cssModules)&&(Ed=Ed[qa]))return Ed;if(ed!==EMPTY_OBJ&&hasOwn$1(ed,qa))return od[qa]=4,ed[qa];if(Ad=cd.config.globalProperties,hasOwn$1(Ad,qa))return Ad[qa]},set({_:Ra},qa,ed){const{data:td,setupState:rd,ctx:sd}=Ra;return hasSetupBinding(rd,qa)?(rd[qa]=ed,!0):td!==EMPTY_OBJ&&hasOwn$1(td,qa)?(td[qa]=ed,!0):hasOwn$1(Ra.props,qa)||qa[0]==="$"&&qa.slice(1)in Ra?!1:(sd[qa]=ed,!0)},has({_:{data:Ra,setupState:qa,accessCache:ed,ctx:td,appContext:rd,propsOptions:sd}},od){let ld;return!!ed[od]||Ra!==EMPTY_OBJ&&hasOwn$1(Ra,od)||hasSetupBinding(qa,od)||(ld=sd[0])&&hasOwn$1(ld,od)||hasOwn$1(td,od)||hasOwn$1(publicPropertiesMap,od)||hasOwn$1(rd.config.globalProperties,od)},defineProperty(Ra,qa,ed){return ed.get!=null?Ra._.accessCache[qa]=0:hasOwn$1(ed,"value")&&this.set(Ra,qa,ed.value,null),Reflect.defineProperty(Ra,qa,ed)}};function useSlots(){return getContext().slots}function useAttrs$1(){return getContext().attrs}function getContext(){const Ra=getCurrentInstance();return Ra.setupContext||(Ra.setupContext=createSetupContext(Ra))}function normalizePropsOrEmits(Ra){return isArray$2(Ra)?Ra.reduce((qa,ed)=>(qa[ed]=null,qa),{}):Ra}function mergeDefaults(Ra,qa){const ed=normalizePropsOrEmits(Ra);for(const td in qa){if(td.startsWith("__skip"))continue;let rd=ed[td];rd?isArray$2(rd)||isFunction$4(rd)?rd=ed[td]={type:rd,default:qa[td]}:rd.default=qa[td]:rd===null&&(rd=ed[td]={default:qa[td]}),rd&&qa[`__skip_${td}`]&&(rd.skipFactory=!0)}return ed}let shouldCacheAccess=!0;function applyOptions(Ra){const qa=resolveMergedOptions(Ra),ed=Ra.proxy,td=Ra.ctx;shouldCacheAccess=!1,qa.beforeCreate&&callHook$1(qa.beforeCreate,Ra,"bc");const{data:rd,computed:sd,methods:od,watch:ld,provide:cd,inject:ud,created:_d,beforeMount:Ed,mounted:Ad,beforeUpdate:Td,updated:Nd,activated:Rd,deactivated:Bd,beforeDestroy:kd,beforeUnmount:Od,destroyed:Md,unmounted:Pd,render:Fd,renderTracked:Ud,renderTriggered:Gd,errorCaptured:Qd,serverPrefetch:Vd,expose:zd,inheritAttrs:Xd,components:df,directives:mf,filters:_f}=qa;if(ud&&resolveInjections(ud,td,null),od)for(const yf in od){const Nf=od[yf];isFunction$4(Nf)&&(td[yf]=Nf.bind(ed))}if(rd){const yf=rd.call(ed,ed);isObject$5(yf)&&(Ra.data=reactive(yf))}if(shouldCacheAccess=!0,sd)for(const yf in sd){const Nf=sd[yf],Pf=isFunction$4(Nf)?Nf.bind(ed,ed):isFunction$4(Nf.get)?Nf.get.bind(ed,ed):NOOP,Yf=!isFunction$4(Nf)&&isFunction$4(Nf.set)?Nf.set.bind(ed):NOOP,Uf=computed({get:Pf,set:Yf});Object.defineProperty(td,yf,{enumerable:!0,configurable:!0,get:()=>Uf.value,set:Lf=>Uf.value=Lf})}if(ld)for(const yf in ld)createWatcher(ld[yf],td,ed,yf);if(cd){const yf=isFunction$4(cd)?cd.call(ed):cd;Reflect.ownKeys(yf).forEach(Nf=>{provide(Nf,yf[Nf])})}_d&&callHook$1(_d,Ra,"c");function gf(yf,Nf){isArray$2(Nf)?Nf.forEach(Pf=>yf(Pf.bind(ed))):Nf&&yf(Nf.bind(ed))}if(gf(onBeforeMount,Ed),gf(onMounted,Ad),gf(onBeforeUpdate,Td),gf(onUpdated,Nd),gf(onActivated,Rd),gf(onDeactivated,Bd),gf(onErrorCaptured,Qd),gf(onRenderTracked,Ud),gf(onRenderTriggered,Gd),gf(onBeforeUnmount,Od),gf(onUnmounted,Pd),gf(onServerPrefetch,Vd),isArray$2(zd))if(zd.length){const yf=Ra.exposed||(Ra.exposed={});zd.forEach(Nf=>{Object.defineProperty(yf,Nf,{get:()=>ed[Nf],set:Pf=>ed[Nf]=Pf})})}else Ra.exposed||(Ra.exposed={});Fd&&Ra.render===NOOP&&(Ra.render=Fd),Xd!=null&&(Ra.inheritAttrs=Xd),df&&(Ra.components=df),mf&&(Ra.directives=mf),Vd&&markAsyncBoundary(Ra)}function resolveInjections(Ra,qa,ed=NOOP){isArray$2(Ra)&&(Ra=normalizeInject(Ra));for(const td in Ra){const rd=Ra[td];let sd;isObject$5(rd)?"default"in rd?sd=inject(rd.from||td,rd.default,!0):sd=inject(rd.from||td):sd=inject(rd),isRef(sd)?Object.defineProperty(qa,td,{enumerable:!0,configurable:!0,get:()=>sd.value,set:od=>sd.value=od}):qa[td]=sd}}function callHook$1(Ra,qa,ed){callWithAsyncErrorHandling(isArray$2(Ra)?Ra.map(td=>td.bind(qa.proxy)):Ra.bind(qa.proxy),qa,ed)}function createWatcher(Ra,qa,ed,td){let rd=td.includes(".")?createPathGetter(ed,td):()=>ed[td];if(isString$3(Ra)){const sd=qa[Ra];isFunction$4(sd)&&watch(rd,sd)}else if(isFunction$4(Ra))watch(rd,Ra.bind(ed));else if(isObject$5(Ra))if(isArray$2(Ra))Ra.forEach(sd=>createWatcher(sd,qa,ed,td));else{const sd=isFunction$4(Ra.handler)?Ra.handler.bind(ed):qa[Ra.handler];isFunction$4(sd)&&watch(rd,sd,Ra)}}function resolveMergedOptions(Ra){const qa=Ra.type,{mixins:ed,extends:td}=qa,{mixins:rd,optionsCache:sd,config:{optionMergeStrategies:od}}=Ra.appContext,ld=sd.get(qa);let cd;return ld?cd=ld:!rd.length&&!ed&&!td?cd=qa:(cd={},rd.length&&rd.forEach(ud=>mergeOptions$1(cd,ud,od,!0)),mergeOptions$1(cd,qa,od)),isObject$5(qa)&&sd.set(qa,cd),cd}function mergeOptions$1(Ra,qa,ed,td=!1){const{mixins:rd,extends:sd}=qa;sd&&mergeOptions$1(Ra,sd,ed,!0),rd&&rd.forEach(od=>mergeOptions$1(Ra,od,ed,!0));for(const od in qa)if(!(td&&od==="expose")){const ld=internalOptionMergeStrats[od]||ed&&ed[od];Ra[od]=ld?ld(Ra[od],qa[od]):qa[od]}return Ra}const internalOptionMergeStrats={data:mergeDataFn,props:mergeEmitsOrPropsOptions,emits:mergeEmitsOrPropsOptions,methods:mergeObjectOptions,computed:mergeObjectOptions,beforeCreate:mergeAsArray,created:mergeAsArray,beforeMount:mergeAsArray,mounted:mergeAsArray,beforeUpdate:mergeAsArray,updated:mergeAsArray,beforeDestroy:mergeAsArray,beforeUnmount:mergeAsArray,destroyed:mergeAsArray,unmounted:mergeAsArray,activated:mergeAsArray,deactivated:mergeAsArray,errorCaptured:mergeAsArray,serverPrefetch:mergeAsArray,components:mergeObjectOptions,directives:mergeObjectOptions,watch:mergeWatchOptions,provide:mergeDataFn,inject:mergeInject};function mergeDataFn(Ra,qa){return qa?Ra?function(){return extend$3(isFunction$4(Ra)?Ra.call(this,this):Ra,isFunction$4(qa)?qa.call(this,this):qa)}:qa:Ra}function mergeInject(Ra,qa){return mergeObjectOptions(normalizeInject(Ra),normalizeInject(qa))}function normalizeInject(Ra){if(isArray$2(Ra)){const qa={};for(let ed=0;ed1)return ed&&isFunction$4(qa)?qa.call(td&&td.proxy):qa}}function hasInjectionContext(){return!!(currentInstance||currentRenderingInstance||currentApp)}const internalObjectProto={},createInternalObject=()=>Object.create(internalObjectProto),isInternalObject=Ra=>Object.getPrototypeOf(Ra)===internalObjectProto;function initProps(Ra,qa,ed,td=!1){const rd={},sd=createInternalObject();Ra.propsDefaults=Object.create(null),setFullProps(Ra,qa,rd,sd);for(const od in Ra.propsOptions[0])od in rd||(rd[od]=void 0);ed?Ra.props=td?rd:shallowReactive(rd):Ra.type.props?Ra.props=rd:Ra.props=sd,Ra.attrs=sd}function updateProps(Ra,qa,ed,td){const{props:rd,attrs:sd,vnode:{patchFlag:od}}=Ra,ld=toRaw(rd),[cd]=Ra.propsOptions;let ud=!1;if((td||od>0)&&!(od&16)){if(od&8){const _d=Ra.vnode.dynamicProps;for(let Ed=0;Ed<_d.length;Ed++){let Ad=_d[Ed];if(isEmitListener(Ra.emitsOptions,Ad))continue;const Td=qa[Ad];if(cd)if(hasOwn$1(sd,Ad))Td!==sd[Ad]&&(sd[Ad]=Td,ud=!0);else{const Nd=camelize(Ad);rd[Nd]=resolvePropValue(cd,ld,Nd,Td,Ra,!1)}else Td!==sd[Ad]&&(sd[Ad]=Td,ud=!0)}}}else{setFullProps(Ra,qa,rd,sd)&&(ud=!0);let _d;for(const Ed in ld)(!qa||!hasOwn$1(qa,Ed)&&((_d=hyphenate(Ed))===Ed||!hasOwn$1(qa,_d)))&&(cd?ed&&(ed[Ed]!==void 0||ed[_d]!==void 0)&&(rd[Ed]=resolvePropValue(cd,ld,Ed,void 0,Ra,!0)):delete rd[Ed]);if(sd!==ld)for(const Ed in sd)(!qa||!hasOwn$1(qa,Ed))&&(delete sd[Ed],ud=!0)}ud&&trigger(Ra.attrs,"set","")}function setFullProps(Ra,qa,ed,td){const[rd,sd]=Ra.propsOptions;let od=!1,ld;if(qa)for(let cd in qa){if(isReservedProp(cd))continue;const ud=qa[cd];let _d;rd&&hasOwn$1(rd,_d=camelize(cd))?!sd||!sd.includes(_d)?ed[_d]=ud:(ld||(ld={}))[_d]=ud:isEmitListener(Ra.emitsOptions,cd)||(!(cd in td)||ud!==td[cd])&&(td[cd]=ud,od=!0)}if(sd){const cd=toRaw(ed),ud=ld||EMPTY_OBJ;for(let _d=0;_d{cd=!0;const[Ad,Td]=normalizePropsOptions(Ed,qa,!0);extend$3(od,Ad),Td&&ld.push(...Td)};!ed&&qa.mixins.length&&qa.mixins.forEach(_d),Ra.extends&&_d(Ra.extends),Ra.mixins&&Ra.mixins.forEach(_d)}if(!sd&&!cd)return isObject$5(Ra)&&td.set(Ra,EMPTY_ARR),EMPTY_ARR;if(isArray$2(sd))for(let _d=0;_dRa[0]==="_"||Ra==="$stable",normalizeSlotValue=Ra=>isArray$2(Ra)?Ra.map(normalizeVNode):[normalizeVNode(Ra)],normalizeSlot=(Ra,qa,ed)=>{if(qa._n)return qa;const td=withCtx((...rd)=>normalizeSlotValue(qa(...rd)),ed);return td._c=!1,td},normalizeObjectSlots=(Ra,qa,ed)=>{const td=Ra._ctx;for(const rd in Ra){if(isInternalKey(rd))continue;const sd=Ra[rd];if(isFunction$4(sd))qa[rd]=normalizeSlot(rd,sd,td);else if(sd!=null){const od=normalizeSlotValue(sd);qa[rd]=()=>od}}},normalizeVNodeSlots=(Ra,qa)=>{const ed=normalizeSlotValue(qa);Ra.slots.default=()=>ed},assignSlots=(Ra,qa,ed)=>{for(const td in qa)(ed||td!=="_")&&(Ra[td]=qa[td])},initSlots=(Ra,qa,ed)=>{const td=Ra.slots=createInternalObject();if(Ra.vnode.shapeFlag&32){const rd=qa._;rd?(assignSlots(td,qa,ed),ed&&def$2(td,"_",rd,!0)):normalizeObjectSlots(qa,td)}else qa&&normalizeVNodeSlots(Ra,qa)},updateSlots=(Ra,qa,ed)=>{const{vnode:td,slots:rd}=Ra;let sd=!0,od=EMPTY_OBJ;if(td.shapeFlag&32){const ld=qa._;ld?ed&&ld===1?sd=!1:assignSlots(rd,qa,ed):(sd=!qa.$stable,normalizeObjectSlots(qa,rd)),od=qa}else qa&&(normalizeVNodeSlots(Ra,qa),od={default:1});if(sd)for(const ld in rd)!isInternalKey(ld)&&od[ld]==null&&delete rd[ld]},queuePostRenderEffect=queueEffectWithSuspense;function createRenderer$1(Ra){return baseCreateRenderer(Ra)}function baseCreateRenderer(Ra,qa){const ed=getGlobalThis();ed.__VUE__=!0;const{insert:td,remove:rd,patchProp:sd,createElement:od,createText:ld,createComment:cd,setText:ud,setElementText:_d,parentNode:Ed,nextSibling:Ad,setScopeId:Td=NOOP,insertStaticContent:Nd}=Ra,Rd=($f,th,hh,Xf=null,Df=null,Of=null,Vf=void 0,dh=null,bh=!!th.dynamicChildren)=>{if($f===th)return;$f&&!isSameVNodeType($f,th)&&(Xf=Ef($f),Lf($f,Df,Of,!0),$f=null),th.patchFlag===-2&&(bh=!1,th.dynamicChildren=null);const{type:oh,ref:Ih,shapeFlag:_h}=th;switch(oh){case Text:Bd($f,th,hh,Xf);break;case Comment:kd($f,th,hh,Xf);break;case Static:$f==null&&Od(th,hh,Xf,Vf);break;case Fragment:df($f,th,hh,Xf,Df,Of,Vf,dh,bh);break;default:_h&1?Fd($f,th,hh,Xf,Df,Of,Vf,dh,bh):_h&6?mf($f,th,hh,Xf,Df,Of,Vf,dh,bh):(_h&64||_h&128)&&oh.process($f,th,hh,Xf,Df,Of,Vf,dh,bh,Kf)}Ih!=null&&Df&&setRef(Ih,$f&&$f.ref,Of,th||$f,!th)},Bd=($f,th,hh,Xf)=>{if($f==null)td(th.el=ld(th.children),hh,Xf);else{const Df=th.el=$f.el;th.children!==$f.children&&ud(Df,th.children)}},kd=($f,th,hh,Xf)=>{$f==null?td(th.el=cd(th.children||""),hh,Xf):th.el=$f.el},Od=($f,th,hh,Xf)=>{[$f.el,$f.anchor]=Nd($f.children,th,hh,Xf,$f.el,$f.anchor)},Md=({el:$f,anchor:th},hh,Xf)=>{let Df;for(;$f&&$f!==th;)Df=Ad($f),td($f,hh,Xf),$f=Df;td(th,hh,Xf)},Pd=({el:$f,anchor:th})=>{let hh;for(;$f&&$f!==th;)hh=Ad($f),rd($f),$f=hh;rd(th)},Fd=($f,th,hh,Xf,Df,Of,Vf,dh,bh)=>{th.type==="svg"?Vf="svg":th.type==="math"&&(Vf="mathml"),$f==null?Ud(th,hh,Xf,Df,Of,Vf,dh,bh):Vd($f,th,Df,Of,Vf,dh,bh)},Ud=($f,th,hh,Xf,Df,Of,Vf,dh)=>{let bh,oh;const{props:Ih,shapeFlag:_h,transition:Dh,dirs:Xh}=$f;if(bh=$f.el=od($f.type,Of,Ih&&Ih.is,Ih),_h&8?_d(bh,$f.children):_h&16&&Qd($f.children,bh,null,Xf,Df,resolveChildrenNamespace($f,Of),Vf,dh),Xh&&invokeDirectiveHook($f,null,Xf,"created"),Gd(bh,$f,$f.scopeId,Vf,Xf),Ih){for(const sm in Ih)sm!=="value"&&!isReservedProp(sm)&&sd(bh,sm,null,Ih[sm],Of,Xf);"value"in Ih&&sd(bh,"value",null,Ih.value,Of),(oh=Ih.onVnodeBeforeMount)&&invokeVNodeHook(oh,Xf,$f)}Xh&&invokeDirectiveHook($f,null,Xf,"beforeMount");const qh=needTransition(Df,Dh);qh&&Dh.beforeEnter(bh),td(bh,th,hh),((oh=Ih&&Ih.onVnodeMounted)||qh||Xh)&&queuePostRenderEffect(()=>{oh&&invokeVNodeHook(oh,Xf,$f),qh&&Dh.enter(bh),Xh&&invokeDirectiveHook($f,null,Xf,"mounted")},Df)},Gd=($f,th,hh,Xf,Df)=>{if(hh&&Td($f,hh),Xf)for(let Of=0;Of{for(let oh=bh;oh<$f.length;oh++){const Ih=$f[oh]=dh?cloneIfMounted($f[oh]):normalizeVNode($f[oh]);Rd(null,Ih,th,hh,Xf,Df,Of,Vf,dh)}},Vd=($f,th,hh,Xf,Df,Of,Vf)=>{const dh=th.el=$f.el;let{patchFlag:bh,dynamicChildren:oh,dirs:Ih}=th;bh|=$f.patchFlag&16;const _h=$f.props||EMPTY_OBJ,Dh=th.props||EMPTY_OBJ;let Xh;if(hh&&toggleRecurse(hh,!1),(Xh=Dh.onVnodeBeforeUpdate)&&invokeVNodeHook(Xh,hh,th,$f),Ih&&invokeDirectiveHook(th,$f,hh,"beforeUpdate"),hh&&toggleRecurse(hh,!0),(_h.innerHTML&&Dh.innerHTML==null||_h.textContent&&Dh.textContent==null)&&_d(dh,""),oh?zd($f.dynamicChildren,oh,dh,hh,Xf,resolveChildrenNamespace(th,Df),Of):Vf||Nf($f,th,dh,null,hh,Xf,resolveChildrenNamespace(th,Df),Of,!1),bh>0){if(bh&16)Xd(dh,_h,Dh,hh,Df);else if(bh&2&&_h.class!==Dh.class&&sd(dh,"class",null,Dh.class,Df),bh&4&&sd(dh,"style",_h.style,Dh.style,Df),bh&8){const qh=th.dynamicProps;for(let sm=0;sm{Xh&&invokeVNodeHook(Xh,hh,th,$f),Ih&&invokeDirectiveHook(th,$f,hh,"updated")},Xf)},zd=($f,th,hh,Xf,Df,Of,Vf)=>{for(let dh=0;dh{if(th!==hh){if(th!==EMPTY_OBJ)for(const Of in th)!isReservedProp(Of)&&!(Of in hh)&&sd($f,Of,th[Of],null,Df,Xf);for(const Of in hh){if(isReservedProp(Of))continue;const Vf=hh[Of],dh=th[Of];Vf!==dh&&Of!=="value"&&sd($f,Of,dh,Vf,Df,Xf)}"value"in hh&&sd($f,"value",th.value,hh.value,Df)}},df=($f,th,hh,Xf,Df,Of,Vf,dh,bh)=>{const oh=th.el=$f?$f.el:ld(""),Ih=th.anchor=$f?$f.anchor:ld("");let{patchFlag:_h,dynamicChildren:Dh,slotScopeIds:Xh}=th;Xh&&(dh=dh?dh.concat(Xh):Xh),$f==null?(td(oh,hh,Xf),td(Ih,hh,Xf),Qd(th.children||[],hh,Ih,Df,Of,Vf,dh,bh)):_h>0&&_h&64&&Dh&&$f.dynamicChildren?(zd($f.dynamicChildren,Dh,hh,Df,Of,Vf,dh),(th.key!=null||Df&&th===Df.subTree)&&traverseStaticChildren($f,th,!0)):Nf($f,th,hh,Ih,Df,Of,Vf,dh,bh)},mf=($f,th,hh,Xf,Df,Of,Vf,dh,bh)=>{th.slotScopeIds=dh,$f==null?th.shapeFlag&512?Df.ctx.activate(th,hh,Xf,Vf,bh):_f(th,hh,Xf,Df,Of,Vf,bh):hf($f,th,bh)},_f=($f,th,hh,Xf,Df,Of,Vf)=>{const dh=$f.component=createComponentInstance($f,Xf,Df);if(isKeepAlive($f)&&(dh.ctx.renderer=Kf),setupComponent(dh,!1,Vf),dh.asyncDep){if(Df&&Df.registerDep(dh,gf,Vf),!$f.el){const bh=dh.subTree=createVNode(Comment);kd(null,bh,th,hh)}}else gf(dh,$f,th,hh,Df,Of,Vf)},hf=($f,th,hh)=>{const Xf=th.component=$f.component;if(shouldUpdateComponent($f,th,hh))if(Xf.asyncDep&&!Xf.asyncResolved){yf(Xf,th,hh);return}else Xf.next=th,Xf.update();else th.el=$f.el,Xf.vnode=th},gf=($f,th,hh,Xf,Df,Of,Vf)=>{const dh=()=>{if($f.isMounted){let{next:_h,bu:Dh,u:Xh,parent:qh,vnode:sm}=$f;{const rh=locateNonHydratedAsyncRoot($f);if(rh){_h&&(_h.el=sm.el,yf($f,_h,Vf)),rh.asyncDep.then(()=>{$f.isUnmounted||dh()});return}}let hm=_h,ah;toggleRecurse($f,!1),_h?(_h.el=sm.el,yf($f,_h,Vf)):_h=sm,Dh&&invokeArrayFns(Dh),(ah=_h.props&&_h.props.onVnodeBeforeUpdate)&&invokeVNodeHook(ah,qh,_h,sm),toggleRecurse($f,!0);const kf=renderComponentRoot($f),If=$f.subTree;$f.subTree=kf,Rd(If,kf,Ed(If.el),Ef(If),$f,Df,Of),_h.el=kf.el,hm===null&&updateHOCHostEl($f,kf.el),Xh&&queuePostRenderEffect(Xh,Df),(ah=_h.props&&_h.props.onVnodeUpdated)&&queuePostRenderEffect(()=>invokeVNodeHook(ah,qh,_h,sm),Df)}else{let _h;const{el:Dh,props:Xh}=th,{bm:qh,m:sm,parent:hm,root:ah,type:kf}=$f,If=isAsyncWrapper(th);if(toggleRecurse($f,!1),qh&&invokeArrayFns(qh),!If&&(_h=Xh&&Xh.onVnodeBeforeMount)&&invokeVNodeHook(_h,hm,th),toggleRecurse($f,!0),Dh&&zf){const rh=()=>{$f.subTree=renderComponentRoot($f),zf(Dh,$f.subTree,$f,Df,null)};If&&kf.__asyncHydrate?kf.__asyncHydrate(Dh,$f,rh):rh()}else{ah.ce&&ah.ce._injectChildStyle(kf);const rh=$f.subTree=renderComponentRoot($f);Rd(null,rh,hh,Xf,$f,Df,Of),th.el=rh.el}if(sm&&queuePostRenderEffect(sm,Df),!If&&(_h=Xh&&Xh.onVnodeMounted)){const rh=th;queuePostRenderEffect(()=>invokeVNodeHook(_h,hm,rh),Df)}(th.shapeFlag&256||hm&&isAsyncWrapper(hm.vnode)&&hm.vnode.shapeFlag&256)&&$f.a&&queuePostRenderEffect($f.a,Df),$f.isMounted=!0,th=hh=Xf=null}};$f.scope.on();const bh=$f.effect=new ReactiveEffect(dh);$f.scope.off();const oh=$f.update=bh.run.bind(bh),Ih=$f.job=bh.runIfDirty.bind(bh);Ih.i=$f,Ih.id=$f.uid,bh.scheduler=()=>queueJob(Ih),toggleRecurse($f,!0),oh()},yf=($f,th,hh)=>{th.component=$f;const Xf=$f.vnode.props;$f.vnode=th,$f.next=null,updateProps($f,th.props,Xf,hh),updateSlots($f,th.children,hh),pauseTracking(),flushPreFlushCbs($f),resetTracking()},Nf=($f,th,hh,Xf,Df,Of,Vf,dh,bh=!1)=>{const oh=$f&&$f.children,Ih=$f?$f.shapeFlag:0,_h=th.children,{patchFlag:Dh,shapeFlag:Xh}=th;if(Dh>0){if(Dh&128){Yf(oh,_h,hh,Xf,Df,Of,Vf,dh,bh);return}else if(Dh&256){Pf(oh,_h,hh,Xf,Df,Of,Vf,dh,bh);return}}Xh&8?(Ih&16&&Qf(oh,Df,Of),_h!==oh&&_d(hh,_h)):Ih&16?Xh&16?Yf(oh,_h,hh,Xf,Df,Of,Vf,dh,bh):Qf(oh,Df,Of,!0):(Ih&8&&_d(hh,""),Xh&16&&Qd(_h,hh,Xf,Df,Of,Vf,dh,bh))},Pf=($f,th,hh,Xf,Df,Of,Vf,dh,bh)=>{$f=$f||EMPTY_ARR,th=th||EMPTY_ARR;const oh=$f.length,Ih=th.length,_h=Math.min(oh,Ih);let Dh;for(Dh=0;Dh<_h;Dh++){const Xh=th[Dh]=bh?cloneIfMounted(th[Dh]):normalizeVNode(th[Dh]);Rd($f[Dh],Xh,hh,null,Df,Of,Vf,dh,bh)}oh>Ih?Qf($f,Df,Of,!0,!1,_h):Qd(th,hh,Xf,Df,Of,Vf,dh,bh,_h)},Yf=($f,th,hh,Xf,Df,Of,Vf,dh,bh)=>{let oh=0;const Ih=th.length;let _h=$f.length-1,Dh=Ih-1;for(;oh<=_h&&oh<=Dh;){const Xh=$f[oh],qh=th[oh]=bh?cloneIfMounted(th[oh]):normalizeVNode(th[oh]);if(isSameVNodeType(Xh,qh))Rd(Xh,qh,hh,null,Df,Of,Vf,dh,bh);else break;oh++}for(;oh<=_h&&oh<=Dh;){const Xh=$f[_h],qh=th[Dh]=bh?cloneIfMounted(th[Dh]):normalizeVNode(th[Dh]);if(isSameVNodeType(Xh,qh))Rd(Xh,qh,hh,null,Df,Of,Vf,dh,bh);else break;_h--,Dh--}if(oh>_h){if(oh<=Dh){const Xh=Dh+1,qh=XhDh)for(;oh<=_h;)Lf($f[oh],Df,Of,!0),oh++;else{const Xh=oh,qh=oh,sm=new Map;for(oh=qh;oh<=Dh;oh++){const $h=th[oh]=bh?cloneIfMounted(th[oh]):normalizeVNode(th[oh]);$h.key!=null&&sm.set($h.key,oh)}let hm,ah=0;const kf=Dh-qh+1;let If=!1,rh=0;const ch=new Array(kf);for(oh=0;oh=kf){Lf($h,Df,Of,!0);continue}let om;if($h.key!=null)om=sm.get($h.key);else for(hm=qh;hm<=Dh;hm++)if(ch[hm-qh]===0&&isSameVNodeType($h,th[hm])){om=hm;break}om===void 0?Lf($h,Df,Of,!0):(ch[om-qh]=oh+1,om>=rh?rh=om:If=!0,Rd($h,th[om],hh,null,Df,Of,Vf,dh,bh),ah++)}const wh=If?getSequence(ch):EMPTY_ARR;for(hm=wh.length-1,oh=kf-1;oh>=0;oh--){const $h=qh+oh,om=th[$h],Tm=$h+1{const{el:Of,type:Vf,transition:dh,children:bh,shapeFlag:oh}=$f;if(oh&6){Uf($f.component.subTree,th,hh,Xf);return}if(oh&128){$f.suspense.move(th,hh,Xf);return}if(oh&64){Vf.move($f,th,hh,Kf);return}if(Vf===Fragment){td(Of,th,hh);for(let _h=0;_hdh.enter(Of),Df);else{const{leave:_h,delayLeave:Dh,afterLeave:Xh}=dh,qh=()=>td(Of,th,hh),sm=()=>{_h(Of,()=>{qh(),Xh&&Xh()})};Dh?Dh(Of,qh,sm):sm()}else td(Of,th,hh)},Lf=($f,th,hh,Xf=!1,Df=!1)=>{const{type:Of,props:Vf,ref:dh,children:bh,dynamicChildren:oh,shapeFlag:Ih,patchFlag:_h,dirs:Dh,cacheIndex:Xh}=$f;if(_h===-2&&(Df=!1),dh!=null&&setRef(dh,null,hh,$f,!0),Xh!=null&&(th.renderCache[Xh]=void 0),Ih&256){th.ctx.deactivate($f);return}const qh=Ih&1&&Dh,sm=!isAsyncWrapper($f);let hm;if(sm&&(hm=Vf&&Vf.onVnodeBeforeUnmount)&&invokeVNodeHook(hm,th,$f),Ih&6)Jf($f.component,hh,Xf);else{if(Ih&128){$f.suspense.unmount(hh,Xf);return}qh&&invokeDirectiveHook($f,null,th,"beforeUnmount"),Ih&64?$f.type.remove($f,th,hh,Kf,Xf):oh&&!oh.hasOnce&&(Of!==Fragment||_h>0&&_h&64)?Qf(oh,th,hh,!1,!0):(Of===Fragment&&_h&384||!Df&&Ih&16)&&Qf(bh,th,hh),Xf&&xf($f)}(sm&&(hm=Vf&&Vf.onVnodeUnmounted)||qh)&&queuePostRenderEffect(()=>{hm&&invokeVNodeHook(hm,th,$f),qh&&invokeDirectiveHook($f,null,th,"unmounted")},hh)},xf=$f=>{const{type:th,el:hh,anchor:Xf,transition:Df}=$f;if(th===Fragment){wf(hh,Xf);return}if(th===Static){Pd($f);return}const Of=()=>{rd(hh),Df&&!Df.persisted&&Df.afterLeave&&Df.afterLeave()};if($f.shapeFlag&1&&Df&&!Df.persisted){const{leave:Vf,delayLeave:dh}=Df,bh=()=>Vf(hh,Of);dh?dh($f.el,Of,bh):bh()}else Of()},wf=($f,th)=>{let hh;for(;$f!==th;)hh=Ad($f),rd($f),$f=hh;rd(th)},Jf=($f,th,hh)=>{const{bum:Xf,scope:Df,job:Of,subTree:Vf,um:dh,m:bh,a:oh}=$f;invalidateMount(bh),invalidateMount(oh),Xf&&invokeArrayFns(Xf),Df.stop(),Of&&(Of.flags|=8,Lf(Vf,$f,th,hh)),dh&&queuePostRenderEffect(dh,th),queuePostRenderEffect(()=>{$f.isUnmounted=!0},th),th&&th.pendingBranch&&!th.isUnmounted&&$f.asyncDep&&!$f.asyncResolved&&$f.suspenseId===th.pendingId&&(th.deps--,th.deps===0&&th.resolve())},Qf=($f,th,hh,Xf=!1,Df=!1,Of=0)=>{for(let Vf=Of;Vf<$f.length;Vf++)Lf($f[Vf],th,hh,Xf,Df)},Ef=$f=>{if($f.shapeFlag&6)return Ef($f.component.subTree);if($f.shapeFlag&128)return $f.suspense.next();const th=Ad($f.anchor||$f.el),hh=th&&th[TeleportEndKey];return hh?Ad(hh):th};let bf=!1;const Bf=($f,th,hh)=>{$f==null?th._vnode&&Lf(th._vnode,null,null,!0):Rd(th._vnode||null,$f,th,null,null,null,hh),th._vnode=$f,bf||(bf=!0,flushPreFlushCbs(),flushPostFlushCbs(),bf=!1)},Kf={p:Rd,um:Lf,m:Uf,r:xf,mt:_f,mc:Qd,pc:Nf,pbc:zd,n:Ef,o:Ra};let nh,zf;return{render:Bf,hydrate:nh,createApp:createAppAPI(Bf,nh)}}function resolveChildrenNamespace({type:Ra,props:qa},ed){return ed==="svg"&&Ra==="foreignObject"||ed==="mathml"&&Ra==="annotation-xml"&&qa&&qa.encoding&&qa.encoding.includes("html")?void 0:ed}function toggleRecurse({effect:Ra,job:qa},ed){ed?(Ra.flags|=32,qa.flags|=4):(Ra.flags&=-33,qa.flags&=-5)}function needTransition(Ra,qa){return(!Ra||Ra&&!Ra.pendingBranch)&&qa&&!qa.persisted}function traverseStaticChildren(Ra,qa,ed=!1){const td=Ra.children,rd=qa.children;if(isArray$2(td)&&isArray$2(rd))for(let sd=0;sd>1,Ra[ed[ld]]0&&(qa[td]=ed[sd-1]),ed[sd]=td)}}for(sd=ed.length,od=ed[sd-1];sd-- >0;)ed[sd]=od,od=qa[od];return ed}function locateNonHydratedAsyncRoot(Ra){const qa=Ra.subTree.component;if(qa)return qa.asyncDep&&!qa.asyncResolved?qa:locateNonHydratedAsyncRoot(qa)}function invalidateMount(Ra){if(Ra)for(let qa=0;qainject(ssrContextKey);function watchEffect(Ra,qa){return doWatch(Ra,null,qa)}function watchPostEffect(Ra,qa){return doWatch(Ra,null,{flush:"post"})}function watch(Ra,qa,ed){return doWatch(Ra,qa,ed)}function doWatch(Ra,qa,ed=EMPTY_OBJ){const{immediate:td,deep:rd,flush:sd,once:od}=ed,ld=extend$3({},ed);let cd;if(isInSSRComponentSetup)if(sd==="sync"){const Ad=useSSRContext();cd=Ad.__watcherHandles||(Ad.__watcherHandles=[])}else if(!qa||td)ld.once=!0;else return{stop:NOOP,resume:NOOP,pause:NOOP};const ud=currentInstance;ld.call=(Ad,Td,Nd)=>callWithAsyncErrorHandling(Ad,ud,Td,Nd);let _d=!1;sd==="post"?ld.scheduler=Ad=>{queuePostRenderEffect(Ad,ud&&ud.suspense)}:sd!=="sync"&&(_d=!0,ld.scheduler=(Ad,Td)=>{Td?Ad():queueJob(Ad)}),ld.augmentJob=Ad=>{qa&&(Ad.flags|=4),_d&&(Ad.flags|=2,ud&&(Ad.id=ud.uid,Ad.i=ud))};const Ed=watch$1(Ra,qa,ld);return cd&&cd.push(Ed),Ed}function instanceWatch(Ra,qa,ed){const td=this.proxy,rd=isString$3(Ra)?Ra.includes(".")?createPathGetter(td,Ra):()=>td[Ra]:Ra.bind(td,td);let sd;isFunction$4(qa)?sd=qa:(sd=qa.handler,ed=qa);const od=setCurrentInstance(this),ld=doWatch(rd,sd.bind(td),ed);return od(),ld}function createPathGetter(Ra,qa){const ed=qa.split(".");return()=>{let td=Ra;for(let rd=0;rdqa==="modelValue"||qa==="model-value"?Ra.modelModifiers:Ra[`${qa}Modifiers`]||Ra[`${camelize(qa)}Modifiers`]||Ra[`${hyphenate(qa)}Modifiers`];function emit(Ra,qa,...ed){if(Ra.isUnmounted)return;const td=Ra.vnode.props||EMPTY_OBJ;let rd=ed;const sd=qa.startsWith("update:"),od=sd&&getModelModifiers(td,qa.slice(7));od&&(od.trim&&(rd=ed.map(_d=>isString$3(_d)?_d.trim():_d)),od.number&&(rd=ed.map(looseToNumber)));let ld,cd=td[ld=toHandlerKey(qa)]||td[ld=toHandlerKey(camelize(qa))];!cd&&sd&&(cd=td[ld=toHandlerKey(hyphenate(qa))]),cd&&callWithAsyncErrorHandling(cd,Ra,6,rd);const ud=td[ld+"Once"];if(ud){if(!Ra.emitted)Ra.emitted={};else if(Ra.emitted[ld])return;Ra.emitted[ld]=!0,callWithAsyncErrorHandling(ud,Ra,6,rd)}}function normalizeEmitsOptions(Ra,qa,ed=!1){const td=qa.emitsCache,rd=td.get(Ra);if(rd!==void 0)return rd;const sd=Ra.emits;let od={},ld=!1;if(!isFunction$4(Ra)){const cd=ud=>{const _d=normalizeEmitsOptions(ud,qa,!0);_d&&(ld=!0,extend$3(od,_d))};!ed&&qa.mixins.length&&qa.mixins.forEach(cd),Ra.extends&&cd(Ra.extends),Ra.mixins&&Ra.mixins.forEach(cd)}return!sd&&!ld?(isObject$5(Ra)&&td.set(Ra,null),null):(isArray$2(sd)?sd.forEach(cd=>od[cd]=null):extend$3(od,sd),isObject$5(Ra)&&td.set(Ra,od),od)}function isEmitListener(Ra,qa){return!Ra||!isOn(qa)?!1:(qa=qa.slice(2).replace(/Once$/,""),hasOwn$1(Ra,qa[0].toLowerCase()+qa.slice(1))||hasOwn$1(Ra,hyphenate(qa))||hasOwn$1(Ra,qa))}function markAttrsAccessed(){}function renderComponentRoot(Ra){const{type:qa,vnode:ed,proxy:td,withProxy:rd,propsOptions:[sd],slots:od,attrs:ld,emit:cd,render:ud,renderCache:_d,props:Ed,data:Ad,setupState:Td,ctx:Nd,inheritAttrs:Rd}=Ra,Bd=setCurrentRenderingInstance(Ra);let kd,Od;try{if(ed.shapeFlag&4){const Pd=rd||td,Fd=Pd;kd=normalizeVNode(ud.call(Fd,Pd,_d,Ed,Td,Ad,Nd)),Od=ld}else{const Pd=qa;kd=normalizeVNode(Pd.length>1?Pd(Ed,{attrs:ld,slots:od,emit:cd}):Pd(Ed,null)),Od=qa.props?ld:getFunctionalFallthrough(ld)}}catch(Pd){blockStack.length=0,handleError$1(Pd,Ra,1),kd=createVNode(Comment)}let Md=kd;if(Od&&Rd!==!1){const Pd=Object.keys(Od),{shapeFlag:Fd}=Md;Pd.length&&Fd&7&&(sd&&Pd.some(isModelListener)&&(Od=filterModelListeners(Od,sd)),Md=cloneVNode(Md,Od,!1,!0))}return ed.dirs&&(Md=cloneVNode(Md,null,!1,!0),Md.dirs=Md.dirs?Md.dirs.concat(ed.dirs):ed.dirs),ed.transition&&setTransitionHooks(Md,ed.transition),kd=Md,setCurrentRenderingInstance(Bd),kd}const getFunctionalFallthrough=Ra=>{let qa;for(const ed in Ra)(ed==="class"||ed==="style"||isOn(ed))&&((qa||(qa={}))[ed]=Ra[ed]);return qa},filterModelListeners=(Ra,qa)=>{const ed={};for(const td in Ra)(!isModelListener(td)||!(td.slice(9)in qa))&&(ed[td]=Ra[td]);return ed};function shouldUpdateComponent(Ra,qa,ed){const{props:td,children:rd,component:sd}=Ra,{props:od,children:ld,patchFlag:cd}=qa,ud=sd.emitsOptions;if(qa.dirs||qa.transition)return!0;if(ed&&cd>=0){if(cd&1024)return!0;if(cd&16)return td?hasPropsChanged(td,od,ud):!!od;if(cd&8){const _d=qa.dynamicProps;for(let Ed=0;Ed<_d.length;Ed++){const Ad=_d[Ed];if(od[Ad]!==td[Ad]&&!isEmitListener(ud,Ad))return!0}}}else return(rd||ld)&&(!ld||!ld.$stable)?!0:td===od?!1:td?od?hasPropsChanged(td,od,ud):!0:!!od;return!1}function hasPropsChanged(Ra,qa,ed){const td=Object.keys(qa);if(td.length!==Object.keys(Ra).length)return!0;for(let rd=0;rdRa.__isSuspense;function queueEffectWithSuspense(Ra,qa){qa&&qa.pendingBranch?isArray$2(Ra)?qa.effects.push(...Ra):qa.effects.push(Ra):queuePostFlushCb(Ra)}const Fragment=Symbol.for("v-fgt"),Text=Symbol.for("v-txt"),Comment=Symbol.for("v-cmt"),Static=Symbol.for("v-stc"),blockStack=[];let currentBlock=null;function openBlock(Ra=!1){blockStack.push(currentBlock=Ra?null:[])}function closeBlock(){blockStack.pop(),currentBlock=blockStack[blockStack.length-1]||null}let isBlockTreeEnabled=1;function setBlockTracking(Ra){isBlockTreeEnabled+=Ra,Ra<0&¤tBlock&&(currentBlock.hasOnce=!0)}function setupBlock(Ra){return Ra.dynamicChildren=isBlockTreeEnabled>0?currentBlock||EMPTY_ARR:null,closeBlock(),isBlockTreeEnabled>0&¤tBlock&¤tBlock.push(Ra),Ra}function createElementBlock(Ra,qa,ed,td,rd,sd){return setupBlock(createBaseVNode(Ra,qa,ed,td,rd,sd,!0))}function createBlock(Ra,qa,ed,td,rd){return setupBlock(createVNode(Ra,qa,ed,td,rd,!0))}function isVNode(Ra){return Ra?Ra.__v_isVNode===!0:!1}function isSameVNodeType(Ra,qa){return Ra.type===qa.type&&Ra.key===qa.key}const normalizeKey=({key:Ra})=>Ra??null,normalizeRef=({ref:Ra,ref_key:qa,ref_for:ed})=>(typeof Ra=="number"&&(Ra=""+Ra),Ra!=null?isString$3(Ra)||isRef(Ra)||isFunction$4(Ra)?{i:currentRenderingInstance,r:Ra,k:qa,f:!!ed}:Ra:null);function createBaseVNode(Ra,qa=null,ed=null,td=0,rd=null,sd=Ra===Fragment?0:1,od=!1,ld=!1){const cd={__v_isVNode:!0,__v_skip:!0,type:Ra,props:qa,key:qa&&normalizeKey(qa),ref:qa&&normalizeRef(qa),scopeId:currentScopeId,slotScopeIds:null,children:ed,component:null,suspense:null,ssContent:null,ssFallback:null,dirs:null,transition:null,el:null,anchor:null,target:null,targetStart:null,targetAnchor:null,staticCount:0,shapeFlag:sd,patchFlag:td,dynamicProps:rd,dynamicChildren:null,appContext:null,ctx:currentRenderingInstance};return ld?(normalizeChildren(cd,ed),sd&128&&Ra.normalize(cd)):ed&&(cd.shapeFlag|=isString$3(ed)?8:16),isBlockTreeEnabled>0&&!od&¤tBlock&&(cd.patchFlag>0||sd&6)&&cd.patchFlag!==32&¤tBlock.push(cd),cd}const createVNode=_createVNode;function _createVNode(Ra,qa=null,ed=null,td=0,rd=null,sd=!1){if((!Ra||Ra===NULL_DYNAMIC_COMPONENT)&&(Ra=Comment),isVNode(Ra)){const ld=cloneVNode(Ra,qa,!0);return ed&&normalizeChildren(ld,ed),isBlockTreeEnabled>0&&!sd&¤tBlock&&(ld.shapeFlag&6?currentBlock[currentBlock.indexOf(Ra)]=ld:currentBlock.push(ld)),ld.patchFlag=-2,ld}if(isClassComponent(Ra)&&(Ra=Ra.__vccOpts),qa){qa=guardReactiveProps(qa);let{class:ld,style:cd}=qa;ld&&!isString$3(ld)&&(qa.class=normalizeClass(ld)),isObject$5(cd)&&(isProxy(cd)&&!isArray$2(cd)&&(cd=extend$3({},cd)),qa.style=normalizeStyle(cd))}const od=isString$3(Ra)?1:isSuspense(Ra)?128:isTeleport(Ra)?64:isObject$5(Ra)?4:isFunction$4(Ra)?2:0;return createBaseVNode(Ra,qa,ed,td,rd,od,sd,!0)}function guardReactiveProps(Ra){return Ra?isProxy(Ra)||isInternalObject(Ra)?extend$3({},Ra):Ra:null}function cloneVNode(Ra,qa,ed=!1,td=!1){const{props:rd,ref:sd,patchFlag:od,children:ld,transition:cd}=Ra,ud=qa?mergeProps(rd||{},qa):rd,_d={__v_isVNode:!0,__v_skip:!0,type:Ra.type,props:ud,key:ud&&normalizeKey(ud),ref:qa&&qa.ref?ed&&sd?isArray$2(sd)?sd.concat(normalizeRef(qa)):[sd,normalizeRef(qa)]:normalizeRef(qa):sd,scopeId:Ra.scopeId,slotScopeIds:Ra.slotScopeIds,children:ld,target:Ra.target,targetStart:Ra.targetStart,targetAnchor:Ra.targetAnchor,staticCount:Ra.staticCount,shapeFlag:Ra.shapeFlag,patchFlag:qa&&Ra.type!==Fragment?od===-1?16:od|16:od,dynamicProps:Ra.dynamicProps,dynamicChildren:Ra.dynamicChildren,appContext:Ra.appContext,dirs:Ra.dirs,transition:cd,component:Ra.component,suspense:Ra.suspense,ssContent:Ra.ssContent&&cloneVNode(Ra.ssContent),ssFallback:Ra.ssFallback&&cloneVNode(Ra.ssFallback),el:Ra.el,anchor:Ra.anchor,ctx:Ra.ctx,ce:Ra.ce};return cd&&td&&setTransitionHooks(_d,cd.clone(_d)),_d}function createTextVNode(Ra=" ",qa=0){return createVNode(Text,null,Ra,qa)}function createCommentVNode(Ra="",qa=!1){return qa?(openBlock(),createBlock(Comment,null,Ra)):createVNode(Comment,null,Ra)}function normalizeVNode(Ra){return Ra==null||typeof Ra=="boolean"?createVNode(Comment):isArray$2(Ra)?createVNode(Fragment,null,Ra.slice()):typeof Ra=="object"?cloneIfMounted(Ra):createVNode(Text,null,String(Ra))}function cloneIfMounted(Ra){return Ra.el===null&&Ra.patchFlag!==-1||Ra.memo?Ra:cloneVNode(Ra)}function normalizeChildren(Ra,qa){let ed=0;const{shapeFlag:td}=Ra;if(qa==null)qa=null;else if(isArray$2(qa))ed=16;else if(typeof qa=="object")if(td&65){const rd=qa.default;rd&&(rd._c&&(rd._d=!1),normalizeChildren(Ra,rd()),rd._c&&(rd._d=!0));return}else{ed=32;const rd=qa._;!rd&&!isInternalObject(qa)?qa._ctx=currentRenderingInstance:rd===3&¤tRenderingInstance&&(currentRenderingInstance.slots._===1?qa._=1:(qa._=2,Ra.patchFlag|=1024))}else isFunction$4(qa)?(qa={default:qa,_ctx:currentRenderingInstance},ed=32):(qa=String(qa),td&64?(ed=16,qa=[createTextVNode(qa)]):ed=8);Ra.children=qa,Ra.shapeFlag|=ed}function mergeProps(...Ra){const qa={};for(let ed=0;edcurrentInstance||currentRenderingInstance;let internalSetCurrentInstance,setInSSRSetupState;{const Ra=getGlobalThis(),qa=(ed,td)=>{let rd;return(rd=Ra[ed])||(rd=Ra[ed]=[]),rd.push(td),sd=>{rd.length>1?rd.forEach(od=>od(sd)):rd[0](sd)}};internalSetCurrentInstance=qa("__VUE_INSTANCE_SETTERS__",ed=>currentInstance=ed),setInSSRSetupState=qa("__VUE_SSR_SETTERS__",ed=>isInSSRComponentSetup=ed)}const setCurrentInstance=Ra=>{const qa=currentInstance;return internalSetCurrentInstance(Ra),Ra.scope.on(),()=>{Ra.scope.off(),internalSetCurrentInstance(qa)}},unsetCurrentInstance=()=>{currentInstance&¤tInstance.scope.off(),internalSetCurrentInstance(null)};function isStatefulComponent(Ra){return Ra.vnode.shapeFlag&4}let isInSSRComponentSetup=!1;function setupComponent(Ra,qa=!1,ed=!1){qa&&setInSSRSetupState(qa);const{props:td,children:rd}=Ra.vnode,sd=isStatefulComponent(Ra);initProps(Ra,td,sd,qa),initSlots(Ra,rd,ed);const od=sd?setupStatefulComponent(Ra,qa):void 0;return qa&&setInSSRSetupState(!1),od}function setupStatefulComponent(Ra,qa){const ed=Ra.type;Ra.accessCache=Object.create(null),Ra.proxy=new Proxy(Ra.ctx,PublicInstanceProxyHandlers);const{setup:td}=ed;if(td){const rd=Ra.setupContext=td.length>1?createSetupContext(Ra):null,sd=setCurrentInstance(Ra);pauseTracking();const od=callWithErrorHandling(td,Ra,0,[Ra.props,rd]);if(resetTracking(),sd(),isPromise(od)){if(isAsyncWrapper(Ra)||markAsyncBoundary(Ra),od.then(unsetCurrentInstance,unsetCurrentInstance),qa)return od.then(ld=>{handleSetupResult(Ra,ld,qa)}).catch(ld=>{handleError$1(ld,Ra,0)});Ra.asyncDep=od}else handleSetupResult(Ra,od,qa)}else finishComponentSetup(Ra,qa)}function handleSetupResult(Ra,qa,ed){isFunction$4(qa)?Ra.type.__ssrInlineRender?Ra.ssrRender=qa:Ra.render=qa:isObject$5(qa)&&(Ra.setupState=proxyRefs(qa)),finishComponentSetup(Ra,ed)}let compile$3;function finishComponentSetup(Ra,qa,ed){const td=Ra.type;if(!Ra.render){if(!qa&&compile$3&&!td.render){const rd=td.template||resolveMergedOptions(Ra).template;if(rd){const{isCustomElement:sd,compilerOptions:od}=Ra.appContext.config,{delimiters:ld,compilerOptions:cd}=td,ud=extend$3(extend$3({isCustomElement:sd,delimiters:ld},od),cd);td.render=compile$3(rd,ud)}}Ra.render=td.render||NOOP}{const rd=setCurrentInstance(Ra);pauseTracking();try{applyOptions(Ra)}finally{resetTracking(),rd()}}}const attrsProxyHandlers={get(Ra,qa){return track(Ra,"get",""),Ra[qa]}};function createSetupContext(Ra){const qa=ed=>{Ra.exposed=ed||{}};return{attrs:new Proxy(Ra.attrs,attrsProxyHandlers),slots:Ra.slots,emit:Ra.emit,expose:qa}}function getComponentPublicInstance(Ra){return Ra.exposed?Ra.exposeProxy||(Ra.exposeProxy=new Proxy(proxyRefs(markRaw(Ra.exposed)),{get(qa,ed){if(ed in qa)return qa[ed];if(ed in publicPropertiesMap)return publicPropertiesMap[ed](Ra)},has(qa,ed){return ed in qa||ed in publicPropertiesMap}})):Ra.proxy}const classifyRE=/(?:^|[-_])(\w)/g,classify=Ra=>Ra.replace(classifyRE,qa=>qa.toUpperCase()).replace(/[-_]/g,"");function getComponentName(Ra,qa=!0){return isFunction$4(Ra)?Ra.displayName||Ra.name:Ra.name||qa&&Ra.__name}function formatComponentName(Ra,qa,ed=!1){let td=getComponentName(qa);if(!td&&qa.__file){const rd=qa.__file.match(/([^/\\]+)\.\w+$/);rd&&(td=rd[1])}if(!td&&Ra&&Ra.parent){const rd=sd=>{for(const od in sd)if(sd[od]===qa)return od};td=rd(Ra.components||Ra.parent.type.components)||rd(Ra.appContext.components)}return td?classify(td):ed?"App":"Anonymous"}function isClassComponent(Ra){return isFunction$4(Ra)&&"__vccOpts"in Ra}const computed=(Ra,qa)=>computed$1(Ra,qa,isInSSRComponentSetup);function h$1(Ra,qa,ed){const td=arguments.length;return td===2?isObject$5(qa)&&!isArray$2(qa)?isVNode(qa)?createVNode(Ra,null,[qa]):createVNode(Ra,qa):createVNode(Ra,null,qa):(td>3?ed=Array.prototype.slice.call(arguments,2):td===3&&isVNode(ed)&&(ed=[ed]),createVNode(Ra,qa,ed))}const version$2="3.5.3",warn$2=NOOP;/** * @vue/runtime-dom v3.5.3 * (c) 2018-present Yuxi (Evan) You and Vue contributors * @license MIT **/let policy;const tt$5=typeof window<"u"&&window.trustedTypes;if(tt$5)try{policy=tt$5.createPolicy("vue",{createHTML:Ra=>Ra})}catch{}const unsafeToTrustedHTML=policy?Ra=>policy.createHTML(Ra):Ra=>Ra,svgNS="http://www.w3.org/2000/svg",mathmlNS="http://www.w3.org/1998/Math/MathML",doc=typeof document<"u"?document:null,templateContainer=doc&&doc.createElement("template"),nodeOps={insert:(Ra,qa,ed)=>{qa.insertBefore(Ra,ed||null)},remove:Ra=>{const qa=Ra.parentNode;qa&&qa.removeChild(Ra)},createElement:(Ra,qa,ed,td)=>{const rd=qa==="svg"?doc.createElementNS(svgNS,Ra):qa==="mathml"?doc.createElementNS(mathmlNS,Ra):ed?doc.createElement(Ra,{is:ed}):doc.createElement(Ra);return Ra==="select"&&td&&td.multiple!=null&&rd.setAttribute("multiple",td.multiple),rd},createText:Ra=>doc.createTextNode(Ra),createComment:Ra=>doc.createComment(Ra),setText:(Ra,qa)=>{Ra.nodeValue=qa},setElementText:(Ra,qa)=>{Ra.textContent=qa},parentNode:Ra=>Ra.parentNode,nextSibling:Ra=>Ra.nextSibling,querySelector:Ra=>doc.querySelector(Ra),setScopeId(Ra,qa){Ra.setAttribute(qa,"")},insertStaticContent(Ra,qa,ed,td,rd,sd){const od=ed?ed.previousSibling:qa.lastChild;if(rd&&(rd===sd||rd.nextSibling))for(;qa.insertBefore(rd.cloneNode(!0),ed),!(rd===sd||!(rd=rd.nextSibling)););else{templateContainer.innerHTML=unsafeToTrustedHTML(td==="svg"?`${Ra}`:td==="mathml"?`${Ra}`:Ra);const ld=templateContainer.content;if(td==="svg"||td==="mathml"){const cd=ld.firstChild;for(;cd.firstChild;)ld.appendChild(cd.firstChild);ld.removeChild(cd)}qa.insertBefore(ld,ed)}return[od?od.nextSibling:qa.firstChild,ed?ed.previousSibling:qa.lastChild]}},TRANSITION="transition",ANIMATION="animation",vtcKey=Symbol("_vtc"),DOMTransitionPropsValidators={name:String,type:String,css:{type:Boolean,default:!0},duration:[String,Number,Object],enterFromClass:String,enterActiveClass:String,enterToClass:String,appearFromClass:String,appearActiveClass:String,appearToClass:String,leaveFromClass:String,leaveActiveClass:String,leaveToClass:String},TransitionPropsValidators=extend$3({},BaseTransitionPropsValidators,DOMTransitionPropsValidators),decorate$1=Ra=>(Ra.displayName="Transition",Ra.props=TransitionPropsValidators,Ra),Transition$1=decorate$1((Ra,{slots:qa})=>h$1(BaseTransition,resolveTransitionProps(Ra),qa)),callHook=(Ra,qa=[])=>{isArray$2(Ra)?Ra.forEach(ed=>ed(...qa)):Ra&&Ra(...qa)},hasExplicitCallback=Ra=>Ra?isArray$2(Ra)?Ra.some(qa=>qa.length>1):Ra.length>1:!1;function resolveTransitionProps(Ra){const qa={};for(const df in Ra)df in DOMTransitionPropsValidators||(qa[df]=Ra[df]);if(Ra.css===!1)return qa;const{name:ed="v",type:td,duration:rd,enterFromClass:sd=`${ed}-enter-from`,enterActiveClass:od=`${ed}-enter-active`,enterToClass:ld=`${ed}-enter-to`,appearFromClass:cd=sd,appearActiveClass:ud=od,appearToClass:_d=ld,leaveFromClass:Ed=`${ed}-leave-from`,leaveActiveClass:Ad=`${ed}-leave-active`,leaveToClass:Td=`${ed}-leave-to`}=Ra,Nd=normalizeDuration(rd),Rd=Nd&&Nd[0],Bd=Nd&&Nd[1],{onBeforeEnter:kd,onEnter:Od,onEnterCancelled:Md,onLeave:Pd,onLeaveCancelled:Fd,onBeforeAppear:Ud=kd,onAppear:Gd=Od,onAppearCancelled:Qd=Md}=qa,Vd=(df,mf,_f)=>{removeTransitionClass(df,mf?_d:ld),removeTransitionClass(df,mf?ud:od),_f&&_f()},zd=(df,mf)=>{df._isLeaving=!1,removeTransitionClass(df,Ed),removeTransitionClass(df,Td),removeTransitionClass(df,Ad),mf&&mf()},Xd=df=>(mf,_f)=>{const hf=df?Gd:Od,gf=()=>Vd(mf,df,_f);callHook(hf,[mf,gf]),nextFrame(()=>{removeTransitionClass(mf,df?cd:sd),addTransitionClass(mf,df?_d:ld),hasExplicitCallback(hf)||whenTransitionEnds(mf,td,Rd,gf)})};return extend$3(qa,{onBeforeEnter(df){callHook(kd,[df]),addTransitionClass(df,sd),addTransitionClass(df,od)},onBeforeAppear(df){callHook(Ud,[df]),addTransitionClass(df,cd),addTransitionClass(df,ud)},onEnter:Xd(!1),onAppear:Xd(!0),onLeave(df,mf){df._isLeaving=!0;const _f=()=>zd(df,mf);addTransitionClass(df,Ed),addTransitionClass(df,Ad),forceReflow(),nextFrame(()=>{df._isLeaving&&(removeTransitionClass(df,Ed),addTransitionClass(df,Td),hasExplicitCallback(Pd)||whenTransitionEnds(df,td,Bd,_f))}),callHook(Pd,[df,_f])},onEnterCancelled(df){Vd(df,!1),callHook(Md,[df])},onAppearCancelled(df){Vd(df,!0),callHook(Qd,[df])},onLeaveCancelled(df){zd(df),callHook(Fd,[df])}})}function normalizeDuration(Ra){if(Ra==null)return null;if(isObject$5(Ra))return[NumberOf(Ra.enter),NumberOf(Ra.leave)];{const qa=NumberOf(Ra);return[qa,qa]}}function NumberOf(Ra){return toNumber$3(Ra)}function addTransitionClass(Ra,qa){qa.split(/\s+/).forEach(ed=>ed&&Ra.classList.add(ed)),(Ra[vtcKey]||(Ra[vtcKey]=new Set)).add(qa)}function removeTransitionClass(Ra,qa){qa.split(/\s+/).forEach(td=>td&&Ra.classList.remove(td));const ed=Ra[vtcKey];ed&&(ed.delete(qa),ed.size||(Ra[vtcKey]=void 0))}function nextFrame(Ra){requestAnimationFrame(()=>{requestAnimationFrame(Ra)})}let endId=0;function whenTransitionEnds(Ra,qa,ed,td){const rd=Ra._endId=++endId,sd=()=>{rd===Ra._endId&&td()};if(ed)return setTimeout(sd,ed);const{type:od,timeout:ld,propCount:cd}=getTransitionInfo(Ra,qa);if(!od)return td();const ud=od+"end";let _d=0;const Ed=()=>{Ra.removeEventListener(ud,Ad),sd()},Ad=Td=>{Td.target===Ra&&++_d>=cd&&Ed()};setTimeout(()=>{_d(ed[Nd]||"").split(", "),rd=td(`${TRANSITION}Delay`),sd=td(`${TRANSITION}Duration`),od=getTimeout(rd,sd),ld=td(`${ANIMATION}Delay`),cd=td(`${ANIMATION}Duration`),ud=getTimeout(ld,cd);let _d=null,Ed=0,Ad=0;qa===TRANSITION?od>0&&(_d=TRANSITION,Ed=od,Ad=sd.length):qa===ANIMATION?ud>0&&(_d=ANIMATION,Ed=ud,Ad=cd.length):(Ed=Math.max(od,ud),_d=Ed>0?od>ud?TRANSITION:ANIMATION:null,Ad=_d?_d===TRANSITION?sd.length:cd.length:0);const Td=_d===TRANSITION&&/\b(transform|all)(,|$)/.test(td(`${TRANSITION}Property`).toString());return{type:_d,timeout:Ed,propCount:Ad,hasTransform:Td}}function getTimeout(Ra,qa){for(;Ra.lengthtoMs(ed)+toMs(Ra[td])))}function toMs(Ra){return Ra==="auto"?0:Number(Ra.slice(0,-1).replace(",","."))*1e3}function forceReflow(){return document.body.offsetHeight}function patchClass(Ra,qa,ed){const td=Ra[vtcKey];td&&(qa=(qa?[qa,...td]:[...td]).join(" ")),qa==null?Ra.removeAttribute("class"):ed?Ra.setAttribute("class",qa):Ra.className=qa}const vShowOriginalDisplay=Symbol("_vod"),vShowHidden=Symbol("_vsh"),vShow={beforeMount(Ra,{value:qa},{transition:ed}){Ra[vShowOriginalDisplay]=Ra.style.display==="none"?"":Ra.style.display,ed&&qa?ed.beforeEnter(Ra):setDisplay(Ra,qa)},mounted(Ra,{value:qa},{transition:ed}){ed&&qa&&ed.enter(Ra)},updated(Ra,{value:qa,oldValue:ed},{transition:td}){!qa!=!ed&&(td?qa?(td.beforeEnter(Ra),setDisplay(Ra,!0),td.enter(Ra)):td.leave(Ra,()=>{setDisplay(Ra,!1)}):setDisplay(Ra,qa))},beforeUnmount(Ra,{value:qa}){setDisplay(Ra,qa)}};function setDisplay(Ra,qa){Ra.style.display=qa?Ra[vShowOriginalDisplay]:"none",Ra[vShowHidden]=!qa}const CSS_VAR_TEXT=Symbol(""),displayRE=/(^|;)\s*display\s*:/;function patchStyle(Ra,qa,ed){const td=Ra.style,rd=isString$3(ed);let sd=!1;if(ed&&!rd){if(qa)if(isString$3(qa))for(const od of qa.split(";")){const ld=od.slice(0,od.indexOf(":")).trim();ed[ld]==null&&setStyle(td,ld,"")}else for(const od in qa)ed[od]==null&&setStyle(td,od,"");for(const od in ed)od==="display"&&(sd=!0),setStyle(td,od,ed[od])}else if(rd){if(qa!==ed){const od=td[CSS_VAR_TEXT];od&&(ed+=";"+od),td.cssText=ed,sd=displayRE.test(ed)}}else qa&&Ra.removeAttribute("style");vShowOriginalDisplay in Ra&&(Ra[vShowOriginalDisplay]=sd?td.display:"",Ra[vShowHidden]&&(td.display="none"))}const importantRE=/\s*!important$/;function setStyle(Ra,qa,ed){if(isArray$2(ed))ed.forEach(td=>setStyle(Ra,qa,td));else if(ed==null&&(ed=""),qa.startsWith("--"))Ra.setProperty(qa,ed);else{const td=autoPrefix(Ra,qa);importantRE.test(ed)?Ra.setProperty(hyphenate(td),ed.replace(importantRE,""),"important"):Ra[td]=ed}}const prefixes=["Webkit","Moz","ms"],prefixCache={};function autoPrefix(Ra,qa){const ed=prefixCache[qa];if(ed)return ed;let td=camelize(qa);if(td!=="filter"&&td in Ra)return prefixCache[qa]=td;td=capitalize$1(td);for(let rd=0;rdcachedNow||(p$1.then(()=>cachedNow=0),cachedNow=Date.now());function createInvoker(Ra,qa){const ed=td=>{if(!td._vts)td._vts=Date.now();else if(td._vts<=ed.attached)return;callWithAsyncErrorHandling(patchStopImmediatePropagation(td,ed.value),qa,5,[td])};return ed.value=Ra,ed.attached=getNow(),ed}function patchStopImmediatePropagation(Ra,qa){if(isArray$2(qa)){const ed=Ra.stopImmediatePropagation;return Ra.stopImmediatePropagation=()=>{ed.call(Ra),Ra._stopped=!0},qa.map(td=>rd=>!rd._stopped&&td&&td(rd))}else return qa}const isNativeOn=Ra=>Ra.charCodeAt(0)===111&&Ra.charCodeAt(1)===110&&Ra.charCodeAt(2)>96&&Ra.charCodeAt(2)<123,patchProp=(Ra,qa,ed,td,rd,sd)=>{const od=rd==="svg";qa==="class"?patchClass(Ra,td,od):qa==="style"?patchStyle(Ra,ed,td):isOn(qa)?isModelListener(qa)||patchEvent(Ra,qa,ed,td,sd):(qa[0]==="."?(qa=qa.slice(1),!0):qa[0]==="^"?(qa=qa.slice(1),!1):shouldSetAsProp(Ra,qa,td,od))?(patchDOMProp(Ra,qa,td),!Ra.tagName.includes("-")&&(qa==="value"||qa==="checked"||qa==="selected")&&patchAttr(Ra,qa,td,od,sd,qa!=="value")):(qa==="true-value"?Ra._trueValue=td:qa==="false-value"&&(Ra._falseValue=td),patchAttr(Ra,qa,td,od))};function shouldSetAsProp(Ra,qa,ed,td){if(td)return!!(qa==="innerHTML"||qa==="textContent"||qa in Ra&&isNativeOn(qa)&&isFunction$4(ed));if(qa==="spellcheck"||qa==="draggable"||qa==="translate"||qa==="form"||qa==="list"&&Ra.tagName==="INPUT"||qa==="type"&&Ra.tagName==="TEXTAREA")return!1;if(qa==="width"||qa==="height"){const rd=Ra.tagName;if(rd==="IMG"||rd==="VIDEO"||rd==="CANVAS"||rd==="SOURCE")return!1}return isNativeOn(qa)&&isString$3(ed)?!1:!!(qa in Ra||Ra._isVueCE&&(/[A-Z]/.test(qa)||!isString$3(ed)))}const positionMap=new WeakMap,newPositionMap=new WeakMap,moveCbKey=Symbol("_moveCb"),enterCbKey=Symbol("_enterCb"),decorate=Ra=>(delete Ra.props.mode,Ra),TransitionGroupImpl=decorate({name:"TransitionGroup",props:extend$3({},TransitionPropsValidators,{tag:String,moveClass:String}),setup(Ra,{slots:qa}){const ed=getCurrentInstance(),td=useTransitionState();let rd,sd;return onUpdated(()=>{if(!rd.length)return;const od=Ra.moveClass||`${Ra.name||"v"}-move`;if(!hasCSSTransform(rd[0].el,ed.vnode.el,od))return;rd.forEach(callPendingCbs),rd.forEach(recordPosition);const ld=rd.filter(applyTranslation);forceReflow(),ld.forEach(cd=>{const ud=cd.el,_d=ud.style;addTransitionClass(ud,od),_d.transform=_d.webkitTransform=_d.transitionDuration="";const Ed=ud[moveCbKey]=Ad=>{Ad&&Ad.target!==ud||(!Ad||/transform$/.test(Ad.propertyName))&&(ud.removeEventListener("transitionend",Ed),ud[moveCbKey]=null,removeTransitionClass(ud,od))};ud.addEventListener("transitionend",Ed)})}),()=>{const od=toRaw(Ra),ld=resolveTransitionProps(od);let cd=od.tag||Fragment;if(rd=[],sd)for(let ud=0;ud{ld.split(/\s+/).forEach(cd=>cd&&td.classList.remove(cd))}),ed.split(/\s+/).forEach(ld=>ld&&td.classList.add(ld)),td.style.display="none";const sd=qa.nodeType===1?qa:qa.parentNode;sd.appendChild(td);const{hasTransform:od}=getTransitionInfo(td);return sd.removeChild(td),od}const getModelAssigner=Ra=>{const qa=Ra.props["onUpdate:modelValue"]||!1;return isArray$2(qa)?ed=>invokeArrayFns(qa,ed):qa};function onCompositionStart(Ra){Ra.target.composing=!0}function onCompositionEnd(Ra){const qa=Ra.target;qa.composing&&(qa.composing=!1,qa.dispatchEvent(new Event("input")))}const assignKey=Symbol("_assign"),vModelText={created(Ra,{modifiers:{lazy:qa,trim:ed,number:td}},rd){Ra[assignKey]=getModelAssigner(rd);const sd=td||rd.props&&rd.props.type==="number";addEventListener(Ra,qa?"change":"input",od=>{if(od.target.composing)return;let ld=Ra.value;ed&&(ld=ld.trim()),sd&&(ld=looseToNumber(ld)),Ra[assignKey](ld)}),ed&&addEventListener(Ra,"change",()=>{Ra.value=Ra.value.trim()}),qa||(addEventListener(Ra,"compositionstart",onCompositionStart),addEventListener(Ra,"compositionend",onCompositionEnd),addEventListener(Ra,"change",onCompositionEnd))},mounted(Ra,{value:qa}){Ra.value=qa??""},beforeUpdate(Ra,{value:qa,oldValue:ed,modifiers:{lazy:td,trim:rd,number:sd}},od){if(Ra[assignKey]=getModelAssigner(od),Ra.composing)return;const ld=(sd||Ra.type==="number")&&!/^0\d/.test(Ra.value)?looseToNumber(Ra.value):Ra.value,cd=qa??"";ld!==cd&&(document.activeElement===Ra&&Ra.type!=="range"&&(td&&qa===ed||rd&&Ra.value.trim()===cd)||(Ra.value=cd))}},vModelSelect={deep:!0,created(Ra,{value:qa,modifiers:{number:ed}},td){const rd=isSet$1(qa);addEventListener(Ra,"change",()=>{const sd=Array.prototype.filter.call(Ra.options,od=>od.selected).map(od=>ed?looseToNumber(getValue$2(od)):getValue$2(od));Ra[assignKey](Ra.multiple?rd?new Set(sd):sd:sd[0]),Ra._assigning=!0,nextTick$1(()=>{Ra._assigning=!1})}),Ra[assignKey]=getModelAssigner(td)},mounted(Ra,{value:qa,modifiers:{number:ed}}){setSelected(Ra,qa)},beforeUpdate(Ra,qa,ed){Ra[assignKey]=getModelAssigner(ed)},updated(Ra,{value:qa,modifiers:{number:ed}}){Ra._assigning||setSelected(Ra,qa)}};function setSelected(Ra,qa,ed){const td=Ra.multiple,rd=isArray$2(qa);if(!(td&&!rd&&!isSet$1(qa))){for(let sd=0,od=Ra.options.length;sdString(_d)===String(cd)):ld.selected=looseIndexOf(qa,cd)>-1}else ld.selected=qa.has(cd);else if(looseEqual(getValue$2(ld),qa)){Ra.selectedIndex!==sd&&(Ra.selectedIndex=sd);return}}!td&&Ra.selectedIndex!==-1&&(Ra.selectedIndex=-1)}}function getValue$2(Ra){return"_value"in Ra?Ra._value:Ra.value}const systemModifiers=["ctrl","shift","alt","meta"],modifierGuards={stop:Ra=>Ra.stopPropagation(),prevent:Ra=>Ra.preventDefault(),self:Ra=>Ra.target!==Ra.currentTarget,ctrl:Ra=>!Ra.ctrlKey,shift:Ra=>!Ra.shiftKey,alt:Ra=>!Ra.altKey,meta:Ra=>!Ra.metaKey,left:Ra=>"button"in Ra&&Ra.button!==0,middle:Ra=>"button"in Ra&&Ra.button!==1,right:Ra=>"button"in Ra&&Ra.button!==2,exact:(Ra,qa)=>systemModifiers.some(ed=>Ra[`${ed}Key`]&&!qa.includes(ed))},withModifiers=(Ra,qa)=>{const ed=Ra._withMods||(Ra._withMods={}),td=qa.join(".");return ed[td]||(ed[td]=(rd,...sd)=>{for(let od=0;od{const ed=Ra._withKeys||(Ra._withKeys={}),td=qa.join(".");return ed[td]||(ed[td]=rd=>{if(!("key"in rd))return;const sd=hyphenate(rd.key);if(qa.some(od=>od===sd||keyNames[od]===sd))return Ra(rd)})},rendererOptions=extend$3({patchProp},nodeOps);let renderer$1;function ensureRenderer(){return renderer$1||(renderer$1=createRenderer$1(rendererOptions))}const render$2=(...Ra)=>{ensureRenderer().render(...Ra)},createApp=(...Ra)=>{const qa=ensureRenderer().createApp(...Ra),{mount:ed}=qa;return qa.mount=td=>{const rd=normalizeContainer(td);if(!rd)return;const sd=qa._component;!isFunction$4(sd)&&!sd.render&&!sd.template&&(sd.template=rd.innerHTML),rd.nodeType===1&&(rd.textContent="");const od=ed(rd,!1,resolveRootNamespace(rd));return rd instanceof Element&&(rd.removeAttribute("v-cloak"),rd.setAttribute("data-v-app","")),od},qa};function resolveRootNamespace(Ra){if(Ra instanceof SVGElement)return"svg";if(typeof MathMLElement=="function"&&Ra instanceof MathMLElement)return"mathml"}function normalizeContainer(Ra){return isString$3(Ra)?document.querySelector(Ra):Ra}var isVue2=!1;let activePinia;const setActivePinia=Ra=>activePinia=Ra,piniaSymbol=Symbol();function isPlainObject$2(Ra){return Ra&&typeof Ra=="object"&&Object.prototype.toString.call(Ra)==="[object Object]"&&typeof Ra.toJSON!="function"}var MutationType;(function(Ra){Ra.direct="direct",Ra.patchObject="patch object",Ra.patchFunction="patch function"})(MutationType||(MutationType={}));function createPinia(){const Ra=effectScope(!0),qa=Ra.run(()=>ref$1({}));let ed=[],td=[];const rd=markRaw({install(sd){setActivePinia(rd),rd._a=sd,sd.provide(piniaSymbol,rd),sd.config.globalProperties.$pinia=rd,td.forEach(od=>ed.push(od)),td=[]},use(sd){return!this._a&&!isVue2?td.push(sd):ed.push(sd),this},_p:ed,_a:null,_e:Ra,_s:new Map,state:qa});return rd}const noop$7=()=>{};function addSubscription(Ra,qa,ed,td=noop$7){Ra.push(qa);const rd=()=>{const sd=Ra.indexOf(qa);sd>-1&&(Ra.splice(sd,1),td())};return!ed&&getCurrentScope()&&onScopeDispose(rd),rd}function triggerSubscriptions(Ra,...qa){Ra.slice().forEach(ed=>{ed(...qa)})}const fallbackRunWithContext=Ra=>Ra(),ACTION_MARKER=Symbol(),ACTION_NAME=Symbol();function mergeReactiveObjects(Ra,qa){Ra instanceof Map&&qa instanceof Map?qa.forEach((ed,td)=>Ra.set(td,ed)):Ra instanceof Set&&qa instanceof Set&&qa.forEach(Ra.add,Ra);for(const ed in qa){if(!qa.hasOwnProperty(ed))continue;const td=qa[ed],rd=Ra[ed];isPlainObject$2(rd)&&isPlainObject$2(td)&&Ra.hasOwnProperty(ed)&&!isRef(td)&&!isReactive(td)?Ra[ed]=mergeReactiveObjects(rd,td):Ra[ed]=td}return Ra}const skipHydrateSymbol=Symbol();function shouldHydrate(Ra){return!isPlainObject$2(Ra)||!Ra.hasOwnProperty(skipHydrateSymbol)}const{assign}=Object;function isComputed(Ra){return!!(isRef(Ra)&&Ra.effect)}function createOptionsStore(Ra,qa,ed,td){const{state:rd,actions:sd,getters:od}=qa,ld=ed.state.value[Ra];let cd;function ud(){ld||(ed.state.value[Ra]=rd?rd():{});const _d=toRefs(ed.state.value[Ra]);return assign(_d,sd,Object.keys(od||{}).reduce((Ed,Ad)=>(Ed[Ad]=markRaw(computed(()=>{setActivePinia(ed);const Td=ed._s.get(Ra);return od[Ad].call(Td,Td)})),Ed),{}))}return cd=createSetupStore(Ra,ud,qa,ed,td,!0),cd}function createSetupStore(Ra,qa,ed={},td,rd,sd){let od;const ld=assign({actions:{}},ed),cd={deep:!0};let ud,_d,Ed=[],Ad=[],Td;const Nd=td.state.value[Ra];!sd&&!Nd&&(td.state.value[Ra]={}),ref$1({});let Rd;function Bd(Qd){let Vd;ud=_d=!1,typeof Qd=="function"?(Qd(td.state.value[Ra]),Vd={type:MutationType.patchFunction,storeId:Ra,events:Td}):(mergeReactiveObjects(td.state.value[Ra],Qd),Vd={type:MutationType.patchObject,payload:Qd,storeId:Ra,events:Td});const zd=Rd=Symbol();nextTick$1().then(()=>{Rd===zd&&(ud=!0)}),_d=!0,triggerSubscriptions(Ed,Vd,td.state.value[Ra])}const kd=sd?function(){const{state:Vd}=ed,zd=Vd?Vd():{};this.$patch(Xd=>{assign(Xd,zd)})}:noop$7;function Od(){od.stop(),Ed=[],Ad=[],td._s.delete(Ra)}const Md=(Qd,Vd="")=>{if(ACTION_MARKER in Qd)return Qd[ACTION_NAME]=Vd,Qd;const zd=function(){setActivePinia(td);const Xd=Array.from(arguments),df=[],mf=[];function _f(yf){df.push(yf)}function hf(yf){mf.push(yf)}triggerSubscriptions(Ad,{args:Xd,name:zd[ACTION_NAME],store:Fd,after:_f,onError:hf});let gf;try{gf=Qd.apply(this&&this.$id===Ra?this:Fd,Xd)}catch(yf){throw triggerSubscriptions(mf,yf),yf}return gf instanceof Promise?gf.then(yf=>(triggerSubscriptions(df,yf),yf)).catch(yf=>(triggerSubscriptions(mf,yf),Promise.reject(yf))):(triggerSubscriptions(df,gf),gf)};return zd[ACTION_MARKER]=!0,zd[ACTION_NAME]=Vd,zd},Pd={_p:td,$id:Ra,$onAction:addSubscription.bind(null,Ad),$patch:Bd,$reset:kd,$subscribe(Qd,Vd={}){const zd=addSubscription(Ed,Qd,Vd.detached,()=>Xd()),Xd=od.run(()=>watch(()=>td.state.value[Ra],df=>{(Vd.flush==="sync"?_d:ud)&&Qd({storeId:Ra,type:MutationType.direct,events:Td},df)},assign({},cd,Vd)));return zd},$dispose:Od},Fd=reactive(Pd);td._s.set(Ra,Fd);const Gd=(td._a&&td._a.runWithContext||fallbackRunWithContext)(()=>td._e.run(()=>(od=effectScope()).run(()=>qa({action:Md}))));for(const Qd in Gd){const Vd=Gd[Qd];if(isRef(Vd)&&!isComputed(Vd)||isReactive(Vd))sd||(Nd&&shouldHydrate(Vd)&&(isRef(Vd)?Vd.value=Nd[Qd]:mergeReactiveObjects(Vd,Nd[Qd])),td.state.value[Ra][Qd]=Vd);else if(typeof Vd=="function"){const zd=Md(Vd,Qd);Gd[Qd]=zd,ld.actions[Qd]=Vd}}return assign(Fd,Gd),assign(toRaw(Fd),Gd),Object.defineProperty(Fd,"$state",{get:()=>td.state.value[Ra],set:Qd=>{Bd(Vd=>{assign(Vd,Qd)})}}),td._p.forEach(Qd=>{assign(Fd,od.run(()=>Qd({store:Fd,app:td._a,pinia:td,options:ld})))}),Nd&&sd&&ed.hydrate&&ed.hydrate(Fd.$state,Nd),ud=!0,_d=!0,Fd}function defineStore(Ra,qa,ed){let td,rd;const sd=typeof qa=="function";typeof Ra=="string"?(td=Ra,rd=sd?ed:qa):(rd=Ra,td=Ra.id);function od(ld,cd){const ud=hasInjectionContext();return ld=ld||(ud?inject(piniaSymbol,null):null),ld&&setActivePinia(ld),ld=activePinia,ld._s.has(td)||(sd?createSetupStore(td,qa,rd,ld):createOptionsStore(td,rd,ld)),ld._s.get(td)}return od.$id=td,od}function storeToRefs(Ra){{Ra=toRaw(Ra);const qa={};for(const ed in Ra){const td=Ra[ed];(isRef(td)||isReactive(td))&&(qa[ed]=toRef$1(Ra,ed))}return qa}}const FOCUSABLE_ELEMENT_SELECTORS='a[href],button:not([disabled]),button:not([hidden]),:not([tabindex="-1"]),input:not([disabled]),input:not([type="hidden"]),select:not([disabled]),textarea:not([disabled])',isVisible=Ra=>getComputedStyle(Ra).position==="fixed"?!1:Ra.offsetParent!==null,obtainAllFocusableElements$1=Ra=>Array.from(Ra.querySelectorAll(FOCUSABLE_ELEMENT_SELECTORS)).filter(qa=>isFocusable(qa)&&isVisible(qa)),isFocusable=Ra=>{if(Ra.tabIndex>0||Ra.tabIndex===0&&Ra.getAttribute("tabIndex")!==null)return!0;if(Ra.disabled)return!1;switch(Ra.nodeName){case"A":return!!Ra.href&&Ra.rel!=="ignore";case"INPUT":return!(Ra.type==="hidden"||Ra.type==="file");case"BUTTON":case"SELECT":case"TEXTAREA":return!0;default:return!1}},composeEventHandlers=(Ra,qa,{checkForDefaultPrevented:ed=!0}={})=>rd=>{const sd=Ra==null?void 0:Ra(rd);if(ed===!1||!sd)return qa==null?void 0:qa(rd)};var __defProp$9=Object.defineProperty,__defProps$6=Object.defineProperties,__getOwnPropDescs$6=Object.getOwnPropertyDescriptors,__getOwnPropSymbols$b=Object.getOwnPropertySymbols,__hasOwnProp$b=Object.prototype.hasOwnProperty,__propIsEnum$b=Object.prototype.propertyIsEnumerable,__defNormalProp$9=(Ra,qa,ed)=>qa in Ra?__defProp$9(Ra,qa,{enumerable:!0,configurable:!0,writable:!0,value:ed}):Ra[qa]=ed,__spreadValues$9=(Ra,qa)=>{for(var ed in qa||(qa={}))__hasOwnProp$b.call(qa,ed)&&__defNormalProp$9(Ra,ed,qa[ed]);if(__getOwnPropSymbols$b)for(var ed of __getOwnPropSymbols$b(qa))__propIsEnum$b.call(qa,ed)&&__defNormalProp$9(Ra,ed,qa[ed]);return Ra},__spreadProps$6=(Ra,qa)=>__defProps$6(Ra,__getOwnPropDescs$6(qa));function computedEager(Ra,qa){var ed;const td=shallowRef();return watchEffect(()=>{td.value=Ra()},__spreadProps$6(__spreadValues$9({},qa),{flush:(ed=void 0)!=null?ed:"sync"})),readonly(td)}var _a$3;const isClient$1=typeof window<"u",isDef=Ra=>typeof Ra<"u",isFunction$3=Ra=>typeof Ra=="function",isString$2=Ra=>typeof Ra=="string",noop$6=()=>{},isIOS$1=isClient$1&&((_a$3=window==null?void 0:window.navigator)==null?void 0:_a$3.userAgent)&&/iP(ad|hone|od)/.test(window.navigator.userAgent);function resolveUnref(Ra){return typeof Ra=="function"?Ra():unref(Ra)}function createFilterWrapper$1(Ra,qa){function ed(...td){return new Promise((rd,sd)=>{Promise.resolve(Ra(()=>qa.apply(this,td),{fn:qa,thisArg:this,args:td})).then(rd).catch(sd)})}return ed}function debounceFilter(Ra,qa={}){let ed,td,rd=noop$6;const sd=ld=>{clearTimeout(ld),rd(),rd=noop$6};return ld=>{const cd=resolveUnref(Ra),ud=resolveUnref(qa.maxWait);return ed&&sd(ed),cd<=0||ud!==void 0&&ud<=0?(td&&(sd(td),td=null),Promise.resolve(ld())):new Promise((_d,Ed)=>{rd=qa.rejectOnCancel?Ed:_d,ud&&!td&&(td=setTimeout(()=>{ed&&sd(ed),td=null,_d(ld())},ud)),ed=setTimeout(()=>{td&&sd(td),td=null,_d(ld())},cd)})}}function identity$2(Ra){return Ra}function tryOnScopeDispose$1(Ra){return getCurrentScope()?(onScopeDispose(Ra),!0):!1}function useDebounceFn(Ra,qa=200,ed={}){return createFilterWrapper$1(debounceFilter(qa,ed),Ra)}function refDebounced(Ra,qa=200,ed={}){const td=ref$1(Ra.value),rd=useDebounceFn(()=>{td.value=Ra.value},qa,ed);return watch(Ra,()=>rd()),td}function tryOnMounted$1(Ra,qa=!0){getCurrentInstance()?onMounted(Ra):qa?Ra():nextTick$1(Ra)}function useTimeoutFn(Ra,qa,ed={}){const{immediate:td=!0}=ed,rd=ref$1(!1);let sd=null;function od(){sd&&(clearTimeout(sd),sd=null)}function ld(){rd.value=!1,od()}function cd(...ud){od(),rd.value=!0,sd=setTimeout(()=>{rd.value=!1,sd=null,Ra(...ud)},resolveUnref(qa))}return td&&(rd.value=!0,isClient$1&&cd()),tryOnScopeDispose$1(ld),{isPending:readonly(rd),start:cd,stop:ld}}function unrefElement$1(Ra){var qa;const ed=resolveUnref(Ra);return(qa=ed==null?void 0:ed.$el)!=null?qa:ed}const defaultWindow$1=isClient$1?window:void 0,defaultDocument=isClient$1?window.document:void 0;function useEventListener$1(...Ra){let qa,ed,td,rd;if(isString$2(Ra[0])||Array.isArray(Ra[0])?([ed,td,rd]=Ra,qa=defaultWindow$1):[qa,ed,td,rd]=Ra,!qa)return noop$6;Array.isArray(ed)||(ed=[ed]),Array.isArray(td)||(td=[td]);const sd=[],od=()=>{sd.forEach(_d=>_d()),sd.length=0},ld=(_d,Ed,Ad,Td)=>(_d.addEventListener(Ed,Ad,Td),()=>_d.removeEventListener(Ed,Ad,Td)),cd=watch(()=>[unrefElement$1(qa),resolveUnref(rd)],([_d,Ed])=>{od(),_d&&sd.push(...ed.flatMap(Ad=>td.map(Td=>ld(_d,Ad,Td,Ed))))},{immediate:!0,flush:"post"}),ud=()=>{cd(),od()};return tryOnScopeDispose$1(ud),ud}let _iOSWorkaround=!1;function onClickOutside(Ra,qa,ed={}){const{window:td=defaultWindow$1,ignore:rd=[],capture:sd=!0,detectIframe:od=!1}=ed;if(!td)return;isIOS$1&&!_iOSWorkaround&&(_iOSWorkaround=!0,Array.from(td.document.body.children).forEach(Ad=>Ad.addEventListener("click",noop$6)));let ld=!0;const cd=Ad=>rd.some(Td=>{if(typeof Td=="string")return Array.from(td.document.querySelectorAll(Td)).some(Nd=>Nd===Ad.target||Ad.composedPath().includes(Nd));{const Nd=unrefElement$1(Td);return Nd&&(Ad.target===Nd||Ad.composedPath().includes(Nd))}}),_d=[useEventListener$1(td,"click",Ad=>{const Td=unrefElement$1(Ra);if(!(!Td||Td===Ad.target||Ad.composedPath().includes(Td))){if(Ad.detail===0&&(ld=!cd(Ad)),!ld){ld=!0;return}qa(Ad)}},{passive:!0,capture:sd}),useEventListener$1(td,"pointerdown",Ad=>{const Td=unrefElement$1(Ra);Td&&(ld=!Ad.composedPath().includes(Td)&&!cd(Ad))},{passive:!0}),od&&useEventListener$1(td,"blur",Ad=>{var Td;const Nd=unrefElement$1(Ra);((Td=td.document.activeElement)==null?void 0:Td.tagName)==="IFRAME"&&!(Nd!=null&&Nd.contains(td.document.activeElement))&&qa(Ad)})].filter(Boolean);return()=>_d.forEach(Ad=>Ad())}function useSupported$1(Ra,qa=!1){const ed=ref$1(),td=()=>ed.value=!!Ra();return td(),tryOnMounted$1(td,qa),ed}function cloneFnJSON(Ra){return JSON.parse(JSON.stringify(Ra))}const _global$2=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global$1<"u"?global$1:typeof self<"u"?self:{},globalKey$1="__vueuse_ssr_handlers__";_global$2[globalKey$1]=_global$2[globalKey$1]||{};_global$2[globalKey$1];function useDocumentVisibility({document:Ra=defaultDocument}={}){if(!Ra)return ref$1("visible");const qa=ref$1(Ra.visibilityState);return useEventListener$1(Ra,"visibilitychange",()=>{qa.value=Ra.visibilityState}),qa}var __getOwnPropSymbols$g=Object.getOwnPropertySymbols,__hasOwnProp$g=Object.prototype.hasOwnProperty,__propIsEnum$g=Object.prototype.propertyIsEnumerable,__objRest$2=(Ra,qa)=>{var ed={};for(var td in Ra)__hasOwnProp$g.call(Ra,td)&&qa.indexOf(td)<0&&(ed[td]=Ra[td]);if(Ra!=null&&__getOwnPropSymbols$g)for(var td of __getOwnPropSymbols$g(Ra))qa.indexOf(td)<0&&__propIsEnum$g.call(Ra,td)&&(ed[td]=Ra[td]);return ed};function useResizeObserver(Ra,qa,ed={}){const td=ed,{window:rd=defaultWindow$1}=td,sd=__objRest$2(td,["window"]);let od;const ld=useSupported$1(()=>rd&&"ResizeObserver"in rd),cd=()=>{od&&(od.disconnect(),od=void 0)},ud=watch(()=>unrefElement$1(Ra),Ed=>{cd(),ld.value&&rd&&Ed&&(od=new ResizeObserver(qa),od.observe(Ed,sd))},{immediate:!0,flush:"post"}),_d=()=>{cd(),ud()};return tryOnScopeDispose$1(_d),{isSupported:ld,stop:_d}}var __getOwnPropSymbols$8=Object.getOwnPropertySymbols,__hasOwnProp$8=Object.prototype.hasOwnProperty,__propIsEnum$8=Object.prototype.propertyIsEnumerable,__objRest$1=(Ra,qa)=>{var ed={};for(var td in Ra)__hasOwnProp$8.call(Ra,td)&&qa.indexOf(td)<0&&(ed[td]=Ra[td]);if(Ra!=null&&__getOwnPropSymbols$8)for(var td of __getOwnPropSymbols$8(Ra))qa.indexOf(td)<0&&__propIsEnum$8.call(Ra,td)&&(ed[td]=Ra[td]);return ed};function useMutationObserver(Ra,qa,ed={}){const td=ed,{window:rd=defaultWindow$1}=td,sd=__objRest$1(td,["window"]);let od;const ld=useSupported$1(()=>rd&&"MutationObserver"in rd),cd=()=>{od&&(od.disconnect(),od=void 0)},ud=watch(()=>unrefElement$1(Ra),Ed=>{cd(),ld.value&&rd&&Ed&&(od=new MutationObserver(qa),od.observe(Ed,sd))},{immediate:!0}),_d=()=>{cd(),ud()};return tryOnScopeDispose$1(_d),{isSupported:ld,stop:_d}}var SwipeDirection;(function(Ra){Ra.UP="UP",Ra.RIGHT="RIGHT",Ra.DOWN="DOWN",Ra.LEFT="LEFT",Ra.NONE="NONE"})(SwipeDirection||(SwipeDirection={}));var __defProp$1=Object.defineProperty,__getOwnPropSymbols=Object.getOwnPropertySymbols,__hasOwnProp=Object.prototype.hasOwnProperty,__propIsEnum=Object.prototype.propertyIsEnumerable,__defNormalProp=(Ra,qa,ed)=>qa in Ra?__defProp$1(Ra,qa,{enumerable:!0,configurable:!0,writable:!0,value:ed}):Ra[qa]=ed,__spreadValues=(Ra,qa)=>{for(var ed in qa||(qa={}))__hasOwnProp.call(qa,ed)&&__defNormalProp(Ra,ed,qa[ed]);if(__getOwnPropSymbols)for(var ed of __getOwnPropSymbols(qa))__propIsEnum.call(qa,ed)&&__defNormalProp(Ra,ed,qa[ed]);return Ra};const _TransitionPresets={easeInSine:[.12,0,.39,0],easeOutSine:[.61,1,.88,1],easeInOutSine:[.37,0,.63,1],easeInQuad:[.11,0,.5,0],easeOutQuad:[.5,1,.89,1],easeInOutQuad:[.45,0,.55,1],easeInCubic:[.32,0,.67,0],easeOutCubic:[.33,1,.68,1],easeInOutCubic:[.65,0,.35,1],easeInQuart:[.5,0,.75,0],easeOutQuart:[.25,1,.5,1],easeInOutQuart:[.76,0,.24,1],easeInQuint:[.64,0,.78,0],easeOutQuint:[.22,1,.36,1],easeInOutQuint:[.83,0,.17,1],easeInExpo:[.7,0,.84,0],easeOutExpo:[.16,1,.3,1],easeInOutExpo:[.87,0,.13,1],easeInCirc:[.55,0,1,.45],easeOutCirc:[0,.55,.45,1],easeInOutCirc:[.85,0,.15,1],easeInBack:[.36,0,.66,-.56],easeOutBack:[.34,1.56,.64,1],easeInOutBack:[.68,-.6,.32,1.6]};__spreadValues({linear:identity$2},_TransitionPresets);function useVModel(Ra,qa,ed,td={}){var rd,sd,od;const{clone:ld=!1,passive:cd=!1,eventName:ud,deep:_d=!1,defaultValue:Ed}=td,Ad=getCurrentInstance(),Td=(Ad==null?void 0:Ad.emit)||((rd=Ad==null?void 0:Ad.$emit)==null?void 0:rd.bind(Ad))||((od=(sd=Ad==null?void 0:Ad.proxy)==null?void 0:sd.$emit)==null?void 0:od.bind(Ad==null?void 0:Ad.proxy));let Nd=ud;Nd=ud||Nd||`update:${qa.toString()}`;const Rd=kd=>ld?isFunction$3(ld)?ld(kd):cloneFnJSON(kd):kd,Bd=()=>isDef(Ra[qa])?Rd(Ra[qa]):Ed;if(cd){const kd=Bd(),Od=ref$1(kd);return watch(()=>Ra[qa],Md=>Od.value=Rd(Md)),watch(Od,Md=>{(Md!==Ra[qa]||_d)&&Td(Nd,Md)},{deep:_d}),Od}else return computed({get(){return Bd()},set(kd){Td(Nd,kd)}})}function useWindowFocus({window:Ra=defaultWindow$1}={}){if(!Ra)return ref$1(!1);const qa=ref$1(Ra.document.hasFocus());return useEventListener$1(Ra,"blur",()=>{qa.value=!1}),useEventListener$1(Ra,"focus",()=>{qa.value=!0}),qa}const isFirefox=()=>isClient$1&&/firefox/i.test(window.navigator.userAgent),getClientXY=Ra=>{let qa,ed;return Ra.type==="touchend"?(ed=Ra.changedTouches[0].clientY,qa=Ra.changedTouches[0].clientX):Ra.type.startsWith("touch")?(ed=Ra.touches[0].clientY,qa=Ra.touches[0].clientX):(ed=Ra.clientY,qa=Ra.clientX),{clientX:qa,clientY:ed}};var freeGlobal=typeof global$1=="object"&&global$1&&global$1.Object===Object&&global$1,freeSelf=typeof self=="object"&&self&&self.Object===Object&&self,root$1=freeGlobal||freeSelf||Function("return this")(),Symbol$1=root$1.Symbol,objectProto$g=Object.prototype,hasOwnProperty$g=objectProto$g.hasOwnProperty,nativeObjectToString$1=objectProto$g.toString,symToStringTag$1=Symbol$1?Symbol$1.toStringTag:void 0;function getRawTag(Ra){var qa=hasOwnProperty$g.call(Ra,symToStringTag$1),ed=Ra[symToStringTag$1];try{Ra[symToStringTag$1]=void 0;var td=!0}catch{}var rd=nativeObjectToString$1.call(Ra);return td&&(qa?Ra[symToStringTag$1]=ed:delete Ra[symToStringTag$1]),rd}var objectProto$f=Object.prototype,nativeObjectToString=objectProto$f.toString;function objectToString(Ra){return nativeObjectToString.call(Ra)}var nullTag="[object Null]",undefinedTag="[object Undefined]",symToStringTag=Symbol$1?Symbol$1.toStringTag:void 0;function baseGetTag(Ra){return Ra==null?Ra===void 0?undefinedTag:nullTag:symToStringTag&&symToStringTag in Object(Ra)?getRawTag(Ra):objectToString(Ra)}function isObjectLike$1(Ra){return Ra!=null&&typeof Ra=="object"}var symbolTag$3="[object Symbol]";function isSymbol(Ra){return typeof Ra=="symbol"||isObjectLike$1(Ra)&&baseGetTag(Ra)==symbolTag$3}function arrayMap(Ra,qa){for(var ed=-1,td=Ra==null?0:Ra.length,rd=Array(td);++ed0){if(++qa>=HOT_COUNT)return arguments[0]}else qa=0;return Ra.apply(void 0,arguments)}}function constant$4(Ra){return function(){return Ra}}var defineProperty=function(){try{var Ra=getNative(Object,"defineProperty");return Ra({},"",{}),Ra}catch{}}(),baseSetToString=defineProperty?function(Ra,qa){return defineProperty(Ra,"toString",{configurable:!0,enumerable:!1,value:constant$4(qa),writable:!0})}:identity$1,setToString=shortOut(baseSetToString);function arrayEach(Ra,qa){for(var ed=-1,td=Ra==null?0:Ra.length;++ed-1&&Ra%1==0&&Ra-1&&Ra%1==0&&Ra<=MAX_SAFE_INTEGER}function isArrayLike$1(Ra){return Ra!=null&&isLength$1(Ra.length)&&!isFunction$2(Ra)}function isIterateeCall(Ra,qa,ed){if(!isObject$4(ed))return!1;var td=typeof qa;return(td=="number"?isArrayLike$1(ed)&&isIndex(qa,ed.length):td=="string"&&qa in ed)?eq(ed[qa],Ra):!1}function createAssigner(Ra){return baseRest(function(qa,ed){var td=-1,rd=ed.length,sd=rd>1?ed[rd-1]:void 0,od=rd>2?ed[2]:void 0;for(sd=Ra.length>3&&typeof sd=="function"?(rd--,sd):void 0,od&&isIterateeCall(ed[0],ed[1],od)&&(sd=rd<3?void 0:sd,rd=1),qa=Object(qa);++td-1}function listCacheSet(Ra,qa){var ed=this.__data__,td=assocIndexOf(ed,Ra);return td<0?(++this.size,ed.push([Ra,qa])):ed[td][1]=qa,this}function ListCache(Ra){var qa=-1,ed=Ra==null?0:Ra.length;for(this.clear();++qald))return!1;var ud=sd.get(Ra),_d=sd.get(qa);if(ud&&_d)return ud==qa&&_d==Ra;var Ed=-1,Ad=!0,Td=ed&COMPARE_UNORDERED_FLAG$3?new SetCache:void 0;for(sd.set(Ra,qa),sd.set(qa,Ra);++Ed=qa||Gd<0||Ed&&Qd>=sd}function kd(){var Ud=now$1();if(Bd(Ud))return Od(Ud);ld=setTimeout(kd,Rd(Ud))}function Od(Ud){return ld=void 0,Ad&&td?Td(Ud):(td=rd=void 0,od)}function Md(){ld!==void 0&&clearTimeout(ld),ud=0,td=cd=rd=ld=void 0}function Pd(){return ld===void 0?od:Od(now$1())}function Fd(){var Ud=now$1(),Gd=Bd(Ud);if(td=arguments,rd=this,cd=Ud,Gd){if(ld===void 0)return Nd(cd);if(Ed)return clearTimeout(ld),ld=setTimeout(kd,qa),Td(cd)}return ld===void 0&&(ld=setTimeout(kd,qa)),od}return Fd.cancel=Md,Fd.flush=Pd,Fd}function assignMergeValue(Ra,qa,ed){(ed!==void 0&&!eq(Ra[qa],ed)||ed===void 0&&!(qa in Ra))&&baseAssignValue(Ra,qa,ed)}function isArrayLikeObject(Ra){return isObjectLike$1(Ra)&&isArrayLike$1(Ra)}function safeGet(Ra,qa){if(!(qa==="constructor"&&typeof Ra[qa]=="function")&&qa!="__proto__")return Ra[qa]}function toPlainObject(Ra){return copyObject(Ra,keysIn(Ra))}function baseMergeDeep(Ra,qa,ed,td,rd,sd,od){var ld=safeGet(Ra,ed),cd=safeGet(qa,ed),ud=od.get(cd);if(ud){assignMergeValue(Ra,ed,ud);return}var _d=sd?sd(ld,cd,ed+"",Ra,qa,od):void 0,Ed=_d===void 0;if(Ed){var Ad=isArray$1(cd),Td=!Ad&&isBuffer$1(cd),Nd=!Ad&&!Td&&isTypedArray$3(cd);_d=cd,Ad||Td||Nd?isArray$1(ld)?_d=ld:isArrayLikeObject(ld)?_d=copyArray(ld):Td?(Ed=!1,_d=cloneBuffer(cd,!0)):Nd?(Ed=!1,_d=cloneTypedArray(cd,!0)):_d=[]:isPlainObject$1(cd)||isArguments$1(cd)?(_d=ld,isArguments$1(ld)?_d=toPlainObject(ld):(!isObject$4(ld)||isFunction$2(ld))&&(_d=initCloneObject(cd))):Ed=!1}Ed&&(od.set(cd,_d),rd(_d,cd,td,sd,od),od.delete(cd)),assignMergeValue(Ra,ed,_d)}function baseMerge(Ra,qa,ed,td,rd){Ra!==qa&&baseFor(qa,function(sd,od){if(rd||(rd=new Stack),isObject$4(sd))baseMergeDeep(Ra,qa,od,ed,baseMerge,td,rd);else{var ld=td?td(safeGet(Ra,od),sd,od+"",Ra,qa,rd):void 0;ld===void 0&&(ld=sd),assignMergeValue(Ra,od,ld)}},keysIn)}function findLastIndex(Ra,qa,ed){var td=Ra==null?0:Ra.length;if(!td)return-1;var rd=td-1;return baseFindIndex(Ra,baseIteratee(qa),rd,!0)}function fromPairs(Ra){for(var qa=-1,ed=Ra==null?0:Ra.length,td={};++qaRa===void 0,isBoolean$3=Ra=>typeof Ra=="boolean",isNumber$3=Ra=>typeof Ra=="number",isElement$2=Ra=>typeof Element>"u"?!1:Ra instanceof Element,isStringNumber=Ra=>isString$3(Ra)?!Number.isNaN(Number(Ra)):!1,escapeStringRegexp=(Ra="")=>Ra.replace(/[|\\{}()[\]^$+*?.]/g,"\\$&").replace(/-/g,"\\x2d"),capitalize=Ra=>capitalize$1(Ra),keysOf=Ra=>Object.keys(Ra),entriesOf=Ra=>Object.entries(Ra),getProp=(Ra,qa,ed)=>({get value(){return get$2(Ra,qa,ed)},set value(td){set$3(Ra,qa,td)}});class ElementPlusError extends Error{constructor(qa){super(qa),this.name="ElementPlusError"}}function throwError$1(Ra,qa){throw new ElementPlusError(`[${Ra}] ${qa}`)}function debugWarn(Ra,qa){}const classNameToArray=(Ra="")=>Ra.split(" ").filter(qa=>!!qa.trim()),hasClass=(Ra,qa)=>{if(!Ra||!qa)return!1;if(qa.includes(" "))throw new Error("className should not contain space.");return Ra.classList.contains(qa)},addClass=(Ra,qa)=>{!Ra||!qa.trim()||Ra.classList.add(...classNameToArray(qa))},removeClass=(Ra,qa)=>{!Ra||!qa.trim()||Ra.classList.remove(...classNameToArray(qa))},getStyle=(Ra,qa)=>{var ed;if(!isClient$1||!Ra||!qa)return"";let td=camelize(qa);td==="float"&&(td="cssFloat");try{const rd=Ra.style[td];if(rd)return rd;const sd=(ed=document.defaultView)==null?void 0:ed.getComputedStyle(Ra,"");return sd?sd[td]:""}catch{return Ra.style[td]}};function addUnit(Ra,qa="px"){if(!Ra)return"";if(isNumber$3(Ra)||isStringNumber(Ra))return`${Ra}${qa}`;if(isString$3(Ra))return Ra}let scrollBarWidth;const getScrollBarWidth=Ra=>{var qa;if(!isClient$1)return 0;if(scrollBarWidth!==void 0)return scrollBarWidth;const ed=document.createElement("div");ed.className=`${Ra}-scrollbar__wrap`,ed.style.visibility="hidden",ed.style.width="100px",ed.style.position="absolute",ed.style.top="-9999px",document.body.appendChild(ed);const td=ed.offsetWidth;ed.style.overflow="scroll";const rd=document.createElement("div");rd.style.width="100%",ed.appendChild(rd);const sd=rd.offsetWidth;return(qa=ed.parentNode)==null||qa.removeChild(ed),scrollBarWidth=td-sd,scrollBarWidth};function scrollIntoView(Ra,qa){if(!isClient$1)return;if(!qa){Ra.scrollTop=0;return}const ed=[];let td=qa.offsetParent;for(;td!==null&&Ra!==td&&Ra.contains(td);)ed.push(td),td=td.offsetParent;const rd=qa.offsetTop+ed.reduce((cd,ud)=>cd+ud.offsetTop,0),sd=rd+qa.offsetHeight,od=Ra.scrollTop,ld=od+Ra.clientHeight;rdld&&(Ra.scrollTop=sd-Ra.clientHeight)}/*! Element Plus Icons Vue v2.3.1 */var add_location_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"AddLocation",__name:"add-location",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 896h448q32 0 32 32t-32 32H288q-32 0-32-32t32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M800 416a288 288 0 1 0-576 0c0 118.144 94.528 272.128 288 456.576C705.472 688.128 800 534.144 800 416M512 960C277.312 746.688 160 565.312 160 416a352 352 0 0 1 704 0c0 149.312-117.312 330.688-352 544"}),createBaseVNode("path",{fill:"currentColor",d:"M544 384h96a32 32 0 1 1 0 64h-96v96a32 32 0 0 1-64 0v-96h-96a32 32 0 0 1 0-64h96v-96a32 32 0 0 1 64 0z"})]))}}),add_location_default=add_location_vue_vue_type_script_setup_true_lang_default,aim_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Aim",__name:"aim",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M512 96a32 32 0 0 1 32 32v192a32 32 0 0 1-64 0V128a32 32 0 0 1 32-32m0 576a32 32 0 0 1 32 32v192a32 32 0 1 1-64 0V704a32 32 0 0 1 32-32M96 512a32 32 0 0 1 32-32h192a32 32 0 0 1 0 64H128a32 32 0 0 1-32-32m576 0a32 32 0 0 1 32-32h192a32 32 0 1 1 0 64H704a32 32 0 0 1-32-32"})]))}}),aim_default=aim_vue_vue_type_script_setup_true_lang_default,alarm_clock_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"AlarmClock",__name:"alarm-clock",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 832a320 320 0 1 0 0-640 320 320 0 0 0 0 640m0 64a384 384 0 1 1 0-768 384 384 0 0 1 0 768"}),createBaseVNode("path",{fill:"currentColor",d:"m292.288 824.576 55.424 32-48 83.136a32 32 0 1 1-55.424-32zm439.424 0-55.424 32 48 83.136a32 32 0 1 0 55.424-32zM512 512h160a32 32 0 1 1 0 64H480a32 32 0 0 1-32-32V320a32 32 0 0 1 64 0zM90.496 312.256A160 160 0 0 1 312.32 90.496l-46.848 46.848a96 96 0 0 0-128 128L90.56 312.256zm835.264 0A160 160 0 0 0 704 90.496l46.848 46.848a96 96 0 0 1 128 128z"})]))}}),alarm_clock_default=alarm_clock_vue_vue_type_script_setup_true_lang_default,apple_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Apple",__name:"apple",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M599.872 203.776a189.44 189.44 0 0 1 64.384-4.672l2.624.128c31.168 1.024 51.2 4.096 79.488 16.32 37.632 16.128 74.496 45.056 111.488 89.344 96.384 115.264 82.752 372.8-34.752 521.728-7.68 9.728-32 41.6-30.72 39.936a426.624 426.624 0 0 1-30.08 35.776c-31.232 32.576-65.28 49.216-110.08 50.048-31.36.64-53.568-5.312-84.288-18.752l-6.528-2.88c-20.992-9.216-30.592-11.904-47.296-11.904-18.112 0-28.608 2.88-51.136 12.672l-6.464 2.816c-28.416 12.224-48.32 18.048-76.16 19.2-74.112 2.752-116.928-38.08-180.672-132.16-96.64-142.08-132.608-349.312-55.04-486.4 46.272-81.92 129.92-133.632 220.672-135.04 32.832-.576 60.288 6.848 99.648 22.72 27.136 10.88 34.752 13.76 37.376 14.272 16.256-20.16 27.776-36.992 34.56-50.24 13.568-26.304 27.2-59.968 40.704-100.8a32 32 0 1 1 60.8 20.224c-12.608 37.888-25.408 70.4-38.528 97.664zm-51.52 78.08c-14.528 17.792-31.808 37.376-51.904 58.816a32 32 0 1 1-46.72-43.776l12.288-13.248c-28.032-11.2-61.248-26.688-95.68-26.112-70.4 1.088-135.296 41.6-171.648 105.792C121.6 492.608 176 684.16 247.296 788.992c34.816 51.328 76.352 108.992 130.944 106.944 52.48-2.112 72.32-34.688 135.872-34.688 63.552 0 81.28 34.688 136.96 33.536 56.448-1.088 75.776-39.04 126.848-103.872 107.904-136.768 107.904-362.752 35.776-449.088-72.192-86.272-124.672-84.096-151.68-85.12-41.472-4.288-81.6 12.544-113.664 25.152z"})]))}}),apple_default=apple_vue_vue_type_script_setup_true_lang_default,arrow_down_bold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowDownBold",__name:"arrow-down-bold",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M104.704 338.752a64 64 0 0 1 90.496 0l316.8 316.8 316.8-316.8a64 64 0 0 1 90.496 90.496L557.248 791.296a64 64 0 0 1-90.496 0L104.704 429.248a64 64 0 0 1 0-90.496z"})]))}}),arrow_down_bold_default=arrow_down_bold_vue_vue_type_script_setup_true_lang_default,arrow_down_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowDown",__name:"arrow-down",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M831.872 340.864 512 652.672 192.128 340.864a30.592 30.592 0 0 0-42.752 0 29.12 29.12 0 0 0 0 41.6L489.664 714.24a32 32 0 0 0 44.672 0l340.288-331.712a29.12 29.12 0 0 0 0-41.728 30.592 30.592 0 0 0-42.752 0z"})]))}}),arrow_down_default=arrow_down_vue_vue_type_script_setup_true_lang_default,arrow_left_bold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowLeftBold",__name:"arrow-left-bold",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M685.248 104.704a64 64 0 0 1 0 90.496L368.448 512l316.8 316.8a64 64 0 0 1-90.496 90.496L232.704 557.248a64 64 0 0 1 0-90.496l362.048-362.048a64 64 0 0 1 90.496 0z"})]))}}),arrow_left_bold_default=arrow_left_bold_vue_vue_type_script_setup_true_lang_default,arrow_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowLeft",__name:"arrow-left",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M609.408 149.376 277.76 489.6a32 32 0 0 0 0 44.672l331.648 340.352a29.12 29.12 0 0 0 41.728 0 30.592 30.592 0 0 0 0-42.752L339.264 511.936l311.872-319.872a30.592 30.592 0 0 0 0-42.688 29.12 29.12 0 0 0-41.728 0z"})]))}}),arrow_left_default=arrow_left_vue_vue_type_script_setup_true_lang_default,arrow_right_bold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowRightBold",__name:"arrow-right-bold",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M338.752 104.704a64 64 0 0 0 0 90.496l316.8 316.8-316.8 316.8a64 64 0 0 0 90.496 90.496l362.048-362.048a64 64 0 0 0 0-90.496L429.248 104.704a64 64 0 0 0-90.496 0z"})]))}}),arrow_right_bold_default=arrow_right_bold_vue_vue_type_script_setup_true_lang_default,arrow_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowRight",__name:"arrow-right",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M340.864 149.312a30.592 30.592 0 0 0 0 42.752L652.736 512 340.864 831.872a30.592 30.592 0 0 0 0 42.752 29.12 29.12 0 0 0 41.728 0L714.24 534.336a32 32 0 0 0 0-44.672L382.592 149.376a29.12 29.12 0 0 0-41.728 0z"})]))}}),arrow_right_default=arrow_right_vue_vue_type_script_setup_true_lang_default,arrow_up_bold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowUpBold",__name:"arrow-up-bold",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M104.704 685.248a64 64 0 0 0 90.496 0l316.8-316.8 316.8 316.8a64 64 0 0 0 90.496-90.496L557.248 232.704a64 64 0 0 0-90.496 0L104.704 594.752a64 64 0 0 0 0 90.496z"})]))}}),arrow_up_bold_default=arrow_up_bold_vue_vue_type_script_setup_true_lang_default,arrow_up_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowUp",__name:"arrow-up",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m488.832 344.32-339.84 356.672a32 32 0 0 0 0 44.16l.384.384a29.44 29.44 0 0 0 42.688 0l320-335.872 319.872 335.872a29.44 29.44 0 0 0 42.688 0l.384-.384a32 32 0 0 0 0-44.16L535.168 344.32a32 32 0 0 0-46.336 0"})]))}}),arrow_up_default=arrow_up_vue_vue_type_script_setup_true_lang_default,avatar_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Avatar",__name:"avatar",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M628.736 528.896A416 416 0 0 1 928 928H96a415.872 415.872 0 0 1 299.264-399.104L512 704zM720 304a208 208 0 1 1-416 0 208 208 0 0 1 416 0"})]))}}),avatar_default=avatar_vue_vue_type_script_setup_true_lang_default,back_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Back",__name:"back",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 480h640a32 32 0 1 1 0 64H224a32 32 0 0 1 0-64"}),createBaseVNode("path",{fill:"currentColor",d:"m237.248 512 265.408 265.344a32 32 0 0 1-45.312 45.312l-288-288a32 32 0 0 1 0-45.312l288-288a32 32 0 1 1 45.312 45.312z"})]))}}),back_default=back_vue_vue_type_script_setup_true_lang_default,baseball_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Baseball",__name:"baseball",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M195.2 828.8a448 448 0 1 1 633.6-633.6 448 448 0 0 1-633.6 633.6zm45.248-45.248a384 384 0 1 0 543.104-543.104 384 384 0 0 0-543.104 543.104"}),createBaseVNode("path",{fill:"currentColor",d:"M497.472 96.896c22.784 4.672 44.416 9.472 64.896 14.528a256.128 256.128 0 0 0 350.208 350.208c5.056 20.48 9.856 42.112 14.528 64.896A320.128 320.128 0 0 1 497.472 96.896zM108.48 491.904a320.128 320.128 0 0 1 423.616 423.68c-23.04-3.648-44.992-7.424-65.728-11.52a256.128 256.128 0 0 0-346.496-346.432 1736.64 1736.64 0 0 1-11.392-65.728z"})]))}}),baseball_default=baseball_vue_vue_type_script_setup_true_lang_default,basketball_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Basketball",__name:"basketball",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M778.752 788.224a382.464 382.464 0 0 0 116.032-245.632 256.512 256.512 0 0 0-241.728-13.952 762.88 762.88 0 0 1 125.696 259.584zm-55.04 44.224a699.648 699.648 0 0 0-125.056-269.632 256.128 256.128 0 0 0-56.064 331.968 382.72 382.72 0 0 0 181.12-62.336m-254.08 61.248A320.128 320.128 0 0 1 557.76 513.6a715.84 715.84 0 0 0-48.192-48.128 320.128 320.128 0 0 1-379.264 88.384 382.4 382.4 0 0 0 110.144 229.696 382.4 382.4 0 0 0 229.184 110.08zM129.28 481.088a256.128 256.128 0 0 0 331.072-56.448 699.648 699.648 0 0 0-268.8-124.352 382.656 382.656 0 0 0-62.272 180.8m106.56-235.84a762.88 762.88 0 0 1 258.688 125.056 256.512 256.512 0 0 0-13.44-241.088A382.464 382.464 0 0 0 235.84 245.248zm318.08-114.944c40.576 89.536 37.76 193.92-8.448 281.344a779.84 779.84 0 0 1 66.176 66.112 320.832 320.832 0 0 1 282.112-8.128 382.4 382.4 0 0 0-110.144-229.12 382.4 382.4 0 0 0-229.632-110.208zM828.8 828.8a448 448 0 1 1-633.6-633.6 448 448 0 0 1 633.6 633.6"})]))}}),basketball_default=basketball_vue_vue_type_script_setup_true_lang_default,bell_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"BellFilled",__name:"bell-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 832a128 128 0 0 1-256 0zm192-64H134.4a38.4 38.4 0 0 1 0-76.8H192V448c0-154.88 110.08-284.16 256.32-313.6a64 64 0 1 1 127.36 0A320.128 320.128 0 0 1 832 448v243.2h57.6a38.4 38.4 0 0 1 0 76.8z"})]))}}),bell_filled_default=bell_filled_vue_vue_type_script_setup_true_lang_default,bell_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Bell",__name:"bell",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a64 64 0 0 1 64 64v64H448v-64a64 64 0 0 1 64-64"}),createBaseVNode("path",{fill:"currentColor",d:"M256 768h512V448a256 256 0 1 0-512 0zm256-640a320 320 0 0 1 320 320v384H192V448a320 320 0 0 1 320-320"}),createBaseVNode("path",{fill:"currentColor",d:"M96 768h832q32 0 32 32t-32 32H96q-32 0-32-32t32-32m352 128h128a64 64 0 0 1-128 0"})]))}}),bell_default=bell_vue_vue_type_script_setup_true_lang_default,bicycle_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Bicycle",__name:"bicycle",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 832a128 128 0 1 0 0-256 128 128 0 0 0 0 256m0 64a192 192 0 1 1 0-384 192 192 0 0 1 0 384"}),createBaseVNode("path",{fill:"currentColor",d:"M288 672h320q32 0 32 32t-32 32H288q-32 0-32-32t32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M768 832a128 128 0 1 0 0-256 128 128 0 0 0 0 256m0 64a192 192 0 1 1 0-384 192 192 0 0 1 0 384"}),createBaseVNode("path",{fill:"currentColor",d:"M480 192a32 32 0 0 1 0-64h160a32 32 0 0 1 31.04 24.256l96 384a32 32 0 0 1-62.08 15.488L615.04 192zM96 384a32 32 0 0 1 0-64h128a32 32 0 0 1 30.336 21.888l64 192a32 32 0 1 1-60.672 20.224L200.96 384z"}),createBaseVNode("path",{fill:"currentColor",d:"m373.376 599.808-42.752-47.616 320-288 42.752 47.616z"})]))}}),bicycle_default=bicycle_vue_vue_type_script_setup_true_lang_default,bottom_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"BottomLeft",__name:"bottom-left",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 768h416a32 32 0 1 1 0 64H224a32 32 0 0 1-32-32V352a32 32 0 0 1 64 0z"}),createBaseVNode("path",{fill:"currentColor",d:"M246.656 822.656a32 32 0 0 1-45.312-45.312l544-544a32 32 0 0 1 45.312 45.312l-544 544z"})]))}}),bottom_left_default=bottom_left_vue_vue_type_script_setup_true_lang_default,bottom_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"BottomRight",__name:"bottom-right",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 768a32 32 0 1 0 0 64h448a32 32 0 0 0 32-32V352a32 32 0 0 0-64 0v416z"}),createBaseVNode("path",{fill:"currentColor",d:"M777.344 822.656a32 32 0 0 0 45.312-45.312l-544-544a32 32 0 0 0-45.312 45.312z"})]))}}),bottom_right_default=bottom_right_vue_vue_type_script_setup_true_lang_default,bottom_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Bottom",__name:"bottom",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 805.888V168a32 32 0 1 0-64 0v637.888L246.656 557.952a30.72 30.72 0 0 0-45.312 0 35.52 35.52 0 0 0 0 48.064l288 306.048a30.72 30.72 0 0 0 45.312 0l288-306.048a35.52 35.52 0 0 0 0-48 30.72 30.72 0 0 0-45.312 0L544 805.824z"})]))}}),bottom_default=bottom_vue_vue_type_script_setup_true_lang_default,bowl_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Bowl",__name:"bowl",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M714.432 704a351.744 351.744 0 0 0 148.16-256H161.408a351.744 351.744 0 0 0 148.16 256zM288 766.592A415.68 415.68 0 0 1 96 416a32 32 0 0 1 32-32h768a32 32 0 0 1 32 32 415.68 415.68 0 0 1-192 350.592V832a64 64 0 0 1-64 64H352a64 64 0 0 1-64-64zM493.248 320h-90.496l254.4-254.4a32 32 0 1 1 45.248 45.248zm187.328 0h-128l269.696-155.712a32 32 0 0 1 32 55.424zM352 768v64h320v-64z"})]))}}),bowl_default=bowl_vue_vue_type_script_setup_true_lang_default,box_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Box",__name:"box",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M317.056 128 128 344.064V896h768V344.064L706.944 128zm-14.528-64h418.944a32 32 0 0 1 24.064 10.88l206.528 236.096A32 32 0 0 1 960 332.032V928a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V332.032a32 32 0 0 1 7.936-21.12L278.4 75.008A32 32 0 0 1 302.528 64z"}),createBaseVNode("path",{fill:"currentColor",d:"M64 320h896v64H64z"}),createBaseVNode("path",{fill:"currentColor",d:"M448 327.872V640h128V327.872L526.08 128h-28.16zM448 64h128l64 256v352a32 32 0 0 1-32 32H416a32 32 0 0 1-32-32V320z"})]))}}),box_default=box_vue_vue_type_script_setup_true_lang_default,briefcase_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Briefcase",__name:"briefcase",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M320 320V128h384v192h192v192H128V320zM128 576h768v320H128zm256-256h256.064V192H384z"})]))}}),briefcase_default=briefcase_vue_vue_type_script_setup_true_lang_default,brush_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"BrushFilled",__name:"brush-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M608 704v160a96 96 0 0 1-192 0V704h-96a128 128 0 0 1-128-128h640a128 128 0 0 1-128 128zM192 512V128.064h640V512z"})]))}}),brush_filled_default=brush_filled_vue_vue_type_script_setup_true_lang_default,brush_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Brush",__name:"brush",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M896 448H128v192a64 64 0 0 0 64 64h192v192h256V704h192a64 64 0 0 0 64-64zm-770.752-64c0-47.552 5.248-90.24 15.552-128 14.72-54.016 42.496-107.392 83.2-160h417.28l-15.36 70.336L736 96h211.2c-24.832 42.88-41.92 96.256-51.2 160a663.872 663.872 0 0 0-6.144 128H960v256a128 128 0 0 1-128 128H704v160a32 32 0 0 1-32 32H352a32 32 0 0 1-32-32V768H192A128 128 0 0 1 64 640V384h61.248zm64 0h636.544c-2.048-45.824.256-91.584 6.848-137.216 4.48-30.848 10.688-59.776 18.688-86.784h-96.64l-221.12 141.248L561.92 160H256.512c-25.856 37.888-43.776 75.456-53.952 112.832-8.768 32.064-13.248 69.12-13.312 111.168z"})]))}}),brush_default=brush_vue_vue_type_script_setup_true_lang_default,burger_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Burger",__name:"burger",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 512a32 32 0 0 0-32 32v64a32 32 0 0 0 30.08 32H864a32 32 0 0 0 32-32v-64a32 32 0 0 0-32-32zm736-58.56A96 96 0 0 1 960 544v64a96 96 0 0 1-51.968 85.312L855.36 833.6a96 96 0 0 1-89.856 62.272H258.496A96 96 0 0 1 168.64 833.6l-52.608-140.224A96 96 0 0 1 64 608v-64a96 96 0 0 1 64-90.56V448a384 384 0 1 1 768 5.44M832 448a320 320 0 0 0-640 0zM512 704H188.352l40.192 107.136a32 32 0 0 0 29.952 20.736h507.008a32 32 0 0 0 29.952-20.736L835.648 704z"})]))}}),burger_default=burger_vue_vue_type_script_setup_true_lang_default,calendar_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Calendar",__name:"calendar",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 384v512h768V192H768v32a32 32 0 1 1-64 0v-32H320v32a32 32 0 0 1-64 0v-32H128v128h768v64zm192-256h384V96a32 32 0 1 1 64 0v32h160a32 32 0 0 1 32 32v768a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32h160V96a32 32 0 0 1 64 0zm-32 384h64a32 32 0 0 1 0 64h-64a32 32 0 0 1 0-64m0 192h64a32 32 0 1 1 0 64h-64a32 32 0 1 1 0-64m192-192h64a32 32 0 0 1 0 64h-64a32 32 0 0 1 0-64m0 192h64a32 32 0 1 1 0 64h-64a32 32 0 1 1 0-64m192-192h64a32 32 0 1 1 0 64h-64a32 32 0 1 1 0-64m0 192h64a32 32 0 1 1 0 64h-64a32 32 0 1 1 0-64"})]))}}),calendar_default=calendar_vue_vue_type_script_setup_true_lang_default,camera_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CameraFilled",__name:"camera-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 224a64 64 0 0 0-64 64v512a64 64 0 0 0 64 64h704a64 64 0 0 0 64-64V288a64 64 0 0 0-64-64H748.416l-46.464-92.672A64 64 0 0 0 644.736 96H379.328a64 64 0 0 0-57.216 35.392L275.776 224zm352 435.2a115.2 115.2 0 1 0 0-230.4 115.2 115.2 0 0 0 0 230.4m0 140.8a256 256 0 1 1 0-512 256 256 0 0 1 0 512"})]))}}),camera_filled_default=camera_filled_vue_vue_type_script_setup_true_lang_default,camera_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Camera",__name:"camera",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M896 256H128v576h768zm-199.424-64-32.064-64h-304.96l-32 64zM96 192h160l46.336-92.608A64 64 0 0 1 359.552 64h304.96a64 64 0 0 1 57.216 35.328L768.192 192H928a32 32 0 0 1 32 32v640a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V224a32 32 0 0 1 32-32m416 512a160 160 0 1 0 0-320 160 160 0 0 0 0 320m0 64a224 224 0 1 1 0-448 224 224 0 0 1 0 448"})]))}}),camera_default=camera_vue_vue_type_script_setup_true_lang_default,caret_bottom_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CaretBottom",__name:"caret-bottom",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m192 384 320 384 320-384z"})]))}}),caret_bottom_default=caret_bottom_vue_vue_type_script_setup_true_lang_default,caret_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CaretLeft",__name:"caret-left",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M672 192 288 511.936 672 832z"})]))}}),caret_left_default=caret_left_vue_vue_type_script_setup_true_lang_default,caret_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CaretRight",__name:"caret-right",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 192v640l384-320.064z"})]))}}),caret_right_default=caret_right_vue_vue_type_script_setup_true_lang_default,caret_top_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CaretTop",__name:"caret-top",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 320 192 704h639.936z"})]))}}),caret_top_default=caret_top_vue_vue_type_script_setup_true_lang_default,cellphone_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Cellphone",__name:"cellphone",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 128a64 64 0 0 0-64 64v640a64 64 0 0 0 64 64h512a64 64 0 0 0 64-64V192a64 64 0 0 0-64-64zm0-64h512a128 128 0 0 1 128 128v640a128 128 0 0 1-128 128H256a128 128 0 0 1-128-128V192A128 128 0 0 1 256 64m128 128h256a32 32 0 1 1 0 64H384a32 32 0 0 1 0-64m128 640a64 64 0 1 1 0-128 64 64 0 0 1 0 128"})]))}}),cellphone_default=cellphone_vue_vue_type_script_setup_true_lang_default,chat_dot_round_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatDotRound",__name:"chat-dot-round",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m174.72 855.68 135.296-45.12 23.68 11.84C388.096 849.536 448.576 864 512 864c211.84 0 384-166.784 384-352S723.84 160 512 160 128 326.784 128 512c0 69.12 24.96 139.264 70.848 199.232l22.08 28.8-46.272 115.584zm-45.248 82.56A32 32 0 0 1 89.6 896l58.368-145.92C94.72 680.32 64 596.864 64 512 64 299.904 256 96 512 96s448 203.904 448 416-192 416-448 416a461.056 461.056 0 0 1-206.912-48.384l-175.616 58.56z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 563.2a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4m192 0a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4m-384 0a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4"})]))}}),chat_dot_round_default=chat_dot_round_vue_vue_type_script_setup_true_lang_default,chat_dot_square_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatDotSquare",__name:"chat-dot-square",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M273.536 736H800a64 64 0 0 0 64-64V256a64 64 0 0 0-64-64H224a64 64 0 0 0-64 64v570.88zM296 800 147.968 918.4A32 32 0 0 1 96 893.44V256a128 128 0 0 1 128-128h576a128 128 0 0 1 128 128v416a128 128 0 0 1-128 128z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 499.2a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4zm192 0a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4zm-384 0a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4z"})]))}}),chat_dot_square_default=chat_dot_square_vue_vue_type_script_setup_true_lang_default,chat_line_round_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatLineRound",__name:"chat-line-round",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m174.72 855.68 135.296-45.12 23.68 11.84C388.096 849.536 448.576 864 512 864c211.84 0 384-166.784 384-352S723.84 160 512 160 128 326.784 128 512c0 69.12 24.96 139.264 70.848 199.232l22.08 28.8-46.272 115.584zm-45.248 82.56A32 32 0 0 1 89.6 896l58.368-145.92C94.72 680.32 64 596.864 64 512 64 299.904 256 96 512 96s448 203.904 448 416-192 416-448 416a461.056 461.056 0 0 1-206.912-48.384l-175.616 58.56z"}),createBaseVNode("path",{fill:"currentColor",d:"M352 576h320q32 0 32 32t-32 32H352q-32 0-32-32t32-32m32-192h256q32 0 32 32t-32 32H384q-32 0-32-32t32-32"})]))}}),chat_line_round_default=chat_line_round_vue_vue_type_script_setup_true_lang_default,chat_line_square_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatLineSquare",__name:"chat-line-square",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 826.88 273.536 736H800a64 64 0 0 0 64-64V256a64 64 0 0 0-64-64H224a64 64 0 0 0-64 64zM296 800 147.968 918.4A32 32 0 0 1 96 893.44V256a128 128 0 0 1 128-128h576a128 128 0 0 1 128 128v416a128 128 0 0 1-128 128z"}),createBaseVNode("path",{fill:"currentColor",d:"M352 512h320q32 0 32 32t-32 32H352q-32 0-32-32t32-32m0-192h320q32 0 32 32t-32 32H352q-32 0-32-32t32-32"})]))}}),chat_line_square_default=chat_line_square_vue_vue_type_script_setup_true_lang_default,chat_round_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatRound",__name:"chat-round",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m174.72 855.68 130.048-43.392 23.424 11.392C382.4 849.984 444.352 864 512 864c223.744 0 384-159.872 384-352 0-192.832-159.104-352-384-352S128 319.168 128 512a341.12 341.12 0 0 0 69.248 204.288l21.632 28.8-44.16 110.528zm-45.248 82.56A32 32 0 0 1 89.6 896l56.512-141.248A405.12 405.12 0 0 1 64 512C64 299.904 235.648 96 512 96s448 203.904 448 416-173.44 416-448 416c-79.68 0-150.848-17.152-211.712-46.72l-170.88 56.96z"})]))}}),chat_round_default=chat_round_vue_vue_type_script_setup_true_lang_default,chat_square_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatSquare",__name:"chat-square",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M273.536 736H800a64 64 0 0 0 64-64V256a64 64 0 0 0-64-64H224a64 64 0 0 0-64 64v570.88zM296 800 147.968 918.4A32 32 0 0 1 96 893.44V256a128 128 0 0 1 128-128h576a128 128 0 0 1 128 128v416a128 128 0 0 1-128 128z"})]))}}),chat_square_default=chat_square_vue_vue_type_script_setup_true_lang_default,check_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Check",__name:"check",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M406.656 706.944 195.84 496.256a32 32 0 1 0-45.248 45.248l256 256 512-512a32 32 0 0 0-45.248-45.248L406.592 706.944z"})]))}}),check_default=check_vue_vue_type_script_setup_true_lang_default,checked_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Checked",__name:"checked",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 192h160v736H160V192h160.064v64H704zM311.616 537.28l-45.312 45.248L447.36 763.52l316.8-316.8-45.312-45.184L447.36 673.024zM384 192V96h256v96z"})]))}}),checked_default=checked_vue_vue_type_script_setup_true_lang_default,cherry_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Cherry",__name:"cherry",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M261.056 449.6c13.824-69.696 34.88-128.96 63.36-177.728 23.744-40.832 61.12-88.64 112.256-143.872H320a32 32 0 0 1 0-64h384a32 32 0 1 1 0 64H554.752c14.912 39.168 41.344 86.592 79.552 141.76 47.36 68.48 84.8 106.752 106.304 114.304a224 224 0 1 1-84.992 14.784c-22.656-22.912-47.04-53.76-73.92-92.608-38.848-56.128-67.008-105.792-84.352-149.312-55.296 58.24-94.528 107.52-117.76 147.2-23.168 39.744-41.088 88.768-53.568 147.072a224.064 224.064 0 1 1-64.96-1.6zM288 832a160 160 0 1 0 0-320 160 160 0 0 0 0 320m448-64a160 160 0 1 0 0-320 160 160 0 0 0 0 320"})]))}}),cherry_default=cherry_vue_vue_type_script_setup_true_lang_default,chicken_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Chicken",__name:"chicken",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M349.952 716.992 478.72 588.16a106.688 106.688 0 0 1-26.176-19.072 106.688 106.688 0 0 1-19.072-26.176L304.704 671.744c.768 3.072 1.472 6.144 2.048 9.216l2.048 31.936 31.872 1.984c3.136.64 6.208 1.28 9.28 2.112zm57.344 33.152a128 128 0 1 1-216.32 114.432l-1.92-32-32-1.92a128 128 0 1 1 114.432-216.32L416.64 469.248c-2.432-101.44 58.112-239.104 149.056-330.048 107.328-107.328 231.296-85.504 316.8 0 85.44 85.44 107.328 209.408 0 316.8-91.008 90.88-228.672 151.424-330.112 149.056L407.296 750.08zm90.496-226.304c49.536 49.536 233.344-7.04 339.392-113.088 78.208-78.208 63.232-163.072 0-226.304-63.168-63.232-148.032-78.208-226.24 0C504.896 290.496 448.32 474.368 497.792 523.84M244.864 708.928a64 64 0 1 0-59.84 59.84l56.32-3.52zm8.064 127.68a64 64 0 1 0 59.84-59.84l-56.32 3.52-3.52 56.32z"})]))}}),chicken_default=chicken_vue_vue_type_script_setup_true_lang_default,chrome_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChromeFilled",__name:"chrome-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M938.67 512.01c0-44.59-6.82-87.6-19.54-128H682.67a212.372 212.372 0 0 1 42.67 128c.06 38.71-10.45 76.7-30.42 109.87l-182.91 316.8c235.65-.01 426.66-191.02 426.66-426.67z"}),createBaseVNode("path",{fill:"currentColor",d:"M576.79 401.63a127.92 127.92 0 0 0-63.56-17.6c-22.36-.22-44.39 5.43-63.89 16.38s-35.79 26.82-47.25 46.02a128.005 128.005 0 0 0-2.16 127.44l1.24 2.13a127.906 127.906 0 0 0 46.36 46.61 127.907 127.907 0 0 0 63.38 17.44c22.29.2 44.24-5.43 63.68-16.33a127.94 127.94 0 0 0 47.16-45.79v-.01l1.11-1.92a127.984 127.984 0 0 0 .29-127.46 127.957 127.957 0 0 0-46.36-46.91"}),createBaseVNode("path",{fill:"currentColor",d:"M394.45 333.96A213.336 213.336 0 0 1 512 298.67h369.58A426.503 426.503 0 0 0 512 85.34a425.598 425.598 0 0 0-171.74 35.98 425.644 425.644 0 0 0-142.62 102.22l118.14 204.63a213.397 213.397 0 0 1 78.67-94.21m117.56 604.72H512zm-97.25-236.73a213.284 213.284 0 0 1-89.54-86.81L142.48 298.6c-36.35 62.81-57.13 135.68-57.13 213.42 0 203.81 142.93 374.22 333.95 416.55h.04l118.19-204.71a213.315 213.315 0 0 1-122.77-21.91z"})]))}}),chrome_filled_default=chrome_filled_vue_vue_type_script_setup_true_lang_default,circle_check_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CircleCheckFilled",__name:"circle-check-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m-55.808 536.384-99.52-99.584a38.4 38.4 0 1 0-54.336 54.336l126.72 126.72a38.272 38.272 0 0 0 54.336 0l262.4-262.464a38.4 38.4 0 1 0-54.272-54.336z"})]))}}),circle_check_filled_default=circle_check_filled_vue_vue_type_script_setup_true_lang_default,circle_check_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CircleCheck",__name:"circle-check",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M745.344 361.344a32 32 0 0 1 45.312 45.312l-288 288a32 32 0 0 1-45.312 0l-160-160a32 32 0 1 1 45.312-45.312L480 626.752l265.344-265.408z"})]))}}),circle_check_default=circle_check_vue_vue_type_script_setup_true_lang_default,circle_close_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CircleCloseFilled",__name:"circle-close-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m0 393.664L407.936 353.6a38.4 38.4 0 1 0-54.336 54.336L457.664 512 353.6 616.064a38.4 38.4 0 1 0 54.336 54.336L512 566.336 616.064 670.4a38.4 38.4 0 1 0 54.336-54.336L566.336 512 670.4 407.936a38.4 38.4 0 1 0-54.336-54.336z"})]))}}),circle_close_filled_default=circle_close_filled_vue_vue_type_script_setup_true_lang_default,circle_close_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CircleClose",__name:"circle-close",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m466.752 512-90.496-90.496a32 32 0 0 1 45.248-45.248L512 466.752l90.496-90.496a32 32 0 1 1 45.248 45.248L557.248 512l90.496 90.496a32 32 0 1 1-45.248 45.248L512 557.248l-90.496 90.496a32 32 0 0 1-45.248-45.248z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"})]))}}),circle_close_default=circle_close_vue_vue_type_script_setup_true_lang_default,circle_plus_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CirclePlusFilled",__name:"circle-plus-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m-38.4 409.6H326.4a38.4 38.4 0 1 0 0 76.8h147.2v147.2a38.4 38.4 0 0 0 76.8 0V550.4h147.2a38.4 38.4 0 0 0 0-76.8H550.4V326.4a38.4 38.4 0 1 0-76.8 0v147.2z"})]))}}),circle_plus_filled_default=circle_plus_filled_vue_vue_type_script_setup_true_lang_default,circle_plus_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CirclePlus",__name:"circle-plus",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 480h320a32 32 0 1 1 0 64H352a32 32 0 0 1 0-64"}),createBaseVNode("path",{fill:"currentColor",d:"M480 672V352a32 32 0 1 1 64 0v320a32 32 0 0 1-64 0"}),createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"})]))}}),circle_plus_default=circle_plus_vue_vue_type_script_setup_true_lang_default,clock_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Clock",__name:"clock",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M480 256a32 32 0 0 1 32 32v256a32 32 0 0 1-64 0V288a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M480 512h256q32 0 32 32t-32 32H480q-32 0-32-32t32-32"})]))}}),clock_default=clock_vue_vue_type_script_setup_true_lang_default,close_bold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CloseBold",__name:"close-bold",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M195.2 195.2a64 64 0 0 1 90.496 0L512 421.504 738.304 195.2a64 64 0 0 1 90.496 90.496L602.496 512 828.8 738.304a64 64 0 0 1-90.496 90.496L512 602.496 285.696 828.8a64 64 0 0 1-90.496-90.496L421.504 512 195.2 285.696a64 64 0 0 1 0-90.496z"})]))}}),close_bold_default=close_bold_vue_vue_type_script_setup_true_lang_default,close_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Close",__name:"close",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M764.288 214.592 512 466.88 259.712 214.592a31.936 31.936 0 0 0-45.12 45.12L466.752 512 214.528 764.224a31.936 31.936 0 1 0 45.12 45.184L512 557.184l252.288 252.288a31.936 31.936 0 0 0 45.12-45.12L557.12 512.064l252.288-252.352a31.936 31.936 0 1 0-45.12-45.184z"})]))}}),close_default=close_vue_vue_type_script_setup_true_lang_default,cloudy_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Cloudy",__name:"cloudy",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M598.4 831.872H328.192a256 256 0 0 1-34.496-510.528A352 352 0 1 1 598.4 831.872m-271.36-64h272.256a288 288 0 1 0-248.512-417.664L335.04 381.44l-34.816 3.584a192 192 0 0 0 26.88 382.848z"})]))}}),cloudy_default=cloudy_vue_vue_type_script_setup_true_lang_default,coffee_cup_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CoffeeCup",__name:"coffee-cup",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M768 192a192 192 0 1 1-8 383.808A256.128 256.128 0 0 1 512 768H320A256 256 0 0 1 64 512V160a32 32 0 0 1 32-32h640a32 32 0 0 1 32 32zm0 64v256a128 128 0 1 0 0-256M96 832h640a32 32 0 1 1 0 64H96a32 32 0 1 1 0-64m32-640v320a192 192 0 0 0 192 192h192a192 192 0 0 0 192-192V192z"})]))}}),coffee_cup_default=coffee_cup_vue_vue_type_script_setup_true_lang_default,coffee_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Coffee",__name:"coffee",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M822.592 192h14.272a32 32 0 0 1 31.616 26.752l21.312 128A32 32 0 0 1 858.24 384h-49.344l-39.04 546.304A32 32 0 0 1 737.92 960H285.824a32 32 0 0 1-32-29.696L214.912 384H165.76a32 32 0 0 1-31.552-37.248l21.312-128A32 32 0 0 1 187.136 192h14.016l-6.72-93.696A32 32 0 0 1 226.368 64h571.008a32 32 0 0 1 31.936 34.304zm-64.128 0 4.544-64H260.736l4.544 64h493.184m-548.16 128H820.48l-10.688-64H214.208l-10.688 64h6.784m68.736 64 36.544 512H708.16l36.544-512z"})]))}}),coffee_default=coffee_vue_vue_type_script_setup_true_lang_default,coin_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Coin",__name:"coin",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m161.92 580.736 29.888 58.88C171.328 659.776 160 681.728 160 704c0 82.304 155.328 160 352 160s352-77.696 352-160c0-22.272-11.392-44.16-31.808-64.32l30.464-58.432C903.936 615.808 928 657.664 928 704c0 129.728-188.544 224-416 224S96 833.728 96 704c0-46.592 24.32-88.576 65.92-123.264z"}),createBaseVNode("path",{fill:"currentColor",d:"m161.92 388.736 29.888 58.88C171.328 467.84 160 489.792 160 512c0 82.304 155.328 160 352 160s352-77.696 352-160c0-22.272-11.392-44.16-31.808-64.32l30.464-58.432C903.936 423.808 928 465.664 928 512c0 129.728-188.544 224-416 224S96 641.728 96 512c0-46.592 24.32-88.576 65.92-123.264z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 544c-227.456 0-416-94.272-416-224S284.544 96 512 96s416 94.272 416 224-188.544 224-416 224m0-64c196.672 0 352-77.696 352-160S708.672 160 512 160s-352 77.696-352 160 155.328 160 352 160"})]))}}),coin_default=coin_vue_vue_type_script_setup_true_lang_default,cold_drink_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ColdDrink",__name:"cold-drink",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M768 64a192 192 0 1 1-69.952 370.88L480 725.376V896h96a32 32 0 1 1 0 64H320a32 32 0 1 1 0-64h96V725.376L76.8 273.536a64 64 0 0 1-12.8-38.4v-10.688a32 32 0 0 1 32-32h71.808l-65.536-83.84a32 32 0 0 1 50.432-39.424l96.256 123.264h337.728A192.064 192.064 0 0 1 768 64M656.896 192.448H800a32 32 0 0 1 32 32v10.624a64 64 0 0 1-12.8 38.4l-80.448 107.2a128 128 0 1 0-81.92-188.16v-.064zm-357.888 64 129.472 165.76a32 32 0 0 1-50.432 39.36l-160.256-205.12H144l304 404.928 304-404.928z"})]))}}),cold_drink_default=cold_drink_vue_vue_type_script_setup_true_lang_default,collection_tag_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CollectionTag",__name:"collection-tag",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 128v698.88l196.032-156.864a96 96 0 0 1 119.936 0L768 826.816V128zm-32-64h576a32 32 0 0 1 32 32v797.44a32 32 0 0 1-51.968 24.96L531.968 720a32 32 0 0 0-39.936 0L243.968 918.4A32 32 0 0 1 192 893.44V96a32 32 0 0 1 32-32"})]))}}),collection_tag_default=collection_tag_vue_vue_type_script_setup_true_lang_default,collection_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Collection",__name:"collection",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 736h640V128H256a64 64 0 0 0-64 64zm64-672h608a32 32 0 0 1 32 32v672a32 32 0 0 1-32 32H160l-32 57.536V192A128 128 0 0 1 256 64"}),createBaseVNode("path",{fill:"currentColor",d:"M240 800a48 48 0 1 0 0 96h592v-96zm0-64h656v160a64 64 0 0 1-64 64H240a112 112 0 0 1 0-224m144-608v250.88l96-76.8 96 76.8V128zm-64-64h320v381.44a32 32 0 0 1-51.968 24.96L480 384l-108.032 86.4A32 32 0 0 1 320 445.44z"})]))}}),collection_default=collection_vue_vue_type_script_setup_true_lang_default,comment_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Comment",__name:"comment",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M736 504a56 56 0 1 1 0-112 56 56 0 0 1 0 112m-224 0a56 56 0 1 1 0-112 56 56 0 0 1 0 112m-224 0a56 56 0 1 1 0-112 56 56 0 0 1 0 112M128 128v640h192v160l224-160h352V128z"})]))}}),comment_default=comment_vue_vue_type_script_setup_true_lang_default,compass_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Compass",__name:"compass",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M725.888 315.008C676.48 428.672 624 513.28 568.576 568.64c-55.424 55.424-139.968 107.904-253.568 157.312a12.8 12.8 0 0 1-16.896-16.832c49.536-113.728 102.016-198.272 157.312-253.632 55.36-55.296 139.904-107.776 253.632-157.312a12.8 12.8 0 0 1 16.832 16.832"})]))}}),compass_default=compass_vue_vue_type_script_setup_true_lang_default,connection_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Connection",__name:"connection",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 384v64H448a128 128 0 0 0-128 128v128a128 128 0 0 0 128 128h320a128 128 0 0 0 128-128V576a128 128 0 0 0-64-110.848V394.88c74.56 26.368 128 97.472 128 181.056v128a192 192 0 0 1-192 192H448a192 192 0 0 1-192-192V576a192 192 0 0 1 192-192z"}),createBaseVNode("path",{fill:"currentColor",d:"M384 640v-64h192a128 128 0 0 0 128-128V320a128 128 0 0 0-128-128H256a128 128 0 0 0-128 128v128a128 128 0 0 0 64 110.848v70.272A192.064 192.064 0 0 1 64 448V320a192 192 0 0 1 192-192h320a192 192 0 0 1 192 192v128a192 192 0 0 1-192 192z"})]))}}),connection_default=connection_vue_vue_type_script_setup_true_lang_default,coordinate_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Coordinate",__name:"coordinate",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 512h64v320h-64z"}),createBaseVNode("path",{fill:"currentColor",d:"M192 896h640a64 64 0 0 0-64-64H256a64 64 0 0 0-64 64m64-128h512a128 128 0 0 1 128 128v64H128v-64a128 128 0 0 1 128-128m256-256a192 192 0 1 0 0-384 192 192 0 0 0 0 384m0 64a256 256 0 1 1 0-512 256 256 0 0 1 0 512"})]))}}),coordinate_default=coordinate_vue_vue_type_script_setup_true_lang_default,copy_document_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CopyDocument",__name:"copy-document",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M768 832a128 128 0 0 1-128 128H192A128 128 0 0 1 64 832V384a128 128 0 0 1 128-128v64a64 64 0 0 0-64 64v448a64 64 0 0 0 64 64h448a64 64 0 0 0 64-64z"}),createBaseVNode("path",{fill:"currentColor",d:"M384 128a64 64 0 0 0-64 64v448a64 64 0 0 0 64 64h448a64 64 0 0 0 64-64V192a64 64 0 0 0-64-64zm0-64h448a128 128 0 0 1 128 128v448a128 128 0 0 1-128 128H384a128 128 0 0 1-128-128V192A128 128 0 0 1 384 64"})]))}}),copy_document_default=copy_document_vue_vue_type_script_setup_true_lang_default,cpu_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Cpu",__name:"cpu",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M320 256a64 64 0 0 0-64 64v384a64 64 0 0 0 64 64h384a64 64 0 0 0 64-64V320a64 64 0 0 0-64-64zm0-64h384a128 128 0 0 1 128 128v384a128 128 0 0 1-128 128H320a128 128 0 0 1-128-128V320a128 128 0 0 1 128-128"}),createBaseVNode("path",{fill:"currentColor",d:"M512 64a32 32 0 0 1 32 32v128h-64V96a32 32 0 0 1 32-32m160 0a32 32 0 0 1 32 32v128h-64V96a32 32 0 0 1 32-32m-320 0a32 32 0 0 1 32 32v128h-64V96a32 32 0 0 1 32-32m160 896a32 32 0 0 1-32-32V800h64v128a32 32 0 0 1-32 32m160 0a32 32 0 0 1-32-32V800h64v128a32 32 0 0 1-32 32m-320 0a32 32 0 0 1-32-32V800h64v128a32 32 0 0 1-32 32M64 512a32 32 0 0 1 32-32h128v64H96a32 32 0 0 1-32-32m0-160a32 32 0 0 1 32-32h128v64H96a32 32 0 0 1-32-32m0 320a32 32 0 0 1 32-32h128v64H96a32 32 0 0 1-32-32m896-160a32 32 0 0 1-32 32H800v-64h128a32 32 0 0 1 32 32m0-160a32 32 0 0 1-32 32H800v-64h128a32 32 0 0 1 32 32m0 320a32 32 0 0 1-32 32H800v-64h128a32 32 0 0 1 32 32"})]))}}),cpu_default=cpu_vue_vue_type_script_setup_true_lang_default,credit_card_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CreditCard",__name:"credit-card",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M896 324.096c0-42.368-2.496-55.296-9.536-68.48a52.352 52.352 0 0 0-22.144-22.08c-13.12-7.04-26.048-9.536-68.416-9.536H228.096c-42.368 0-55.296 2.496-68.48 9.536a52.352 52.352 0 0 0-22.08 22.144c-7.04 13.12-9.536 26.048-9.536 68.416v375.808c0 42.368 2.496 55.296 9.536 68.48a52.352 52.352 0 0 0 22.144 22.08c13.12 7.04 26.048 9.536 68.416 9.536h567.808c42.368 0 55.296-2.496 68.48-9.536a52.352 52.352 0 0 0 22.08-22.144c7.04-13.12 9.536-26.048 9.536-68.416zm64 0v375.808c0 57.088-5.952 77.76-17.088 98.56-11.136 20.928-27.52 37.312-48.384 48.448-20.864 11.136-41.6 17.088-98.56 17.088H228.032c-57.088 0-77.76-5.952-98.56-17.088a116.288 116.288 0 0 1-48.448-48.384c-11.136-20.864-17.088-41.6-17.088-98.56V324.032c0-57.088 5.952-77.76 17.088-98.56 11.136-20.928 27.52-37.312 48.384-48.448 20.864-11.136 41.6-17.088 98.56-17.088H795.84c57.088 0 77.76 5.952 98.56 17.088 20.928 11.136 37.312 27.52 48.448 48.384 11.136 20.864 17.088 41.6 17.088 98.56z"}),createBaseVNode("path",{fill:"currentColor",d:"M64 320h896v64H64zm0 128h896v64H64zm128 192h256v64H192z"})]))}}),credit_card_default=credit_card_vue_vue_type_script_setup_true_lang_default,crop_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Crop",__name:"crop",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 768h672a32 32 0 1 1 0 64H224a32 32 0 0 1-32-32V96a32 32 0 0 1 64 0z"}),createBaseVNode("path",{fill:"currentColor",d:"M832 224v704a32 32 0 1 1-64 0V256H96a32 32 0 0 1 0-64h704a32 32 0 0 1 32 32"})]))}}),crop_default=crop_vue_vue_type_script_setup_true_lang_default,d_arrow_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DArrowLeft",__name:"d-arrow-left",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M529.408 149.376a29.12 29.12 0 0 1 41.728 0 30.592 30.592 0 0 1 0 42.688L259.264 511.936l311.872 319.936a30.592 30.592 0 0 1-.512 43.264 29.12 29.12 0 0 1-41.216-.512L197.76 534.272a32 32 0 0 1 0-44.672l331.648-340.224zm256 0a29.12 29.12 0 0 1 41.728 0 30.592 30.592 0 0 1 0 42.688L515.264 511.936l311.872 319.936a30.592 30.592 0 0 1-.512 43.264 29.12 29.12 0 0 1-41.216-.512L453.76 534.272a32 32 0 0 1 0-44.672l331.648-340.224z"})]))}}),d_arrow_left_default=d_arrow_left_vue_vue_type_script_setup_true_lang_default,d_arrow_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DArrowRight",__name:"d-arrow-right",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M452.864 149.312a29.12 29.12 0 0 1 41.728.064L826.24 489.664a32 32 0 0 1 0 44.672L494.592 874.624a29.12 29.12 0 0 1-41.728 0 30.592 30.592 0 0 1 0-42.752L764.736 512 452.864 192a30.592 30.592 0 0 1 0-42.688m-256 0a29.12 29.12 0 0 1 41.728.064L570.24 489.664a32 32 0 0 1 0 44.672L238.592 874.624a29.12 29.12 0 0 1-41.728 0 30.592 30.592 0 0 1 0-42.752L508.736 512 196.864 192a30.592 30.592 0 0 1 0-42.688z"})]))}}),d_arrow_right_default=d_arrow_right_vue_vue_type_script_setup_true_lang_default,d_caret_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DCaret",__name:"d-caret",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m512 128 288 320H224zM224 576h576L512 896z"})]))}}),d_caret_default=d_caret_vue_vue_type_script_setup_true_lang_default,data_analysis_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DataAnalysis",__name:"data-analysis",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m665.216 768 110.848 192h-73.856L591.36 768H433.024L322.176 960H248.32l110.848-192H160a32 32 0 0 1-32-32V192H64a32 32 0 0 1 0-64h896a32 32 0 1 1 0 64h-64v544a32 32 0 0 1-32 32zM832 192H192v512h640zM352 448a32 32 0 0 1 32 32v64a32 32 0 0 1-64 0v-64a32 32 0 0 1 32-32m160-64a32 32 0 0 1 32 32v128a32 32 0 0 1-64 0V416a32 32 0 0 1 32-32m160-64a32 32 0 0 1 32 32v192a32 32 0 1 1-64 0V352a32 32 0 0 1 32-32"})]))}}),data_analysis_default=data_analysis_vue_vue_type_script_setup_true_lang_default,data_board_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DataBoard",__name:"data-board",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M32 128h960v64H32z"}),createBaseVNode("path",{fill:"currentColor",d:"M192 192v512h640V192zm-64-64h768v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32z"}),createBaseVNode("path",{fill:"currentColor",d:"M322.176 960H248.32l144.64-250.56 55.424 32zm453.888 0h-73.856L576 741.44l55.424-32z"})]))}}),data_board_default=data_board_vue_vue_type_script_setup_true_lang_default,data_line_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DataLine",__name:"data-line",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M359.168 768H160a32 32 0 0 1-32-32V192H64a32 32 0 0 1 0-64h896a32 32 0 1 1 0 64h-64v544a32 32 0 0 1-32 32H665.216l110.848 192h-73.856L591.36 768H433.024L322.176 960H248.32zM832 192H192v512h640zM342.656 534.656a32 32 0 1 1-45.312-45.312L444.992 341.76l125.44 94.08L679.04 300.032a32 32 0 1 1 49.92 39.936L581.632 524.224 451.008 426.24 342.656 534.592z"})]))}}),data_line_default=data_line_vue_vue_type_script_setup_true_lang_default,delete_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DeleteFilled",__name:"delete-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 192V95.936a32 32 0 0 1 32-32h256a32 32 0 0 1 32 32V192h256a32 32 0 1 1 0 64H96a32 32 0 0 1 0-64zm64 0h192v-64H416zM192 960a32 32 0 0 1-32-32V256h704v672a32 32 0 0 1-32 32zm224-192a32 32 0 0 0 32-32V416a32 32 0 0 0-64 0v320a32 32 0 0 0 32 32m192 0a32 32 0 0 0 32-32V416a32 32 0 0 0-64 0v320a32 32 0 0 0 32 32"})]))}}),delete_filled_default=delete_filled_vue_vue_type_script_setup_true_lang_default,delete_location_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DeleteLocation",__name:"delete-location",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 896h448q32 0 32 32t-32 32H288q-32 0-32-32t32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M800 416a288 288 0 1 0-576 0c0 118.144 94.528 272.128 288 456.576C705.472 688.128 800 534.144 800 416M512 960C277.312 746.688 160 565.312 160 416a352 352 0 0 1 704 0c0 149.312-117.312 330.688-352 544"}),createBaseVNode("path",{fill:"currentColor",d:"M384 384h256q32 0 32 32t-32 32H384q-32 0-32-32t32-32"})]))}}),delete_location_default=delete_location_vue_vue_type_script_setup_true_lang_default,delete_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Delete",__name:"delete",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 256H96a32 32 0 0 1 0-64h256V95.936a32 32 0 0 1 32-32h256a32 32 0 0 1 32 32V192h256a32 32 0 1 1 0 64h-64v672a32 32 0 0 1-32 32H192a32 32 0 0 1-32-32zm448-64v-64H416v64zM224 896h576V256H224zm192-128a32 32 0 0 1-32-32V416a32 32 0 0 1 64 0v320a32 32 0 0 1-32 32m192 0a32 32 0 0 1-32-32V416a32 32 0 0 1 64 0v320a32 32 0 0 1-32 32"})]))}}),delete_default=delete_vue_vue_type_script_setup_true_lang_default,dessert_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Dessert",__name:"dessert",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 416v-48a144 144 0 0 1 168.64-141.888 224.128 224.128 0 0 1 430.72 0A144 144 0 0 1 896 368v48a384 384 0 0 1-352 382.72V896h-64v-97.28A384 384 0 0 1 128 416m287.104-32.064h193.792a143.808 143.808 0 0 1 58.88-132.736 160.064 160.064 0 0 0-311.552 0 143.808 143.808 0 0 1 58.88 132.8zm-72.896 0a72 72 0 1 0-140.48 0h140.48m339.584 0h140.416a72 72 0 1 0-140.48 0zM512 736a320 320 0 0 0 318.4-288.064H193.6A320 320 0 0 0 512 736M384 896.064h256a32 32 0 1 1 0 64H384a32 32 0 1 1 0-64"})]))}}),dessert_default=dessert_vue_vue_type_script_setup_true_lang_default,discount_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Discount",__name:"discount",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 704h576V318.336L552.512 115.84a64 64 0 0 0-81.024 0L224 318.336zm0 64v128h576V768zM593.024 66.304l259.2 212.096A32 32 0 0 1 864 303.168V928a32 32 0 0 1-32 32H192a32 32 0 0 1-32-32V303.168a32 32 0 0 1 11.712-24.768l259.2-212.096a128 128 0 0 1 162.112 0"}),createBaseVNode("path",{fill:"currentColor",d:"M512 448a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"})]))}}),discount_default=discount_vue_vue_type_script_setup_true_lang_default,dish_dot_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DishDot",__name:"dish-dot",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m384.064 274.56.064-50.688A128 128 0 0 1 512.128 96c70.528 0 127.68 57.152 127.68 127.68v50.752A448.192 448.192 0 0 1 955.392 768H68.544A448.192 448.192 0 0 1 384 274.56zM96 832h832a32 32 0 1 1 0 64H96a32 32 0 1 1 0-64m32-128h768a384 384 0 1 0-768 0m447.808-448v-32.32a63.68 63.68 0 0 0-63.68-63.68 64 64 0 0 0-64 63.936V256z"})]))}}),dish_dot_default=dish_dot_vue_vue_type_script_setup_true_lang_default,dish_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Dish",__name:"dish",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 257.152V192h-96a32 32 0 0 1 0-64h256a32 32 0 1 1 0 64h-96v65.152A448 448 0 0 1 955.52 768H68.48A448 448 0 0 1 480 257.152M128 704h768a384 384 0 1 0-768 0M96 832h832a32 32 0 1 1 0 64H96a32 32 0 1 1 0-64"})]))}}),dish_default=dish_vue_vue_type_script_setup_true_lang_default,document_add_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DocumentAdd",__name:"document-add",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M832 384H576V128H192v768h640zm-26.496-64L640 154.496V320zM160 64h480l256 256v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m320 512V448h64v128h128v64H544v128h-64V640H352v-64z"})]))}}),document_add_default=document_add_vue_vue_type_script_setup_true_lang_default,document_checked_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DocumentChecked",__name:"document-checked",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M805.504 320 640 154.496V320zM832 384H576V128H192v768h640zM160 64h480l256 256v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m318.4 582.144 180.992-180.992L704.64 510.4 478.4 736.64 320 578.304l45.248-45.312z"})]))}}),document_checked_default=document_checked_vue_vue_type_script_setup_true_lang_default,document_copy_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DocumentCopy",__name:"document-copy",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 320v576h576V320zm-32-64h640a32 32 0 0 1 32 32v640a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V288a32 32 0 0 1 32-32M960 96v704a32 32 0 0 1-32 32h-96v-64h64V128H384v64h-64V96a32 32 0 0 1 32-32h576a32 32 0 0 1 32 32M256 672h320v64H256zm0-192h320v64H256z"})]))}}),document_copy_default=document_copy_vue_vue_type_script_setup_true_lang_default,document_delete_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DocumentDelete",__name:"document-delete",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M805.504 320 640 154.496V320zM832 384H576V128H192v768h640zM160 64h480l256 256v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m308.992 546.304-90.496-90.624 45.248-45.248 90.56 90.496 90.496-90.432 45.248 45.248-90.496 90.56 90.496 90.496-45.248 45.248-90.496-90.496-90.56 90.496-45.248-45.248 90.496-90.496z"})]))}}),document_delete_default=document_delete_vue_vue_type_script_setup_true_lang_default,document_remove_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DocumentRemove",__name:"document-remove",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M805.504 320 640 154.496V320zM832 384H576V128H192v768h640zM160 64h480l256 256v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m192 512h320v64H352z"})]))}}),document_remove_default=document_remove_vue_vue_type_script_setup_true_lang_default,document_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Document",__name:"document",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M832 384H576V128H192v768h640zm-26.496-64L640 154.496V320zM160 64h480l256 256v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m160 448h384v64H320zm0-192h160v64H320zm0 384h384v64H320z"})]))}}),document_default=document_vue_vue_type_script_setup_true_lang_default,download_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Download",__name:"download",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 832h704a32 32 0 1 1 0 64H160a32 32 0 1 1 0-64m384-253.696 236.288-236.352 45.248 45.248L508.8 704 192 387.2l45.248-45.248L480 584.704V128h64z"})]))}}),download_default=download_vue_vue_type_script_setup_true_lang_default,drizzling_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Drizzling",__name:"drizzling",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m739.328 291.328-35.2-6.592-12.8-33.408a192.064 192.064 0 0 0-365.952 23.232l-9.92 40.896-41.472 7.04a176.32 176.32 0 0 0-146.24 173.568c0 97.28 78.72 175.936 175.808 175.936h400a192 192 0 0 0 35.776-380.672zM959.552 480a256 256 0 0 1-256 256h-400A239.808 239.808 0 0 1 63.744 496.192a240.32 240.32 0 0 1 199.488-236.8 256.128 256.128 0 0 1 487.872-30.976A256.064 256.064 0 0 1 959.552 480M288 800h64v64h-64zm192 0h64v64h-64zm-96 96h64v64h-64zm192 0h64v64h-64zm96-96h64v64h-64z"})]))}}),drizzling_default=drizzling_vue_vue_type_script_setup_true_lang_default,edit_pen_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"EditPen",__name:"edit-pen",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m199.04 672.64 193.984 112 224-387.968-193.92-112-224 388.032zm-23.872 60.16 32.896 148.288 144.896-45.696zM455.04 229.248l193.92 112 56.704-98.112-193.984-112-56.64 98.112zM104.32 708.8l384-665.024 304.768 175.936L409.152 884.8h.064l-248.448 78.336zm384 254.272v-64h448v64h-448z"})]))}}),edit_pen_default=edit_pen_vue_vue_type_script_setup_true_lang_default,edit_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Edit",__name:"edit",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M832 512a32 32 0 1 1 64 0v352a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32h352a32 32 0 0 1 0 64H192v640h640z"}),createBaseVNode("path",{fill:"currentColor",d:"m469.952 554.24 52.8-7.552L847.104 222.4a32 32 0 1 0-45.248-45.248L477.44 501.44l-7.552 52.8zm422.4-422.4a96 96 0 0 1 0 135.808l-331.84 331.84a32 32 0 0 1-18.112 9.088L436.8 623.68a32 32 0 0 1-36.224-36.224l15.104-105.6a32 32 0 0 1 9.024-18.112l331.904-331.84a96 96 0 0 1 135.744 0z"})]))}}),edit_default=edit_vue_vue_type_script_setup_true_lang_default,eleme_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ElemeFilled",__name:"eleme-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M176 64h672c61.824 0 112 50.176 112 112v672a112 112 0 0 1-112 112H176A112 112 0 0 1 64 848V176c0-61.824 50.176-112 112-112m150.528 173.568c-152.896 99.968-196.544 304.064-97.408 456.96a330.688 330.688 0 0 0 456.96 96.64c9.216-5.888 17.6-11.776 25.152-18.56a18.24 18.24 0 0 0 4.224-24.32L700.352 724.8a47.552 47.552 0 0 0-65.536-14.272A234.56 234.56 0 0 1 310.592 641.6C240 533.248 271.104 387.968 379.456 316.48a234.304 234.304 0 0 1 276.352 15.168c1.664.832 2.56 2.56 3.392 4.224 5.888 8.384 3.328 19.328-5.12 25.216L456.832 489.6a47.552 47.552 0 0 0-14.336 65.472l16 24.384c5.888 8.384 16.768 10.88 25.216 5.056l308.224-199.936a19.584 19.584 0 0 0 6.72-23.488v-.896c-4.992-9.216-10.048-17.6-15.104-26.88-99.968-151.168-304.064-194.88-456.96-95.744zM786.88 504.704l-62.208 40.32c-8.32 5.888-10.88 16.768-4.992 25.216L760 632.32c5.888 8.448 16.768 11.008 25.152 5.12l31.104-20.16a55.36 55.36 0 0 0 16-76.48l-20.224-31.04a19.52 19.52 0 0 0-25.152-5.12z"})]))}}),eleme_filled_default=eleme_filled_vue_vue_type_script_setup_true_lang_default,eleme_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Eleme",__name:"eleme",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M300.032 188.8c174.72-113.28 408-63.36 522.24 109.44 5.76 10.56 11.52 20.16 17.28 30.72v.96a22.4 22.4 0 0 1-7.68 26.88l-352.32 228.48c-9.6 6.72-22.08 3.84-28.8-5.76l-18.24-27.84a54.336 54.336 0 0 1 16.32-74.88l225.6-146.88c9.6-6.72 12.48-19.2 5.76-28.8-.96-1.92-1.92-3.84-3.84-4.8a267.84 267.84 0 0 0-315.84-17.28c-123.84 81.6-159.36 247.68-78.72 371.52a268.096 268.096 0 0 0 370.56 78.72 54.336 54.336 0 0 1 74.88 16.32l17.28 26.88c5.76 9.6 3.84 21.12-4.8 27.84-8.64 7.68-18.24 14.4-28.8 21.12a377.92 377.92 0 0 1-522.24-110.4c-113.28-174.72-63.36-408 111.36-522.24zm526.08 305.28a22.336 22.336 0 0 1 28.8 5.76l23.04 35.52a63.232 63.232 0 0 1-18.24 87.36l-35.52 23.04c-9.6 6.72-22.08 3.84-28.8-5.76l-46.08-71.04c-6.72-9.6-3.84-22.08 5.76-28.8l71.04-46.08z"})]))}}),eleme_default=eleme_vue_vue_type_script_setup_true_lang_default,element_plus_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ElementPlus",__name:"element-plus",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M839.7 734.7c0 33.3-17.9 41-17.9 41S519.7 949.8 499.2 960c-10.2 5.1-20.5 5.1-30.7 0 0 0-314.9-184.3-325.1-192-5.1-5.1-10.2-12.8-12.8-20.5V368.6c0-17.9 20.5-28.2 20.5-28.2L466 158.6c12.8-5.1 25.6-5.1 38.4 0 0 0 279 161.3 309.8 179.2 17.9 7.7 28.2 25.6 25.6 46.1-.1-5-.1 317.5-.1 350.8M714.2 371.2c-64-35.8-217.6-125.4-217.6-125.4-7.7-5.1-20.5-5.1-30.7 0L217.6 389.1s-17.9 10.2-17.9 23v297c0 5.1 5.1 12.8 7.7 17.9 7.7 5.1 256 148.5 256 148.5 7.7 5.1 17.9 5.1 25.6 0 15.4-7.7 250.9-145.9 250.9-145.9s12.8-5.1 12.8-30.7v-74.2l-276.5 169v-64c0-17.9 7.7-30.7 20.5-46.1L745 535c5.1-7.7 10.2-20.5 10.2-30.7v-66.6l-279 169v-69.1c0-15.4 5.1-30.7 17.9-38.4l220.1-128zM919 135.7c0-5.1-5.1-7.7-7.7-7.7h-58.9V66.6c0-5.1-5.1-5.1-10.2-5.1l-30.7 5.1c-5.1 0-5.1 2.6-5.1 5.1V128h-56.3c-5.1 0-5.1 5.1-7.7 5.1v38.4h69.1v64c0 5.1 5.1 5.1 10.2 5.1l30.7-5.1c5.1 0 5.1-2.6 5.1-5.1v-56.3h64l-2.5-38.4z"})]))}}),element_plus_default=element_plus_vue_vue_type_script_setup_true_lang_default,expand_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Expand",__name:"expand",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192h768v128H128zm0 256h512v128H128zm0 256h768v128H128zm576-352 192 160-192 128z"})]))}}),expand_default=expand_vue_vue_type_script_setup_true_lang_default,failed_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Failed",__name:"failed",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m557.248 608 135.744-135.744-45.248-45.248-135.68 135.744-135.808-135.68-45.248 45.184L466.752 608l-135.68 135.68 45.184 45.312L512 653.248l135.744 135.744 45.248-45.248L557.312 608zM704 192h160v736H160V192h160v64h384zm-320 0V96h256v96z"})]))}}),failed_default=failed_vue_vue_type_script_setup_true_lang_default,female_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Female",__name:"female",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 640a256 256 0 1 0 0-512 256 256 0 0 0 0 512m0 64a320 320 0 1 1 0-640 320 320 0 0 1 0 640"}),createBaseVNode("path",{fill:"currentColor",d:"M512 640q32 0 32 32v256q0 32-32 32t-32-32V672q0-32 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M352 800h320q32 0 32 32t-32 32H352q-32 0-32-32t32-32"})]))}}),female_default=female_vue_vue_type_script_setup_true_lang_default,files_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Files",__name:"files",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 384v448h768V384zm-32-64h832a32 32 0 0 1 32 32v512a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V352a32 32 0 0 1 32-32m64-128h704v64H160zm96-128h512v64H256z"})]))}}),files_default=files_vue_vue_type_script_setup_true_lang_default,film_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Film",__name:"film",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 160v704h704V160zm-32-64h768a32 32 0 0 1 32 32v768a32 32 0 0 1-32 32H128a32 32 0 0 1-32-32V128a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M320 288V128h64v352h256V128h64v160h160v64H704v128h160v64H704v128h160v64H704v160h-64V544H384v352h-64V736H128v-64h192V544H128v-64h192V352H128v-64z"})]))}}),film_default=film_vue_vue_type_script_setup_true_lang_default,filter_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Filter",__name:"filter",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 523.392V928a32 32 0 0 0 46.336 28.608l192-96A32 32 0 0 0 640 832V523.392l280.768-343.104a32 32 0 1 0-49.536-40.576l-288 352A32 32 0 0 0 576 512v300.224l-128 64V512a32 32 0 0 0-7.232-20.288L195.52 192H704a32 32 0 1 0 0-64H128a32 32 0 0 0-24.768 52.288z"})]))}}),filter_default=filter_vue_vue_type_script_setup_true_lang_default,finished_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Finished",__name:"finished",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M280.768 753.728 691.456 167.04a32 32 0 1 1 52.416 36.672L314.24 817.472a32 32 0 0 1-45.44 7.296l-230.4-172.8a32 32 0 0 1 38.4-51.2l203.968 152.96zM736 448a32 32 0 1 1 0-64h192a32 32 0 1 1 0 64zM608 640a32 32 0 0 1 0-64h319.936a32 32 0 1 1 0 64zM480 832a32 32 0 1 1 0-64h447.936a32 32 0 1 1 0 64z"})]))}}),finished_default=finished_vue_vue_type_script_setup_true_lang_default,first_aid_kit_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FirstAidKit",__name:"first-aid-kit",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 256a64 64 0 0 0-64 64v448a64 64 0 0 0 64 64h640a64 64 0 0 0 64-64V320a64 64 0 0 0-64-64zm0-64h640a128 128 0 0 1 128 128v448a128 128 0 0 1-128 128H192A128 128 0 0 1 64 768V320a128 128 0 0 1 128-128"}),createBaseVNode("path",{fill:"currentColor",d:"M544 512h96a32 32 0 0 1 0 64h-96v96a32 32 0 0 1-64 0v-96h-96a32 32 0 0 1 0-64h96v-96a32 32 0 0 1 64 0zM352 128v64h320v-64zm-32-64h384a32 32 0 0 1 32 32v128a32 32 0 0 1-32 32H320a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32"})]))}}),first_aid_kit_default=first_aid_kit_vue_vue_type_script_setup_true_lang_default,flag_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Flag",__name:"flag",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 128h608L736 384l160 256H288v320h-96V64h96z"})]))}}),flag_default=flag_vue_vue_type_script_setup_true_lang_default,fold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Fold",__name:"fold",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M896 192H128v128h768zm0 256H384v128h512zm0 256H128v128h768zM320 384 128 512l192 128z"})]))}}),fold_default=fold_vue_vue_type_script_setup_true_lang_default,folder_add_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FolderAdd",__name:"folder-add",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192v640h768V320H485.76L357.504 192zm-32-64h287.872l128.384 128H928a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32m384 416V416h64v128h128v64H544v128h-64V608H352v-64z"})]))}}),folder_add_default=folder_add_vue_vue_type_script_setup_true_lang_default,folder_checked_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FolderChecked",__name:"folder-checked",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192v640h768V320H485.76L357.504 192zm-32-64h287.872l128.384 128H928a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32m414.08 502.144 180.992-180.992L736.32 494.4 510.08 720.64l-158.4-158.336 45.248-45.312z"})]))}}),folder_checked_default=folder_checked_vue_vue_type_script_setup_true_lang_default,folder_delete_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FolderDelete",__name:"folder-delete",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192v640h768V320H485.76L357.504 192zm-32-64h287.872l128.384 128H928a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32m370.752 448-90.496-90.496 45.248-45.248L512 530.752l90.496-90.496 45.248 45.248L557.248 576l90.496 90.496-45.248 45.248L512 621.248l-90.496 90.496-45.248-45.248z"})]))}}),folder_delete_default=folder_delete_vue_vue_type_script_setup_true_lang_default,folder_opened_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FolderOpened",__name:"folder-opened",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M878.08 448H241.92l-96 384h636.16l96-384zM832 384v-64H485.76L357.504 192H128v448l57.92-231.744A32 32 0 0 1 216.96 384zm-24.96 512H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32h287.872l128.384 128H864a32 32 0 0 1 32 32v96h23.04a32 32 0 0 1 31.04 39.744l-112 448A32 32 0 0 1 807.04 896"})]))}}),folder_opened_default=folder_opened_vue_vue_type_script_setup_true_lang_default,folder_remove_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FolderRemove",__name:"folder-remove",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192v640h768V320H485.76L357.504 192zm-32-64h287.872l128.384 128H928a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32m256 416h320v64H352z"})]))}}),folder_remove_default=folder_remove_vue_vue_type_script_setup_true_lang_default,folder_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Folder",__name:"folder",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192v640h768V320H485.76L357.504 192zm-32-64h287.872l128.384 128H928a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32"})]))}}),folder_default=folder_vue_vue_type_script_setup_true_lang_default,food_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Food",__name:"food",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 352.576V352a288 288 0 0 1 491.072-204.224 192 192 0 0 1 274.24 204.48 64 64 0 0 1 57.216 74.24C921.6 600.512 850.048 710.656 736 756.992V800a96 96 0 0 1-96 96H384a96 96 0 0 1-96-96v-43.008c-114.048-46.336-185.6-156.48-214.528-330.496A64 64 0 0 1 128 352.64zm64-.576h64a160 160 0 0 1 320 0h64a224 224 0 0 0-448 0m128 0h192a96 96 0 0 0-192 0m439.424 0h68.544A128.256 128.256 0 0 0 704 192c-15.36 0-29.952 2.688-43.52 7.616 11.328 18.176 20.672 37.76 27.84 58.304A64.128 64.128 0 0 1 759.424 352M672 768H352v32a32 32 0 0 0 32 32h256a32 32 0 0 0 32-32zm-342.528-64h365.056c101.504-32.64 165.76-124.928 192.896-288H136.576c27.136 163.072 91.392 255.36 192.896 288"})]))}}),food_default=food_vue_vue_type_script_setup_true_lang_default,football_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Football",__name:"football",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 960a448 448 0 1 1 0-896 448 448 0 0 1 0 896m0-64a384 384 0 1 0 0-768 384 384 0 0 0 0 768"}),createBaseVNode("path",{fill:"currentColor",d:"M186.816 268.288c16-16.384 31.616-31.744 46.976-46.08 17.472 30.656 39.808 58.112 65.984 81.28l-32.512 56.448a385.984 385.984 0 0 1-80.448-91.648zm653.696-5.312a385.92 385.92 0 0 1-83.776 96.96l-32.512-56.384a322.923 322.923 0 0 0 68.48-85.76c15.552 14.08 31.488 29.12 47.808 45.184zM465.984 445.248l11.136-63.104a323.584 323.584 0 0 0 69.76 0l11.136 63.104a387.968 387.968 0 0 1-92.032 0m-62.72-12.8A381.824 381.824 0 0 1 320 396.544l32-55.424a319.885 319.885 0 0 0 62.464 27.712l-11.2 63.488zm300.8-35.84a381.824 381.824 0 0 1-83.328 35.84l-11.2-63.552A319.885 319.885 0 0 0 672 341.184l32 55.424zm-520.768 364.8a385.92 385.92 0 0 1 83.968-97.28l32.512 56.32c-26.88 23.936-49.856 52.352-67.52 84.032-16-13.44-32.32-27.712-48.96-43.072zm657.536.128a1442.759 1442.759 0 0 1-49.024 43.072 321.408 321.408 0 0 0-67.584-84.16l32.512-56.32c33.216 27.456 61.696 60.352 84.096 97.408zM465.92 578.752a387.968 387.968 0 0 1 92.032 0l-11.136 63.104a323.584 323.584 0 0 0-69.76 0zm-62.72 12.8 11.2 63.552a319.885 319.885 0 0 0-62.464 27.712L320 627.392a381.824 381.824 0 0 1 83.264-35.84zm300.8 35.84-32 55.424a318.272 318.272 0 0 0-62.528-27.712l11.2-63.488c29.44 8.64 57.28 20.736 83.264 35.776z"})]))}}),football_default=football_vue_vue_type_script_setup_true_lang_default,fork_spoon_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ForkSpoon",__name:"fork-spoon",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 410.304V96a32 32 0 0 1 64 0v314.304a96 96 0 0 0 64-90.56V96a32 32 0 0 1 64 0v223.744a160 160 0 0 1-128 156.8V928a32 32 0 1 1-64 0V476.544a160 160 0 0 1-128-156.8V96a32 32 0 0 1 64 0v223.744a96 96 0 0 0 64 90.56zM672 572.48C581.184 552.128 512 446.848 512 320c0-141.44 85.952-256 192-256s192 114.56 192 256c0 126.848-69.184 232.128-160 252.48V928a32 32 0 1 1-64 0zM704 512c66.048 0 128-82.56 128-192s-61.952-192-128-192-128 82.56-128 192 61.952 192 128 192"})]))}}),fork_spoon_default=fork_spoon_vue_vue_type_script_setup_true_lang_default,fries_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Fries",__name:"fries",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M608 224v-64a32 32 0 0 0-64 0v336h26.88A64 64 0 0 0 608 484.096zm101.12 160A64 64 0 0 0 672 395.904V384h64V224a32 32 0 1 0-64 0v160zm74.88 0a92.928 92.928 0 0 1 91.328 110.08l-60.672 323.584A96 96 0 0 1 720.32 896H303.68a96 96 0 0 1-94.336-78.336L148.672 494.08A92.928 92.928 0 0 1 240 384h-16V224a96 96 0 0 1 188.608-25.28A95.744 95.744 0 0 1 480 197.44V160a96 96 0 0 1 188.608-25.28A96 96 0 0 1 800 224v160zM670.784 512a128 128 0 0 1-99.904 48H453.12a128 128 0 0 1-99.84-48H352v-1.536a128.128 128.128 0 0 1-9.984-14.976L314.88 448H240a28.928 28.928 0 0 0-28.48 34.304L241.088 640h541.824l29.568-157.696A28.928 28.928 0 0 0 784 448h-74.88l-27.136 47.488A132.405 132.405 0 0 1 672 510.464V512zM480 288a32 32 0 0 0-64 0v196.096A64 64 0 0 0 453.12 496H480zm-128 96V224a32 32 0 0 0-64 0v160zh-37.12A64 64 0 0 1 352 395.904zm-98.88 320 19.072 101.888A32 32 0 0 0 303.68 832h416.64a32 32 0 0 0 31.488-26.112L770.88 704z"})]))}}),fries_default=fries_vue_vue_type_script_setup_true_lang_default,full_screen_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FullScreen",__name:"full-screen",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m160 96.064 192 .192a32 32 0 0 1 0 64l-192-.192V352a32 32 0 0 1-64 0V96h64zm0 831.872V928H96V672a32 32 0 1 1 64 0v191.936l192-.192a32 32 0 1 1 0 64zM864 96.064V96h64v256a32 32 0 1 1-64 0V160.064l-192 .192a32 32 0 1 1 0-64l192-.192zm0 831.872-192-.192a32 32 0 0 1 0-64l192 .192V672a32 32 0 1 1 64 0v256h-64z"})]))}}),full_screen_default=full_screen_vue_vue_type_script_setup_true_lang_default,goblet_full_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"GobletFull",__name:"goblet-full",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 320h512c0-78.592-12.608-142.4-36.928-192h-434.24C269.504 192.384 256 256.256 256 320m503.936 64H264.064a256.128 256.128 0 0 0 495.872 0zM544 638.4V896h96a32 32 0 1 1 0 64H384a32 32 0 1 1 0-64h96V638.4A320 320 0 0 1 192 320c0-85.632 21.312-170.944 64-256h512c42.688 64.32 64 149.632 64 256a320 320 0 0 1-288 318.4"})]))}}),goblet_full_default=goblet_full_vue_vue_type_script_setup_true_lang_default,goblet_square_full_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"GobletSquareFull",__name:"goblet-square-full",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 270.912c10.048 6.72 22.464 14.912 28.992 18.624a220.16 220.16 0 0 0 114.752 30.72c30.592 0 49.408-9.472 91.072-41.152l.64-.448c52.928-40.32 82.368-55.04 132.288-54.656 55.552.448 99.584 20.8 142.72 57.408l1.536 1.28V128H256v142.912zm.96 76.288C266.368 482.176 346.88 575.872 512 576c157.44.064 237.952-85.056 253.248-209.984a952.32 952.32 0 0 1-40.192-35.712c-32.704-27.776-63.36-41.92-101.888-42.24-31.552-.256-50.624 9.28-93.12 41.6l-.576.448c-52.096 39.616-81.024 54.208-129.792 54.208-54.784 0-100.48-13.376-142.784-37.056zM480 638.848C250.624 623.424 192 442.496 192 319.68V96a32 32 0 0 1 32-32h576a32 32 0 0 1 32 32v224c0 122.816-58.624 303.68-288 318.912V896h96a32 32 0 1 1 0 64H384a32 32 0 1 1 0-64h96z"})]))}}),goblet_square_full_default=goblet_square_full_vue_vue_type_script_setup_true_lang_default,goblet_square_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"GobletSquare",__name:"goblet-square",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 638.912V896h96a32 32 0 1 1 0 64H384a32 32 0 1 1 0-64h96V638.848C250.624 623.424 192 442.496 192 319.68V96a32 32 0 0 1 32-32h576a32 32 0 0 1 32 32v224c0 122.816-58.624 303.68-288 318.912M256 319.68c0 149.568 80 256.192 256 256.256C688.128 576 768 469.568 768 320V128H256z"})]))}}),goblet_square_default=goblet_square_vue_vue_type_script_setup_true_lang_default,goblet_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Goblet",__name:"goblet",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 638.4V896h96a32 32 0 1 1 0 64H384a32 32 0 1 1 0-64h96V638.4A320 320 0 0 1 192 320c0-85.632 21.312-170.944 64-256h512c42.688 64.32 64 149.632 64 256a320 320 0 0 1-288 318.4M256 320a256 256 0 1 0 512 0c0-78.592-12.608-142.4-36.928-192h-434.24C269.504 192.384 256 256.256 256 320"})]))}}),goblet_default=goblet_vue_vue_type_script_setup_true_lang_default,gold_medal_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"GoldMedal",__name:"gold-medal",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m772.13 452.84 53.86-351.81c1.32-10.01-1.17-18.68-7.49-26.02S804.35 64 795.01 64H228.99v-.01h-.06c-9.33 0-17.15 3.67-23.49 11.01s-8.83 16.01-7.49 26.02l53.87 351.89C213.54 505.73 193.59 568.09 192 640c2 90.67 33.17 166.17 93.5 226.5S421.33 957.99 512 960c90.67-2 166.17-33.17 226.5-93.5 60.33-60.34 91.49-135.83 93.5-226.5-1.59-71.94-21.56-134.32-59.87-187.16zM640.01 128h117.02l-39.01 254.02c-20.75-10.64-40.74-19.73-59.94-27.28-5.92-3-11.95-5.8-18.08-8.41V128h.01zM576 128v198.76c-13.18-2.58-26.74-4.43-40.67-5.55-8.07-.8-15.85-1.2-23.33-1.2-10.54 0-21.09.66-31.64 1.96a359.844 359.844 0 0 0-32.36 4.79V128zm-192 0h.04v218.3c-6.22 2.66-12.34 5.5-18.36 8.56-19.13 7.54-39.02 16.6-59.66 27.16L267.01 128zm308.99 692.99c-48 48-108.33 73-180.99 75.01-72.66-2.01-132.99-27.01-180.99-75.01S258.01 712.66 256 640c2.01-72.66 27.01-132.99 75.01-180.99 19.67-19.67 41.41-35.47 65.22-47.41 38.33-15.04 71.15-23.92 98.44-26.65 5.07-.41 10.2-.7 15.39-.88.63-.01 1.28-.03 1.91-.03.66 0 1.35.03 2.02.04 5.11.17 10.15.46 15.13.86 27.4 2.71 60.37 11.65 98.91 26.79 23.71 11.93 45.36 27.69 64.96 47.29 48 48 73 108.33 75.01 180.99-2.01 72.65-27.01 132.98-75.01 180.98z"}),createBaseVNode("path",{fill:"currentColor",d:"M544 480H416v64h64v192h-64v64h192v-64h-64z"})]))}}),gold_medal_default=gold_medal_vue_vue_type_script_setup_true_lang_default,goods_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"GoodsFilled",__name:"goods-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 352h640l64 544H128zm128 224h64V448h-64zm320 0h64V448h-64zM384 288h-64a192 192 0 1 1 384 0h-64a128 128 0 1 0-256 0"})]))}}),goods_filled_default=goods_filled_vue_vue_type_script_setup_true_lang_default,goods_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Goods",__name:"goods",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M320 288v-22.336C320 154.688 405.504 64 512 64s192 90.688 192 201.664v22.4h131.072a32 32 0 0 1 31.808 28.8l57.6 576a32 32 0 0 1-31.808 35.2H131.328a32 32 0 0 1-31.808-35.2l57.6-576a32 32 0 0 1 31.808-28.8H320zm64 0h256v-22.336C640 189.248 582.272 128 512 128c-70.272 0-128 61.248-128 137.664v22.4zm-64 64H217.92l-51.2 512h690.56l-51.264-512H704v96a32 32 0 1 1-64 0v-96H384v96a32 32 0 0 1-64 0z"})]))}}),goods_default=goods_vue_vue_type_script_setup_true_lang_default,grape_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Grape",__name:"grape",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 195.2a160 160 0 0 1 96 60.8 160 160 0 1 1 146.24 254.976 160 160 0 0 1-128 224 160 160 0 1 1-292.48 0 160 160 0 0 1-128-224A160 160 0 1 1 384 256a160 160 0 0 1 96-60.8V128h-64a32 32 0 0 1 0-64h192a32 32 0 0 1 0 64h-64zM512 448a96 96 0 1 0 0-192 96 96 0 0 0 0 192m-256 0a96 96 0 1 0 0-192 96 96 0 0 0 0 192m128 224a96 96 0 1 0 0-192 96 96 0 0 0 0 192m128 224a96 96 0 1 0 0-192 96 96 0 0 0 0 192m128-224a96 96 0 1 0 0-192 96 96 0 0 0 0 192m128-224a96 96 0 1 0 0-192 96 96 0 0 0 0 192"})]))}}),grape_default=grape_vue_vue_type_script_setup_true_lang_default,grid_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Grid",__name:"grid",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 384v256H384V384zm64 0h192v256H704zm-64 512H384V704h256zm64 0V704h192v192zm-64-768v192H384V128zm64 0h192v192H704zM320 384v256H128V384zm0 512H128V704h192zm0-768v192H128V128z"})]))}}),grid_default=grid_vue_vue_type_script_setup_true_lang_default,guide_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Guide",__name:"guide",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 608h-64V416h64zm0 160v160a32 32 0 0 1-32 32H416a32 32 0 0 1-32-32V768h64v128h128V768zM384 608V416h64v192zm256-352h-64V128H448v128h-64V96a32 32 0 0 1 32-32h192a32 32 0 0 1 32 32z"}),createBaseVNode("path",{fill:"currentColor",d:"m220.8 256-71.232 80 71.168 80H768V256H220.8zm-14.4-64H800a32 32 0 0 1 32 32v224a32 32 0 0 1-32 32H206.4a32 32 0 0 1-23.936-10.752l-99.584-112a32 32 0 0 1 0-42.496l99.584-112A32 32 0 0 1 206.4 192m678.784 496-71.104 80H266.816V608h547.2l71.168 80zm-56.768-144H234.88a32 32 0 0 0-32 32v224a32 32 0 0 0 32 32h593.6a32 32 0 0 0 23.936-10.752l99.584-112a32 32 0 0 0 0-42.496l-99.584-112A32 32 0 0 0 828.48 544z"})]))}}),guide_default=guide_vue_vue_type_script_setup_true_lang_default,handbag_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Handbag",__name:"handbag",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M887.01 264.99c-6-5.99-13.67-8.99-23.01-8.99H704c-1.34-54.68-20.01-100.01-56-136s-81.32-54.66-136-56c-54.68 1.34-100.01 20.01-136 56s-54.66 81.32-56 136H160c-9.35 0-17.02 3-23.01 8.99-5.99 6-8.99 13.67-8.99 23.01v640c0 9.35 2.99 17.02 8.99 23.01S150.66 960 160 960h704c9.35 0 17.02-2.99 23.01-8.99S896 937.34 896 928V288c0-9.35-2.99-17.02-8.99-23.01M421.5 165.5c24.32-24.34 54.49-36.84 90.5-37.5 35.99.68 66.16 13.18 90.5 37.5s36.84 54.49 37.5 90.5H384c.68-35.99 13.18-66.16 37.5-90.5M832 896H192V320h128v128h64V320h256v128h64V320h128z"})]))}}),handbag_default=handbag_vue_vue_type_script_setup_true_lang_default,headset_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Headset",__name:"headset",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M896 529.152V512a384 384 0 1 0-768 0v17.152A128 128 0 0 1 320 640v128a128 128 0 1 1-256 0V512a448 448 0 1 1 896 0v256a128 128 0 1 1-256 0V640a128 128 0 0 1 192-110.848M896 640a64 64 0 0 0-128 0v128a64 64 0 0 0 128 0zm-768 0v128a64 64 0 0 0 128 0V640a64 64 0 1 0-128 0"})]))}}),headset_default=headset_vue_vue_type_script_setup_true_lang_default,help_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"HelpFilled",__name:"help-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M926.784 480H701.312A192.512 192.512 0 0 0 544 322.688V97.216A416.064 416.064 0 0 1 926.784 480m0 64A416.064 416.064 0 0 1 544 926.784V701.312A192.512 192.512 0 0 0 701.312 544zM97.28 544h225.472A192.512 192.512 0 0 0 480 701.312v225.472A416.064 416.064 0 0 1 97.216 544zm0-64A416.064 416.064 0 0 1 480 97.216v225.472A192.512 192.512 0 0 0 322.688 480H97.216z"})]))}}),help_filled_default=help_filled_vue_vue_type_script_setup_true_lang_default,help_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Help",__name:"help",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m759.936 805.248-90.944-91.008A254.912 254.912 0 0 1 512 768a254.912 254.912 0 0 1-156.992-53.76l-90.944 91.008A382.464 382.464 0 0 0 512 896c94.528 0 181.12-34.176 247.936-90.752m45.312-45.312A382.464 382.464 0 0 0 896 512c0-94.528-34.176-181.12-90.752-247.936l-91.008 90.944C747.904 398.4 768 452.864 768 512c0 59.136-20.096 113.6-53.76 156.992l91.008 90.944zm-45.312-541.184A382.464 382.464 0 0 0 512 128c-94.528 0-181.12 34.176-247.936 90.752l90.944 91.008A254.912 254.912 0 0 1 512 256c59.136 0 113.6 20.096 156.992 53.76l90.944-91.008zm-541.184 45.312A382.464 382.464 0 0 0 128 512c0 94.528 34.176 181.12 90.752 247.936l91.008-90.944A254.912 254.912 0 0 1 256 512c0-59.136 20.096-113.6 53.76-156.992zm417.28 394.496a194.56 194.56 0 0 0 22.528-22.528C686.912 602.56 704 559.232 704 512a191.232 191.232 0 0 0-67.968-146.56A191.296 191.296 0 0 0 512 320a191.232 191.232 0 0 0-146.56 67.968C337.088 421.44 320 464.768 320 512a191.232 191.232 0 0 0 67.968 146.56C421.44 686.912 464.768 704 512 704c47.296 0 90.56-17.088 124.032-45.44zM512 960a448 448 0 1 1 0-896 448 448 0 0 1 0 896"})]))}}),help_default=help_vue_vue_type_script_setup_true_lang_default,hide_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Hide",__name:"hide",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M876.8 156.8c0-9.6-3.2-16-9.6-22.4-6.4-6.4-12.8-9.6-22.4-9.6-9.6 0-16 3.2-22.4 9.6L736 220.8c-64-32-137.6-51.2-224-60.8-160 16-288 73.6-377.6 176C44.8 438.4 0 496 0 512s48 73.6 134.4 176c22.4 25.6 44.8 48 73.6 67.2l-86.4 89.6c-6.4 6.4-9.6 12.8-9.6 22.4 0 9.6 3.2 16 9.6 22.4 6.4 6.4 12.8 9.6 22.4 9.6 9.6 0 16-3.2 22.4-9.6l704-710.4c3.2-6.4 6.4-12.8 6.4-22.4Zm-646.4 528c-76.8-70.4-128-128-153.6-172.8 28.8-48 80-105.6 153.6-172.8C304 272 400 230.4 512 224c64 3.2 124.8 19.2 176 44.8l-54.4 54.4C598.4 300.8 560 288 512 288c-64 0-115.2 22.4-160 64s-64 96-64 160c0 48 12.8 89.6 35.2 124.8L256 707.2c-9.6-6.4-19.2-16-25.6-22.4Zm140.8-96c-12.8-22.4-19.2-48-19.2-76.8 0-44.8 16-83.2 48-112 32-28.8 67.2-48 112-48 28.8 0 54.4 6.4 73.6 19.2zM889.599 336c-12.8-16-28.8-28.8-41.6-41.6l-48 48c73.6 67.2 124.8 124.8 150.4 169.6-28.8 48-80 105.6-153.6 172.8-73.6 67.2-172.8 108.8-284.8 115.2-51.2-3.2-99.2-12.8-140.8-28.8l-48 48c57.6 22.4 118.4 38.4 188.8 44.8 160-16 288-73.6 377.6-176C979.199 585.6 1024 528 1024 512s-48.001-73.6-134.401-176Z"}),createBaseVNode("path",{fill:"currentColor",d:"M511.998 672c-12.8 0-25.6-3.2-38.4-6.4l-51.2 51.2c28.8 12.8 57.6 19.2 89.6 19.2 64 0 115.2-22.4 160-64 41.6-41.6 64-96 64-160 0-32-6.4-64-19.2-89.6l-51.2 51.2c3.2 12.8 6.4 25.6 6.4 38.4 0 44.8-16 83.2-48 112-32 28.8-67.2 48-112 48Z"})]))}}),hide_default=hide_vue_vue_type_script_setup_true_lang_default,histogram_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Histogram",__name:"histogram",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M416 896V128h192v768zm-288 0V448h192v448zm576 0V320h192v576z"})]))}}),histogram_default=histogram_vue_vue_type_script_setup_true_lang_default,home_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"HomeFilled",__name:"home-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 128 128 447.936V896h255.936V640H640v256h255.936V447.936z"})]))}}),home_filled_default=home_filled_vue_vue_type_script_setup_true_lang_default,hot_water_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"HotWater",__name:"hot-water",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M273.067 477.867h477.866V409.6H273.067zm0 68.266v51.2A187.733 187.733 0 0 0 460.8 785.067h102.4a187.733 187.733 0 0 0 187.733-187.734v-51.2H273.067zm-34.134-204.8h546.134a34.133 34.133 0 0 1 34.133 34.134v221.866a256 256 0 0 1-256 256H460.8a256 256 0 0 1-256-256V375.467a34.133 34.133 0 0 1 34.133-34.134zM512 34.133a34.133 34.133 0 0 1 34.133 34.134v170.666a34.133 34.133 0 0 1-68.266 0V68.267A34.133 34.133 0 0 1 512 34.133zM375.467 102.4a34.133 34.133 0 0 1 34.133 34.133v102.4a34.133 34.133 0 0 1-68.267 0v-102.4a34.133 34.133 0 0 1 34.134-34.133m273.066 0a34.133 34.133 0 0 1 34.134 34.133v102.4a34.133 34.133 0 1 1-68.267 0v-102.4a34.133 34.133 0 0 1 34.133-34.133M170.667 921.668h682.666a34.133 34.133 0 1 1 0 68.267H170.667a34.133 34.133 0 1 1 0-68.267z"})]))}}),hot_water_default=hot_water_vue_vue_type_script_setup_true_lang_default,house_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"House",__name:"house",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 413.952V896h640V413.952L512 147.328zM139.52 374.4l352-293.312a32 32 0 0 1 40.96 0l352 293.312A32 32 0 0 1 896 398.976V928a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V398.976a32 32 0 0 1 11.52-24.576"})]))}}),house_default=house_vue_vue_type_script_setup_true_lang_default,ice_cream_round_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"IceCreamRound",__name:"ice-cream-round",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m308.352 489.344 226.304 226.304a32 32 0 0 0 45.248 0L783.552 512A192 192 0 1 0 512 240.448L308.352 444.16a32 32 0 0 0 0 45.248zm135.744 226.304L308.352 851.392a96 96 0 0 1-135.744-135.744l135.744-135.744-45.248-45.248a96 96 0 0 1 0-135.808L466.752 195.2A256 256 0 0 1 828.8 557.248L625.152 760.96a96 96 0 0 1-135.808 0l-45.248-45.248zM398.848 670.4 353.6 625.152 217.856 760.896a32 32 0 0 0 45.248 45.248zm248.96-384.64a32 32 0 0 1 0 45.248L466.624 512a32 32 0 1 1-45.184-45.248l180.992-181.056a32 32 0 0 1 45.248 0zm90.496 90.496a32 32 0 0 1 0 45.248L557.248 602.496A32 32 0 1 1 512 557.248l180.992-180.992a32 32 0 0 1 45.312 0z"})]))}}),ice_cream_round_default=ice_cream_round_vue_vue_type_script_setup_true_lang_default,ice_cream_square_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"IceCreamSquare",__name:"ice-cream-square",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M416 640h256a32 32 0 0 0 32-32V160a32 32 0 0 0-32-32H352a32 32 0 0 0-32 32v448a32 32 0 0 0 32 32zm192 64v160a96 96 0 0 1-192 0V704h-64a96 96 0 0 1-96-96V160a96 96 0 0 1 96-96h320a96 96 0 0 1 96 96v448a96 96 0 0 1-96 96zm-64 0h-64v160a32 32 0 1 0 64 0z"})]))}}),ice_cream_square_default=ice_cream_square_vue_vue_type_script_setup_true_lang_default,ice_cream_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"IceCream",__name:"ice-cream",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128.64 448a208 208 0 0 1 193.536-191.552 224 224 0 0 1 445.248 15.488A208.128 208.128 0 0 1 894.784 448H896L548.8 983.68a32 32 0 0 1-53.248.704L128 448zm64.256 0h286.208a144 144 0 0 0-286.208 0zm351.36 0h286.272a144 144 0 0 0-286.272 0zm-294.848 64 271.808 396.608L778.24 512H249.408zM511.68 352.64a207.872 207.872 0 0 1 189.184-96.192 160 160 0 0 0-314.752 5.632c52.608 12.992 97.28 46.08 125.568 90.56"})]))}}),ice_cream_default=ice_cream_vue_vue_type_script_setup_true_lang_default,ice_drink_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"IceDrink",__name:"ice-drink",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 448v128h239.68l16.064-128zm-64 0H256.256l16.064 128H448zm64-255.36V384h247.744A256.128 256.128 0 0 0 512 192.64m-64 8.064A256.448 256.448 0 0 0 264.256 384H448zm64-72.064A320.128 320.128 0 0 1 825.472 384H896a32 32 0 1 1 0 64h-64v1.92l-56.96 454.016A64 64 0 0 1 711.552 960H312.448a64 64 0 0 1-63.488-56.064L192 449.92V448h-64a32 32 0 0 1 0-64h70.528A320.384 320.384 0 0 1 448 135.04V96a96 96 0 0 1 96-96h128a32 32 0 1 1 0 64H544a32 32 0 0 0-32 32zM743.68 640H280.32l32.128 256h399.104z"})]))}}),ice_drink_default=ice_drink_vue_vue_type_script_setup_true_lang_default,ice_tea_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"IceTea",__name:"ice-tea",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M197.696 259.648a320.128 320.128 0 0 1 628.608 0A96 96 0 0 1 896 352v64a96 96 0 0 1-71.616 92.864l-49.408 395.072A64 64 0 0 1 711.488 960H312.512a64 64 0 0 1-63.488-56.064l-49.408-395.072A96 96 0 0 1 128 416v-64a96 96 0 0 1 69.696-92.352M264.064 256h495.872a256.128 256.128 0 0 0-495.872 0m495.424 256H264.512l48 384h398.976zM224 448h576a32 32 0 0 0 32-32v-64a32 32 0 0 0-32-32H224a32 32 0 0 0-32 32v64a32 32 0 0 0 32 32m160 192h64v64h-64zm192 64h64v64h-64zm-128 64h64v64h-64zm64-192h64v64h-64z"})]))}}),ice_tea_default=ice_tea_vue_vue_type_script_setup_true_lang_default,info_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"InfoFilled",__name:"info-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896.064A448 448 0 0 1 512 64m67.2 275.072c33.28 0 60.288-23.104 60.288-57.344s-27.072-57.344-60.288-57.344c-33.28 0-60.16 23.104-60.16 57.344s26.88 57.344 60.16 57.344M590.912 699.2c0-6.848 2.368-24.64 1.024-34.752l-52.608 60.544c-10.88 11.456-24.512 19.392-30.912 17.28a12.992 12.992 0 0 1-8.256-14.72l87.68-276.992c7.168-35.136-12.544-67.2-54.336-71.296-44.096 0-108.992 44.736-148.48 101.504 0 6.784-1.28 23.68.064 33.792l52.544-60.608c10.88-11.328 23.552-19.328 29.952-17.152a12.8 12.8 0 0 1 7.808 16.128L388.48 728.576c-10.048 32.256 8.96 63.872 55.04 71.04 67.84 0 107.904-43.648 147.456-100.416z"})]))}}),info_filled_default=info_filled_vue_vue_type_script_setup_true_lang_default,iphone_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Iphone",__name:"iphone",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 768v96.064a64 64 0 0 0 64 64h448a64 64 0 0 0 64-64V768zm0-64h576V160a64 64 0 0 0-64-64H288a64 64 0 0 0-64 64zm32 288a96 96 0 0 1-96-96V128a96 96 0 0 1 96-96h512a96 96 0 0 1 96 96v768a96 96 0 0 1-96 96zm304-144a48 48 0 1 1-96 0 48 48 0 0 1 96 0"})]))}}),iphone_default=iphone_vue_vue_type_script_setup_true_lang_default,key_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Key",__name:"key",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M448 456.064V96a32 32 0 0 1 32-32.064L672 64a32 32 0 0 1 0 64H512v128h160a32 32 0 0 1 0 64H512v128a256 256 0 1 1-64 8.064M512 896a192 192 0 1 0 0-384 192 192 0 0 0 0 384"})]))}}),key_default=key_vue_vue_type_script_setup_true_lang_default,knife_fork_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"KnifeFork",__name:"knife-fork",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 410.56V96a32 32 0 0 1 64 0v314.56A96 96 0 0 0 384 320V96a32 32 0 0 1 64 0v224a160 160 0 0 1-128 156.8V928a32 32 0 1 1-64 0V476.8A160 160 0 0 1 128 320V96a32 32 0 0 1 64 0v224a96 96 0 0 0 64 90.56m384-250.24V544h126.72c-3.328-78.72-12.928-147.968-28.608-207.744-14.336-54.528-46.848-113.344-98.112-175.872zM640 608v320a32 32 0 1 1-64 0V64h64c85.312 89.472 138.688 174.848 160 256 21.312 81.152 32 177.152 32 288z"})]))}}),knife_fork_default=knife_fork_vue_vue_type_script_setup_true_lang_default,lightning_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Lightning",__name:"lightning",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 671.36v64.128A239.808 239.808 0 0 1 63.744 496.192a240.32 240.32 0 0 1 199.488-236.8 256.128 256.128 0 0 1 487.872-30.976A256.064 256.064 0 0 1 736 734.016v-64.768a192 192 0 0 0 3.328-377.92l-35.2-6.592-12.8-33.408a192.064 192.064 0 0 0-365.952 23.232l-9.92 40.896-41.472 7.04a176.32 176.32 0 0 0-146.24 173.568c0 91.968 70.464 167.36 160.256 175.232z"}),createBaseVNode("path",{fill:"currentColor",d:"M416 736a32 32 0 0 1-27.776-47.872l128-224a32 32 0 1 1 55.552 31.744L471.168 672H608a32 32 0 0 1 27.776 47.872l-128 224a32 32 0 1 1-55.68-31.744L552.96 736z"})]))}}),lightning_default=lightning_vue_vue_type_script_setup_true_lang_default,link_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Link",__name:"link",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M715.648 625.152 670.4 579.904l90.496-90.56c75.008-74.944 85.12-186.368 22.656-248.896-62.528-62.464-173.952-52.352-248.96 22.656L444.16 353.6l-45.248-45.248 90.496-90.496c100.032-99.968 251.968-110.08 339.456-22.656 87.488 87.488 77.312 239.424-22.656 339.456l-90.496 90.496zm-90.496 90.496-90.496 90.496C434.624 906.112 282.688 916.224 195.2 828.8c-87.488-87.488-77.312-239.424 22.656-339.456l90.496-90.496 45.248 45.248-90.496 90.56c-75.008 74.944-85.12 186.368-22.656 248.896 62.528 62.464 173.952 52.352 248.96-22.656l90.496-90.496zm0-362.048 45.248 45.248L398.848 670.4 353.6 625.152z"})]))}}),link_default=link_vue_vue_type_script_setup_true_lang_default,list_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"List",__name:"list",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 192h160v736H160V192h160v64h384zM288 512h448v-64H288zm0 256h448v-64H288zm96-576V96h256v96z"})]))}}),list_default=list_vue_vue_type_script_setup_true_lang_default,loading_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Loading",__name:"loading",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a32 32 0 0 1 32 32v192a32 32 0 0 1-64 0V96a32 32 0 0 1 32-32m0 640a32 32 0 0 1 32 32v192a32 32 0 1 1-64 0V736a32 32 0 0 1 32-32m448-192a32 32 0 0 1-32 32H736a32 32 0 1 1 0-64h192a32 32 0 0 1 32 32m-640 0a32 32 0 0 1-32 32H96a32 32 0 0 1 0-64h192a32 32 0 0 1 32 32M195.2 195.2a32 32 0 0 1 45.248 0L376.32 331.008a32 32 0 0 1-45.248 45.248L195.2 240.448a32 32 0 0 1 0-45.248zm452.544 452.544a32 32 0 0 1 45.248 0L828.8 783.552a32 32 0 0 1-45.248 45.248L647.744 692.992a32 32 0 0 1 0-45.248zM828.8 195.264a32 32 0 0 1 0 45.184L692.992 376.32a32 32 0 0 1-45.248-45.248l135.808-135.808a32 32 0 0 1 45.248 0m-452.544 452.48a32 32 0 0 1 0 45.248L240.448 828.8a32 32 0 0 1-45.248-45.248l135.808-135.808a32 32 0 0 1 45.248 0z"})]))}}),loading_default=loading_vue_vue_type_script_setup_true_lang_default,location_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"LocationFilled",__name:"location-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 928c23.936 0 117.504-68.352 192.064-153.152C803.456 661.888 864 535.808 864 416c0-189.632-155.84-320-352-320S160 226.368 160 416c0 120.32 60.544 246.4 159.936 359.232C394.432 859.84 488 928 512 928m0-435.2a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 140.8a204.8 204.8 0 1 1 0-409.6 204.8 204.8 0 0 1 0 409.6"})]))}}),location_filled_default=location_filled_vue_vue_type_script_setup_true_lang_default,location_information_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"LocationInformation",__name:"location-information",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 896h448q32 0 32 32t-32 32H288q-32 0-32-32t32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M800 416a288 288 0 1 0-576 0c0 118.144 94.528 272.128 288 456.576C705.472 688.128 800 534.144 800 416M512 960C277.312 746.688 160 565.312 160 416a352 352 0 0 1 704 0c0 149.312-117.312 330.688-352 544"}),createBaseVNode("path",{fill:"currentColor",d:"M512 512a96 96 0 1 0 0-192 96 96 0 0 0 0 192m0 64a160 160 0 1 1 0-320 160 160 0 0 1 0 320"})]))}}),location_information_default=location_information_vue_vue_type_script_setup_true_lang_default,location_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Location",__name:"location",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M800 416a288 288 0 1 0-576 0c0 118.144 94.528 272.128 288 456.576C705.472 688.128 800 534.144 800 416M512 960C277.312 746.688 160 565.312 160 416a352 352 0 0 1 704 0c0 149.312-117.312 330.688-352 544"}),createBaseVNode("path",{fill:"currentColor",d:"M512 512a96 96 0 1 0 0-192 96 96 0 0 0 0 192m0 64a160 160 0 1 1 0-320 160 160 0 0 1 0 320"})]))}}),location_default=location_vue_vue_type_script_setup_true_lang_default,lock_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Lock",__name:"lock",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 448a32 32 0 0 0-32 32v384a32 32 0 0 0 32 32h576a32 32 0 0 0 32-32V480a32 32 0 0 0-32-32zm0-64h576a96 96 0 0 1 96 96v384a96 96 0 0 1-96 96H224a96 96 0 0 1-96-96V480a96 96 0 0 1 96-96"}),createBaseVNode("path",{fill:"currentColor",d:"M512 544a32 32 0 0 1 32 32v192a32 32 0 1 1-64 0V576a32 32 0 0 1 32-32m192-160v-64a192 192 0 1 0-384 0v64zM512 64a256 256 0 0 1 256 256v128H256V320A256 256 0 0 1 512 64"})]))}}),lock_default=lock_vue_vue_type_script_setup_true_lang_default,lollipop_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Lollipop",__name:"lollipop",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M513.28 448a64 64 0 1 1 76.544 49.728A96 96 0 0 0 768 448h64a160 160 0 0 1-320 0zm-126.976-29.696a256 256 0 1 0 43.52-180.48A256 256 0 0 1 832 448h-64a192 192 0 0 0-381.696-29.696m105.664 249.472L285.696 874.048a96 96 0 0 1-135.68-135.744l206.208-206.272a320 320 0 1 1 135.744 135.744zm-54.464-36.032a321.92 321.92 0 0 1-45.248-45.248L195.2 783.552a32 32 0 1 0 45.248 45.248l197.056-197.12z"})]))}}),lollipop_default=lollipop_vue_vue_type_script_setup_true_lang_default,magic_stick_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MagicStick",__name:"magic-stick",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64h64v192h-64zm0 576h64v192h-64zM160 480v-64h192v64zm576 0v-64h192v64zM249.856 199.04l45.248-45.184L430.848 289.6 385.6 334.848 249.856 199.104zM657.152 606.4l45.248-45.248 135.744 135.744-45.248 45.248zM114.048 923.2 68.8 877.952l316.8-316.8 45.248 45.248zM702.4 334.848 657.152 289.6l135.744-135.744 45.248 45.248z"})]))}}),magic_stick_default=magic_stick_vue_vue_type_script_setup_true_lang_default,magnet_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Magnet",__name:"magnet",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M832 320V192H704v320a192 192 0 1 1-384 0V192H192v128h128v64H192v128a320 320 0 0 0 640 0V384H704v-64zM640 512V128h256v384a384 384 0 1 1-768 0V128h256v384a128 128 0 1 0 256 0"})]))}}),magnet_default=magnet_vue_vue_type_script_setup_true_lang_default,male_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Male",__name:"male",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M399.5 849.5a225 225 0 1 0 0-450 225 225 0 0 0 0 450m0 56.25a281.25 281.25 0 1 1 0-562.5 281.25 281.25 0 0 1 0 562.5m253.125-787.5h225q28.125 0 28.125 28.125T877.625 174.5h-225q-28.125 0-28.125-28.125t28.125-28.125"}),createBaseVNode("path",{fill:"currentColor",d:"M877.625 118.25q28.125 0 28.125 28.125v225q0 28.125-28.125 28.125T849.5 371.375v-225q0-28.125 28.125-28.125"}),createBaseVNode("path",{fill:"currentColor",d:"M604.813 458.9 565.1 419.131l292.613-292.668 39.825 39.824z"})]))}}),male_default=male_vue_vue_type_script_setup_true_lang_default,management_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Management",__name:"management",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M576 128v288l96-96 96 96V128h128v768H320V128zm-448 0h128v768H128z"})]))}}),management_default=management_vue_vue_type_script_setup_true_lang_default,map_location_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MapLocation",__name:"map-location",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M800 416a288 288 0 1 0-576 0c0 118.144 94.528 272.128 288 456.576C705.472 688.128 800 534.144 800 416M512 960C277.312 746.688 160 565.312 160 416a352 352 0 0 1 704 0c0 149.312-117.312 330.688-352 544"}),createBaseVNode("path",{fill:"currentColor",d:"M512 448a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256m345.6 192L960 960H672v-64H352v64H64l102.4-256zm-68.928 0H235.328l-76.8 192h706.944z"})]))}}),map_location_default=map_location_vue_vue_type_script_setup_true_lang_default,medal_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Medal",__name:"medal",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a256 256 0 1 0 0-512 256 256 0 0 0 0 512m0 64a320 320 0 1 1 0-640 320 320 0 0 1 0 640"}),createBaseVNode("path",{fill:"currentColor",d:"M576 128H448v200a286.72 286.72 0 0 1 64-8c19.52 0 40.832 2.688 64 8zm64 0v219.648c24.448 9.088 50.56 20.416 78.4 33.92L757.44 128zm-256 0H266.624l39.04 253.568c27.84-13.504 53.888-24.832 78.336-33.92V128zM229.312 64h565.376a32 32 0 0 1 31.616 36.864L768 480c-113.792-64-199.104-96-256-96-56.896 0-142.208 32-256 96l-58.304-379.136A32 32 0 0 1 229.312 64"})]))}}),medal_default=medal_vue_vue_type_script_setup_true_lang_default,memo_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Memo",__name:"memo",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 320h192c21.33 0 32-10.67 32-32s-10.67-32-32-32H480c-21.33 0-32 10.67-32 32s10.67 32 32 32"}),createBaseVNode("path",{fill:"currentColor",d:"M887.01 72.99C881.01 67 873.34 64 864 64H160c-9.35 0-17.02 3-23.01 8.99C131 78.99 128 86.66 128 96v832c0 9.35 2.99 17.02 8.99 23.01S150.66 960 160 960h704c9.35 0 17.02-2.99 23.01-8.99S896 937.34 896 928V96c0-9.35-3-17.02-8.99-23.01M192 896V128h96v768zm640 0H352V128h480z"}),createBaseVNode("path",{fill:"currentColor",d:"M480 512h192c21.33 0 32-10.67 32-32s-10.67-32-32-32H480c-21.33 0-32 10.67-32 32s10.67 32 32 32m0 192h192c21.33 0 32-10.67 32-32s-10.67-32-32-32H480c-21.33 0-32 10.67-32 32s10.67 32 32 32"})]))}}),memo_default=memo_vue_vue_type_script_setup_true_lang_default,menu_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Menu",__name:"menu",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 448a32 32 0 0 1-32-32V160.064a32 32 0 0 1 32-32h256a32 32 0 0 1 32 32V416a32 32 0 0 1-32 32zm448 0a32 32 0 0 1-32-32V160.064a32 32 0 0 1 32-32h255.936a32 32 0 0 1 32 32V416a32 32 0 0 1-32 32zM160 896a32 32 0 0 1-32-32V608a32 32 0 0 1 32-32h256a32 32 0 0 1 32 32v256a32 32 0 0 1-32 32zm448 0a32 32 0 0 1-32-32V608a32 32 0 0 1 32-32h255.936a32 32 0 0 1 32 32v256a32 32 0 0 1-32 32z"})]))}}),menu_default=menu_vue_vue_type_script_setup_true_lang_default,message_box_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MessageBox",__name:"message-box",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 384h448v64H288zm96-128h256v64H384zM131.456 512H384v128h256V512h252.544L721.856 192H302.144zM896 576H704v128H320V576H128v256h768zM275.776 128h472.448a32 32 0 0 1 28.608 17.664l179.84 359.552A32 32 0 0 1 960 519.552V864a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V519.552a32 32 0 0 1 3.392-14.336l179.776-359.552A32 32 0 0 1 275.776 128z"})]))}}),message_box_default=message_box_vue_vue_type_script_setup_true_lang_default,message_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Message",__name:"message",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 224v512a64 64 0 0 0 64 64h640a64 64 0 0 0 64-64V224zm0-64h768a64 64 0 0 1 64 64v512a128 128 0 0 1-128 128H192A128 128 0 0 1 64 736V224a64 64 0 0 1 64-64"}),createBaseVNode("path",{fill:"currentColor",d:"M904 224 656.512 506.88a192 192 0 0 1-289.024 0L120 224zm-698.944 0 210.56 240.704a128 128 0 0 0 192.704 0L818.944 224H205.056"})]))}}),message_default=message_vue_vue_type_script_setup_true_lang_default,mic_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Mic",__name:"mic",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 704h160a64 64 0 0 0 64-64v-32h-96a32 32 0 0 1 0-64h96v-96h-96a32 32 0 0 1 0-64h96v-96h-96a32 32 0 0 1 0-64h96v-32a64 64 0 0 0-64-64H384a64 64 0 0 0-64 64v32h96a32 32 0 0 1 0 64h-96v96h96a32 32 0 0 1 0 64h-96v96h96a32 32 0 0 1 0 64h-96v32a64 64 0 0 0 64 64zm64 64v128h192a32 32 0 1 1 0 64H288a32 32 0 1 1 0-64h192V768h-96a128 128 0 0 1-128-128V192A128 128 0 0 1 384 64h256a128 128 0 0 1 128 128v448a128 128 0 0 1-128 128z"})]))}}),mic_default=mic_vue_vue_type_script_setup_true_lang_default,microphone_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Microphone",__name:"microphone",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 128a128 128 0 0 0-128 128v256a128 128 0 1 0 256 0V256a128 128 0 0 0-128-128m0-64a192 192 0 0 1 192 192v256a192 192 0 1 1-384 0V256A192 192 0 0 1 512 64m-32 832v-64a288 288 0 0 1-288-288v-32a32 32 0 0 1 64 0v32a224 224 0 0 0 224 224h64a224 224 0 0 0 224-224v-32a32 32 0 1 1 64 0v32a288 288 0 0 1-288 288v64h64a32 32 0 1 1 0 64H416a32 32 0 1 1 0-64z"})]))}}),microphone_default=microphone_vue_vue_type_script_setup_true_lang_default,milk_tea_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MilkTea",__name:"milk-tea",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M416 128V96a96 96 0 0 1 96-96h128a32 32 0 1 1 0 64H512a32 32 0 0 0-32 32v32h320a96 96 0 0 1 11.712 191.296l-39.68 581.056A64 64 0 0 1 708.224 960H315.776a64 64 0 0 1-63.872-59.648l-39.616-581.056A96 96 0 0 1 224 128zM276.48 320l39.296 576h392.448l4.8-70.784a224.064 224.064 0 0 1 30.016-439.808L747.52 320zM224 256h576a32 32 0 1 0 0-64H224a32 32 0 0 0 0 64m493.44 503.872 21.12-309.12a160 160 0 0 0-21.12 309.12"})]))}}),milk_tea_default=milk_tea_vue_vue_type_script_setup_true_lang_default,minus_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Minus",__name:"minus",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 544h768a32 32 0 1 0 0-64H128a32 32 0 0 0 0 64"})]))}}),minus_default=minus_vue_vue_type_script_setup_true_lang_default,money_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Money",__name:"money",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 640v192h640V384H768v-64h150.976c14.272 0 19.456 1.472 24.64 4.288a29.056 29.056 0 0 1 12.16 12.096c2.752 5.184 4.224 10.368 4.224 24.64v493.952c0 14.272-1.472 19.456-4.288 24.64a29.056 29.056 0 0 1-12.096 12.16c-5.184 2.752-10.368 4.224-24.64 4.224H233.024c-14.272 0-19.456-1.472-24.64-4.288a29.056 29.056 0 0 1-12.16-12.096c-2.688-5.184-4.224-10.368-4.224-24.576V640z"}),createBaseVNode("path",{fill:"currentColor",d:"M768 192H128v448h640zm64-22.976v493.952c0 14.272-1.472 19.456-4.288 24.64a29.056 29.056 0 0 1-12.096 12.16c-5.184 2.752-10.368 4.224-24.64 4.224H105.024c-14.272 0-19.456-1.472-24.64-4.288a29.056 29.056 0 0 1-12.16-12.096C65.536 682.432 64 677.248 64 663.04V169.024c0-14.272 1.472-19.456 4.288-24.64a29.056 29.056 0 0 1 12.096-12.16C85.568 129.536 90.752 128 104.96 128h685.952c14.272 0 19.456 1.472 24.64 4.288a29.056 29.056 0 0 1 12.16 12.096c2.752 5.184 4.224 10.368 4.224 24.64z"}),createBaseVNode("path",{fill:"currentColor",d:"M448 576a160 160 0 1 1 0-320 160 160 0 0 1 0 320m0-64a96 96 0 1 0 0-192 96 96 0 0 0 0 192"})]))}}),money_default=money_vue_vue_type_script_setup_true_lang_default,monitor_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Monitor",__name:"monitor",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 768v128h192a32 32 0 1 1 0 64H288a32 32 0 1 1 0-64h192V768H192A128 128 0 0 1 64 640V256a128 128 0 0 1 128-128h640a128 128 0 0 1 128 128v384a128 128 0 0 1-128 128zM192 192a64 64 0 0 0-64 64v384a64 64 0 0 0 64 64h640a64 64 0 0 0 64-64V256a64 64 0 0 0-64-64z"})]))}}),monitor_default=monitor_vue_vue_type_script_setup_true_lang_default,moon_night_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MoonNight",__name:"moon-night",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 512a448 448 0 0 1 215.872-383.296A384 384 0 0 0 213.76 640h188.8A448.256 448.256 0 0 1 384 512M171.136 704a448 448 0 0 1 636.992-575.296A384 384 0 0 0 499.328 704h-328.32z"}),createBaseVNode("path",{fill:"currentColor",d:"M32 640h960q32 0 32 32t-32 32H32q-32 0-32-32t32-32m128 128h384a32 32 0 1 1 0 64H160a32 32 0 1 1 0-64m160 127.68 224 .256a32 32 0 0 1 32 32V928a32 32 0 0 1-32 32l-224-.384a32 32 0 0 1-32-32v-.064a32 32 0 0 1 32-32z"})]))}}),moon_night_default=moon_night_vue_vue_type_script_setup_true_lang_default,moon_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Moon",__name:"moon",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M240.448 240.448a384 384 0 1 0 559.424 525.696 448 448 0 0 1-542.016-542.08 390.592 390.592 0 0 0-17.408 16.384zm181.056 362.048a384 384 0 0 0 525.632 16.384A448 448 0 1 1 405.056 76.8a384 384 0 0 0 16.448 525.696"})]))}}),moon_default=moon_vue_vue_type_script_setup_true_lang_default,more_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MoreFilled",__name:"more-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M176 416a112 112 0 1 1 0 224 112 112 0 0 1 0-224m336 0a112 112 0 1 1 0 224 112 112 0 0 1 0-224m336 0a112 112 0 1 1 0 224 112 112 0 0 1 0-224"})]))}}),more_filled_default=more_filled_vue_vue_type_script_setup_true_lang_default,more_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"More",__name:"more",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M176 416a112 112 0 1 0 0 224 112 112 0 0 0 0-224m0 64a48 48 0 1 1 0 96 48 48 0 0 1 0-96m336-64a112 112 0 1 1 0 224 112 112 0 0 1 0-224m0 64a48 48 0 1 0 0 96 48 48 0 0 0 0-96m336-64a112 112 0 1 1 0 224 112 112 0 0 1 0-224m0 64a48 48 0 1 0 0 96 48 48 0 0 0 0-96"})]))}}),more_default=more_vue_vue_type_script_setup_true_lang_default,mostly_cloudy_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MostlyCloudy",__name:"mostly-cloudy",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M737.216 357.952 704 349.824l-11.776-32a192.064 192.064 0 0 0-367.424 23.04l-8.96 39.04-39.04 8.96A192.064 192.064 0 0 0 320 768h368a207.808 207.808 0 0 0 207.808-208 208.32 208.32 0 0 0-158.592-202.048m15.168-62.208A272.32 272.32 0 0 1 959.744 560a271.808 271.808 0 0 1-271.552 272H320a256 256 0 0 1-57.536-505.536 256.128 256.128 0 0 1 489.92-30.72"})]))}}),mostly_cloudy_default=mostly_cloudy_vue_vue_type_script_setup_true_lang_default,mouse_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Mouse",__name:"mouse",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M438.144 256c-68.352 0-92.736 4.672-117.76 18.112-20.096 10.752-35.52 26.176-46.272 46.272C260.672 345.408 256 369.792 256 438.144v275.712c0 68.352 4.672 92.736 18.112 117.76 10.752 20.096 26.176 35.52 46.272 46.272C345.408 891.328 369.792 896 438.144 896h147.712c68.352 0 92.736-4.672 117.76-18.112 20.096-10.752 35.52-26.176 46.272-46.272C763.328 806.592 768 782.208 768 713.856V438.144c0-68.352-4.672-92.736-18.112-117.76a110.464 110.464 0 0 0-46.272-46.272C678.592 260.672 654.208 256 585.856 256zm0-64h147.712c85.568 0 116.608 8.96 147.904 25.6 31.36 16.768 55.872 41.344 72.576 72.64C823.104 321.536 832 352.576 832 438.08v275.84c0 85.504-8.96 116.544-25.6 147.84a174.464 174.464 0 0 1-72.64 72.576C702.464 951.104 671.424 960 585.92 960H438.08c-85.504 0-116.544-8.96-147.84-25.6a174.464 174.464 0 0 1-72.64-72.704c-16.768-31.296-25.664-62.336-25.664-147.84v-275.84c0-85.504 8.96-116.544 25.6-147.84a174.464 174.464 0 0 1 72.768-72.576c31.232-16.704 62.272-25.6 147.776-25.6z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 320q32 0 32 32v128q0 32-32 32t-32-32V352q0-32 32-32m32-96a32 32 0 0 1-64 0v-64a32 32 0 0 0-32-32h-96a32 32 0 0 1 0-64h96a96 96 0 0 1 96 96z"})]))}}),mouse_default=mouse_vue_vue_type_script_setup_true_lang_default,mug_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Mug",__name:"mug",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M736 800V160H160v640a64 64 0 0 0 64 64h448a64 64 0 0 0 64-64m64-544h63.552a96 96 0 0 1 96 96v224a96 96 0 0 1-96 96H800v128a128 128 0 0 1-128 128H224A128 128 0 0 1 96 800V128a32 32 0 0 1 32-32h640a32 32 0 0 1 32 32zm0 64v288h63.552a32 32 0 0 0 32-32V352a32 32 0 0 0-32-32z"})]))}}),mug_default=mug_vue_vue_type_script_setup_true_lang_default,mute_notification_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MuteNotification",__name:"mute-notification",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m241.216 832 63.616-64H768V448c0-42.368-10.24-82.304-28.48-117.504l46.912-47.232C815.36 331.392 832 387.84 832 448v320h96a32 32 0 1 1 0 64zm-90.24 0H96a32 32 0 1 1 0-64h96V448a320.128 320.128 0 0 1 256-313.6V128a64 64 0 1 1 128 0v6.4a319.552 319.552 0 0 1 171.648 97.088l-45.184 45.44A256 256 0 0 0 256 448v278.336L151.04 832zM448 896h128a64 64 0 0 1-128 0"}),createBaseVNode("path",{fill:"currentColor",d:"M150.72 859.072a32 32 0 0 1-45.44-45.056l704-708.544a32 32 0 0 1 45.44 45.056l-704 708.544z"})]))}}),mute_notification_default=mute_notification_vue_vue_type_script_setup_true_lang_default,mute_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Mute",__name:"mute",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m412.16 592.128-45.44 45.44A191.232 191.232 0 0 1 320 512V256a192 192 0 1 1 384 0v44.352l-64 64V256a128 128 0 1 0-256 0v256c0 30.336 10.56 58.24 28.16 80.128m51.968 38.592A128 128 0 0 0 640 512v-57.152l64-64V512a192 192 0 0 1-287.68 166.528zM314.88 779.968l46.144-46.08A222.976 222.976 0 0 0 480 768h64a224 224 0 0 0 224-224v-32a32 32 0 1 1 64 0v32a288 288 0 0 1-288 288v64h64a32 32 0 1 1 0 64H416a32 32 0 1 1 0-64h64v-64c-61.44 0-118.4-19.2-165.12-52.032M266.752 737.6A286.976 286.976 0 0 1 192 544v-32a32 32 0 0 1 64 0v32c0 56.832 21.184 108.8 56.064 148.288z"}),createBaseVNode("path",{fill:"currentColor",d:"M150.72 859.072a32 32 0 0 1-45.44-45.056l704-708.544a32 32 0 0 1 45.44 45.056l-704 708.544z"})]))}}),mute_default=mute_vue_vue_type_script_setup_true_lang_default,no_smoking_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"NoSmoking",__name:"no-smoking",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M440.256 576H256v128h56.256l-64 64H224a32 32 0 0 1-32-32V544a32 32 0 0 1 32-32h280.256zm143.488 128H704V583.744L775.744 512H928a32 32 0 0 1 32 32v192a32 32 0 0 1-32 32H519.744zM768 576v128h128V576zm-29.696-207.552 45.248 45.248-497.856 497.856-45.248-45.248zM256 64h64v320h-64zM128 192h64v192h-64zM64 512h64v256H64z"})]))}}),no_smoking_default=no_smoking_vue_vue_type_script_setup_true_lang_default,notebook_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Notebook",__name:"notebook",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 128v768h640V128zm-32-64h704a32 32 0 0 1 32 32v832a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M672 128h64v768h-64zM96 192h128q32 0 32 32t-32 32H96q-32 0-32-32t32-32m0 192h128q32 0 32 32t-32 32H96q-32 0-32-32t32-32m0 192h128q32 0 32 32t-32 32H96q-32 0-32-32t32-32m0 192h128q32 0 32 32t-32 32H96q-32 0-32-32t32-32"})]))}}),notebook_default=notebook_vue_vue_type_script_setup_true_lang_default,notification_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Notification",__name:"notification",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 128v64H256a64 64 0 0 0-64 64v512a64 64 0 0 0 64 64h512a64 64 0 0 0 64-64V512h64v256a128 128 0 0 1-128 128H256a128 128 0 0 1-128-128V256a128 128 0 0 1 128-128z"}),createBaseVNode("path",{fill:"currentColor",d:"M768 384a128 128 0 1 0 0-256 128 128 0 0 0 0 256m0 64a192 192 0 1 1 0-384 192 192 0 0 1 0 384"})]))}}),notification_default=notification_vue_vue_type_script_setup_true_lang_default,odometer_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Odometer",__name:"odometer",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M192 512a320 320 0 1 1 640 0 32 32 0 1 1-64 0 256 256 0 1 0-512 0 32 32 0 0 1-64 0"}),createBaseVNode("path",{fill:"currentColor",d:"M570.432 627.84A96 96 0 1 1 509.568 608l60.992-187.776A32 32 0 1 1 631.424 440l-60.992 187.776zM502.08 734.464a32 32 0 1 0 19.84-60.928 32 32 0 0 0-19.84 60.928"})]))}}),odometer_default=odometer_vue_vue_type_script_setup_true_lang_default,office_building_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"OfficeBuilding",__name:"office-building",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 128v704h384V128zm-32-64h448a32 32 0 0 1 32 32v768a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M256 256h256v64H256zm0 192h256v64H256zm0 192h256v64H256zm384-128h128v64H640zm0 128h128v64H640zM64 832h896v64H64z"}),createBaseVNode("path",{fill:"currentColor",d:"M640 384v448h192V384zm-32-64h256a32 32 0 0 1 32 32v512a32 32 0 0 1-32 32H608a32 32 0 0 1-32-32V352a32 32 0 0 1 32-32"})]))}}),office_building_default=office_building_vue_vue_type_script_setup_true_lang_default,open_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Open",__name:"open",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M329.956 257.138a254.862 254.862 0 0 0 0 509.724h364.088a254.862 254.862 0 0 0 0-509.724zm0-72.818h364.088a327.68 327.68 0 1 1 0 655.36H329.956a327.68 327.68 0 1 1 0-655.36z"}),createBaseVNode("path",{fill:"currentColor",d:"M694.044 621.227a109.227 109.227 0 1 0 0-218.454 109.227 109.227 0 0 0 0 218.454m0 72.817a182.044 182.044 0 1 1 0-364.088 182.044 182.044 0 0 1 0 364.088"})]))}}),open_default=open_vue_vue_type_script_setup_true_lang_default,operation_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Operation",__name:"operation",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M389.44 768a96.064 96.064 0 0 1 181.12 0H896v64H570.56a96.064 96.064 0 0 1-181.12 0H128v-64zm192-288a96.064 96.064 0 0 1 181.12 0H896v64H762.56a96.064 96.064 0 0 1-181.12 0H128v-64zm-320-288a96.064 96.064 0 0 1 181.12 0H896v64H442.56a96.064 96.064 0 0 1-181.12 0H128v-64z"})]))}}),operation_default=operation_vue_vue_type_script_setup_true_lang_default,opportunity_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Opportunity",__name:"opportunity",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 960v-64h192.064v64zm448-544a350.656 350.656 0 0 1-128.32 271.424C665.344 719.04 640 763.776 640 813.504V832H320v-14.336c0-48-19.392-95.36-57.216-124.992a351.552 351.552 0 0 1-128.448-344.256c25.344-136.448 133.888-248.128 269.76-276.48A352.384 352.384 0 0 1 832 416m-544 32c0-132.288 75.904-224 192-224v-64c-154.432 0-256 122.752-256 288z"})]))}}),opportunity_default=opportunity_vue_vue_type_script_setup_true_lang_default,orange_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Orange",__name:"orange",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 894.72a382.336 382.336 0 0 0 215.936-89.472L577.024 622.272c-10.24 6.016-21.248 10.688-33.024 13.696v258.688zm261.248-134.784A382.336 382.336 0 0 0 894.656 544H635.968c-3.008 11.776-7.68 22.848-13.696 33.024l182.976 182.912zM894.656 480a382.336 382.336 0 0 0-89.408-215.936L622.272 446.976c6.016 10.24 10.688 21.248 13.696 33.024h258.688zm-134.72-261.248A382.336 382.336 0 0 0 544 129.344v258.688c11.776 3.008 22.848 7.68 33.024 13.696zM480 129.344a382.336 382.336 0 0 0-215.936 89.408l182.912 182.976c10.24-6.016 21.248-10.688 33.024-13.696zm-261.248 134.72A382.336 382.336 0 0 0 129.344 480h258.688c3.008-11.776 7.68-22.848 13.696-33.024zM129.344 544a382.336 382.336 0 0 0 89.408 215.936l182.976-182.912A127.232 127.232 0 0 1 388.032 544zm134.72 261.248A382.336 382.336 0 0 0 480 894.656V635.968a127.232 127.232 0 0 1-33.024-13.696zM512 960a448 448 0 1 1 0-896 448 448 0 0 1 0 896m0-384a64 64 0 1 0 0-128 64 64 0 0 0 0 128"})]))}}),orange_default=orange_vue_vue_type_script_setup_true_lang_default,paperclip_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Paperclip",__name:"paperclip",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M602.496 240.448A192 192 0 1 1 874.048 512l-316.8 316.8A256 256 0 0 1 195.2 466.752L602.496 59.456l45.248 45.248L240.448 512A192 192 0 0 0 512 783.552l316.8-316.8a128 128 0 1 0-181.056-181.056L353.6 579.904a32 32 0 1 0 45.248 45.248l294.144-294.144 45.312 45.248L444.096 670.4a96 96 0 1 1-135.744-135.744l294.144-294.208z"})]))}}),paperclip_default=paperclip_vue_vue_type_script_setup_true_lang_default,partly_cloudy_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PartlyCloudy",__name:"partly-cloudy",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M598.4 895.872H328.192a256 256 0 0 1-34.496-510.528A352 352 0 1 1 598.4 895.872m-271.36-64h272.256a288 288 0 1 0-248.512-417.664L335.04 445.44l-34.816 3.584a192 192 0 0 0 26.88 382.848z"}),createBaseVNode("path",{fill:"currentColor",d:"M139.84 501.888a256 256 0 1 1 417.856-277.12c-17.728 2.176-38.208 8.448-61.504 18.816A192 192 0 1 0 189.12 460.48a6003.84 6003.84 0 0 0-49.28 41.408z"})]))}}),partly_cloudy_default=partly_cloudy_vue_vue_type_script_setup_true_lang_default,pear_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Pear",__name:"pear",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M542.336 258.816a443.255 443.255 0 0 0-9.024 25.088 32 32 0 1 1-60.8-20.032l1.088-3.328a162.688 162.688 0 0 0-122.048 131.392l-17.088 102.72-20.736 15.36C256.192 552.704 224 610.88 224 672c0 120.576 126.4 224 288 224s288-103.424 288-224c0-61.12-32.192-119.296-89.728-161.92l-20.736-15.424-17.088-102.72a162.688 162.688 0 0 0-130.112-133.12zm-40.128-66.56c7.936-15.552 16.576-30.08 25.92-43.776 23.296-33.92 49.408-59.776 78.528-77.12a32 32 0 1 1 32.704 55.04c-20.544 12.224-40.064 31.552-58.432 58.304a316.608 316.608 0 0 0-9.792 15.104 226.688 226.688 0 0 1 164.48 181.568l12.8 77.248C819.456 511.36 864 587.392 864 672c0 159.04-157.568 288-352 288S160 831.04 160 672c0-84.608 44.608-160.64 115.584-213.376l12.8-77.248a226.624 226.624 0 0 1 213.76-189.184z"})]))}}),pear_default=pear_vue_vue_type_script_setup_true_lang_default,phone_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PhoneFilled",__name:"phone-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M199.232 125.568 90.624 379.008a32 32 0 0 0 6.784 35.2l512.384 512.384a32 32 0 0 0 35.2 6.784l253.44-108.608a32 32 0 0 0 10.048-52.032L769.6 633.92a32 32 0 0 0-36.928-5.952l-130.176 65.088-271.488-271.552 65.024-130.176a32 32 0 0 0-5.952-36.928L251.2 115.52a32 32 0 0 0-51.968 10.048z"})]))}}),phone_filled_default=phone_filled_vue_vue_type_script_setup_true_lang_default,phone_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Phone",__name:"phone",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M79.36 432.256 591.744 944.64a32 32 0 0 0 35.2 6.784l253.44-108.544a32 32 0 0 0 9.984-52.032l-153.856-153.92a32 32 0 0 0-36.928-6.016l-69.888 34.944L358.08 394.24l35.008-69.888a32 32 0 0 0-5.952-36.928L233.152 133.568a32 32 0 0 0-52.032 10.048L72.512 397.056a32 32 0 0 0 6.784 35.2zm60.48-29.952 81.536-190.08L325.568 316.48l-24.64 49.216-20.608 41.216 32.576 32.64 271.552 271.552 32.64 32.64 41.216-20.672 49.28-24.576 104.192 104.128-190.08 81.472L139.84 402.304zM512 320v-64a256 256 0 0 1 256 256h-64a192 192 0 0 0-192-192m0-192V64a448 448 0 0 1 448 448h-64a384 384 0 0 0-384-384"})]))}}),phone_default=phone_vue_vue_type_script_setup_true_lang_default,picture_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PictureFilled",__name:"picture-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M96 896a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32h832a32 32 0 0 1 32 32v704a32 32 0 0 1-32 32zm315.52-228.48-68.928-68.928a32 32 0 0 0-45.248 0L128 768.064h778.688l-242.112-290.56a32 32 0 0 0-49.216 0L458.752 665.408a32 32 0 0 1-47.232 2.112M256 384a96 96 0 1 0 192.064-.064A96 96 0 0 0 256 384"})]))}}),picture_filled_default=picture_filled_vue_vue_type_script_setup_true_lang_default,picture_rounded_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PictureRounded",__name:"picture-rounded",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 128a384 384 0 1 0 0 768 384 384 0 0 0 0-768m0-64a448 448 0 1 1 0 896 448 448 0 0 1 0-896"}),createBaseVNode("path",{fill:"currentColor",d:"M640 288q64 0 64 64t-64 64q-64 0-64-64t64-64M214.656 790.656l-45.312-45.312 185.664-185.6a96 96 0 0 1 123.712-10.24l138.24 98.688a32 32 0 0 0 39.872-2.176L906.688 422.4l42.624 47.744L699.52 693.696a96 96 0 0 1-119.808 6.592l-138.24-98.752a32 32 0 0 0-41.152 3.456l-185.664 185.6z"})]))}}),picture_rounded_default=picture_rounded_vue_vue_type_script_setup_true_lang_default,picture_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Picture",__name:"picture",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 160v704h704V160zm-32-64h768a32 32 0 0 1 32 32v768a32 32 0 0 1-32 32H128a32 32 0 0 1-32-32V128a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M384 288q64 0 64 64t-64 64q-64 0-64-64t64-64M185.408 876.992l-50.816-38.912L350.72 556.032a96 96 0 0 1 134.592-17.856l1.856 1.472 122.88 99.136a32 32 0 0 0 44.992-4.864l216-269.888 49.92 39.936-215.808 269.824-.256.32a96 96 0 0 1-135.04 14.464l-122.88-99.072-.64-.512a32 32 0 0 0-44.8 5.952z"})]))}}),picture_default=picture_vue_vue_type_script_setup_true_lang_default,pie_chart_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PieChart",__name:"pie-chart",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M448 68.48v64.832A384.128 384.128 0 0 0 512 896a384.128 384.128 0 0 0 378.688-320h64.768A448.128 448.128 0 0 1 64 512 448.128 448.128 0 0 1 448 68.48z"}),createBaseVNode("path",{fill:"currentColor",d:"M576 97.28V448h350.72A384.064 384.064 0 0 0 576 97.28zM512 64V33.152A448 448 0 0 1 990.848 512H512z"})]))}}),pie_chart_default=pie_chart_vue_vue_type_script_setup_true_lang_default,place_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Place",__name:"place",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 512a192 192 0 1 0 0-384 192 192 0 0 0 0 384m0 64a256 256 0 1 1 0-512 256 256 0 0 1 0 512"}),createBaseVNode("path",{fill:"currentColor",d:"M512 512a32 32 0 0 1 32 32v256a32 32 0 1 1-64 0V544a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M384 649.088v64.96C269.76 732.352 192 771.904 192 800c0 37.696 139.904 96 320 96s320-58.304 320-96c0-28.16-77.76-67.648-192-85.952v-64.96C789.12 671.04 896 730.368 896 800c0 88.32-171.904 160-384 160s-384-71.68-384-160c0-69.696 106.88-128.96 256-150.912"})]))}}),place_default=place_vue_vue_type_script_setup_true_lang_default,platform_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Platform",__name:"platform",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M448 832v-64h128v64h192v64H256v-64zM128 704V128h768v576z"})]))}}),platform_default=platform_vue_vue_type_script_setup_true_lang_default,plus_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Plus",__name:"plus",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 480V128a32 32 0 0 1 64 0v352h352a32 32 0 1 1 0 64H544v352a32 32 0 1 1-64 0V544H128a32 32 0 0 1 0-64z"})]))}}),plus_default=plus_vue_vue_type_script_setup_true_lang_default,pointer_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Pointer",__name:"pointer",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M511.552 128c-35.584 0-64.384 28.8-64.384 64.448v516.48L274.048 570.88a94.272 94.272 0 0 0-112.896-3.456 44.416 44.416 0 0 0-8.96 62.208L332.8 870.4A64 64 0 0 0 384 896h512V575.232a64 64 0 0 0-45.632-61.312l-205.952-61.76A96 96 0 0 1 576 360.192V192.448C576 156.8 547.2 128 511.552 128M359.04 556.8l24.128 19.2V192.448a128.448 128.448 0 1 1 256.832 0v167.744a32 32 0 0 0 22.784 30.656l206.016 61.76A128 128 0 0 1 960 575.232V896a64 64 0 0 1-64 64H384a128 128 0 0 1-102.4-51.2L101.056 668.032A108.416 108.416 0 0 1 128 512.512a158.272 158.272 0 0 1 185.984 8.32z"})]))}}),pointer_default=pointer_vue_vue_type_script_setup_true_lang_default,position_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Position",__name:"position",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m249.6 417.088 319.744 43.072 39.168 310.272L845.12 178.88 249.6 417.088zm-129.024 47.168a32 32 0 0 1-7.68-61.44l777.792-311.04a32 32 0 0 1 41.6 41.6l-310.336 775.68a32 32 0 0 1-61.44-7.808L512 516.992l-391.424-52.736z"})]))}}),position_default=position_vue_vue_type_script_setup_true_lang_default,postcard_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Postcard",__name:"postcard",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 224a32 32 0 0 0-32 32v512a32 32 0 0 0 32 32h704a32 32 0 0 0 32-32V256a32 32 0 0 0-32-32zm0-64h704a96 96 0 0 1 96 96v512a96 96 0 0 1-96 96H160a96 96 0 0 1-96-96V256a96 96 0 0 1 96-96"}),createBaseVNode("path",{fill:"currentColor",d:"M704 320a64 64 0 1 1 0 128 64 64 0 0 1 0-128M288 448h256q32 0 32 32t-32 32H288q-32 0-32-32t32-32m0 128h256q32 0 32 32t-32 32H288q-32 0-32-32t32-32"})]))}}),postcard_default=postcard_vue_vue_type_script_setup_true_lang_default,pouring_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Pouring",__name:"pouring",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m739.328 291.328-35.2-6.592-12.8-33.408a192.064 192.064 0 0 0-365.952 23.232l-9.92 40.896-41.472 7.04a176.32 176.32 0 0 0-146.24 173.568c0 97.28 78.72 175.936 175.808 175.936h400a192 192 0 0 0 35.776-380.672zM959.552 480a256 256 0 0 1-256 256h-400A239.808 239.808 0 0 1 63.744 496.192a240.32 240.32 0 0 1 199.488-236.8 256.128 256.128 0 0 1 487.872-30.976A256.064 256.064 0 0 1 959.552 480M224 800a32 32 0 0 1 32 32v96a32 32 0 1 1-64 0v-96a32 32 0 0 1 32-32m192 0a32 32 0 0 1 32 32v96a32 32 0 1 1-64 0v-96a32 32 0 0 1 32-32m192 0a32 32 0 0 1 32 32v96a32 32 0 1 1-64 0v-96a32 32 0 0 1 32-32m192 0a32 32 0 0 1 32 32v96a32 32 0 1 1-64 0v-96a32 32 0 0 1 32-32"})]))}}),pouring_default=pouring_vue_vue_type_script_setup_true_lang_default,present_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Present",__name:"present",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 896V640H192v-64h288V320H192v576zm64 0h288V320H544v256h288v64H544zM128 256h768v672a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32z"}),createBaseVNode("path",{fill:"currentColor",d:"M96 256h832q32 0 32 32t-32 32H96q-32 0-32-32t32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M416 256a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"}),createBaseVNode("path",{fill:"currentColor",d:"M608 256a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"})]))}}),present_default=present_vue_vue_type_script_setup_true_lang_default,price_tag_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PriceTag",__name:"price-tag",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 318.336V896h576V318.336L552.512 115.84a64 64 0 0 0-81.024 0zM593.024 66.304l259.2 212.096A32 32 0 0 1 864 303.168V928a32 32 0 0 1-32 32H192a32 32 0 0 1-32-32V303.168a32 32 0 0 1 11.712-24.768l259.2-212.096a128 128 0 0 1 162.112 0z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 448a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"})]))}}),price_tag_default=price_tag_vue_vue_type_script_setup_true_lang_default,printer_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Printer",__name:"printer",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 768H105.024c-14.272 0-19.456-1.472-24.64-4.288a29.056 29.056 0 0 1-12.16-12.096C65.536 746.432 64 741.248 64 727.04V379.072c0-42.816 4.48-58.304 12.8-73.984 8.384-15.616 20.672-27.904 36.288-36.288 15.68-8.32 31.168-12.8 73.984-12.8H256V64h512v192h68.928c42.816 0 58.304 4.48 73.984 12.8 15.616 8.384 27.904 20.672 36.288 36.288 8.32 15.68 12.8 31.168 12.8 73.984v347.904c0 14.272-1.472 19.456-4.288 24.64a29.056 29.056 0 0 1-12.096 12.16c-5.184 2.752-10.368 4.224-24.64 4.224H768v192H256zm64-192v320h384V576zm-64 128V512h512v192h128V379.072c0-29.376-1.408-36.48-5.248-43.776a23.296 23.296 0 0 0-10.048-10.048c-7.232-3.84-14.4-5.248-43.776-5.248H187.072c-29.376 0-36.48 1.408-43.776 5.248a23.296 23.296 0 0 0-10.048 10.048c-3.84 7.232-5.248 14.4-5.248 43.776V704zm64-448h384V128H320zm-64 128h64v64h-64zm128 0h64v64h-64z"})]))}}),printer_default=printer_vue_vue_type_script_setup_true_lang_default,promotion_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Promotion",__name:"promotion",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m64 448 832-320-128 704-446.08-243.328L832 192 242.816 545.472zm256 512V657.024L512 768z"})]))}}),promotion_default=promotion_vue_vue_type_script_setup_true_lang_default,quartz_watch_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"QuartzWatch",__name:"quartz-watch",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M422.02 602.01v-.03c-6.68-5.99-14.35-8.83-23.01-8.51-8.67.32-16.17 3.66-22.5 10.02-6.33 6.36-9.5 13.7-9.5 22.02s3 15.82 8.99 22.5c8.68 8.68 19.02 11.35 31.01 8s19.49-10.85 22.5-22.5c3.01-11.65.51-22.15-7.49-31.49zM384 512c0-9.35-3-17.02-8.99-23.01-6-5.99-13.66-8.99-23.01-8.99-9.35 0-17.02 3-23.01 8.99-5.99 6-8.99 13.66-8.99 23.01s3 17.02 8.99 23.01c6 5.99 13.66 8.99 23.01 8.99 9.35 0 17.02-3 23.01-8.99 5.99-6 8.99-13.67 8.99-23.01m6.53-82.49c11.65 3.01 22.15.51 31.49-7.49h.04c5.99-6.68 8.83-14.34 8.51-23.01-.32-8.67-3.66-16.16-10.02-22.5-6.36-6.33-13.7-9.5-22.02-9.5s-15.82 3-22.5 8.99c-8.68 8.69-11.35 19.02-8 31.01 3.35 11.99 10.85 19.49 22.5 22.5zm242.94 0c11.67-3.03 19.01-10.37 22.02-22.02 3.01-11.65.51-22.15-7.49-31.49h.01c-6.68-5.99-14.18-8.99-22.5-8.99s-15.66 3.16-22.02 9.5c-6.36 6.34-9.7 13.84-10.02 22.5-.32 8.66 2.52 16.33 8.51 23.01 9.32 8.02 19.82 10.52 31.49 7.49M512 640c-9.35 0-17.02 3-23.01 8.99-5.99 6-8.99 13.66-8.99 23.01s3 17.02 8.99 23.01c6 5.99 13.67 8.99 23.01 8.99 9.35 0 17.02-3 23.01-8.99 5.99-6 8.99-13.66 8.99-23.01s-3-17.02-8.99-23.01c-6-5.99-13.66-8.99-23.01-8.99m183.01-151.01c-6-5.99-13.66-8.99-23.01-8.99s-17.02 3-23.01 8.99c-5.99 6-8.99 13.66-8.99 23.01s3 17.02 8.99 23.01c6 5.99 13.66 8.99 23.01 8.99s17.02-3 23.01-8.99c5.99-6 8.99-13.67 8.99-23.01 0-9.35-3-17.02-8.99-23.01"}),createBaseVNode("path",{fill:"currentColor",d:"M832 512c-2-90.67-33.17-166.17-93.5-226.5-20.43-20.42-42.6-37.49-66.5-51.23V64H352v170.26c-23.9 13.74-46.07 30.81-66.5 51.24-60.33 60.33-91.49 135.83-93.5 226.5 2 90.67 33.17 166.17 93.5 226.5 20.43 20.43 42.6 37.5 66.5 51.24V960h320V789.74c23.9-13.74 46.07-30.81 66.5-51.24 60.33-60.34 91.49-135.83 93.5-226.5M416 128h192v78.69c-29.85-9.03-61.85-13.93-96-14.69-34.15.75-66.15 5.65-96 14.68zm192 768H416v-78.68c29.85 9.03 61.85 13.93 96 14.68 34.15-.75 66.15-5.65 96-14.68zm-96-128c-72.66-2.01-132.99-27.01-180.99-75.01S258.01 584.66 256 512c2.01-72.66 27.01-132.99 75.01-180.99S439.34 258.01 512 256c72.66 2.01 132.99 27.01 180.99 75.01S765.99 439.34 768 512c-2.01 72.66-27.01 132.99-75.01 180.99S584.66 765.99 512 768"}),createBaseVNode("path",{fill:"currentColor",d:"M512 320c-9.35 0-17.02 3-23.01 8.99-5.99 6-8.99 13.66-8.99 23.01 0 9.35 3 17.02 8.99 23.01 6 5.99 13.67 8.99 23.01 8.99 9.35 0 17.02-3 23.01-8.99 5.99-6 8.99-13.66 8.99-23.01 0-9.35-3-17.02-8.99-23.01-6-5.99-13.66-8.99-23.01-8.99m112.99 273.5c-8.66-.32-16.33 2.52-23.01 8.51-7.98 9.32-10.48 19.82-7.49 31.49s10.49 19.17 22.5 22.5 22.35.66 31.01-8v.04c5.99-6.68 8.99-14.18 8.99-22.5s-3.16-15.66-9.5-22.02-13.84-9.7-22.5-10.02"})]))}}),quartz_watch_default=quartz_watch_vue_vue_type_script_setup_true_lang_default,question_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"QuestionFilled",__name:"question-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m23.744 191.488c-52.096 0-92.928 14.784-123.2 44.352-30.976 29.568-45.76 70.4-45.76 122.496h80.256c0-29.568 5.632-52.8 17.6-68.992 13.376-19.712 35.2-28.864 66.176-28.864 23.936 0 42.944 6.336 56.32 19.712 12.672 13.376 19.712 31.68 19.712 54.912 0 17.6-6.336 34.496-19.008 49.984l-8.448 9.856c-45.76 40.832-73.216 70.4-82.368 89.408-9.856 19.008-14.08 42.24-14.08 68.992v9.856h80.96v-9.856c0-16.896 3.52-31.68 10.56-45.76 6.336-12.672 15.488-24.64 28.16-35.2 33.792-29.568 54.208-48.576 60.544-55.616 16.896-22.528 26.048-51.392 26.048-86.592 0-42.944-14.08-76.736-42.24-101.376-28.16-25.344-65.472-37.312-111.232-37.312zm-12.672 406.208a54.272 54.272 0 0 0-38.72 14.784 49.408 49.408 0 0 0-15.488 38.016c0 15.488 4.928 28.16 15.488 38.016A54.848 54.848 0 0 0 523.072 768c15.488 0 28.16-4.928 38.72-14.784a51.52 51.52 0 0 0 16.192-38.72 51.968 51.968 0 0 0-15.488-38.016 55.936 55.936 0 0 0-39.424-14.784z"})]))}}),question_filled_default=question_filled_vue_vue_type_script_setup_true_lang_default,rank_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Rank",__name:"rank",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m186.496 544 41.408 41.344a32 32 0 1 1-45.248 45.312l-96-96a32 32 0 0 1 0-45.312l96-96a32 32 0 1 1 45.248 45.312L186.496 480h290.816V186.432l-41.472 41.472a32 32 0 1 1-45.248-45.184l96-96.128a32 32 0 0 1 45.312 0l96 96.064a32 32 0 0 1-45.248 45.184l-41.344-41.28V480H832l-41.344-41.344a32 32 0 0 1 45.248-45.312l96 96a32 32 0 0 1 0 45.312l-96 96a32 32 0 0 1-45.248-45.312L832 544H541.312v293.44l41.344-41.28a32 32 0 1 1 45.248 45.248l-96 96a32 32 0 0 1-45.312 0l-96-96a32 32 0 1 1 45.312-45.248l41.408 41.408V544H186.496z"})]))}}),rank_default=rank_vue_vue_type_script_setup_true_lang_default,reading_lamp_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ReadingLamp",__name:"reading-lamp",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 896h320q32 0 32 32t-32 32H352q-32 0-32-32t32-32m-44.672-768-99.52 448h608.384l-99.52-448zm-25.6-64h460.608a32 32 0 0 1 31.232 25.088l113.792 512A32 32 0 0 1 856.128 640H167.872a32 32 0 0 1-31.232-38.912l113.792-512A32 32 0 0 1 281.664 64z"}),createBaseVNode("path",{fill:"currentColor",d:"M672 576q32 0 32 32v128q0 32-32 32t-32-32V608q0-32 32-32m-192-.064h64V960h-64z"})]))}}),reading_lamp_default=reading_lamp_vue_vue_type_script_setup_true_lang_default,reading_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Reading",__name:"reading",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m512 863.36 384-54.848v-638.72L525.568 222.72a96 96 0 0 1-27.136 0L128 169.792v638.72zM137.024 106.432l370.432 52.928a32 32 0 0 0 9.088 0l370.432-52.928A64 64 0 0 1 960 169.792v638.72a64 64 0 0 1-54.976 63.36l-388.48 55.488a32 32 0 0 1-9.088 0l-388.48-55.488A64 64 0 0 1 64 808.512v-638.72a64 64 0 0 1 73.024-63.36z"}),createBaseVNode("path",{fill:"currentColor",d:"M480 192h64v704h-64z"})]))}}),reading_default=reading_vue_vue_type_script_setup_true_lang_default,refresh_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"RefreshLeft",__name:"refresh-left",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M289.088 296.704h92.992a32 32 0 0 1 0 64H232.96a32 32 0 0 1-32-32V179.712a32 32 0 0 1 64 0v50.56a384 384 0 0 1 643.84 282.88 384 384 0 0 1-383.936 384 384 384 0 0 1-384-384h64a320 320 0 1 0 640 0 320 320 0 0 0-555.712-216.448z"})]))}}),refresh_left_default=refresh_left_vue_vue_type_script_setup_true_lang_default,refresh_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"RefreshRight",__name:"refresh-right",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M784.512 230.272v-50.56a32 32 0 1 1 64 0v149.056a32 32 0 0 1-32 32H667.52a32 32 0 1 1 0-64h92.992A320 320 0 1 0 524.8 833.152a320 320 0 0 0 320-320h64a384 384 0 0 1-384 384 384 384 0 0 1-384-384 384 384 0 0 1 643.712-282.88z"})]))}}),refresh_right_default=refresh_right_vue_vue_type_script_setup_true_lang_default,refresh_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Refresh",__name:"refresh",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M771.776 794.88A384 384 0 0 1 128 512h64a320 320 0 0 0 555.712 216.448H654.72a32 32 0 1 1 0-64h149.056a32 32 0 0 1 32 32v148.928a32 32 0 1 1-64 0v-50.56zM276.288 295.616h92.992a32 32 0 0 1 0 64H220.16a32 32 0 0 1-32-32V178.56a32 32 0 0 1 64 0v50.56A384 384 0 0 1 896.128 512h-64a320 320 0 0 0-555.776-216.384z"})]))}}),refresh_default=refresh_vue_vue_type_script_setup_true_lang_default,refrigerator_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Refrigerator",__name:"refrigerator",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 448h512V160a32 32 0 0 0-32-32H288a32 32 0 0 0-32 32zm0 64v352a32 32 0 0 0 32 32h448a32 32 0 0 0 32-32V512zm32-448h448a96 96 0 0 1 96 96v704a96 96 0 0 1-96 96H288a96 96 0 0 1-96-96V160a96 96 0 0 1 96-96m32 224h64v96h-64zm0 288h64v96h-64z"})]))}}),refrigerator_default=refrigerator_vue_vue_type_script_setup_true_lang_default,remove_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"RemoveFilled",__name:"remove-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896M288 512a38.4 38.4 0 0 0 38.4 38.4h371.2a38.4 38.4 0 0 0 0-76.8H326.4A38.4 38.4 0 0 0 288 512"})]))}}),remove_filled_default=remove_filled_vue_vue_type_script_setup_true_lang_default,remove_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Remove",__name:"remove",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 480h320a32 32 0 1 1 0 64H352a32 32 0 0 1 0-64"}),createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"})]))}}),remove_default=remove_vue_vue_type_script_setup_true_lang_default,right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Right",__name:"right",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M754.752 480H160a32 32 0 1 0 0 64h594.752L521.344 777.344a32 32 0 0 0 45.312 45.312l288-288a32 32 0 0 0 0-45.312l-288-288a32 32 0 1 0-45.312 45.312z"})]))}}),right_default=right_vue_vue_type_script_setup_true_lang_default,scale_to_original_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ScaleToOriginal",__name:"scale-to-original",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M813.176 180.706a60.235 60.235 0 0 1 60.236 60.235v481.883a60.235 60.235 0 0 1-60.236 60.235H210.824a60.235 60.235 0 0 1-60.236-60.235V240.94a60.235 60.235 0 0 1 60.236-60.235h602.352zm0-60.235H210.824A120.47 120.47 0 0 0 90.353 240.94v481.883a120.47 120.47 0 0 0 120.47 120.47h602.353a120.47 120.47 0 0 0 120.471-120.47V240.94a120.47 120.47 0 0 0-120.47-120.47zm-120.47 180.705a30.118 30.118 0 0 0-30.118 30.118v301.177a30.118 30.118 0 0 0 60.236 0V331.294a30.118 30.118 0 0 0-30.118-30.118zm-361.412 0a30.118 30.118 0 0 0-30.118 30.118v301.177a30.118 30.118 0 1 0 60.236 0V331.294a30.118 30.118 0 0 0-30.118-30.118M512 361.412a30.118 30.118 0 0 0-30.118 30.117v30.118a30.118 30.118 0 0 0 60.236 0V391.53A30.118 30.118 0 0 0 512 361.412M512 512a30.118 30.118 0 0 0-30.118 30.118v30.117a30.118 30.118 0 0 0 60.236 0v-30.117A30.118 30.118 0 0 0 512 512"})]))}}),scale_to_original_default=scale_to_original_vue_vue_type_script_setup_true_lang_default,school_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"School",__name:"school",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 128v704h576V128zm-32-64h640a32 32 0 0 1 32 32v768a32 32 0 0 1-32 32H192a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M64 832h896v64H64zm256-640h128v96H320z"}),createBaseVNode("path",{fill:"currentColor",d:"M384 832h256v-64a128 128 0 1 0-256 0zm128-256a192 192 0 0 1 192 192v128H320V768a192 192 0 0 1 192-192M320 384h128v96H320zm256-192h128v96H576zm0 192h128v96H576z"})]))}}),school_default=school_vue_vue_type_script_setup_true_lang_default,scissor_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Scissor",__name:"scissor",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m512.064 578.368-106.88 152.768a160 160 0 1 1-23.36-78.208L472.96 522.56 196.864 128.256a32 32 0 1 1 52.48-36.736l393.024 561.344a160 160 0 1 1-23.36 78.208l-106.88-152.704zm54.4-189.248 208.384-297.6a32 32 0 0 1 52.48 36.736l-221.76 316.672-39.04-55.808zm-376.32 425.856a96 96 0 1 0 110.144-157.248 96 96 0 0 0-110.08 157.248zm643.84 0a96 96 0 1 0-110.08-157.248 96 96 0 0 0 110.08 157.248"})]))}}),scissor_default=scissor_vue_vue_type_script_setup_true_lang_default,search_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Search",__name:"search",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m795.904 750.72 124.992 124.928a32 32 0 0 1-45.248 45.248L750.656 795.904a416 416 0 1 1 45.248-45.248zM480 832a352 352 0 1 0 0-704 352 352 0 0 0 0 704"})]))}}),search_default=search_vue_vue_type_script_setup_true_lang_default,select_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Select",__name:"select",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M77.248 415.04a64 64 0 0 1 90.496 0l226.304 226.304L846.528 188.8a64 64 0 1 1 90.56 90.496l-543.04 543.04-316.8-316.8a64 64 0 0 1 0-90.496z"})]))}}),select_default=select_vue_vue_type_script_setup_true_lang_default,sell_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sell",__name:"sell",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 288h131.072a32 32 0 0 1 31.808 28.8L886.4 512h-64.384l-16-160H704v96a32 32 0 1 1-64 0v-96H384v96a32 32 0 0 1-64 0v-96H217.92l-51.2 512H512v64H131.328a32 32 0 0 1-31.808-35.2l57.6-576a32 32 0 0 1 31.808-28.8H320v-22.336C320 154.688 405.504 64 512 64s192 90.688 192 201.664v22.4zm-64 0v-22.336C640 189.248 582.272 128 512 128c-70.272 0-128 61.248-128 137.664v22.4h256zm201.408 483.84L768 698.496V928a32 32 0 1 1-64 0V698.496l-73.344 73.344a32 32 0 1 1-45.248-45.248l128-128a32 32 0 0 1 45.248 0l128 128a32 32 0 1 1-45.248 45.248z"})]))}}),sell_default=sell_vue_vue_type_script_setup_true_lang_default,semi_select_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SemiSelect",__name:"semi-select",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 448h768q64 0 64 64t-64 64H128q-64 0-64-64t64-64"})]))}}),semi_select_default=semi_select_vue_vue_type_script_setup_true_lang_default,service_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Service",__name:"service",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M864 409.6a192 192 0 0 1-37.888 349.44A256.064 256.064 0 0 1 576 960h-96a32 32 0 1 1 0-64h96a192.064 192.064 0 0 0 181.12-128H736a32 32 0 0 1-32-32V416a32 32 0 0 1 32-32h32c10.368 0 20.544.832 30.528 2.432a288 288 0 0 0-573.056 0A193.235 193.235 0 0 1 256 384h32a32 32 0 0 1 32 32v320a32 32 0 0 1-32 32h-32a192 192 0 0 1-96-358.4 352 352 0 0 1 704 0M256 448a128 128 0 1 0 0 256zm640 128a128 128 0 0 0-128-128v256a128 128 0 0 0 128-128"})]))}}),service_default=service_vue_vue_type_script_setup_true_lang_default,set_up_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SetUp",__name:"set-up",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 160a64 64 0 0 0-64 64v576a64 64 0 0 0 64 64h576a64 64 0 0 0 64-64V224a64 64 0 0 0-64-64zm0-64h576a128 128 0 0 1 128 128v576a128 128 0 0 1-128 128H224A128 128 0 0 1 96 800V224A128 128 0 0 1 224 96"}),createBaseVNode("path",{fill:"currentColor",d:"M384 416a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"}),createBaseVNode("path",{fill:"currentColor",d:"M480 320h256q32 0 32 32t-32 32H480q-32 0-32-32t32-32m160 416a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"}),createBaseVNode("path",{fill:"currentColor",d:"M288 640h256q32 0 32 32t-32 32H288q-32 0-32-32t32-32"})]))}}),set_up_default=set_up_vue_vue_type_script_setup_true_lang_default,setting_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Setting",__name:"setting",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M600.704 64a32 32 0 0 1 30.464 22.208l35.2 109.376c14.784 7.232 28.928 15.36 42.432 24.512l112.384-24.192a32 32 0 0 1 34.432 15.36L944.32 364.8a32 32 0 0 1-4.032 37.504l-77.12 85.12a357.12 357.12 0 0 1 0 49.024l77.12 85.248a32 32 0 0 1 4.032 37.504l-88.704 153.6a32 32 0 0 1-34.432 15.296L708.8 803.904c-13.44 9.088-27.648 17.28-42.368 24.512l-35.264 109.376A32 32 0 0 1 600.704 960H423.296a32 32 0 0 1-30.464-22.208L357.696 828.48a351.616 351.616 0 0 1-42.56-24.64l-112.32 24.256a32 32 0 0 1-34.432-15.36L79.68 659.2a32 32 0 0 1 4.032-37.504l77.12-85.248a357.12 357.12 0 0 1 0-48.896l-77.12-85.248A32 32 0 0 1 79.68 364.8l88.704-153.6a32 32 0 0 1 34.432-15.296l112.32 24.256c13.568-9.152 27.776-17.408 42.56-24.64l35.2-109.312A32 32 0 0 1 423.232 64H600.64zm-23.424 64H446.72l-36.352 113.088-24.512 11.968a294.113 294.113 0 0 0-34.816 20.096l-22.656 15.36-116.224-25.088-65.28 113.152 79.68 88.192-1.92 27.136a293.12 293.12 0 0 0 0 40.192l1.92 27.136-79.808 88.192 65.344 113.152 116.224-25.024 22.656 15.296a294.113 294.113 0 0 0 34.816 20.096l24.512 11.968L446.72 896h130.688l36.48-113.152 24.448-11.904a288.282 288.282 0 0 0 34.752-20.096l22.592-15.296 116.288 25.024 65.28-113.152-79.744-88.192 1.92-27.136a293.12 293.12 0 0 0 0-40.256l-1.92-27.136 79.808-88.128-65.344-113.152-116.288 24.96-22.592-15.232a287.616 287.616 0 0 0-34.752-20.096l-24.448-11.904L577.344 128zM512 320a192 192 0 1 1 0 384 192 192 0 0 1 0-384m0 64a128 128 0 1 0 0 256 128 128 0 0 0 0-256"})]))}}),setting_default=setting_vue_vue_type_script_setup_true_lang_default,share_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Share",__name:"share",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m679.872 348.8-301.76 188.608a127.808 127.808 0 0 1 5.12 52.16l279.936 104.96a128 128 0 1 1-22.464 59.904l-279.872-104.96a128 128 0 1 1-16.64-166.272l301.696-188.608a128 128 0 1 1 33.92 54.272z"})]))}}),share_default=share_vue_vue_type_script_setup_true_lang_default,ship_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Ship",__name:"ship",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 386.88V448h405.568a32 32 0 0 1 30.72 40.768l-76.48 267.968A192 192 0 0 1 687.168 896H336.832a192 192 0 0 1-184.64-139.264L75.648 488.768A32 32 0 0 1 106.368 448H448V117.888a32 32 0 0 1 47.36-28.096l13.888 7.616L512 96v2.88l231.68 126.4a32 32 0 0 1-2.048 57.216zm0-70.272 144.768-65.792L512 171.84zM512 512H148.864l18.24 64H856.96l18.24-64zM185.408 640l28.352 99.2A128 128 0 0 0 336.832 832h350.336a128 128 0 0 0 123.072-92.8l28.352-99.2H185.408"})]))}}),ship_default=ship_vue_vue_type_script_setup_true_lang_default,shop_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Shop",__name:"shop",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 704h64v192H256V704h64v64h384zm188.544-152.192C894.528 559.616 896 567.616 896 576a96 96 0 1 1-192 0 96 96 0 1 1-192 0 96 96 0 1 1-192 0 96 96 0 1 1-192 0c0-8.384 1.408-16.384 3.392-24.192L192 128h640z"})]))}}),shop_default=shop_vue_vue_type_script_setup_true_lang_default,shopping_bag_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ShoppingBag",__name:"shopping-bag",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 320v96a32 32 0 0 1-32 32h-32V320H384v128h-32a32 32 0 0 1-32-32v-96H192v576h640V320zm-384-64a192 192 0 1 1 384 0h160a32 32 0 0 1 32 32v640a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V288a32 32 0 0 1 32-32zm64 0h256a128 128 0 1 0-256 0"}),createBaseVNode("path",{fill:"currentColor",d:"M192 704h640v64H192z"})]))}}),shopping_bag_default=shopping_bag_vue_vue_type_script_setup_true_lang_default,shopping_cart_full_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ShoppingCartFull",__name:"shopping-cart-full",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M432 928a48 48 0 1 1 0-96 48 48 0 0 1 0 96m320 0a48 48 0 1 1 0-96 48 48 0 0 1 0 96M96 128a32 32 0 0 1 0-64h160a32 32 0 0 1 31.36 25.728L320.64 256H928a32 32 0 0 1 31.296 38.72l-96 448A32 32 0 0 1 832 768H384a32 32 0 0 1-31.36-25.728L229.76 128zm314.24 576h395.904l82.304-384H333.44l76.8 384z"}),createBaseVNode("path",{fill:"currentColor",d:"M699.648 256 608 145.984 516.352 256h183.296zm-140.8-151.04a64 64 0 0 1 98.304 0L836.352 320H379.648l179.2-215.04"})]))}}),shopping_cart_full_default=shopping_cart_full_vue_vue_type_script_setup_true_lang_default,shopping_cart_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ShoppingCart",__name:"shopping-cart",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M432 928a48 48 0 1 1 0-96 48 48 0 0 1 0 96m320 0a48 48 0 1 1 0-96 48 48 0 0 1 0 96M96 128a32 32 0 0 1 0-64h160a32 32 0 0 1 31.36 25.728L320.64 256H928a32 32 0 0 1 31.296 38.72l-96 448A32 32 0 0 1 832 768H384a32 32 0 0 1-31.36-25.728L229.76 128zm314.24 576h395.904l82.304-384H333.44l76.8 384z"})]))}}),shopping_cart_default=shopping_cart_vue_vue_type_script_setup_true_lang_default,shopping_trolley_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ShoppingTrolley",__name:"shopping-trolley",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M368 833c-13.3 0-24.5 4.5-33.5 13.5S321 866.7 321 880s4.5 24.5 13.5 33.5 20.2 13.8 33.5 14.5c13.3-.7 24.5-5.5 33.5-14.5S415 893.3 415 880s-4.5-24.5-13.5-33.5S381.3 833 368 833m439-193c7.4 0 13.8-2.2 19.5-6.5S836 623.3 838 616l112-448c2-10-.2-19.2-6.5-27.5S929 128 919 128H96c-9.3 0-17 3-23 9s-9 13.7-9 23 3 17 9 23 13.7 9 23 9h96v576h672c9.3 0 17-3 23-9s9-13.7 9-23-3-17-9-23-13.7-9-23-9H256v-64zM256 192h622l-96 384H256zm432 641c-13.3 0-24.5 4.5-33.5 13.5S641 866.7 641 880s4.5 24.5 13.5 33.5 20.2 13.8 33.5 14.5c13.3-.7 24.5-5.5 33.5-14.5S735 893.3 735 880s-4.5-24.5-13.5-33.5S701.3 833 688 833"})]))}}),shopping_trolley_default=shopping_trolley_vue_vue_type_script_setup_true_lang_default,smoking_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Smoking",__name:"smoking",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 576v128h640V576zm-32-64h704a32 32 0 0 1 32 32v192a32 32 0 0 1-32 32H224a32 32 0 0 1-32-32V544a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M704 576h64v128h-64zM256 64h64v320h-64zM128 192h64v192h-64zM64 512h64v256H64z"})]))}}),smoking_default=smoking_vue_vue_type_script_setup_true_lang_default,soccer_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Soccer",__name:"soccer",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M418.496 871.04 152.256 604.8c-16.512 94.016-2.368 178.624 42.944 224 44.928 44.928 129.344 58.752 223.296 42.24m72.32-18.176a573.056 573.056 0 0 0 224.832-137.216 573.12 573.12 0 0 0 137.216-224.832L533.888 171.84a578.56 578.56 0 0 0-227.52 138.496A567.68 567.68 0 0 0 170.432 532.48l320.384 320.384zM871.04 418.496c16.512-93.952 2.688-178.368-42.24-223.296-44.544-44.544-128.704-58.048-222.592-41.536zM149.952 874.048c-112.96-112.96-88.832-408.96 111.168-608.96C461.056 65.152 760.96 36.928 874.048 149.952c113.024 113.024 86.784 411.008-113.152 610.944-199.936 199.936-497.92 226.112-610.944 113.152m452.544-497.792 22.656-22.656a32 32 0 0 1 45.248 45.248l-22.656 22.656 45.248 45.248A32 32 0 1 1 647.744 512l-45.248-45.248L557.248 512l45.248 45.248a32 32 0 1 1-45.248 45.248L512 557.248l-45.248 45.248L512 647.744a32 32 0 1 1-45.248 45.248l-45.248-45.248-22.656 22.656a32 32 0 1 1-45.248-45.248l22.656-22.656-45.248-45.248A32 32 0 1 1 376.256 512l45.248 45.248L466.752 512l-45.248-45.248a32 32 0 1 1 45.248-45.248L512 466.752l45.248-45.248L512 376.256a32 32 0 0 1 45.248-45.248l45.248 45.248z"})]))}}),soccer_default=soccer_vue_vue_type_script_setup_true_lang_default,sold_out_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SoldOut",__name:"sold-out",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 288h131.072a32 32 0 0 1 31.808 28.8L886.4 512h-64.384l-16-160H704v96a32 32 0 1 1-64 0v-96H384v96a32 32 0 0 1-64 0v-96H217.92l-51.2 512H512v64H131.328a32 32 0 0 1-31.808-35.2l57.6-576a32 32 0 0 1 31.808-28.8H320v-22.336C320 154.688 405.504 64 512 64s192 90.688 192 201.664v22.4zm-64 0v-22.336C640 189.248 582.272 128 512 128c-70.272 0-128 61.248-128 137.664v22.4h256zm201.408 476.16a32 32 0 1 1 45.248 45.184l-128 128a32 32 0 0 1-45.248 0l-128-128a32 32 0 1 1 45.248-45.248L704 837.504V608a32 32 0 1 1 64 0v229.504l73.408-73.408z"})]))}}),sold_out_default=sold_out_vue_vue_type_script_setup_true_lang_default,sort_down_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SortDown",__name:"sort-down",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M576 96v709.568L333.312 562.816A32 32 0 1 0 288 608l297.408 297.344A32 32 0 0 0 640 882.688V96a32 32 0 0 0-64 0"})]))}}),sort_down_default=sort_down_vue_vue_type_script_setup_true_lang_default,sort_up_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SortUp",__name:"sort-up",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 141.248V928a32 32 0 1 0 64 0V218.56l242.688 242.688A32 32 0 1 0 736 416L438.592 118.656A32 32 0 0 0 384 141.248"})]))}}),sort_up_default=sort_up_vue_vue_type_script_setup_true_lang_default,sort_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sort",__name:"sort",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 96a32 32 0 0 1 64 0v786.752a32 32 0 0 1-54.592 22.656L95.936 608a32 32 0 0 1 0-45.312h.128a32 32 0 0 1 45.184 0L384 805.632zm192 45.248a32 32 0 0 1 54.592-22.592L928.064 416a32 32 0 0 1 0 45.312h-.128a32 32 0 0 1-45.184 0L640 218.496V928a32 32 0 1 1-64 0V141.248z"})]))}}),sort_default=sort_vue_vue_type_script_setup_true_lang_default,stamp_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Stamp",__name:"stamp",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M624 475.968V640h144a128 128 0 0 1 128 128H128a128 128 0 0 1 128-128h144V475.968a192 192 0 1 1 224 0M128 896v-64h768v64z"})]))}}),stamp_default=stamp_vue_vue_type_script_setup_true_lang_default,star_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"StarFilled",__name:"star-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M283.84 867.84 512 747.776l228.16 119.936a6.4 6.4 0 0 0 9.28-6.72l-43.52-254.08 184.512-179.904a6.4 6.4 0 0 0-3.52-10.88l-255.104-37.12L517.76 147.904a6.4 6.4 0 0 0-11.52 0L392.192 379.072l-255.104 37.12a6.4 6.4 0 0 0-3.52 10.88L318.08 606.976l-43.584 254.08a6.4 6.4 0 0 0 9.28 6.72z"})]))}}),star_filled_default=star_filled_vue_vue_type_script_setup_true_lang_default,star_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Star",__name:"star",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m512 747.84 228.16 119.936a6.4 6.4 0 0 0 9.28-6.72l-43.52-254.08 184.512-179.904a6.4 6.4 0 0 0-3.52-10.88l-255.104-37.12L517.76 147.904a6.4 6.4 0 0 0-11.52 0L392.192 379.072l-255.104 37.12a6.4 6.4 0 0 0-3.52 10.88L318.08 606.976l-43.584 254.08a6.4 6.4 0 0 0 9.28 6.72zM313.6 924.48a70.4 70.4 0 0 1-102.144-74.24l37.888-220.928L88.96 472.96A70.4 70.4 0 0 1 128 352.896l221.76-32.256 99.2-200.96a70.4 70.4 0 0 1 126.208 0l99.2 200.96 221.824 32.256a70.4 70.4 0 0 1 39.04 120.064L774.72 629.376l37.888 220.928a70.4 70.4 0 0 1-102.144 74.24L512 820.096l-198.4 104.32z"})]))}}),star_default=star_vue_vue_type_script_setup_true_lang_default,stopwatch_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Stopwatch",__name:"stopwatch",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M672 234.88c-39.168 174.464-80 298.624-122.688 372.48-64 110.848-202.624 30.848-138.624-80C453.376 453.44 540.48 355.968 672 234.816z"})]))}}),stopwatch_default=stopwatch_vue_vue_type_script_setup_true_lang_default,success_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SuccessFilled",__name:"success-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m-55.808 536.384-99.52-99.584a38.4 38.4 0 1 0-54.336 54.336l126.72 126.72a38.272 38.272 0 0 0 54.336 0l262.4-262.464a38.4 38.4 0 1 0-54.272-54.336z"})]))}}),success_filled_default=success_filled_vue_vue_type_script_setup_true_lang_default,sugar_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sugar",__name:"sugar",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m801.728 349.184 4.48 4.48a128 128 0 0 1 0 180.992L534.656 806.144a128 128 0 0 1-181.056 0l-4.48-4.48-19.392 109.696a64 64 0 0 1-108.288 34.176L78.464 802.56a64 64 0 0 1 34.176-108.288l109.76-19.328-4.544-4.544a128 128 0 0 1 0-181.056l271.488-271.488a128 128 0 0 1 181.056 0l4.48 4.48 19.392-109.504a64 64 0 0 1 108.352-34.048l142.592 143.04a64 64 0 0 1-34.24 108.16l-109.248 19.2zm-548.8 198.72h447.168v2.24l60.8-60.8a63.808 63.808 0 0 0 18.752-44.416h-426.88l-89.664 89.728a64.064 64.064 0 0 0-10.24 13.248zm0 64c2.752 4.736 6.144 9.152 10.176 13.248l135.744 135.744a64 64 0 0 0 90.496 0L638.4 611.904zm490.048-230.976L625.152 263.104a64 64 0 0 0-90.496 0L416.768 380.928zM123.712 757.312l142.976 142.976 24.32-137.6a25.6 25.6 0 0 0-29.696-29.632l-137.6 24.256zm633.6-633.344-24.32 137.472a25.6 25.6 0 0 0 29.632 29.632l137.28-24.064-142.656-143.04z"})]))}}),sugar_default=sugar_vue_vue_type_script_setup_true_lang_default,suitcase_line_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SuitcaseLine",__name:"suitcase-line",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M922.5 229.5c-24.32-24.34-54.49-36.84-90.5-37.5H704v-64c-.68-17.98-7.02-32.98-19.01-44.99S658.01 64.66 640 64H384c-17.98.68-32.98 7.02-44.99 19.01S320.66 110 320 128v64H192c-35.99.68-66.16 13.18-90.5 37.5C77.16 253.82 64.66 283.99 64 320v448c.68 35.99 13.18 66.16 37.5 90.5s54.49 36.84 90.5 37.5h640c35.99-.68 66.16-13.18 90.5-37.5s36.84-54.49 37.5-90.5V320c-.68-35.99-13.18-66.16-37.5-90.5M384 128h256v64H384zM256 832h-64c-17.98-.68-32.98-7.02-44.99-19.01S128.66 786.01 128 768V448h128zm448 0H320V448h384zm192-64c-.68 17.98-7.02 32.98-19.01 44.99S850.01 831.34 832 832h-64V448h128zm0-384H128v-64c.69-17.98 7.02-32.98 19.01-44.99S173.99 256.66 192 256h640c17.98.69 32.98 7.02 44.99 19.01S895.34 301.99 896 320z"})]))}}),suitcase_line_default=suitcase_line_vue_vue_type_script_setup_true_lang_default,suitcase_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Suitcase",__name:"suitcase",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 384h768v-64a64 64 0 0 0-64-64H192a64 64 0 0 0-64 64zm0 64v320a64 64 0 0 0 64 64h640a64 64 0 0 0 64-64V448zm64-256h640a128 128 0 0 1 128 128v448a128 128 0 0 1-128 128H192A128 128 0 0 1 64 768V320a128 128 0 0 1 128-128"}),createBaseVNode("path",{fill:"currentColor",d:"M384 128v64h256v-64zm0-64h256a64 64 0 0 1 64 64v64a64 64 0 0 1-64 64H384a64 64 0 0 1-64-64v-64a64 64 0 0 1 64-64"})]))}}),suitcase_default=suitcase_vue_vue_type_script_setup_true_lang_default,sunny_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sunny",__name:"sunny",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 704a192 192 0 1 0 0-384 192 192 0 0 0 0 384m0 64a256 256 0 1 1 0-512 256 256 0 0 1 0 512m0-704a32 32 0 0 1 32 32v64a32 32 0 0 1-64 0V96a32 32 0 0 1 32-32m0 768a32 32 0 0 1 32 32v64a32 32 0 1 1-64 0v-64a32 32 0 0 1 32-32M195.2 195.2a32 32 0 0 1 45.248 0l45.248 45.248a32 32 0 1 1-45.248 45.248L195.2 240.448a32 32 0 0 1 0-45.248zm543.104 543.104a32 32 0 0 1 45.248 0l45.248 45.248a32 32 0 0 1-45.248 45.248l-45.248-45.248a32 32 0 0 1 0-45.248M64 512a32 32 0 0 1 32-32h64a32 32 0 0 1 0 64H96a32 32 0 0 1-32-32m768 0a32 32 0 0 1 32-32h64a32 32 0 1 1 0 64h-64a32 32 0 0 1-32-32M195.2 828.8a32 32 0 0 1 0-45.248l45.248-45.248a32 32 0 0 1 45.248 45.248L240.448 828.8a32 32 0 0 1-45.248 0zm543.104-543.104a32 32 0 0 1 0-45.248l45.248-45.248a32 32 0 0 1 45.248 45.248l-45.248 45.248a32 32 0 0 1-45.248 0"})]))}}),sunny_default=sunny_vue_vue_type_script_setup_true_lang_default,sunrise_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sunrise",__name:"sunrise",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M32 768h960a32 32 0 1 1 0 64H32a32 32 0 1 1 0-64m129.408-96a352 352 0 0 1 701.184 0h-64.32a288 288 0 0 0-572.544 0h-64.32zM512 128a32 32 0 0 1 32 32v96a32 32 0 0 1-64 0v-96a32 32 0 0 1 32-32m407.296 168.704a32 32 0 0 1 0 45.248l-67.84 67.84a32 32 0 1 1-45.248-45.248l67.84-67.84a32 32 0 0 1 45.248 0zm-814.592 0a32 32 0 0 1 45.248 0l67.84 67.84a32 32 0 1 1-45.248 45.248l-67.84-67.84a32 32 0 0 1 0-45.248"})]))}}),sunrise_default=sunrise_vue_vue_type_script_setup_true_lang_default,sunset_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sunset",__name:"sunset",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M82.56 640a448 448 0 1 1 858.88 0h-67.2a384 384 0 1 0-724.288 0zM32 704h960q32 0 32 32t-32 32H32q-32 0-32-32t32-32m256 128h448q32 0 32 32t-32 32H288q-32 0-32-32t32-32"})]))}}),sunset_default=sunset_vue_vue_type_script_setup_true_lang_default,switch_button_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SwitchButton",__name:"switch-button",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 159.872V230.4a352 352 0 1 0 320 0v-70.528A416.128 416.128 0 0 1 512 960a416 416 0 0 1-160-800.128z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 64q32 0 32 32v320q0 32-32 32t-32-32V96q0-32 32-32"})]))}}),switch_button_default=switch_button_vue_vue_type_script_setup_true_lang_default,switch_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SwitchFilled",__name:"switch-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M247.47 358.4v.04c.07 19.17 7.72 37.53 21.27 51.09s31.92 21.2 51.09 21.27c39.86 0 72.41-32.6 72.41-72.4s-32.6-72.36-72.41-72.36-72.36 32.55-72.36 72.36z"}),createBaseVNode("path",{fill:"currentColor",d:"M492.38 128H324.7c-52.16 0-102.19 20.73-139.08 57.61a196.655 196.655 0 0 0-57.61 139.08V698.7c-.01 25.84 5.08 51.42 14.96 75.29s24.36 45.56 42.63 63.83 39.95 32.76 63.82 42.65a196.67 196.67 0 0 0 75.28 14.98h167.68c3.03 0 5.46-2.43 5.46-5.42V133.42c.6-2.99-1.83-5.42-5.46-5.42zm-56.11 705.88H324.7c-17.76.13-35.36-3.33-51.75-10.18s-31.22-16.94-43.61-29.67c-25.3-25.35-39.81-59.1-39.81-95.32V324.69c-.13-17.75 3.33-35.35 10.17-51.74a131.695 131.695 0 0 1 29.64-43.62c25.39-25.3 59.14-39.81 95.36-39.81h111.57zm402.12-647.67a196.655 196.655 0 0 0-139.08-57.61H580.48c-3.03 0-4.82 2.43-4.82 4.82v757.16c-.6 2.99 1.79 5.42 5.42 5.42h118.23a196.69 196.69 0 0 0 139.08-57.61A196.655 196.655 0 0 0 896 699.31V325.29a196.69 196.69 0 0 0-57.61-139.08zm-111.3 441.92c-42.83 0-77.82-34.99-77.82-77.82s34.98-77.82 77.82-77.82c42.83 0 77.82 34.99 77.82 77.82s-34.99 77.82-77.82 77.82z"})]))}}),switch_filled_default=switch_filled_vue_vue_type_script_setup_true_lang_default,switch_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Switch",__name:"switch",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M118.656 438.656a32 32 0 0 1 0-45.248L416 96l4.48-3.776A32 32 0 0 1 461.248 96l3.712 4.48a32.064 32.064 0 0 1-3.712 40.832L218.56 384H928a32 32 0 1 1 0 64H141.248a32 32 0 0 1-22.592-9.344zM64 608a32 32 0 0 1 32-32h786.752a32 32 0 0 1 22.656 54.592L608 928l-4.48 3.776a32.064 32.064 0 0 1-40.832-49.024L805.632 640H96a32 32 0 0 1-32-32"})]))}}),switch_default=switch_vue_vue_type_script_setup_true_lang_default,takeaway_box_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TakeawayBox",__name:"takeaway-box",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M832 384H192v448h640zM96 320h832V128H96zm800 64v480a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V384H64a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32h896a32 32 0 0 1 32 32v256a32 32 0 0 1-32 32zM416 512h192a32 32 0 0 1 0 64H416a32 32 0 0 1 0-64"})]))}}),takeaway_box_default=takeaway_box_vue_vue_type_script_setup_true_lang_default,ticket_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Ticket",__name:"ticket",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 832H64V640a128 128 0 1 0 0-256V192h576v160h64V192h256v192a128 128 0 1 0 0 256v192H704V672h-64zm0-416v192h64V416z"})]))}}),ticket_default=ticket_vue_vue_type_script_setup_true_lang_default,tickets_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Tickets",__name:"tickets",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 128v768h640V128zm-32-64h704a32 32 0 0 1 32 32v832a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m160 448h384v64H320zm0-192h192v64H320zm0 384h384v64H320z"})]))}}),tickets_default=tickets_vue_vue_type_script_setup_true_lang_default,timer_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Timer",__name:"timer",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a320 320 0 1 0 0-640 320 320 0 0 0 0 640m0 64a384 384 0 1 1 0-768 384 384 0 0 1 0 768"}),createBaseVNode("path",{fill:"currentColor",d:"M512 320a32 32 0 0 1 32 32l-.512 224a32 32 0 1 1-64 0L480 352a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M448 576a64 64 0 1 0 128 0 64 64 0 1 0-128 0m96-448v128h-64V128h-96a32 32 0 0 1 0-64h256a32 32 0 1 1 0 64z"})]))}}),timer_default=timer_vue_vue_type_script_setup_true_lang_default,toilet_paper_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ToiletPaper",__name:"toilet-paper",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M595.2 128H320a192 192 0 0 0-192 192v576h384V352c0-90.496 32.448-171.2 83.2-224M736 64c123.712 0 224 128.96 224 288S859.712 640 736 640H576v320H64V320A256 256 0 0 1 320 64zM576 352v224h160c84.352 0 160-97.28 160-224s-75.648-224-160-224-160 97.28-160 224"}),createBaseVNode("path",{fill:"currentColor",d:"M736 448c-35.328 0-64-43.008-64-96s28.672-96 64-96 64 43.008 64 96-28.672 96-64 96"})]))}}),toilet_paper_default=toilet_paper_vue_vue_type_script_setup_true_lang_default,tools_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Tools",__name:"tools",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M764.416 254.72a351.68 351.68 0 0 1 86.336 149.184H960v192.064H850.752a351.68 351.68 0 0 1-86.336 149.312l54.72 94.72-166.272 96-54.592-94.72a352.64 352.64 0 0 1-172.48 0L371.136 936l-166.272-96 54.72-94.72a351.68 351.68 0 0 1-86.336-149.312H64v-192h109.248a351.68 351.68 0 0 1 86.336-149.312L204.8 160l166.208-96h.192l54.656 94.592a352.64 352.64 0 0 1 172.48 0L652.8 64h.128L819.2 160l-54.72 94.72zM704 499.968a192 192 0 1 0-384 0 192 192 0 0 0 384 0"})]))}}),tools_default=tools_vue_vue_type_script_setup_true_lang_default,top_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TopLeft",__name:"top-left",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 256h416a32 32 0 1 0 0-64H224a32 32 0 0 0-32 32v448a32 32 0 0 0 64 0z"}),createBaseVNode("path",{fill:"currentColor",d:"M246.656 201.344a32 32 0 0 0-45.312 45.312l544 544a32 32 0 0 0 45.312-45.312l-544-544z"})]))}}),top_left_default=top_left_vue_vue_type_script_setup_true_lang_default,top_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TopRight",__name:"top-right",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M768 256H353.6a32 32 0 1 1 0-64H800a32 32 0 0 1 32 32v448a32 32 0 0 1-64 0z"}),createBaseVNode("path",{fill:"currentColor",d:"M777.344 201.344a32 32 0 0 1 45.312 45.312l-544 544a32 32 0 0 1-45.312-45.312l544-544z"})]))}}),top_right_default=top_right_vue_vue_type_script_setup_true_lang_default,top_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Top",__name:"top",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M572.235 205.282v600.365a30.118 30.118 0 1 1-60.235 0V205.282L292.382 438.633a28.913 28.913 0 0 1-42.646 0 33.43 33.43 0 0 1 0-45.236l271.058-288.045a28.913 28.913 0 0 1 42.647 0L834.5 393.397a33.43 33.43 0 0 1 0 45.176 28.913 28.913 0 0 1-42.647 0l-219.618-233.23z"})]))}}),top_default=top_vue_vue_type_script_setup_true_lang_default,trend_charts_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TrendCharts",__name:"trend-charts",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 896V128h768v768zm291.712-327.296 128 102.4 180.16-201.792-47.744-42.624-139.84 156.608-128-102.4-180.16 201.792 47.744 42.624 139.84-156.608zM816 352a48 48 0 1 0-96 0 48 48 0 0 0 96 0"})]))}}),trend_charts_default=trend_charts_vue_vue_type_script_setup_true_lang_default,trophy_base_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TrophyBase",__name:"trophy-base",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M918.4 201.6c-6.4-6.4-12.8-9.6-22.4-9.6H768V96c0-9.6-3.2-16-9.6-22.4C752 67.2 745.6 64 736 64H288c-9.6 0-16 3.2-22.4 9.6C259.2 80 256 86.4 256 96v96H128c-9.6 0-16 3.2-22.4 9.6-6.4 6.4-9.6 16-9.6 22.4 3.2 108.8 25.6 185.6 64 224 34.4 34.4 77.56 55.65 127.65 61.99 10.91 20.44 24.78 39.25 41.95 56.41 40.86 40.86 91 65.47 150.4 71.9V768h-96c-9.6 0-16 3.2-22.4 9.6-6.4 6.4-9.6 12.8-9.6 22.4s3.2 16 9.6 22.4c6.4 6.4 12.8 9.6 22.4 9.6h256c9.6 0 16-3.2 22.4-9.6 6.4-6.4 9.6-12.8 9.6-22.4s-3.2-16-9.6-22.4c-6.4-6.4-12.8-9.6-22.4-9.6h-96V637.26c59.4-7.71 109.54-30.01 150.4-70.86 17.2-17.2 31.51-36.06 42.81-56.55 48.93-6.51 90.02-27.7 126.79-61.85 38.4-38.4 60.8-112 64-224 0-6.4-3.2-16-9.6-22.4zM256 438.4c-19.2-6.4-35.2-19.2-51.2-35.2-22.4-22.4-35.2-70.4-41.6-147.2H256zm390.4 80C608 553.6 566.4 576 512 576s-99.2-19.2-134.4-57.6C342.4 480 320 438.4 320 384V128h384v256c0 54.4-19.2 99.2-57.6 134.4m172.8-115.2c-16 16-32 25.6-51.2 35.2V256h92.8c-6.4 76.8-19.2 124.8-41.6 147.2zM768 896H256c-9.6 0-16 3.2-22.4 9.6-6.4 6.4-9.6 12.8-9.6 22.4s3.2 16 9.6 22.4c6.4 6.4 12.8 9.6 22.4 9.6h512c9.6 0 16-3.2 22.4-9.6 6.4-6.4 9.6-12.8 9.6-22.4s-3.2-16-9.6-22.4c-6.4-6.4-12.8-9.6-22.4-9.6"})]))}}),trophy_base_default=trophy_base_vue_vue_type_script_setup_true_lang_default,trophy_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Trophy",__name:"trophy",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 896V702.08A256.256 256.256 0 0 1 264.064 512h-32.64a96 96 0 0 1-91.968-68.416L93.632 290.88a76.8 76.8 0 0 1 73.6-98.88H256V96a32 32 0 0 1 32-32h448a32 32 0 0 1 32 32v96h88.768a76.8 76.8 0 0 1 73.6 98.88L884.48 443.52A96 96 0 0 1 792.576 512h-32.64A256.256 256.256 0 0 1 544 702.08V896h128a32 32 0 1 1 0 64H352a32 32 0 1 1 0-64zm224-448V128H320v320a192 192 0 1 0 384 0m64 0h24.576a32 32 0 0 0 30.656-22.784l45.824-152.768A12.8 12.8 0 0 0 856.768 256H768zm-512 0V256h-88.768a12.8 12.8 0 0 0-12.288 16.448l45.824 152.768A32 32 0 0 0 231.424 448z"})]))}}),trophy_default=trophy_vue_vue_type_script_setup_true_lang_default,turn_off_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TurnOff",__name:"turn-off",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M329.956 257.138a254.862 254.862 0 0 0 0 509.724h364.088a254.862 254.862 0 0 0 0-509.724zm0-72.818h364.088a327.68 327.68 0 1 1 0 655.36H329.956a327.68 327.68 0 1 1 0-655.36z"}),createBaseVNode("path",{fill:"currentColor",d:"M329.956 621.227a109.227 109.227 0 1 0 0-218.454 109.227 109.227 0 0 0 0 218.454m0 72.817a182.044 182.044 0 1 1 0-364.088 182.044 182.044 0 0 1 0 364.088"})]))}}),turn_off_default=turn_off_vue_vue_type_script_setup_true_lang_default,umbrella_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Umbrella",__name:"umbrella",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M320 768a32 32 0 1 1 64 0 64 64 0 0 0 128 0V512H64a448 448 0 1 1 896 0H576v256a128 128 0 1 1-256 0m570.688-320a384.128 384.128 0 0 0-757.376 0z"})]))}}),umbrella_default=umbrella_vue_vue_type_script_setup_true_lang_default,unlock_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Unlock",__name:"unlock",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 448a32 32 0 0 0-32 32v384a32 32 0 0 0 32 32h576a32 32 0 0 0 32-32V480a32 32 0 0 0-32-32zm0-64h576a96 96 0 0 1 96 96v384a96 96 0 0 1-96 96H224a96 96 0 0 1-96-96V480a96 96 0 0 1 96-96"}),createBaseVNode("path",{fill:"currentColor",d:"M512 544a32 32 0 0 1 32 32v192a32 32 0 1 1-64 0V576a32 32 0 0 1 32-32m178.304-295.296A192.064 192.064 0 0 0 320 320v64h352l96 38.4V448H256V320a256 256 0 0 1 493.76-95.104z"})]))}}),unlock_default=unlock_vue_vue_type_script_setup_true_lang_default,upload_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"UploadFilled",__name:"upload-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 864V672h128L512 480 352 672h128v192H320v-1.6c-5.376.32-10.496 1.6-16 1.6A240 240 0 0 1 64 624c0-123.136 93.12-223.488 212.608-237.248A239.808 239.808 0 0 1 512 192a239.872 239.872 0 0 1 235.456 194.752c119.488 13.76 212.48 114.112 212.48 237.248a240 240 0 0 1-240 240c-5.376 0-10.56-1.28-16-1.6v1.6z"})]))}}),upload_filled_default=upload_filled_vue_vue_type_script_setup_true_lang_default,upload_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Upload",__name:"upload",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 832h704a32 32 0 1 1 0 64H160a32 32 0 1 1 0-64m384-578.304V704h-64V247.296L237.248 490.048 192 444.8 508.8 128l316.8 316.8-45.312 45.248z"})]))}}),upload_default=upload_vue_vue_type_script_setup_true_lang_default,user_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"UserFilled",__name:"user-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 320a224 224 0 1 0 448 0 224 224 0 1 0-448 0m544 608H160a32 32 0 0 1-32-32v-96a160 160 0 0 1 160-160h448a160 160 0 0 1 160 160v96a32 32 0 0 1-32 32z"})]))}}),user_filled_default=user_filled_vue_vue_type_script_setup_true_lang_default,user_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"User",__name:"user",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 512a192 192 0 1 0 0-384 192 192 0 0 0 0 384m0 64a256 256 0 1 1 0-512 256 256 0 0 1 0 512m320 320v-96a96 96 0 0 0-96-96H288a96 96 0 0 0-96 96v96a32 32 0 1 1-64 0v-96a160 160 0 0 1 160-160h448a160 160 0 0 1 160 160v96a32 32 0 1 1-64 0"})]))}}),user_default=user_vue_vue_type_script_setup_true_lang_default,van_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Van",__name:"van",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128.896 736H96a32 32 0 0 1-32-32V224a32 32 0 0 1 32-32h576a32 32 0 0 1 32 32v96h164.544a32 32 0 0 1 31.616 27.136l54.144 352A32 32 0 0 1 922.688 736h-91.52a144 144 0 1 1-286.272 0H415.104a144 144 0 1 1-286.272 0zm23.36-64a143.872 143.872 0 0 1 239.488 0H568.32c17.088-25.6 42.24-45.376 71.744-55.808V256H128v416zm655.488 0h77.632l-19.648-128H704v64.896A144 144 0 0 1 807.744 672m48.128-192-14.72-96H704v96h151.872M688 832a80 80 0 1 0 0-160 80 80 0 0 0 0 160m-416 0a80 80 0 1 0 0-160 80 80 0 0 0 0 160"})]))}}),van_default=van_vue_vue_type_script_setup_true_lang_default,video_camera_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"VideoCameraFilled",__name:"video-camera-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m768 576 192-64v320l-192-64v96a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V480a32 32 0 0 1 32-32h640a32 32 0 0 1 32 32zM192 768v64h384v-64zm192-480a160 160 0 0 1 320 0 160 160 0 0 1-320 0m64 0a96 96 0 1 0 192.064-.064A96 96 0 0 0 448 288m-320 32a128 128 0 1 1 256.064.064A128 128 0 0 1 128 320m64 0a64 64 0 1 0 128 0 64 64 0 0 0-128 0"})]))}}),video_camera_filled_default=video_camera_filled_vue_vue_type_script_setup_true_lang_default,video_camera_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"VideoCamera",__name:"video-camera",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 768V256H128v512zm64-416 192-96v512l-192-96v128a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V224a32 32 0 0 1 32-32h640a32 32 0 0 1 32 32zm0 71.552v176.896l128 64V359.552zM192 320h192v64H192z"})]))}}),video_camera_default=video_camera_vue_vue_type_script_setup_true_lang_default,video_pause_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"VideoPause",__name:"video-pause",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m0 832a384 384 0 0 0 0-768 384 384 0 0 0 0 768m-96-544q32 0 32 32v256q0 32-32 32t-32-32V384q0-32 32-32m192 0q32 0 32 32v256q0 32-32 32t-32-32V384q0-32 32-32"})]))}}),video_pause_default=video_pause_vue_vue_type_script_setup_true_lang_default,video_play_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"VideoPlay",__name:"video-play",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m0 832a384 384 0 0 0 0-768 384 384 0 0 0 0 768m-48-247.616L668.608 512 464 375.616zm10.624-342.656 249.472 166.336a48 48 0 0 1 0 79.872L474.624 718.272A48 48 0 0 1 400 678.336V345.6a48 48 0 0 1 74.624-39.936z"})]))}}),video_play_default=video_play_vue_vue_type_script_setup_true_lang_default,view_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"View",__name:"view",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 160c320 0 512 352 512 352S832 864 512 864 0 512 0 512s192-352 512-352m0 64c-225.28 0-384.128 208.064-436.8 288 52.608 79.872 211.456 288 436.8 288 225.28 0 384.128-208.064 436.8-288-52.608-79.872-211.456-288-436.8-288zm0 64a224 224 0 1 1 0 448 224 224 0 0 1 0-448m0 64a160.192 160.192 0 0 0-160 160c0 88.192 71.744 160 160 160s160-71.808 160-160-71.744-160-160-160"})]))}}),view_default=view_vue_vue_type_script_setup_true_lang_default,wallet_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"WalletFilled",__name:"wallet-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M688 512a112 112 0 1 0 0 224h208v160H128V352h768v160zm32 160h-32a48 48 0 0 1 0-96h32a48 48 0 0 1 0 96m-80-544 128 160H384z"})]))}}),wallet_filled_default=wallet_filled_vue_vue_type_script_setup_true_lang_default,wallet_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Wallet",__name:"wallet",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 288h-64V128H128v704h384v32a32 32 0 0 0 32 32H96a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32h512a32 32 0 0 1 32 32z"}),createBaseVNode("path",{fill:"currentColor",d:"M128 320v512h768V320zm-32-64h832a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V288a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M704 640a64 64 0 1 1 0-128 64 64 0 0 1 0 128"})]))}}),wallet_default=wallet_vue_vue_type_script_setup_true_lang_default,warn_triangle_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"WarnTriangleFilled",__name:"warn-triangle-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M928.99 755.83 574.6 203.25c-12.89-20.16-36.76-32.58-62.6-32.58s-49.71 12.43-62.6 32.58L95.01 755.83c-12.91 20.12-12.9 44.91.01 65.03 12.92 20.12 36.78 32.51 62.59 32.49h708.78c25.82.01 49.68-12.37 62.59-32.49 12.91-20.12 12.92-44.91.01-65.03M554.67 768h-85.33v-85.33h85.33zm0-426.67v298.66h-85.33V341.32z"})]))}}),warn_triangle_filled_default=warn_triangle_filled_vue_vue_type_script_setup_true_lang_default,warning_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"WarningFilled",__name:"warning-filled",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m0 192a58.432 58.432 0 0 0-58.24 63.744l23.36 256.384a35.072 35.072 0 0 0 69.76 0l23.296-256.384A58.432 58.432 0 0 0 512 256m0 512a51.2 51.2 0 1 0 0-102.4 51.2 51.2 0 0 0 0 102.4"})]))}}),warning_filled_default=warning_filled_vue_vue_type_script_setup_true_lang_default,warning_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Warning",__name:"warning",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m0 832a384 384 0 0 0 0-768 384 384 0 0 0 0 768m48-176a48 48 0 1 1-96 0 48 48 0 0 1 96 0m-48-464a32 32 0 0 1 32 32v288a32 32 0 0 1-64 0V288a32 32 0 0 1 32-32"})]))}}),warning_default=warning_vue_vue_type_script_setup_true_lang_default,watch_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Watch",__name:"watch",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 768a256 256 0 1 0 0-512 256 256 0 0 0 0 512m0 64a320 320 0 1 1 0-640 320 320 0 0 1 0 640"}),createBaseVNode("path",{fill:"currentColor",d:"M480 352a32 32 0 0 1 32 32v160a32 32 0 0 1-64 0V384a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M480 512h128q32 0 32 32t-32 32H480q-32 0-32-32t32-32m128-256V128H416v128h-64V64h320v192zM416 768v128h192V768h64v192H352V768z"})]))}}),watch_default=watch_vue_vue_type_script_setup_true_lang_default,watermelon_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Watermelon",__name:"watermelon",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m683.072 600.32-43.648 162.816-61.824-16.512 53.248-198.528L576 493.248l-158.4 158.4-45.248-45.248 158.4-158.4-55.616-55.616-198.528 53.248-16.512-61.824 162.816-43.648L282.752 200A384 384 0 0 0 824 741.248zm231.552 141.056a448 448 0 1 1-632-632l632 632"})]))}}),watermelon_default=watermelon_vue_vue_type_script_setup_true_lang_default,wind_power_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"WindPower",__name:"wind-power",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 64q32 0 32 32v832q0 32-32 32t-32-32V96q0-32 32-32m416 354.624 128-11.584V168.96l-128-11.52v261.12zm-64 5.824V151.552L320 134.08V160h-64V64l616.704 56.064A96 96 0 0 1 960 215.68v144.64a96 96 0 0 1-87.296 95.616L256 512V224h64v217.92zm256-23.232 98.88-8.96A32 32 0 0 0 896 360.32V215.68a32 32 0 0 0-29.12-31.872l-98.88-8.96z"})]))}}),wind_power_default=wind_power_vue_vue_type_script_setup_true_lang_default,zoom_in_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ZoomIn",__name:"zoom-in",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m795.904 750.72 124.992 124.928a32 32 0 0 1-45.248 45.248L750.656 795.904a416 416 0 1 1 45.248-45.248zM480 832a352 352 0 1 0 0-704 352 352 0 0 0 0 704m-32-384v-96a32 32 0 0 1 64 0v96h96a32 32 0 0 1 0 64h-96v96a32 32 0 0 1-64 0v-96h-96a32 32 0 0 1 0-64z"})]))}}),zoom_in_default=zoom_in_vue_vue_type_script_setup_true_lang_default,zoom_out_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ZoomOut",__name:"zoom-out",setup(Ra){return(qa,ed)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m795.904 750.72 124.992 124.928a32 32 0 0 1-45.248 45.248L750.656 795.904a416 416 0 1 1 45.248-45.248zM480 832a352 352 0 1 0 0-704 352 352 0 0 0 0 704M352 448h256a32 32 0 0 1 0 64H352a32 32 0 0 1 0-64"})]))}}),zoom_out_default=zoom_out_vue_vue_type_script_setup_true_lang_default;const ElementPlusIconsVue=Object.freeze(Object.defineProperty({__proto__:null,AddLocation:add_location_default,Aim:aim_default,AlarmClock:alarm_clock_default,Apple:apple_default,ArrowDown:arrow_down_default,ArrowDownBold:arrow_down_bold_default,ArrowLeft:arrow_left_default,ArrowLeftBold:arrow_left_bold_default,ArrowRight:arrow_right_default,ArrowRightBold:arrow_right_bold_default,ArrowUp:arrow_up_default,ArrowUpBold:arrow_up_bold_default,Avatar:avatar_default,Back:back_default,Baseball:baseball_default,Basketball:basketball_default,Bell:bell_default,BellFilled:bell_filled_default,Bicycle:bicycle_default,Bottom:bottom_default,BottomLeft:bottom_left_default,BottomRight:bottom_right_default,Bowl:bowl_default,Box:box_default,Briefcase:briefcase_default,Brush:brush_default,BrushFilled:brush_filled_default,Burger:burger_default,Calendar:calendar_default,Camera:camera_default,CameraFilled:camera_filled_default,CaretBottom:caret_bottom_default,CaretLeft:caret_left_default,CaretRight:caret_right_default,CaretTop:caret_top_default,Cellphone:cellphone_default,ChatDotRound:chat_dot_round_default,ChatDotSquare:chat_dot_square_default,ChatLineRound:chat_line_round_default,ChatLineSquare:chat_line_square_default,ChatRound:chat_round_default,ChatSquare:chat_square_default,Check:check_default,Checked:checked_default,Cherry:cherry_default,Chicken:chicken_default,ChromeFilled:chrome_filled_default,CircleCheck:circle_check_default,CircleCheckFilled:circle_check_filled_default,CircleClose:circle_close_default,CircleCloseFilled:circle_close_filled_default,CirclePlus:circle_plus_default,CirclePlusFilled:circle_plus_filled_default,Clock:clock_default,Close:close_default,CloseBold:close_bold_default,Cloudy:cloudy_default,Coffee:coffee_default,CoffeeCup:coffee_cup_default,Coin:coin_default,ColdDrink:cold_drink_default,Collection:collection_default,CollectionTag:collection_tag_default,Comment:comment_default,Compass:compass_default,Connection:connection_default,Coordinate:coordinate_default,CopyDocument:copy_document_default,Cpu:cpu_default,CreditCard:credit_card_default,Crop:crop_default,DArrowLeft:d_arrow_left_default,DArrowRight:d_arrow_right_default,DCaret:d_caret_default,DataAnalysis:data_analysis_default,DataBoard:data_board_default,DataLine:data_line_default,Delete:delete_default,DeleteFilled:delete_filled_default,DeleteLocation:delete_location_default,Dessert:dessert_default,Discount:discount_default,Dish:dish_default,DishDot:dish_dot_default,Document:document_default,DocumentAdd:document_add_default,DocumentChecked:document_checked_default,DocumentCopy:document_copy_default,DocumentDelete:document_delete_default,DocumentRemove:document_remove_default,Download:download_default,Drizzling:drizzling_default,Edit:edit_default,EditPen:edit_pen_default,Eleme:eleme_default,ElemeFilled:eleme_filled_default,ElementPlus:element_plus_default,Expand:expand_default,Failed:failed_default,Female:female_default,Files:files_default,Film:film_default,Filter:filter_default,Finished:finished_default,FirstAidKit:first_aid_kit_default,Flag:flag_default,Fold:fold_default,Folder:folder_default,FolderAdd:folder_add_default,FolderChecked:folder_checked_default,FolderDelete:folder_delete_default,FolderOpened:folder_opened_default,FolderRemove:folder_remove_default,Food:food_default,Football:football_default,ForkSpoon:fork_spoon_default,Fries:fries_default,FullScreen:full_screen_default,Goblet:goblet_default,GobletFull:goblet_full_default,GobletSquare:goblet_square_default,GobletSquareFull:goblet_square_full_default,GoldMedal:gold_medal_default,Goods:goods_default,GoodsFilled:goods_filled_default,Grape:grape_default,Grid:grid_default,Guide:guide_default,Handbag:handbag_default,Headset:headset_default,Help:help_default,HelpFilled:help_filled_default,Hide:hide_default,Histogram:histogram_default,HomeFilled:home_filled_default,HotWater:hot_water_default,House:house_default,IceCream:ice_cream_default,IceCreamRound:ice_cream_round_default,IceCreamSquare:ice_cream_square_default,IceDrink:ice_drink_default,IceTea:ice_tea_default,InfoFilled:info_filled_default,Iphone:iphone_default,Key:key_default,KnifeFork:knife_fork_default,Lightning:lightning_default,Link:link_default,List:list_default,Loading:loading_default,Location:location_default,LocationFilled:location_filled_default,LocationInformation:location_information_default,Lock:lock_default,Lollipop:lollipop_default,MagicStick:magic_stick_default,Magnet:magnet_default,Male:male_default,Management:management_default,MapLocation:map_location_default,Medal:medal_default,Memo:memo_default,Menu:menu_default,Message:message_default,MessageBox:message_box_default,Mic:mic_default,Microphone:microphone_default,MilkTea:milk_tea_default,Minus:minus_default,Money:money_default,Monitor:monitor_default,Moon:moon_default,MoonNight:moon_night_default,More:more_default,MoreFilled:more_filled_default,MostlyCloudy:mostly_cloudy_default,Mouse:mouse_default,Mug:mug_default,Mute:mute_default,MuteNotification:mute_notification_default,NoSmoking:no_smoking_default,Notebook:notebook_default,Notification:notification_default,Odometer:odometer_default,OfficeBuilding:office_building_default,Open:open_default,Operation:operation_default,Opportunity:opportunity_default,Orange:orange_default,Paperclip:paperclip_default,PartlyCloudy:partly_cloudy_default,Pear:pear_default,Phone:phone_default,PhoneFilled:phone_filled_default,Picture:picture_default,PictureFilled:picture_filled_default,PictureRounded:picture_rounded_default,PieChart:pie_chart_default,Place:place_default,Platform:platform_default,Plus:plus_default,Pointer:pointer_default,Position:position_default,Postcard:postcard_default,Pouring:pouring_default,Present:present_default,PriceTag:price_tag_default,Printer:printer_default,Promotion:promotion_default,QuartzWatch:quartz_watch_default,QuestionFilled:question_filled_default,Rank:rank_default,Reading:reading_default,ReadingLamp:reading_lamp_default,Refresh:refresh_default,RefreshLeft:refresh_left_default,RefreshRight:refresh_right_default,Refrigerator:refrigerator_default,Remove:remove_default,RemoveFilled:remove_filled_default,Right:right_default,ScaleToOriginal:scale_to_original_default,School:school_default,Scissor:scissor_default,Search:search_default,Select:select_default,Sell:sell_default,SemiSelect:semi_select_default,Service:service_default,SetUp:set_up_default,Setting:setting_default,Share:share_default,Ship:ship_default,Shop:shop_default,ShoppingBag:shopping_bag_default,ShoppingCart:shopping_cart_default,ShoppingCartFull:shopping_cart_full_default,ShoppingTrolley:shopping_trolley_default,Smoking:smoking_default,Soccer:soccer_default,SoldOut:sold_out_default,Sort:sort_default,SortDown:sort_down_default,SortUp:sort_up_default,Stamp:stamp_default,Star:star_default,StarFilled:star_filled_default,Stopwatch:stopwatch_default,SuccessFilled:success_filled_default,Sugar:sugar_default,Suitcase:suitcase_default,SuitcaseLine:suitcase_line_default,Sunny:sunny_default,Sunrise:sunrise_default,Sunset:sunset_default,Switch:switch_default,SwitchButton:switch_button_default,SwitchFilled:switch_filled_default,TakeawayBox:takeaway_box_default,Ticket:ticket_default,Tickets:tickets_default,Timer:timer_default,ToiletPaper:toilet_paper_default,Tools:tools_default,Top:top_default,TopLeft:top_left_default,TopRight:top_right_default,TrendCharts:trend_charts_default,Trophy:trophy_default,TrophyBase:trophy_base_default,TurnOff:turn_off_default,Umbrella:umbrella_default,Unlock:unlock_default,Upload:upload_default,UploadFilled:upload_filled_default,User:user_default,UserFilled:user_filled_default,Van:van_default,VideoCamera:video_camera_default,VideoCameraFilled:video_camera_filled_default,VideoPause:video_pause_default,VideoPlay:video_play_default,View:view_default,Wallet:wallet_default,WalletFilled:wallet_filled_default,WarnTriangleFilled:warn_triangle_filled_default,Warning:warning_default,WarningFilled:warning_filled_default,Watch:watch_default,Watermelon:watermelon_default,WindPower:wind_power_default,ZoomIn:zoom_in_default,ZoomOut:zoom_out_default},Symbol.toStringTag,{value:"Module"})),epPropKey="__epPropKey",definePropType=Ra=>Ra,isEpProp=Ra=>isObject$5(Ra)&&!!Ra[epPropKey],buildProp=(Ra,qa)=>{if(!isObject$5(Ra)||isEpProp(Ra))return Ra;const{values:ed,required:td,default:rd,type:sd,validator:od}=Ra,cd={type:sd,required:!!td,validator:ed||od?ud=>{let _d=!1,Ed=[];if(ed&&(Ed=Array.from(ed),hasOwn$1(Ra,"default")&&Ed.push(rd),_d||(_d=Ed.includes(ud))),od&&(_d||(_d=od(ud))),!_d&&Ed.length>0){const Ad=[...new Set(Ed)].map(Td=>JSON.stringify(Td)).join(", ");warn$2(`Invalid prop: validation failed${qa?` for prop "${qa}"`:""}. Expected one of [${Ad}], got value ${JSON.stringify(ud)}.`)}return _d}:void 0,[epPropKey]:!0};return hasOwn$1(Ra,"default")&&(cd.default=rd),cd},buildProps=Ra=>fromPairs(Object.entries(Ra).map(([qa,ed])=>[qa,buildProp(ed,qa)])),iconPropType=definePropType([String,Object,Function]),CloseComponents={Close:close_default},TypeComponents={Close:close_default,SuccessFilled:success_filled_default,InfoFilled:info_filled_default,WarningFilled:warning_filled_default,CircleCloseFilled:circle_close_filled_default},TypeComponentsMap={success:success_filled_default,warning:warning_filled_default,error:circle_close_filled_default,info:info_filled_default},ValidateComponentsMap={validating:loading_default,success:circle_check_default,error:circle_close_default},withInstall=(Ra,qa)=>{if(Ra.install=ed=>{for(const td of[Ra,...Object.values(qa??{})])ed.component(td.name,td)},qa)for(const[ed,td]of Object.entries(qa))Ra[ed]=td;return Ra},withInstallFunction=(Ra,qa)=>(Ra.install=ed=>{Ra._context=ed._context,ed.config.globalProperties[qa]=Ra},Ra),withNoopInstall=Ra=>(Ra.install=NOOP,Ra),EVENT_CODE={tab:"Tab",enter:"Enter",space:"Space",left:"ArrowLeft",up:"ArrowUp",right:"ArrowRight",down:"ArrowDown",esc:"Escape",delete:"Delete",backspace:"Backspace",numpadEnter:"NumpadEnter",pageUp:"PageUp",pageDown:"PageDown",home:"Home",end:"End"},UPDATE_MODEL_EVENT="update:modelValue",CHANGE_EVENT="change",INPUT_EVENT="input",componentSizes=["","default","small","large"],isValidComponentSize=Ra=>["",...componentSizes].includes(Ra);var PatchFlags=(Ra=>(Ra[Ra.TEXT=1]="TEXT",Ra[Ra.CLASS=2]="CLASS",Ra[Ra.STYLE=4]="STYLE",Ra[Ra.PROPS=8]="PROPS",Ra[Ra.FULL_PROPS=16]="FULL_PROPS",Ra[Ra.HYDRATE_EVENTS=32]="HYDRATE_EVENTS",Ra[Ra.STABLE_FRAGMENT=64]="STABLE_FRAGMENT",Ra[Ra.KEYED_FRAGMENT=128]="KEYED_FRAGMENT",Ra[Ra.UNKEYED_FRAGMENT=256]="UNKEYED_FRAGMENT",Ra[Ra.NEED_PATCH=512]="NEED_PATCH",Ra[Ra.DYNAMIC_SLOTS=1024]="DYNAMIC_SLOTS",Ra[Ra.HOISTED=-1]="HOISTED",Ra[Ra.BAIL=-2]="BAIL",Ra))(PatchFlags||{});const flattedChildren=Ra=>{const qa=isArray$2(Ra)?Ra:[Ra],ed=[];return qa.forEach(td=>{var rd;isArray$2(td)?ed.push(...flattedChildren(td)):isVNode(td)&&isArray$2(td.children)?ed.push(...flattedChildren(td.children)):(ed.push(td),isVNode(td)&&((rd=td.component)!=null&&rd.subTree)&&ed.push(...flattedChildren(td.component.subTree)))}),ed},isKorean=Ra=>/([\uAC00-\uD7AF\u3130-\u318F])+/gi.test(Ra),mutable=Ra=>Ra,DEFAULT_EXCLUDE_KEYS=["class","style"],LISTENER_PREFIX=/^on[A-Z]/,useAttrs=(Ra={})=>{const{excludeListeners:qa=!1,excludeKeys:ed}=Ra,td=computed(()=>((ed==null?void 0:ed.value)||[]).concat(DEFAULT_EXCLUDE_KEYS)),rd=getCurrentInstance();return computed(rd?()=>{var sd;return fromPairs(Object.entries((sd=rd.proxy)==null?void 0:sd.$attrs).filter(([od])=>!td.value.includes(od)&&!(qa&&LISTENER_PREFIX.test(od))))}:()=>({}))},useDeprecated=({from:Ra,replacement:qa,scope:ed,version:td,ref:rd,type:sd="API"},od)=>{watch(()=>unref(od),ld=>{},{immediate:!0})},useDraggable=(Ra,qa,ed,td)=>{let rd={offsetX:0,offsetY:0};const sd=ud=>{const _d=ud.clientX,Ed=ud.clientY,{offsetX:Ad,offsetY:Td}=rd,Nd=Ra.value.getBoundingClientRect(),Rd=Nd.left,Bd=Nd.top,kd=Nd.width,Od=Nd.height,Md=document.documentElement.clientWidth,Pd=document.documentElement.clientHeight,Fd=-Rd+Ad,Ud=-Bd+Td,Gd=Md-Rd-kd+Ad,Qd=Pd-Bd-Od+Td,Vd=Xd=>{let df=Ad+Xd.clientX-_d,mf=Td+Xd.clientY-Ed;td!=null&&td.value||(df=Math.min(Math.max(df,Fd),Gd),mf=Math.min(Math.max(mf,Ud),Qd)),rd={offsetX:df,offsetY:mf},Ra.value&&(Ra.value.style.transform=`translate(${addUnit(df)}, ${addUnit(mf)})`)},zd=()=>{document.removeEventListener("mousemove",Vd),document.removeEventListener("mouseup",zd)};document.addEventListener("mousemove",Vd),document.addEventListener("mouseup",zd)},od=()=>{qa.value&&Ra.value&&qa.value.addEventListener("mousedown",sd)},ld=()=>{qa.value&&Ra.value&&qa.value.removeEventListener("mousedown",sd)},cd=()=>{rd={offsetX:0,offsetY:0},Ra.value&&(Ra.value.style.transform="none")};return onMounted(()=>{watchEffect(()=>{ed.value?od():ld()})}),onBeforeUnmount(()=>{ld()}),{resetPosition:cd}};var English={name:"en",el:{breadcrumb:{label:"Breadcrumb"},colorpicker:{confirm:"OK",clear:"Clear",defaultLabel:"color picker",description:"current color is {color}. press enter to select a new color.",alphaLabel:"pick alpha value"},datepicker:{now:"Now",today:"Today",cancel:"Cancel",clear:"Clear",confirm:"OK",dateTablePrompt:"Use the arrow keys and enter to select the day of the month",monthTablePrompt:"Use the arrow keys and enter to select the month",yearTablePrompt:"Use the arrow keys and enter to select the year",selectedDate:"Selected date",selectDate:"Select date",selectTime:"Select time",startDate:"Start Date",startTime:"Start Time",endDate:"End Date",endTime:"End Time",prevYear:"Previous Year",nextYear:"Next Year",prevMonth:"Previous Month",nextMonth:"Next Month",year:"",month1:"January",month2:"February",month3:"March",month4:"April",month5:"May",month6:"June",month7:"July",month8:"August",month9:"September",month10:"October",month11:"November",month12:"December",week:"week",weeks:{sun:"Sun",mon:"Mon",tue:"Tue",wed:"Wed",thu:"Thu",fri:"Fri",sat:"Sat"},weeksFull:{sun:"Sunday",mon:"Monday",tue:"Tuesday",wed:"Wednesday",thu:"Thursday",fri:"Friday",sat:"Saturday"},months:{jan:"Jan",feb:"Feb",mar:"Mar",apr:"Apr",may:"May",jun:"Jun",jul:"Jul",aug:"Aug",sep:"Sep",oct:"Oct",nov:"Nov",dec:"Dec"}},inputNumber:{decrease:"decrease number",increase:"increase number"},select:{loading:"Loading",noMatch:"No matching data",noData:"No data",placeholder:"Select"},mention:{loading:"Loading"},dropdown:{toggleDropdown:"Toggle Dropdown"},cascader:{noMatch:"No matching data",loading:"Loading",placeholder:"Select",noData:"No data"},pagination:{goto:"Go to",pagesize:"/page",total:"Total {total}",pageClassifier:"",page:"Page",prev:"Go to previous page",next:"Go to next page",currentPage:"page {pager}",prevPages:"Previous {pager} pages",nextPages:"Next {pager} pages",deprecationWarning:"Deprecated usages detected, please refer to the el-pagination documentation for more details"},dialog:{close:"Close this dialog"},drawer:{close:"Close this dialog"},messagebox:{title:"Message",confirm:"OK",cancel:"Cancel",error:"Illegal input",close:"Close this dialog"},upload:{deleteTip:"press delete to remove",delete:"Delete",preview:"Preview",continue:"Continue"},slider:{defaultLabel:"slider between {min} and {max}",defaultRangeStartLabel:"pick start value",defaultRangeEndLabel:"pick end value"},table:{emptyText:"No Data",confirmFilter:"Confirm",resetFilter:"Reset",clearFilter:"All",sumText:"Sum"},tour:{next:"Next",previous:"Previous",finish:"Finish"},tree:{emptyText:"No Data"},transfer:{noMatch:"No matching data",noData:"No data",titles:["List 1","List 2"],filterPlaceholder:"Enter keyword",noCheckedFormat:"{total} items",hasCheckedFormat:"{checked}/{total} checked"},image:{error:"FAILED"},pageHeader:{title:"Back"},popconfirm:{confirmButtonText:"Yes",cancelButtonText:"No"},carousel:{leftArrow:"Carousel arrow left",rightArrow:"Carousel arrow right",indicator:"Carousel switch to index {index}"}}};const buildTranslator=Ra=>(qa,ed)=>translate(qa,ed,unref(Ra)),translate=(Ra,qa,ed)=>get$2(ed,Ra,Ra).replace(/\{(\w+)\}/g,(td,rd)=>{var sd;return`${(sd=qa==null?void 0:qa[rd])!=null?sd:`{${rd}}`}`}),buildLocaleContext=Ra=>{const qa=computed(()=>unref(Ra).name),ed=isRef(Ra)?Ra:ref$1(Ra);return{lang:qa,locale:ed,t:buildTranslator(Ra)}},localeContextKey=Symbol("localeContextKey"),useLocale=Ra=>{const qa=Ra||inject(localeContextKey,ref$1());return buildLocaleContext(computed(()=>qa.value||English))},defaultNamespace="el",statePrefix="is-",_bem=(Ra,qa,ed,td,rd)=>{let sd=`${Ra}-${qa}`;return ed&&(sd+=`-${ed}`),td&&(sd+=`__${td}`),rd&&(sd+=`--${rd}`),sd},namespaceContextKey=Symbol("namespaceContextKey"),useGetDerivedNamespace=Ra=>{const qa=Ra||(getCurrentInstance()?inject(namespaceContextKey,ref$1(defaultNamespace)):ref$1(defaultNamespace));return computed(()=>unref(qa)||defaultNamespace)},useNamespace=(Ra,qa)=>{const ed=useGetDerivedNamespace(qa);return{namespace:ed,b:(Rd="")=>_bem(ed.value,Ra,Rd,"",""),e:Rd=>Rd?_bem(ed.value,Ra,"",Rd,""):"",m:Rd=>Rd?_bem(ed.value,Ra,"","",Rd):"",be:(Rd,Bd)=>Rd&&Bd?_bem(ed.value,Ra,Rd,Bd,""):"",em:(Rd,Bd)=>Rd&&Bd?_bem(ed.value,Ra,"",Rd,Bd):"",bm:(Rd,Bd)=>Rd&&Bd?_bem(ed.value,Ra,Rd,"",Bd):"",bem:(Rd,Bd,kd)=>Rd&&Bd&&kd?_bem(ed.value,Ra,Rd,Bd,kd):"",is:(Rd,...Bd)=>{const kd=Bd.length>=1?Bd[0]:!0;return Rd&&kd?`${statePrefix}${Rd}`:""},cssVar:Rd=>{const Bd={};for(const kd in Rd)Rd[kd]&&(Bd[`--${ed.value}-${kd}`]=Rd[kd]);return Bd},cssVarName:Rd=>`--${ed.value}-${Rd}`,cssVarBlock:Rd=>{const Bd={};for(const kd in Rd)Rd[kd]&&(Bd[`--${ed.value}-${Ra}-${kd}`]=Rd[kd]);return Bd},cssVarBlockName:Rd=>`--${ed.value}-${Ra}-${Rd}`}},useLockscreen=(Ra,qa={})=>{isRef(Ra)||throwError$1("[useLockscreen]","You need to pass a ref param to this function");const ed=qa.ns||useNamespace("popup"),td=computed(()=>ed.bm("parent","hidden"));if(!isClient$1||hasClass(document.body,td.value))return;let rd=0,sd=!1,od="0";const ld=()=>{setTimeout(()=>{removeClass(document==null?void 0:document.body,td.value),sd&&document&&(document.body.style.width=od)},200)};watch(Ra,cd=>{if(!cd){ld();return}sd=!hasClass(document.body,td.value),sd&&(od=document.body.style.width),rd=getScrollBarWidth(ed.namespace.value);const ud=document.documentElement.clientHeight0&&(ud||_d==="scroll")&&sd&&(document.body.style.width=`calc(100% - ${rd}px)`),addClass(document.body,td.value)}),onScopeDispose(()=>ld())},_prop=buildProp({type:definePropType(Boolean),default:null}),_event=buildProp({type:definePropType(Function)}),createModelToggleComposable=Ra=>{const qa=`update:${Ra}`,ed=`onUpdate:${Ra}`,td=[qa],rd={[Ra]:_prop,[ed]:_event};return{useModelToggle:({indicator:od,toggleReason:ld,shouldHideWhenRouteChanges:cd,shouldProceed:ud,onShow:_d,onHide:Ed})=>{const Ad=getCurrentInstance(),{emit:Td}=Ad,Nd=Ad.props,Rd=computed(()=>isFunction$4(Nd[ed])),Bd=computed(()=>Nd[Ra]===null),kd=Gd=>{od.value!==!0&&(od.value=!0,ld&&(ld.value=Gd),isFunction$4(_d)&&_d(Gd))},Od=Gd=>{od.value!==!1&&(od.value=!1,ld&&(ld.value=Gd),isFunction$4(Ed)&&Ed(Gd))},Md=Gd=>{if(Nd.disabled===!0||isFunction$4(ud)&&!ud())return;const Qd=Rd.value&&isClient$1;Qd&&Td(qa,!0),(Bd.value||!Qd)&&kd(Gd)},Pd=Gd=>{if(Nd.disabled===!0||!isClient$1)return;const Qd=Rd.value&&isClient$1;Qd&&Td(qa,!1),(Bd.value||!Qd)&&Od(Gd)},Fd=Gd=>{isBoolean$3(Gd)&&(Nd.disabled&&Gd?Rd.value&&Td(qa,!1):od.value!==Gd&&(Gd?kd():Od()))},Ud=()=>{od.value?Pd():Md()};return watch(()=>Nd[Ra],Fd),cd&&Ad.appContext.config.globalProperties.$route!==void 0&&watch(()=>({...Ad.proxy.$route}),()=>{cd.value&&od.value&&Pd()}),onMounted(()=>{Fd(Nd[Ra])}),{hide:Pd,show:Md,toggle:Ud,hasUpdateHandler:Rd}},useModelToggleProps:rd,useModelToggleEmits:td}};createModelToggleComposable("modelValue");const useProp=Ra=>{const qa=getCurrentInstance();return computed(()=>{var ed,td;return(td=(ed=qa==null?void 0:qa.proxy)==null?void 0:ed.$props)==null?void 0:td[Ra]})};var E$3="top",R$4="bottom",W$4="right",P$4="left",me$2="auto",G$5=[E$3,R$4,W$4,P$4],U$4="start",J$4="end",Xe$3="clippingParents",je$5="viewport",K$4="popper",Ye$3="reference",De$3=G$5.reduce(function(Ra,qa){return Ra.concat([qa+"-"+U$4,qa+"-"+J$4])},[]),Ee$4=[].concat(G$5,[me$2]).reduce(function(Ra,qa){return Ra.concat([qa,qa+"-"+U$4,qa+"-"+J$4])},[]),Ge$3="beforeRead",Je$4="read",Ke$3="afterRead",Qe$3="beforeMain",Ze$4="main",et$5="afterMain",tt$4="beforeWrite",nt$3="write",rt$3="afterWrite",ot$3=[Ge$3,Je$4,Ke$3,Qe$3,Ze$4,et$5,tt$4,nt$3,rt$3];function C$2(Ra){return Ra?(Ra.nodeName||"").toLowerCase():null}function H$4(Ra){if(Ra==null)return window;if(Ra.toString()!=="[object Window]"){var qa=Ra.ownerDocument;return qa&&qa.defaultView||window}return Ra}function Q$5(Ra){var qa=H$4(Ra).Element;return Ra instanceof qa||Ra instanceof Element}function B$3(Ra){var qa=H$4(Ra).HTMLElement;return Ra instanceof qa||Ra instanceof HTMLElement}function Pe$5(Ra){if(typeof ShadowRoot>"u")return!1;var qa=H$4(Ra).ShadowRoot;return Ra instanceof qa||Ra instanceof ShadowRoot}function Mt$4(Ra){var qa=Ra.state;Object.keys(qa.elements).forEach(function(ed){var td=qa.styles[ed]||{},rd=qa.attributes[ed]||{},sd=qa.elements[ed];!B$3(sd)||!C$2(sd)||(Object.assign(sd.style,td),Object.keys(rd).forEach(function(od){var ld=rd[od];ld===!1?sd.removeAttribute(od):sd.setAttribute(od,ld===!0?"":ld)}))})}function Rt$5(Ra){var qa=Ra.state,ed={popper:{position:qa.options.strategy,left:"0",top:"0",margin:"0"},arrow:{position:"absolute"},reference:{}};return Object.assign(qa.elements.popper.style,ed.popper),qa.styles=ed,qa.elements.arrow&&Object.assign(qa.elements.arrow.style,ed.arrow),function(){Object.keys(qa.elements).forEach(function(td){var rd=qa.elements[td],sd=qa.attributes[td]||{},od=Object.keys(qa.styles.hasOwnProperty(td)?qa.styles[td]:ed[td]),ld=od.reduce(function(cd,ud){return cd[ud]="",cd},{});!B$3(rd)||!C$2(rd)||(Object.assign(rd.style,ld),Object.keys(sd).forEach(function(cd){rd.removeAttribute(cd)}))})}}var Ae$3={name:"applyStyles",enabled:!0,phase:"write",fn:Mt$4,effect:Rt$5,requires:["computeStyles"]};function q$4(Ra){return Ra.split("-")[0]}var X$5=Math.max,ve$4=Math.min,Z$3=Math.round;function ee$5(Ra,qa){qa===void 0&&(qa=!1);var ed=Ra.getBoundingClientRect(),td=1,rd=1;if(B$3(Ra)&&qa){var sd=Ra.offsetHeight,od=Ra.offsetWidth;od>0&&(td=Z$3(ed.width)/od||1),sd>0&&(rd=Z$3(ed.height)/sd||1)}return{width:ed.width/td,height:ed.height/rd,top:ed.top/rd,right:ed.right/td,bottom:ed.bottom/rd,left:ed.left/td,x:ed.left/td,y:ed.top/rd}}function ke$4(Ra){var qa=ee$5(Ra),ed=Ra.offsetWidth,td=Ra.offsetHeight;return Math.abs(qa.width-ed)<=1&&(ed=qa.width),Math.abs(qa.height-td)<=1&&(td=qa.height),{x:Ra.offsetLeft,y:Ra.offsetTop,width:ed,height:td}}function it$5(Ra,qa){var ed=qa.getRootNode&&qa.getRootNode();if(Ra.contains(qa))return!0;if(ed&&Pe$5(ed)){var td=qa;do{if(td&&Ra.isSameNode(td))return!0;td=td.parentNode||td.host}while(td)}return!1}function N$5(Ra){return H$4(Ra).getComputedStyle(Ra)}function Wt$2(Ra){return["table","td","th"].indexOf(C$2(Ra))>=0}function I$2(Ra){return((Q$5(Ra)?Ra.ownerDocument:Ra.document)||window.document).documentElement}function ge$7(Ra){return C$2(Ra)==="html"?Ra:Ra.assignedSlot||Ra.parentNode||(Pe$5(Ra)?Ra.host:null)||I$2(Ra)}function at$5(Ra){return!B$3(Ra)||N$5(Ra).position==="fixed"?null:Ra.offsetParent}function Bt$5(Ra){var qa=navigator.userAgent.toLowerCase().indexOf("firefox")!==-1,ed=navigator.userAgent.indexOf("Trident")!==-1;if(ed&&B$3(Ra)){var td=N$5(Ra);if(td.position==="fixed")return null}var rd=ge$7(Ra);for(Pe$5(rd)&&(rd=rd.host);B$3(rd)&&["html","body"].indexOf(C$2(rd))<0;){var sd=N$5(rd);if(sd.transform!=="none"||sd.perspective!=="none"||sd.contain==="paint"||["transform","perspective"].indexOf(sd.willChange)!==-1||qa&&sd.willChange==="filter"||qa&&sd.filter&&sd.filter!=="none")return rd;rd=rd.parentNode}return null}function se$3(Ra){for(var qa=H$4(Ra),ed=at$5(Ra);ed&&Wt$2(ed)&&N$5(ed).position==="static";)ed=at$5(ed);return ed&&(C$2(ed)==="html"||C$2(ed)==="body"&&N$5(ed).position==="static")?qa:ed||Bt$5(Ra)||qa}function Le$4(Ra){return["top","bottom"].indexOf(Ra)>=0?"x":"y"}function fe$4(Ra,qa,ed){return X$5(Ra,ve$4(qa,ed))}function St$4(Ra,qa,ed){var td=fe$4(Ra,qa,ed);return td>ed?ed:td}function st$3(){return{top:0,right:0,bottom:0,left:0}}function ft$3(Ra){return Object.assign({},st$3(),Ra)}function ct$2(Ra,qa){return qa.reduce(function(ed,td){return ed[td]=Ra,ed},{})}var Tt$4=function(Ra,qa){return Ra=typeof Ra=="function"?Ra(Object.assign({},qa.rects,{placement:qa.placement})):Ra,ft$3(typeof Ra!="number"?Ra:ct$2(Ra,G$5))};function Ht$4(Ra){var qa,ed=Ra.state,td=Ra.name,rd=Ra.options,sd=ed.elements.arrow,od=ed.modifiersData.popperOffsets,ld=q$4(ed.placement),cd=Le$4(ld),ud=[P$4,W$4].indexOf(ld)>=0,_d=ud?"height":"width";if(!(!sd||!od)){var Ed=Tt$4(rd.padding,ed),Ad=ke$4(sd),Td=cd==="y"?E$3:P$4,Nd=cd==="y"?R$4:W$4,Rd=ed.rects.reference[_d]+ed.rects.reference[cd]-od[cd]-ed.rects.popper[_d],Bd=od[cd]-ed.rects.reference[cd],kd=se$3(sd),Od=kd?cd==="y"?kd.clientHeight||0:kd.clientWidth||0:0,Md=Rd/2-Bd/2,Pd=Ed[Td],Fd=Od-Ad[_d]-Ed[Nd],Ud=Od/2-Ad[_d]/2+Md,Gd=fe$4(Pd,Ud,Fd),Qd=cd;ed.modifiersData[td]=(qa={},qa[Qd]=Gd,qa.centerOffset=Gd-Ud,qa)}}function Ct$5(Ra){var qa=Ra.state,ed=Ra.options,td=ed.element,rd=td===void 0?"[data-popper-arrow]":td;rd!=null&&(typeof rd=="string"&&(rd=qa.elements.popper.querySelector(rd),!rd)||!it$5(qa.elements.popper,rd)||(qa.elements.arrow=rd))}var pt$4={name:"arrow",enabled:!0,phase:"main",fn:Ht$4,effect:Ct$5,requires:["popperOffsets"],requiresIfExists:["preventOverflow"]};function te$4(Ra){return Ra.split("-")[1]}var qt$3={top:"auto",right:"auto",bottom:"auto",left:"auto"};function Vt$4(Ra){var qa=Ra.x,ed=Ra.y,td=window,rd=td.devicePixelRatio||1;return{x:Z$3(qa*rd)/rd||0,y:Z$3(ed*rd)/rd||0}}function ut$2(Ra){var qa,ed=Ra.popper,td=Ra.popperRect,rd=Ra.placement,sd=Ra.variation,od=Ra.offsets,ld=Ra.position,cd=Ra.gpuAcceleration,ud=Ra.adaptive,_d=Ra.roundOffsets,Ed=Ra.isFixed,Ad=od.x,Td=Ad===void 0?0:Ad,Nd=od.y,Rd=Nd===void 0?0:Nd,Bd=typeof _d=="function"?_d({x:Td,y:Rd}):{x:Td,y:Rd};Td=Bd.x,Rd=Bd.y;var kd=od.hasOwnProperty("x"),Od=od.hasOwnProperty("y"),Md=P$4,Pd=E$3,Fd=window;if(ud){var Ud=se$3(ed),Gd="clientHeight",Qd="clientWidth";if(Ud===H$4(ed)&&(Ud=I$2(ed),N$5(Ud).position!=="static"&&ld==="absolute"&&(Gd="scrollHeight",Qd="scrollWidth")),Ud=Ud,rd===E$3||(rd===P$4||rd===W$4)&&sd===J$4){Pd=R$4;var Vd=Ed&&Ud===Fd&&Fd.visualViewport?Fd.visualViewport.height:Ud[Gd];Rd-=Vd-td.height,Rd*=cd?1:-1}if(rd===P$4||(rd===E$3||rd===R$4)&&sd===J$4){Md=W$4;var zd=Ed&&Ud===Fd&&Fd.visualViewport?Fd.visualViewport.width:Ud[Qd];Td-=zd-td.width,Td*=cd?1:-1}}var Xd=Object.assign({position:ld},ud&&qt$3),df=_d===!0?Vt$4({x:Td,y:Rd}):{x:Td,y:Rd};if(Td=df.x,Rd=df.y,cd){var mf;return Object.assign({},Xd,(mf={},mf[Pd]=Od?"0":"",mf[Md]=kd?"0":"",mf.transform=(Fd.devicePixelRatio||1)<=1?"translate("+Td+"px, "+Rd+"px)":"translate3d("+Td+"px, "+Rd+"px, 0)",mf))}return Object.assign({},Xd,(qa={},qa[Pd]=Od?Rd+"px":"",qa[Md]=kd?Td+"px":"",qa.transform="",qa))}function Nt$4(Ra){var qa=Ra.state,ed=Ra.options,td=ed.gpuAcceleration,rd=td===void 0?!0:td,sd=ed.adaptive,od=sd===void 0?!0:sd,ld=ed.roundOffsets,cd=ld===void 0?!0:ld,ud={placement:q$4(qa.placement),variation:te$4(qa.placement),popper:qa.elements.popper,popperRect:qa.rects.popper,gpuAcceleration:rd,isFixed:qa.options.strategy==="fixed"};qa.modifiersData.popperOffsets!=null&&(qa.styles.popper=Object.assign({},qa.styles.popper,ut$2(Object.assign({},ud,{offsets:qa.modifiersData.popperOffsets,position:qa.options.strategy,adaptive:od,roundOffsets:cd})))),qa.modifiersData.arrow!=null&&(qa.styles.arrow=Object.assign({},qa.styles.arrow,ut$2(Object.assign({},ud,{offsets:qa.modifiersData.arrow,position:"absolute",adaptive:!1,roundOffsets:cd})))),qa.attributes.popper=Object.assign({},qa.attributes.popper,{"data-popper-placement":qa.placement})}var Me$6={name:"computeStyles",enabled:!0,phase:"beforeWrite",fn:Nt$4,data:{}},ye$3={passive:!0};function It$4(Ra){var qa=Ra.state,ed=Ra.instance,td=Ra.options,rd=td.scroll,sd=rd===void 0?!0:rd,od=td.resize,ld=od===void 0?!0:od,cd=H$4(qa.elements.popper),ud=[].concat(qa.scrollParents.reference,qa.scrollParents.popper);return sd&&ud.forEach(function(_d){_d.addEventListener("scroll",ed.update,ye$3)}),ld&&cd.addEventListener("resize",ed.update,ye$3),function(){sd&&ud.forEach(function(_d){_d.removeEventListener("scroll",ed.update,ye$3)}),ld&&cd.removeEventListener("resize",ed.update,ye$3)}}var Re$8={name:"eventListeners",enabled:!0,phase:"write",fn:function(){},effect:It$4,data:{}},_t$3={left:"right",right:"left",bottom:"top",top:"bottom"};function be$6(Ra){return Ra.replace(/left|right|bottom|top/g,function(qa){return _t$3[qa]})}var zt$2={start:"end",end:"start"};function lt$9(Ra){return Ra.replace(/start|end/g,function(qa){return zt$2[qa]})}function We$2(Ra){var qa=H$4(Ra),ed=qa.pageXOffset,td=qa.pageYOffset;return{scrollLeft:ed,scrollTop:td}}function Be$5(Ra){return ee$5(I$2(Ra)).left+We$2(Ra).scrollLeft}function Ft$5(Ra){var qa=H$4(Ra),ed=I$2(Ra),td=qa.visualViewport,rd=ed.clientWidth,sd=ed.clientHeight,od=0,ld=0;return td&&(rd=td.width,sd=td.height,/^((?!chrome|android).)*safari/i.test(navigator.userAgent)||(od=td.offsetLeft,ld=td.offsetTop)),{width:rd,height:sd,x:od+Be$5(Ra),y:ld}}function Ut$3(Ra){var qa,ed=I$2(Ra),td=We$2(Ra),rd=(qa=Ra.ownerDocument)==null?void 0:qa.body,sd=X$5(ed.scrollWidth,ed.clientWidth,rd?rd.scrollWidth:0,rd?rd.clientWidth:0),od=X$5(ed.scrollHeight,ed.clientHeight,rd?rd.scrollHeight:0,rd?rd.clientHeight:0),ld=-td.scrollLeft+Be$5(Ra),cd=-td.scrollTop;return N$5(rd||ed).direction==="rtl"&&(ld+=X$5(ed.clientWidth,rd?rd.clientWidth:0)-sd),{width:sd,height:od,x:ld,y:cd}}function Se$4(Ra){var qa=N$5(Ra),ed=qa.overflow,td=qa.overflowX,rd=qa.overflowY;return/auto|scroll|overlay|hidden/.test(ed+rd+td)}function dt$4(Ra){return["html","body","#document"].indexOf(C$2(Ra))>=0?Ra.ownerDocument.body:B$3(Ra)&&Se$4(Ra)?Ra:dt$4(ge$7(Ra))}function ce$4(Ra,qa){var ed;qa===void 0&&(qa=[]);var td=dt$4(Ra),rd=td===((ed=Ra.ownerDocument)==null?void 0:ed.body),sd=H$4(td),od=rd?[sd].concat(sd.visualViewport||[],Se$4(td)?td:[]):td,ld=qa.concat(od);return rd?ld:ld.concat(ce$4(ge$7(od)))}function Te$4(Ra){return Object.assign({},Ra,{left:Ra.x,top:Ra.y,right:Ra.x+Ra.width,bottom:Ra.y+Ra.height})}function Xt$4(Ra){var qa=ee$5(Ra);return qa.top=qa.top+Ra.clientTop,qa.left=qa.left+Ra.clientLeft,qa.bottom=qa.top+Ra.clientHeight,qa.right=qa.left+Ra.clientWidth,qa.width=Ra.clientWidth,qa.height=Ra.clientHeight,qa.x=qa.left,qa.y=qa.top,qa}function ht$4(Ra,qa){return qa===je$5?Te$4(Ft$5(Ra)):Q$5(qa)?Xt$4(qa):Te$4(Ut$3(I$2(Ra)))}function Yt$2(Ra){var qa=ce$4(ge$7(Ra)),ed=["absolute","fixed"].indexOf(N$5(Ra).position)>=0,td=ed&&B$3(Ra)?se$3(Ra):Ra;return Q$5(td)?qa.filter(function(rd){return Q$5(rd)&&it$5(rd,td)&&C$2(rd)!=="body"}):[]}function Gt$6(Ra,qa,ed){var td=qa==="clippingParents"?Yt$2(Ra):[].concat(qa),rd=[].concat(td,[ed]),sd=rd[0],od=rd.reduce(function(ld,cd){var ud=ht$4(Ra,cd);return ld.top=X$5(ud.top,ld.top),ld.right=ve$4(ud.right,ld.right),ld.bottom=ve$4(ud.bottom,ld.bottom),ld.left=X$5(ud.left,ld.left),ld},ht$4(Ra,sd));return od.width=od.right-od.left,od.height=od.bottom-od.top,od.x=od.left,od.y=od.top,od}function mt$4(Ra){var qa=Ra.reference,ed=Ra.element,td=Ra.placement,rd=td?q$4(td):null,sd=td?te$4(td):null,od=qa.x+qa.width/2-ed.width/2,ld=qa.y+qa.height/2-ed.height/2,cd;switch(rd){case E$3:cd={x:od,y:qa.y-ed.height};break;case R$4:cd={x:od,y:qa.y+qa.height};break;case W$4:cd={x:qa.x+qa.width,y:ld};break;case P$4:cd={x:qa.x-ed.width,y:ld};break;default:cd={x:qa.x,y:qa.y}}var ud=rd?Le$4(rd):null;if(ud!=null){var _d=ud==="y"?"height":"width";switch(sd){case U$4:cd[ud]=cd[ud]-(qa[_d]/2-ed[_d]/2);break;case J$4:cd[ud]=cd[ud]+(qa[_d]/2-ed[_d]/2);break}}return cd}function ne$6(Ra,qa){qa===void 0&&(qa={});var ed=qa,td=ed.placement,rd=td===void 0?Ra.placement:td,sd=ed.boundary,od=sd===void 0?Xe$3:sd,ld=ed.rootBoundary,cd=ld===void 0?je$5:ld,ud=ed.elementContext,_d=ud===void 0?K$4:ud,Ed=ed.altBoundary,Ad=Ed===void 0?!1:Ed,Td=ed.padding,Nd=Td===void 0?0:Td,Rd=ft$3(typeof Nd!="number"?Nd:ct$2(Nd,G$5)),Bd=_d===K$4?Ye$3:K$4,kd=Ra.rects.popper,Od=Ra.elements[Ad?Bd:_d],Md=Gt$6(Q$5(Od)?Od:Od.contextElement||I$2(Ra.elements.popper),od,cd),Pd=ee$5(Ra.elements.reference),Fd=mt$4({reference:Pd,element:kd,strategy:"absolute",placement:rd}),Ud=Te$4(Object.assign({},kd,Fd)),Gd=_d===K$4?Ud:Pd,Qd={top:Md.top-Gd.top+Rd.top,bottom:Gd.bottom-Md.bottom+Rd.bottom,left:Md.left-Gd.left+Rd.left,right:Gd.right-Md.right+Rd.right},Vd=Ra.modifiersData.offset;if(_d===K$4&&Vd){var zd=Vd[rd];Object.keys(Qd).forEach(function(Xd){var df=[W$4,R$4].indexOf(Xd)>=0?1:-1,mf=[E$3,R$4].indexOf(Xd)>=0?"y":"x";Qd[Xd]+=zd[mf]*df})}return Qd}function Jt$4(Ra,qa){qa===void 0&&(qa={});var ed=qa,td=ed.placement,rd=ed.boundary,sd=ed.rootBoundary,od=ed.padding,ld=ed.flipVariations,cd=ed.allowedAutoPlacements,ud=cd===void 0?Ee$4:cd,_d=te$4(td),Ed=_d?ld?De$3:De$3.filter(function(Nd){return te$4(Nd)===_d}):G$5,Ad=Ed.filter(function(Nd){return ud.indexOf(Nd)>=0});Ad.length===0&&(Ad=Ed);var Td=Ad.reduce(function(Nd,Rd){return Nd[Rd]=ne$6(Ra,{placement:Rd,boundary:rd,rootBoundary:sd,padding:od})[q$4(Rd)],Nd},{});return Object.keys(Td).sort(function(Nd,Rd){return Td[Nd]-Td[Rd]})}function Kt$2(Ra){if(q$4(Ra)===me$2)return[];var qa=be$6(Ra);return[lt$9(Ra),qa,lt$9(qa)]}function Qt$3(Ra){var qa=Ra.state,ed=Ra.options,td=Ra.name;if(!qa.modifiersData[td]._skip){for(var rd=ed.mainAxis,sd=rd===void 0?!0:rd,od=ed.altAxis,ld=od===void 0?!0:od,cd=ed.fallbackPlacements,ud=ed.padding,_d=ed.boundary,Ed=ed.rootBoundary,Ad=ed.altBoundary,Td=ed.flipVariations,Nd=Td===void 0?!0:Td,Rd=ed.allowedAutoPlacements,Bd=qa.options.placement,kd=q$4(Bd),Od=kd===Bd,Md=cd||(Od||!Nd?[be$6(Bd)]:Kt$2(Bd)),Pd=[Bd].concat(Md).reduce(function(wf,Jf){return wf.concat(q$4(Jf)===me$2?Jt$4(qa,{placement:Jf,boundary:_d,rootBoundary:Ed,padding:ud,flipVariations:Nd,allowedAutoPlacements:Rd}):Jf)},[]),Fd=qa.rects.reference,Ud=qa.rects.popper,Gd=new Map,Qd=!0,Vd=Pd[0],zd=0;zd=0,hf=_f?"width":"height",gf=ne$6(qa,{placement:Xd,boundary:_d,rootBoundary:Ed,altBoundary:Ad,padding:ud}),yf=_f?mf?W$4:P$4:mf?R$4:E$3;Fd[hf]>Ud[hf]&&(yf=be$6(yf));var Nf=be$6(yf),Pf=[];if(sd&&Pf.push(gf[df]<=0),ld&&Pf.push(gf[yf]<=0,gf[Nf]<=0),Pf.every(function(wf){return wf})){Vd=Xd,Qd=!1;break}Gd.set(Xd,Pf)}if(Qd)for(var Yf=Nd?3:1,Uf=function(wf){var Jf=Pd.find(function(Qf){var Ef=Gd.get(Qf);if(Ef)return Ef.slice(0,wf).every(function(bf){return bf})});if(Jf)return Vd=Jf,"break"},Lf=Yf;Lf>0;Lf--){var xf=Uf(Lf);if(xf==="break")break}qa.placement!==Vd&&(qa.modifiersData[td]._skip=!0,qa.placement=Vd,qa.reset=!0)}}var vt$4={name:"flip",enabled:!0,phase:"main",fn:Qt$3,requiresIfExists:["offset"],data:{_skip:!1}};function gt$9(Ra,qa,ed){return ed===void 0&&(ed={x:0,y:0}),{top:Ra.top-qa.height-ed.y,right:Ra.right-qa.width+ed.x,bottom:Ra.bottom-qa.height+ed.y,left:Ra.left-qa.width-ed.x}}function yt$3(Ra){return[E$3,W$4,R$4,P$4].some(function(qa){return Ra[qa]>=0})}function Zt$3(Ra){var qa=Ra.state,ed=Ra.name,td=qa.rects.reference,rd=qa.rects.popper,sd=qa.modifiersData.preventOverflow,od=ne$6(qa,{elementContext:"reference"}),ld=ne$6(qa,{altBoundary:!0}),cd=gt$9(od,td),ud=gt$9(ld,rd,sd),_d=yt$3(cd),Ed=yt$3(ud);qa.modifiersData[ed]={referenceClippingOffsets:cd,popperEscapeOffsets:ud,isReferenceHidden:_d,hasPopperEscaped:Ed},qa.attributes.popper=Object.assign({},qa.attributes.popper,{"data-popper-reference-hidden":_d,"data-popper-escaped":Ed})}var bt$5={name:"hide",enabled:!0,phase:"main",requiresIfExists:["preventOverflow"],fn:Zt$3};function en$3(Ra,qa,ed){var td=q$4(Ra),rd=[P$4,E$3].indexOf(td)>=0?-1:1,sd=typeof ed=="function"?ed(Object.assign({},qa,{placement:Ra})):ed,od=sd[0],ld=sd[1];return od=od||0,ld=(ld||0)*rd,[P$4,W$4].indexOf(td)>=0?{x:ld,y:od}:{x:od,y:ld}}function tn$4(Ra){var qa=Ra.state,ed=Ra.options,td=Ra.name,rd=ed.offset,sd=rd===void 0?[0,0]:rd,od=Ee$4.reduce(function(_d,Ed){return _d[Ed]=en$3(Ed,qa.rects,sd),_d},{}),ld=od[qa.placement],cd=ld.x,ud=ld.y;qa.modifiersData.popperOffsets!=null&&(qa.modifiersData.popperOffsets.x+=cd,qa.modifiersData.popperOffsets.y+=ud),qa.modifiersData[td]=od}var wt$3={name:"offset",enabled:!0,phase:"main",requires:["popperOffsets"],fn:tn$4};function nn$3(Ra){var qa=Ra.state,ed=Ra.name;qa.modifiersData[ed]=mt$4({reference:qa.rects.reference,element:qa.rects.popper,strategy:"absolute",placement:qa.placement})}var He$3={name:"popperOffsets",enabled:!0,phase:"read",fn:nn$3,data:{}};function rn$3(Ra){return Ra==="x"?"y":"x"}function on$2(Ra){var qa=Ra.state,ed=Ra.options,td=Ra.name,rd=ed.mainAxis,sd=rd===void 0?!0:rd,od=ed.altAxis,ld=od===void 0?!1:od,cd=ed.boundary,ud=ed.rootBoundary,_d=ed.altBoundary,Ed=ed.padding,Ad=ed.tether,Td=Ad===void 0?!0:Ad,Nd=ed.tetherOffset,Rd=Nd===void 0?0:Nd,Bd=ne$6(qa,{boundary:cd,rootBoundary:ud,padding:Ed,altBoundary:_d}),kd=q$4(qa.placement),Od=te$4(qa.placement),Md=!Od,Pd=Le$4(kd),Fd=rn$3(Pd),Ud=qa.modifiersData.popperOffsets,Gd=qa.rects.reference,Qd=qa.rects.popper,Vd=typeof Rd=="function"?Rd(Object.assign({},qa.rects,{placement:qa.placement})):Rd,zd=typeof Vd=="number"?{mainAxis:Vd,altAxis:Vd}:Object.assign({mainAxis:0,altAxis:0},Vd),Xd=qa.modifiersData.offset?qa.modifiersData.offset[qa.placement]:null,df={x:0,y:0};if(Ud){if(sd){var mf,_f=Pd==="y"?E$3:P$4,hf=Pd==="y"?R$4:W$4,gf=Pd==="y"?"height":"width",yf=Ud[Pd],Nf=yf+Bd[_f],Pf=yf-Bd[hf],Yf=Td?-Qd[gf]/2:0,Uf=Od===U$4?Gd[gf]:Qd[gf],Lf=Od===U$4?-Qd[gf]:-Gd[gf],xf=qa.elements.arrow,wf=Td&&xf?ke$4(xf):{width:0,height:0},Jf=qa.modifiersData["arrow#persistent"]?qa.modifiersData["arrow#persistent"].padding:st$3(),Qf=Jf[_f],Ef=Jf[hf],bf=fe$4(0,Gd[gf],wf[gf]),Bf=Md?Gd[gf]/2-Yf-bf-Qf-zd.mainAxis:Uf-bf-Qf-zd.mainAxis,Kf=Md?-Gd[gf]/2+Yf+bf+Ef+zd.mainAxis:Lf+bf+Ef+zd.mainAxis,nh=qa.elements.arrow&&se$3(qa.elements.arrow),zf=nh?Pd==="y"?nh.clientTop||0:nh.clientLeft||0:0,$f=(mf=Xd==null?void 0:Xd[Pd])!=null?mf:0,th=yf+Bf-$f-zf,hh=yf+Kf-$f,Xf=fe$4(Td?ve$4(Nf,th):Nf,yf,Td?X$5(Pf,hh):Pf);Ud[Pd]=Xf,df[Pd]=Xf-yf}if(ld){var Df,Of=Pd==="x"?E$3:P$4,Vf=Pd==="x"?R$4:W$4,dh=Ud[Fd],bh=Fd==="y"?"height":"width",oh=dh+Bd[Of],Ih=dh-Bd[Vf],_h=[E$3,P$4].indexOf(kd)!==-1,Dh=(Df=Xd==null?void 0:Xd[Fd])!=null?Df:0,Xh=_h?oh:dh-Gd[bh]-Qd[bh]-Dh+zd.altAxis,qh=_h?dh+Gd[bh]+Qd[bh]-Dh-zd.altAxis:Ih,sm=Td&&_h?St$4(Xh,dh,qh):fe$4(Td?Xh:oh,dh,Td?qh:Ih);Ud[Fd]=sm,df[Fd]=sm-dh}qa.modifiersData[td]=df}}var xt$1={name:"preventOverflow",enabled:!0,phase:"main",fn:on$2,requiresIfExists:["offset"]};function an$3(Ra){return{scrollLeft:Ra.scrollLeft,scrollTop:Ra.scrollTop}}function sn$2(Ra){return Ra===H$4(Ra)||!B$3(Ra)?We$2(Ra):an$3(Ra)}function fn$3(Ra){var qa=Ra.getBoundingClientRect(),ed=Z$3(qa.width)/Ra.offsetWidth||1,td=Z$3(qa.height)/Ra.offsetHeight||1;return ed!==1||td!==1}function cn$4(Ra,qa,ed){ed===void 0&&(ed=!1);var td=B$3(qa),rd=B$3(qa)&&fn$3(qa),sd=I$2(qa),od=ee$5(Ra,rd),ld={scrollLeft:0,scrollTop:0},cd={x:0,y:0};return(td||!td&&!ed)&&((C$2(qa)!=="body"||Se$4(sd))&&(ld=sn$2(qa)),B$3(qa)?(cd=ee$5(qa,!0),cd.x+=qa.clientLeft,cd.y+=qa.clientTop):sd&&(cd.x=Be$5(sd))),{x:od.left+ld.scrollLeft-cd.x,y:od.top+ld.scrollTop-cd.y,width:od.width,height:od.height}}function pn$3(Ra){var qa=new Map,ed=new Set,td=[];Ra.forEach(function(sd){qa.set(sd.name,sd)});function rd(sd){ed.add(sd.name);var od=[].concat(sd.requires||[],sd.requiresIfExists||[]);od.forEach(function(ld){if(!ed.has(ld)){var cd=qa.get(ld);cd&&rd(cd)}}),td.push(sd)}return Ra.forEach(function(sd){ed.has(sd.name)||rd(sd)}),td}function un$3(Ra){var qa=pn$3(Ra);return ot$3.reduce(function(ed,td){return ed.concat(qa.filter(function(rd){return rd.phase===td}))},[])}function ln$3(Ra){var qa;return function(){return qa||(qa=new Promise(function(ed){Promise.resolve().then(function(){qa=void 0,ed(Ra())})})),qa}}function dn$4(Ra){var qa=Ra.reduce(function(ed,td){var rd=ed[td.name];return ed[td.name]=rd?Object.assign({},rd,td,{options:Object.assign({},rd.options,td.options),data:Object.assign({},rd.data,td.data)}):td,ed},{});return Object.keys(qa).map(function(ed){return qa[ed]})}var Ot$4={placement:"bottom",modifiers:[],strategy:"absolute"};function $t$4(){for(var Ra=arguments.length,qa=new Array(Ra),ed=0;ed{const td={name:"updateState",enabled:!0,phase:"write",fn:({state:cd})=>{const ud=deriveState(cd);Object.assign(od.value,ud)},requires:["computeStyles"]},rd=computed(()=>{const{onFirstUpdate:cd,placement:ud,strategy:_d,modifiers:Ed}=unref(ed);return{onFirstUpdate:cd,placement:ud||"bottom",strategy:_d||"absolute",modifiers:[...Ed||[],td,{name:"applyStyles",enabled:!1}]}}),sd=shallowRef(),od=ref$1({styles:{popper:{position:unref(rd).strategy,left:"0",top:"0"},arrow:{position:"absolute"}},attributes:{}}),ld=()=>{sd.value&&(sd.value.destroy(),sd.value=void 0)};return watch(rd,cd=>{const ud=unref(sd);ud&&ud.setOptions(cd)},{deep:!0}),watch([Ra,qa],([cd,ud])=>{ld(),!(!cd||!ud)&&(sd.value=yn$4(cd,ud,unref(rd)))}),onBeforeUnmount(()=>{ld()}),{state:computed(()=>{var cd;return{...((cd=unref(sd))==null?void 0:cd.state)||{}}}),styles:computed(()=>unref(od).styles),attributes:computed(()=>unref(od).attributes),update:()=>{var cd;return(cd=unref(sd))==null?void 0:cd.update()},forceUpdate:()=>{var cd;return(cd=unref(sd))==null?void 0:cd.forceUpdate()},instanceRef:computed(()=>unref(sd))}};function deriveState(Ra){const qa=Object.keys(Ra.elements),ed=fromPairs(qa.map(rd=>[rd,Ra.styles[rd]||{}])),td=fromPairs(qa.map(rd=>[rd,Ra.attributes[rd]]));return{styles:ed,attributes:td}}const useSameTarget=Ra=>{if(!Ra)return{onClick:NOOP,onMousedown:NOOP,onMouseup:NOOP};let qa=!1,ed=!1;return{onClick:od=>{qa&&ed&&Ra(od),qa=ed=!1},onMousedown:od=>{qa=od.target===od.currentTarget},onMouseup:od=>{ed=od.target===od.currentTarget}}};function useTimeout(){let Ra;const qa=(td,rd)=>{ed(),Ra=window.setTimeout(td,rd)},ed=()=>window.clearTimeout(Ra);return tryOnScopeDispose$1(()=>ed()),{registerTimeout:qa,cancelTimeout:ed}}const defaultIdInjection={prefix:Math.floor(Math.random()*1e4),current:0},ID_INJECTION_KEY=Symbol("elIdInjection"),useIdInjection=()=>getCurrentInstance()?inject(ID_INJECTION_KEY,defaultIdInjection):defaultIdInjection,useId=Ra=>{const qa=useIdInjection(),ed=useGetDerivedNamespace();return computed(()=>unref(Ra)||`${ed.value}-id-${qa.prefix}-${qa.current++}`)};let registeredEscapeHandlers=[];const cachedHandler=Ra=>{const qa=Ra;qa.key===EVENT_CODE.esc&®isteredEscapeHandlers.forEach(ed=>ed(qa))},useEscapeKeydown=Ra=>{onMounted(()=>{registeredEscapeHandlers.length===0&&document.addEventListener("keydown",cachedHandler),isClient$1&®isteredEscapeHandlers.push(Ra)}),onBeforeUnmount(()=>{registeredEscapeHandlers=registeredEscapeHandlers.filter(qa=>qa!==Ra),registeredEscapeHandlers.length===0&&isClient$1&&document.removeEventListener("keydown",cachedHandler)})};let cachedContainer;const usePopperContainerId=()=>{const Ra=useGetDerivedNamespace(),qa=useIdInjection(),ed=computed(()=>`${Ra.value}-popper-container-${qa.prefix}`),td=computed(()=>`#${ed.value}`);return{id:ed,selector:td}},createContainer=Ra=>{const qa=document.createElement("div");return qa.id=Ra,document.body.appendChild(qa),qa},usePopperContainer=()=>{const{id:Ra,selector:qa}=usePopperContainerId();return onBeforeMount(()=>{isClient$1&&(!cachedContainer||!document.body.querySelector(qa.value))&&(cachedContainer=createContainer(Ra.value))}),{id:Ra,selector:qa}},useDelayedToggleProps=buildProps({showAfter:{type:Number,default:0},hideAfter:{type:Number,default:200},autoClose:{type:Number,default:0}}),useDelayedToggle=({showAfter:Ra,hideAfter:qa,autoClose:ed,open:td,close:rd})=>{const{registerTimeout:sd}=useTimeout(),{registerTimeout:od,cancelTimeout:ld}=useTimeout();return{onOpen:_d=>{sd(()=>{td(_d);const Ed=unref(ed);isNumber$3(Ed)&&Ed>0&&od(()=>{rd(_d)},Ed)},unref(Ra))},onClose:_d=>{ld(),sd(()=>{rd(_d)},unref(qa))}}},FORWARD_REF_INJECTION_KEY=Symbol("elForwardRef"),useForwardRef=Ra=>{provide(FORWARD_REF_INJECTION_KEY,{setForwardRef:ed=>{Ra.value=ed}})},useForwardRefDirective=Ra=>({mounted(qa){Ra(qa)},updated(qa){Ra(qa)},unmounted(){Ra(null)}}),initial={current:0},zIndex=ref$1(0),defaultInitialZIndex=2e3,ZINDEX_INJECTION_KEY=Symbol("elZIndexContextKey"),zIndexContextKey=Symbol("zIndexContextKey"),useZIndex=Ra=>{const qa=getCurrentInstance()?inject(ZINDEX_INJECTION_KEY,initial):initial,ed=Ra||(getCurrentInstance()?inject(zIndexContextKey,void 0):void 0),td=computed(()=>{const od=unref(ed);return isNumber$3(od)?od:defaultInitialZIndex}),rd=computed(()=>td.value+zIndex.value),sd=()=>(qa.current++,zIndex.value=qa.current,rd.value);return!isClient$1&&inject(ZINDEX_INJECTION_KEY),{initialZIndex:td,currentZIndex:rd,nextZIndex:sd}},sides=["top","right","bottom","left"],min$1=Math.min,max$2=Math.max,round=Math.round,floor=Math.floor,createCoords=Ra=>({x:Ra,y:Ra}),oppositeSideMap={left:"right",right:"left",bottom:"top",top:"bottom"},oppositeAlignmentMap={start:"end",end:"start"};function clamp(Ra,qa,ed){return max$2(Ra,min$1(qa,ed))}function evaluate$1(Ra,qa){return typeof Ra=="function"?Ra(qa):Ra}function getSide(Ra){return Ra.split("-")[0]}function getAlignment(Ra){return Ra.split("-")[1]}function getOppositeAxis(Ra){return Ra==="x"?"y":"x"}function getAxisLength(Ra){return Ra==="y"?"height":"width"}function getSideAxis(Ra){return["top","bottom"].includes(getSide(Ra))?"y":"x"}function getAlignmentAxis(Ra){return getOppositeAxis(getSideAxis(Ra))}function getAlignmentSides(Ra,qa,ed){ed===void 0&&(ed=!1);const td=getAlignment(Ra),rd=getAlignmentAxis(Ra),sd=getAxisLength(rd);let od=rd==="x"?td===(ed?"end":"start")?"right":"left":td==="start"?"bottom":"top";return qa.reference[sd]>qa.floating[sd]&&(od=getOppositePlacement(od)),[od,getOppositePlacement(od)]}function getExpandedPlacements(Ra){const qa=getOppositePlacement(Ra);return[getOppositeAlignmentPlacement(Ra),qa,getOppositeAlignmentPlacement(qa)]}function getOppositeAlignmentPlacement(Ra){return Ra.replace(/start|end/g,qa=>oppositeAlignmentMap[qa])}function getSideList(Ra,qa,ed){const td=["left","right"],rd=["right","left"],sd=["top","bottom"],od=["bottom","top"];switch(Ra){case"top":case"bottom":return ed?qa?rd:td:qa?td:rd;case"left":case"right":return qa?sd:od;default:return[]}}function getOppositeAxisPlacements(Ra,qa,ed,td){const rd=getAlignment(Ra);let sd=getSideList(getSide(Ra),ed==="start",td);return rd&&(sd=sd.map(od=>od+"-"+rd),qa&&(sd=sd.concat(sd.map(getOppositeAlignmentPlacement)))),sd}function getOppositePlacement(Ra){return Ra.replace(/left|right|bottom|top/g,qa=>oppositeSideMap[qa])}function expandPaddingObject(Ra){return{top:0,right:0,bottom:0,left:0,...Ra}}function getPaddingObject(Ra){return typeof Ra!="number"?expandPaddingObject(Ra):{top:Ra,right:Ra,bottom:Ra,left:Ra}}function rectToClientRect(Ra){const{x:qa,y:ed,width:td,height:rd}=Ra;return{width:td,height:rd,top:ed,left:qa,right:qa+td,bottom:ed+rd,x:qa,y:ed}}function computeCoordsFromPlacement(Ra,qa,ed){let{reference:td,floating:rd}=Ra;const sd=getSideAxis(qa),od=getAlignmentAxis(qa),ld=getAxisLength(od),cd=getSide(qa),ud=sd==="y",_d=td.x+td.width/2-rd.width/2,Ed=td.y+td.height/2-rd.height/2,Ad=td[ld]/2-rd[ld]/2;let Td;switch(cd){case"top":Td={x:_d,y:td.y-rd.height};break;case"bottom":Td={x:_d,y:td.y+td.height};break;case"right":Td={x:td.x+td.width,y:Ed};break;case"left":Td={x:td.x-rd.width,y:Ed};break;default:Td={x:td.x,y:td.y}}switch(getAlignment(qa)){case"start":Td[od]-=Ad*(ed&&ud?-1:1);break;case"end":Td[od]+=Ad*(ed&&ud?-1:1);break}return Td}const computePosition$1=async(Ra,qa,ed)=>{const{placement:td="bottom",strategy:rd="absolute",middleware:sd=[],platform:od}=ed,ld=sd.filter(Boolean),cd=await(od.isRTL==null?void 0:od.isRTL(qa));let ud=await od.getElementRects({reference:Ra,floating:qa,strategy:rd}),{x:_d,y:Ed}=computeCoordsFromPlacement(ud,td,cd),Ad=td,Td={},Nd=0;for(let Rd=0;Rd({name:"arrow",options:Ra,async fn(qa){const{x:ed,y:td,placement:rd,rects:sd,platform:od,elements:ld,middlewareData:cd}=qa,{element:ud,padding:_d=0}=evaluate$1(Ra,qa)||{};if(ud==null)return{};const Ed=getPaddingObject(_d),Ad={x:ed,y:td},Td=getAlignmentAxis(rd),Nd=getAxisLength(Td),Rd=await od.getDimensions(ud),Bd=Td==="y",kd=Bd?"top":"left",Od=Bd?"bottom":"right",Md=Bd?"clientHeight":"clientWidth",Pd=sd.reference[Nd]+sd.reference[Td]-Ad[Td]-sd.floating[Nd],Fd=Ad[Td]-sd.reference[Td],Ud=await(od.getOffsetParent==null?void 0:od.getOffsetParent(ud));let Gd=Ud?Ud[Md]:0;(!Gd||!await(od.isElement==null?void 0:od.isElement(Ud)))&&(Gd=ld.floating[Md]||sd.floating[Nd]);const Qd=Pd/2-Fd/2,Vd=Gd/2-Rd[Nd]/2-1,zd=min$1(Ed[kd],Vd),Xd=min$1(Ed[Od],Vd),df=zd,mf=Gd-Rd[Nd]-Xd,_f=Gd/2-Rd[Nd]/2+Qd,hf=clamp(df,_f,mf),gf=!cd.arrow&&getAlignment(rd)!=null&&_f!==hf&&sd.reference[Nd]/2-(_f_f<=0)){var Xd,df;const _f=(((Xd=sd.flip)==null?void 0:Xd.index)||0)+1,hf=Gd[_f];if(hf)return{data:{index:_f,overflows:zd},reset:{placement:hf}};let gf=(df=zd.filter(yf=>yf.overflows[0]<=0).sort((yf,Nf)=>yf.overflows[1]-Nf.overflows[1])[0])==null?void 0:df.placement;if(!gf)switch(Td){case"bestFit":{var mf;const yf=(mf=zd.filter(Nf=>{if(Ud){const Pf=getSideAxis(Nf.placement);return Pf===Od||Pf==="y"}return!0}).map(Nf=>[Nf.placement,Nf.overflows.filter(Pf=>Pf>0).reduce((Pf,Yf)=>Pf+Yf,0)]).sort((Nf,Pf)=>Nf[1]-Pf[1])[0])==null?void 0:mf[0];yf&&(gf=yf);break}case"initialPlacement":gf=ld;break}if(rd!==gf)return{reset:{placement:gf}}}return{}}}};function getSideOffsets(Ra,qa){return{top:Ra.top-qa.height,right:Ra.right-qa.width,bottom:Ra.bottom-qa.height,left:Ra.left-qa.width}}function isAnySideFullyClipped(Ra){return sides.some(qa=>Ra[qa]>=0)}const hide$1=function(Ra){return Ra===void 0&&(Ra={}),{name:"hide",options:Ra,async fn(qa){const{rects:ed}=qa,{strategy:td="referenceHidden",...rd}=evaluate$1(Ra,qa);switch(td){case"referenceHidden":{const sd=await detectOverflow(qa,{...rd,elementContext:"reference"}),od=getSideOffsets(sd,ed.reference);return{data:{referenceHiddenOffsets:od,referenceHidden:isAnySideFullyClipped(od)}}}case"escaped":{const sd=await detectOverflow(qa,{...rd,altBoundary:!0}),od=getSideOffsets(sd,ed.floating);return{data:{escapedOffsets:od,escaped:isAnySideFullyClipped(od)}}}default:return{}}}}};async function convertValueToCoords(Ra,qa){const{placement:ed,platform:td,elements:rd}=Ra,sd=await(td.isRTL==null?void 0:td.isRTL(rd.floating)),od=getSide(ed),ld=getAlignment(ed),cd=getSideAxis(ed)==="y",ud=["left","top"].includes(od)?-1:1,_d=sd&&cd?-1:1,Ed=evaluate$1(qa,Ra);let{mainAxis:Ad,crossAxis:Td,alignmentAxis:Nd}=typeof Ed=="number"?{mainAxis:Ed,crossAxis:0,alignmentAxis:null}:{mainAxis:0,crossAxis:0,alignmentAxis:null,...Ed};return ld&&typeof Nd=="number"&&(Td=ld==="end"?Nd*-1:Nd),cd?{x:Td*_d,y:Ad*ud}:{x:Ad*ud,y:Td*_d}}const offset$1=function(Ra){return Ra===void 0&&(Ra=0),{name:"offset",options:Ra,async fn(qa){var ed,td;const{x:rd,y:sd,placement:od,middlewareData:ld}=qa,cd=await convertValueToCoords(qa,Ra);return od===((ed=ld.offset)==null?void 0:ed.placement)&&(td=ld.arrow)!=null&&td.alignmentOffset?{}:{x:rd+cd.x,y:sd+cd.y,data:{...cd,placement:od}}}}},shift$1=function(Ra){return Ra===void 0&&(Ra={}),{name:"shift",options:Ra,async fn(qa){const{x:ed,y:td,placement:rd}=qa,{mainAxis:sd=!0,crossAxis:od=!1,limiter:ld={fn:Bd=>{let{x:kd,y:Od}=Bd;return{x:kd,y:Od}}},...cd}=evaluate$1(Ra,qa),ud={x:ed,y:td},_d=await detectOverflow(qa,cd),Ed=getSideAxis(getSide(rd)),Ad=getOppositeAxis(Ed);let Td=ud[Ad],Nd=ud[Ed];if(sd){const Bd=Ad==="y"?"top":"left",kd=Ad==="y"?"bottom":"right",Od=Td+_d[Bd],Md=Td-_d[kd];Td=clamp(Od,Td,Md)}if(od){const Bd=Ed==="y"?"top":"left",kd=Ed==="y"?"bottom":"right",Od=Nd+_d[Bd],Md=Nd-_d[kd];Nd=clamp(Od,Nd,Md)}const Rd=ld.fn({...qa,[Ad]:Td,[Ed]:Nd});return{...Rd,data:{x:Rd.x-ed,y:Rd.y-td}}}}},limitShift$1=function(Ra){return Ra===void 0&&(Ra={}),{options:Ra,fn(qa){const{x:ed,y:td,placement:rd,rects:sd,middlewareData:od}=qa,{offset:ld=0,mainAxis:cd=!0,crossAxis:ud=!0}=evaluate$1(Ra,qa),_d={x:ed,y:td},Ed=getSideAxis(rd),Ad=getOppositeAxis(Ed);let Td=_d[Ad],Nd=_d[Ed];const Rd=evaluate$1(ld,qa),Bd=typeof Rd=="number"?{mainAxis:Rd,crossAxis:0}:{mainAxis:0,crossAxis:0,...Rd};if(cd){const Md=Ad==="y"?"height":"width",Pd=sd.reference[Ad]-sd.floating[Md]+Bd.mainAxis,Fd=sd.reference[Ad]+sd.reference[Md]-Bd.mainAxis;TdFd&&(Td=Fd)}if(ud){var kd,Od;const Md=Ad==="y"?"width":"height",Pd=["top","left"].includes(getSide(rd)),Fd=sd.reference[Ed]-sd.floating[Md]+(Pd&&((kd=od.offset)==null?void 0:kd[Ed])||0)+(Pd?0:Bd.crossAxis),Ud=sd.reference[Ed]+sd.reference[Md]+(Pd?0:((Od=od.offset)==null?void 0:Od[Ed])||0)-(Pd?Bd.crossAxis:0);NdUd&&(Nd=Ud)}return{[Ad]:Td,[Ed]:Nd}}}},size$1=function(Ra){return Ra===void 0&&(Ra={}),{name:"size",options:Ra,async fn(qa){const{placement:ed,rects:td,platform:rd,elements:sd}=qa,{apply:od=()=>{},...ld}=evaluate$1(Ra,qa),cd=await detectOverflow(qa,ld),ud=getSide(ed),_d=getAlignment(ed),Ed=getSideAxis(ed)==="y",{width:Ad,height:Td}=td.floating;let Nd,Rd;ud==="top"||ud==="bottom"?(Nd=ud,Rd=_d===(await(rd.isRTL==null?void 0:rd.isRTL(sd.floating))?"start":"end")?"left":"right"):(Rd=ud,Nd=_d==="end"?"top":"bottom");const Bd=Td-cd.top-cd.bottom,kd=Ad-cd.left-cd.right,Od=min$1(Td-cd[Nd],Bd),Md=min$1(Ad-cd[Rd],kd),Pd=!qa.middlewareData.shift;let Fd=Od,Ud=Md;if(Ed?Ud=_d||Pd?min$1(Md,kd):kd:Fd=_d||Pd?min$1(Od,Bd):Bd,Pd&&!_d){const Qd=max$2(cd.left,0),Vd=max$2(cd.right,0),zd=max$2(cd.top,0),Xd=max$2(cd.bottom,0);Ed?Ud=Ad-2*(Qd!==0||Vd!==0?Qd+Vd:max$2(cd.left,cd.right)):Fd=Td-2*(zd!==0||Xd!==0?zd+Xd:max$2(cd.top,cd.bottom))}await od({...qa,availableWidth:Ud,availableHeight:Fd});const Gd=await rd.getDimensions(sd.floating);return Ad!==Gd.width||Td!==Gd.height?{reset:{rects:!0}}:{}}}};function getNodeName(Ra){return isNode$1(Ra)?(Ra.nodeName||"").toLowerCase():"#document"}function getWindow(Ra){var qa;return(Ra==null||(qa=Ra.ownerDocument)==null?void 0:qa.defaultView)||window}function getDocumentElement(Ra){var qa;return(qa=(isNode$1(Ra)?Ra.ownerDocument:Ra.document)||window.document)==null?void 0:qa.documentElement}function isNode$1(Ra){return Ra instanceof Node||Ra instanceof getWindow(Ra).Node}function isElement$1(Ra){return Ra instanceof Element||Ra instanceof getWindow(Ra).Element}function isHTMLElement(Ra){return Ra instanceof HTMLElement||Ra instanceof getWindow(Ra).HTMLElement}function isShadowRoot(Ra){return typeof ShadowRoot>"u"?!1:Ra instanceof ShadowRoot||Ra instanceof getWindow(Ra).ShadowRoot}function isOverflowElement(Ra){const{overflow:qa,overflowX:ed,overflowY:td,display:rd}=getComputedStyle$1(Ra);return/auto|scroll|overlay|hidden|clip/.test(qa+td+ed)&&!["inline","contents"].includes(rd)}function isTableElement(Ra){return["table","td","th"].includes(getNodeName(Ra))}function isTopLayer(Ra){return[":popover-open",":modal"].some(qa=>{try{return Ra.matches(qa)}catch{return!1}})}function isContainingBlock(Ra){const qa=isWebKit(),ed=isElement$1(Ra)?getComputedStyle$1(Ra):Ra;return ed.transform!=="none"||ed.perspective!=="none"||(ed.containerType?ed.containerType!=="normal":!1)||!qa&&(ed.backdropFilter?ed.backdropFilter!=="none":!1)||!qa&&(ed.filter?ed.filter!=="none":!1)||["transform","perspective","filter"].some(td=>(ed.willChange||"").includes(td))||["paint","layout","strict","content"].some(td=>(ed.contain||"").includes(td))}function getContainingBlock(Ra){let qa=getParentNode(Ra);for(;isHTMLElement(qa)&&!isLastTraversableNode(qa);){if(isContainingBlock(qa))return qa;if(isTopLayer(qa))return null;qa=getParentNode(qa)}return null}function isWebKit(){return typeof CSS>"u"||!CSS.supports?!1:CSS.supports("-webkit-backdrop-filter","none")}function isLastTraversableNode(Ra){return["html","body","#document"].includes(getNodeName(Ra))}function getComputedStyle$1(Ra){return getWindow(Ra).getComputedStyle(Ra)}function getNodeScroll(Ra){return isElement$1(Ra)?{scrollLeft:Ra.scrollLeft,scrollTop:Ra.scrollTop}:{scrollLeft:Ra.scrollX,scrollTop:Ra.scrollY}}function getParentNode(Ra){if(getNodeName(Ra)==="html")return Ra;const qa=Ra.assignedSlot||Ra.parentNode||isShadowRoot(Ra)&&Ra.host||getDocumentElement(Ra);return isShadowRoot(qa)?qa.host:qa}function getNearestOverflowAncestor(Ra){const qa=getParentNode(Ra);return isLastTraversableNode(qa)?Ra.ownerDocument?Ra.ownerDocument.body:Ra.body:isHTMLElement(qa)&&isOverflowElement(qa)?qa:getNearestOverflowAncestor(qa)}function getOverflowAncestors(Ra,qa,ed){var td;qa===void 0&&(qa=[]),ed===void 0&&(ed=!0);const rd=getNearestOverflowAncestor(Ra),sd=rd===((td=Ra.ownerDocument)==null?void 0:td.body),od=getWindow(rd);if(sd){const ld=getFrameElement(od);return qa.concat(od,od.visualViewport||[],isOverflowElement(rd)?rd:[],ld&&ed?getOverflowAncestors(ld):[])}return qa.concat(rd,getOverflowAncestors(rd,[],ed))}function getFrameElement(Ra){return Ra.parent&&Object.getPrototypeOf(Ra.parent)?Ra.frameElement:null}function getCssDimensions(Ra){const qa=getComputedStyle$1(Ra);let ed=parseFloat(qa.width)||0,td=parseFloat(qa.height)||0;const rd=isHTMLElement(Ra),sd=rd?Ra.offsetWidth:ed,od=rd?Ra.offsetHeight:td,ld=round(ed)!==sd||round(td)!==od;return ld&&(ed=sd,td=od),{width:ed,height:td,$:ld}}function unwrapElement$1(Ra){return isElement$1(Ra)?Ra:Ra.contextElement}function getScale(Ra){const qa=unwrapElement$1(Ra);if(!isHTMLElement(qa))return createCoords(1);const ed=qa.getBoundingClientRect(),{width:td,height:rd,$:sd}=getCssDimensions(qa);let od=(sd?round(ed.width):ed.width)/td,ld=(sd?round(ed.height):ed.height)/rd;return(!od||!Number.isFinite(od))&&(od=1),(!ld||!Number.isFinite(ld))&&(ld=1),{x:od,y:ld}}const noOffsets=createCoords(0);function getVisualOffsets(Ra){const qa=getWindow(Ra);return!isWebKit()||!qa.visualViewport?noOffsets:{x:qa.visualViewport.offsetLeft,y:qa.visualViewport.offsetTop}}function shouldAddVisualOffsets(Ra,qa,ed){return qa===void 0&&(qa=!1),!ed||qa&&ed!==getWindow(Ra)?!1:qa}function getBoundingClientRect(Ra,qa,ed,td){qa===void 0&&(qa=!1),ed===void 0&&(ed=!1);const rd=Ra.getBoundingClientRect(),sd=unwrapElement$1(Ra);let od=createCoords(1);qa&&(td?isElement$1(td)&&(od=getScale(td)):od=getScale(Ra));const ld=shouldAddVisualOffsets(sd,ed,td)?getVisualOffsets(sd):createCoords(0);let cd=(rd.left+ld.x)/od.x,ud=(rd.top+ld.y)/od.y,_d=rd.width/od.x,Ed=rd.height/od.y;if(sd){const Ad=getWindow(sd),Td=td&&isElement$1(td)?getWindow(td):td;let Nd=Ad,Rd=getFrameElement(Nd);for(;Rd&&td&&Td!==Nd;){const Bd=getScale(Rd),kd=Rd.getBoundingClientRect(),Od=getComputedStyle$1(Rd),Md=kd.left+(Rd.clientLeft+parseFloat(Od.paddingLeft))*Bd.x,Pd=kd.top+(Rd.clientTop+parseFloat(Od.paddingTop))*Bd.y;cd*=Bd.x,ud*=Bd.y,_d*=Bd.x,Ed*=Bd.y,cd+=Md,ud+=Pd,Nd=getWindow(Rd),Rd=getFrameElement(Nd)}}return rectToClientRect({width:_d,height:Ed,x:cd,y:ud})}function convertOffsetParentRelativeRectToViewportRelativeRect(Ra){let{elements:qa,rect:ed,offsetParent:td,strategy:rd}=Ra;const sd=rd==="fixed",od=getDocumentElement(td),ld=qa?isTopLayer(qa.floating):!1;if(td===od||ld&&sd)return ed;let cd={scrollLeft:0,scrollTop:0},ud=createCoords(1);const _d=createCoords(0),Ed=isHTMLElement(td);if((Ed||!Ed&&!sd)&&((getNodeName(td)!=="body"||isOverflowElement(od))&&(cd=getNodeScroll(td)),isHTMLElement(td))){const Ad=getBoundingClientRect(td);ud=getScale(td),_d.x=Ad.x+td.clientLeft,_d.y=Ad.y+td.clientTop}return{width:ed.width*ud.x,height:ed.height*ud.y,x:ed.x*ud.x-cd.scrollLeft*ud.x+_d.x,y:ed.y*ud.y-cd.scrollTop*ud.y+_d.y}}function getClientRects(Ra){return Array.from(Ra.getClientRects())}function getWindowScrollBarX(Ra){return getBoundingClientRect(getDocumentElement(Ra)).left+getNodeScroll(Ra).scrollLeft}function getDocumentRect(Ra){const qa=getDocumentElement(Ra),ed=getNodeScroll(Ra),td=Ra.ownerDocument.body,rd=max$2(qa.scrollWidth,qa.clientWidth,td.scrollWidth,td.clientWidth),sd=max$2(qa.scrollHeight,qa.clientHeight,td.scrollHeight,td.clientHeight);let od=-ed.scrollLeft+getWindowScrollBarX(Ra);const ld=-ed.scrollTop;return getComputedStyle$1(td).direction==="rtl"&&(od+=max$2(qa.clientWidth,td.clientWidth)-rd),{width:rd,height:sd,x:od,y:ld}}function getViewportRect(Ra,qa){const ed=getWindow(Ra),td=getDocumentElement(Ra),rd=ed.visualViewport;let sd=td.clientWidth,od=td.clientHeight,ld=0,cd=0;if(rd){sd=rd.width,od=rd.height;const ud=isWebKit();(!ud||ud&&qa==="fixed")&&(ld=rd.offsetLeft,cd=rd.offsetTop)}return{width:sd,height:od,x:ld,y:cd}}function getInnerBoundingClientRect(Ra,qa){const ed=getBoundingClientRect(Ra,!0,qa==="fixed"),td=ed.top+Ra.clientTop,rd=ed.left+Ra.clientLeft,sd=isHTMLElement(Ra)?getScale(Ra):createCoords(1),od=Ra.clientWidth*sd.x,ld=Ra.clientHeight*sd.y,cd=rd*sd.x,ud=td*sd.y;return{width:od,height:ld,x:cd,y:ud}}function getClientRectFromClippingAncestor(Ra,qa,ed){let td;if(qa==="viewport")td=getViewportRect(Ra,ed);else if(qa==="document")td=getDocumentRect(getDocumentElement(Ra));else if(isElement$1(qa))td=getInnerBoundingClientRect(qa,ed);else{const rd=getVisualOffsets(Ra);td={...qa,x:qa.x-rd.x,y:qa.y-rd.y}}return rectToClientRect(td)}function hasFixedPositionAncestor(Ra,qa){const ed=getParentNode(Ra);return ed===qa||!isElement$1(ed)||isLastTraversableNode(ed)?!1:getComputedStyle$1(ed).position==="fixed"||hasFixedPositionAncestor(ed,qa)}function getClippingElementAncestors(Ra,qa){const ed=qa.get(Ra);if(ed)return ed;let td=getOverflowAncestors(Ra,[],!1).filter(ld=>isElement$1(ld)&&getNodeName(ld)!=="body"),rd=null;const sd=getComputedStyle$1(Ra).position==="fixed";let od=sd?getParentNode(Ra):Ra;for(;isElement$1(od)&&!isLastTraversableNode(od);){const ld=getComputedStyle$1(od),cd=isContainingBlock(od);!cd&&ld.position==="fixed"&&(rd=null),(sd?!cd&&!rd:!cd&&ld.position==="static"&&!!rd&&["absolute","fixed"].includes(rd.position)||isOverflowElement(od)&&!cd&&hasFixedPositionAncestor(Ra,od))?td=td.filter(_d=>_d!==od):rd=ld,od=getParentNode(od)}return qa.set(Ra,td),td}function getClippingRect(Ra){let{element:qa,boundary:ed,rootBoundary:td,strategy:rd}=Ra;const od=[...ed==="clippingAncestors"?isTopLayer(qa)?[]:getClippingElementAncestors(qa,this._c):[].concat(ed),td],ld=od[0],cd=od.reduce((ud,_d)=>{const Ed=getClientRectFromClippingAncestor(qa,_d,rd);return ud.top=max$2(Ed.top,ud.top),ud.right=min$1(Ed.right,ud.right),ud.bottom=min$1(Ed.bottom,ud.bottom),ud.left=max$2(Ed.left,ud.left),ud},getClientRectFromClippingAncestor(qa,ld,rd));return{width:cd.right-cd.left,height:cd.bottom-cd.top,x:cd.left,y:cd.top}}function getDimensions(Ra){const{width:qa,height:ed}=getCssDimensions(Ra);return{width:qa,height:ed}}function getRectRelativeToOffsetParent(Ra,qa,ed){const td=isHTMLElement(qa),rd=getDocumentElement(qa),sd=ed==="fixed",od=getBoundingClientRect(Ra,!0,sd,qa);let ld={scrollLeft:0,scrollTop:0};const cd=createCoords(0);if(td||!td&&!sd)if((getNodeName(qa)!=="body"||isOverflowElement(rd))&&(ld=getNodeScroll(qa)),td){const Ed=getBoundingClientRect(qa,!0,sd,qa);cd.x=Ed.x+qa.clientLeft,cd.y=Ed.y+qa.clientTop}else rd&&(cd.x=getWindowScrollBarX(rd));const ud=od.left+ld.scrollLeft-cd.x,_d=od.top+ld.scrollTop-cd.y;return{x:ud,y:_d,width:od.width,height:od.height}}function isStaticPositioned(Ra){return getComputedStyle$1(Ra).position==="static"}function getTrueOffsetParent(Ra,qa){return!isHTMLElement(Ra)||getComputedStyle$1(Ra).position==="fixed"?null:qa?qa(Ra):Ra.offsetParent}function getOffsetParent(Ra,qa){const ed=getWindow(Ra);if(isTopLayer(Ra))return ed;if(!isHTMLElement(Ra)){let rd=getParentNode(Ra);for(;rd&&!isLastTraversableNode(rd);){if(isElement$1(rd)&&!isStaticPositioned(rd))return rd;rd=getParentNode(rd)}return ed}let td=getTrueOffsetParent(Ra,qa);for(;td&&isTableElement(td)&&isStaticPositioned(td);)td=getTrueOffsetParent(td,qa);return td&&isLastTraversableNode(td)&&isStaticPositioned(td)&&!isContainingBlock(td)?ed:td||getContainingBlock(Ra)||ed}const getElementRects=async function(Ra){const qa=this.getOffsetParent||getOffsetParent,ed=this.getDimensions,td=await ed(Ra.floating);return{reference:getRectRelativeToOffsetParent(Ra.reference,await qa(Ra.floating),Ra.strategy),floating:{x:0,y:0,width:td.width,height:td.height}}};function isRTL(Ra){return getComputedStyle$1(Ra).direction==="rtl"}const platform$2={convertOffsetParentRelativeRectToViewportRelativeRect,getDocumentElement,getClippingRect,getOffsetParent,getElementRects,getClientRects,getDimensions,getScale,isElement:isElement$1,isRTL};function observeMove(Ra,qa){let ed=null,td;const rd=getDocumentElement(Ra);function sd(){var ld;clearTimeout(td),(ld=ed)==null||ld.disconnect(),ed=null}function od(ld,cd){ld===void 0&&(ld=!1),cd===void 0&&(cd=1),sd();const{left:ud,top:_d,width:Ed,height:Ad}=Ra.getBoundingClientRect();if(ld||qa(),!Ed||!Ad)return;const Td=floor(_d),Nd=floor(rd.clientWidth-(ud+Ed)),Rd=floor(rd.clientHeight-(_d+Ad)),Bd=floor(ud),Od={rootMargin:-Td+"px "+-Nd+"px "+-Rd+"px "+-Bd+"px",threshold:max$2(0,min$1(1,cd))||1};let Md=!0;function Pd(Fd){const Ud=Fd[0].intersectionRatio;if(Ud!==cd){if(!Md)return od();Ud?od(!1,Ud):td=setTimeout(()=>{od(!1,1e-7)},1e3)}Md=!1}try{ed=new IntersectionObserver(Pd,{...Od,root:rd.ownerDocument})}catch{ed=new IntersectionObserver(Pd,Od)}ed.observe(Ra)}return od(!0),sd}function autoUpdate(Ra,qa,ed,td){td===void 0&&(td={});const{ancestorScroll:rd=!0,ancestorResize:sd=!0,elementResize:od=typeof ResizeObserver=="function",layoutShift:ld=typeof IntersectionObserver=="function",animationFrame:cd=!1}=td,ud=unwrapElement$1(Ra),_d=rd||sd?[...ud?getOverflowAncestors(ud):[],...getOverflowAncestors(qa)]:[];_d.forEach(kd=>{rd&&kd.addEventListener("scroll",ed,{passive:!0}),sd&&kd.addEventListener("resize",ed)});const Ed=ud&&ld?observeMove(ud,ed):null;let Ad=-1,Td=null;od&&(Td=new ResizeObserver(kd=>{let[Od]=kd;Od&&Od.target===ud&&Td&&(Td.unobserve(qa),cancelAnimationFrame(Ad),Ad=requestAnimationFrame(()=>{var Md;(Md=Td)==null||Md.observe(qa)})),ed()}),ud&&!cd&&Td.observe(ud),Td.observe(qa));let Nd,Rd=cd?getBoundingClientRect(Ra):null;cd&&Bd();function Bd(){const kd=getBoundingClientRect(Ra);Rd&&(kd.x!==Rd.x||kd.y!==Rd.y||kd.width!==Rd.width||kd.height!==Rd.height)&&ed(),Rd=kd,Nd=requestAnimationFrame(Bd)}return ed(),()=>{var kd;_d.forEach(Od=>{rd&&Od.removeEventListener("scroll",ed),sd&&Od.removeEventListener("resize",ed)}),Ed==null||Ed(),(kd=Td)==null||kd.disconnect(),Td=null,cd&&cancelAnimationFrame(Nd)}}const offset=offset$1,shift=shift$1,flip=flip$1,size=size$1,hide=hide$1,arrow$1=arrow$2,limitShift=limitShift$1,computePosition=(Ra,qa,ed)=>{const td=new Map,rd={platform:platform$2,...ed},sd={...rd.platform,_c:td};return computePosition$1(Ra,qa,{...rd,platform:sd})};function useCursor(Ra){let qa;function ed(){if(Ra.value==null)return;const{selectionStart:rd,selectionEnd:sd,value:od}=Ra.value;if(rd==null||sd==null)return;const ld=od.slice(0,Math.max(0,rd)),cd=od.slice(Math.max(0,sd));qa={selectionStart:rd,selectionEnd:sd,value:od,beforeTxt:ld,afterTxt:cd}}function td(){if(Ra.value==null||qa==null)return;const{value:rd}=Ra.value,{beforeTxt:sd,afterTxt:od,selectionStart:ld}=qa;if(sd==null||od==null||ld==null)return;let cd=rd.length;if(rd.endsWith(od))cd=rd.length-od.length;else if(rd.startsWith(sd))cd=sd.length;else{const ud=sd[ld-1],_d=rd.indexOf(ud,ld-1);_d!==-1&&(cd=_d+1)}Ra.value.setSelectionRange(cd,cd)}return[ed,td]}const getOrderedChildren=(Ra,qa,ed)=>flattedChildren(Ra.subTree).filter(sd=>{var od;return isVNode(sd)&&((od=sd.type)==null?void 0:od.name)===qa&&!!sd.component}).map(sd=>sd.component.uid).map(sd=>ed[sd]).filter(sd=>!!sd),useOrderedChildren=(Ra,qa)=>{const ed={},td=shallowRef([]);return{children:td,addChild:od=>{ed[od.uid]=od,td.value=getOrderedChildren(Ra,qa,ed)},removeChild:od=>{delete ed[od],td.value=td.value.filter(ld=>ld.uid!==od)}}},useSizeProp=buildProp({type:String,values:componentSizes,required:!1}),SIZE_INJECTION_KEY=Symbol("size"),useGlobalSize=()=>{const Ra=inject(SIZE_INJECTION_KEY,{});return computed(()=>unref(Ra.size)||"")};function useFocusController(Ra,{beforeFocus:qa,afterFocus:ed,beforeBlur:td,afterBlur:rd}={}){const sd=getCurrentInstance(),{emit:od}=sd,ld=shallowRef(),cd=ref$1(!1),ud=Ad=>{isFunction$4(qa)&&qa(Ad)||cd.value||(cd.value=!0,od("focus",Ad),ed==null||ed())},_d=Ad=>{var Td;isFunction$4(td)&&td(Ad)||Ad.relatedTarget&&((Td=ld.value)!=null&&Td.contains(Ad.relatedTarget))||(cd.value=!1,od("blur",Ad),rd==null||rd())},Ed=()=>{var Ad,Td;(Ad=ld.value)!=null&&Ad.contains(document.activeElement)&&ld.value!==document.activeElement||(Td=Ra.value)==null||Td.focus()};return watch(ld,Ad=>{Ad&&Ad.setAttribute("tabindex","-1")}),useEventListener$1(ld,"focus",ud,!0),useEventListener$1(ld,"blur",_d,!0),useEventListener$1(ld,"click",Ed,!0),{isFocused:cd,wrapperRef:ld,handleFocus:ud,handleBlur:_d}}function useComposition({afterComposition:Ra,emit:qa}){const ed=ref$1(!1),td=ld=>{qa==null||qa("compositionstart",ld),ed.value=!0},rd=ld=>{var cd;qa==null||qa("compositionupdate",ld);const ud=(cd=ld.target)==null?void 0:cd.value,_d=ud[ud.length-1]||"";ed.value=!isKorean(_d)},sd=ld=>{qa==null||qa("compositionend",ld),ed.value&&(ed.value=!1,nextTick$1(()=>Ra(ld)))};return{isComposing:ed,handleComposition:ld=>{ld.type==="compositionend"?sd(ld):rd(ld)},handleCompositionStart:td,handleCompositionUpdate:rd,handleCompositionEnd:sd}}const emptyValuesContextKey=Symbol("emptyValuesContextKey"),DEFAULT_EMPTY_VALUES=["",void 0,null],DEFAULT_VALUE_ON_CLEAR=void 0,useEmptyValuesProps=buildProps({emptyValues:Array,valueOnClear:{type:[String,Number,Boolean,Function],default:void 0,validator:Ra=>isFunction$4(Ra)?!Ra():!Ra}}),useEmptyValues=(Ra,qa)=>{const ed=getCurrentInstance()?inject(emptyValuesContextKey,ref$1({})):ref$1({}),td=computed(()=>Ra.emptyValues||ed.value.emptyValues||DEFAULT_EMPTY_VALUES),rd=computed(()=>isFunction$4(Ra.valueOnClear)?Ra.valueOnClear():Ra.valueOnClear!==void 0?Ra.valueOnClear:isFunction$4(ed.value.valueOnClear)?ed.value.valueOnClear():ed.value.valueOnClear!==void 0?ed.value.valueOnClear:DEFAULT_VALUE_ON_CLEAR),sd=od=>td.value.includes(od);return td.value.includes(rd.value),{emptyValues:td,valueOnClear:rd,isEmptyValue:sd}},ariaProps=buildProps({ariaLabel:String,ariaOrientation:{type:String,values:["horizontal","vertical","undefined"]},ariaControls:String}),useAriaProps=Ra=>pick(ariaProps,Ra),configProviderContextKey=Symbol(),globalConfig=ref$1();function useGlobalConfig(Ra,qa=void 0){const ed=getCurrentInstance()?inject(configProviderContextKey,globalConfig):globalConfig;return Ra?computed(()=>{var td,rd;return(rd=(td=ed.value)==null?void 0:td[Ra])!=null?rd:qa}):ed}function useGlobalComponentSettings(Ra,qa){const ed=useGlobalConfig(),td=useNamespace(Ra,computed(()=>{var ld;return((ld=ed.value)==null?void 0:ld.namespace)||defaultNamespace})),rd=useLocale(computed(()=>{var ld;return(ld=ed.value)==null?void 0:ld.locale})),sd=useZIndex(computed(()=>{var ld;return((ld=ed.value)==null?void 0:ld.zIndex)||defaultInitialZIndex})),od=computed(()=>{var ld;return unref(qa)||((ld=ed.value)==null?void 0:ld.size)||""});return provideGlobalConfig(computed(()=>unref(ed)||{})),{ns:td,locale:rd,zIndex:sd,size:od}}const provideGlobalConfig=(Ra,qa,ed=!1)=>{var td;const rd=!!getCurrentInstance(),sd=rd?useGlobalConfig():void 0,od=(td=void 0)!=null?td:rd?provide:void 0;if(!od)return;const ld=computed(()=>{const cd=unref(Ra);return sd!=null&&sd.value?mergeConfig$1(sd.value,cd):cd});return od(configProviderContextKey,ld),od(localeContextKey,computed(()=>ld.value.locale)),od(namespaceContextKey,computed(()=>ld.value.namespace)),od(zIndexContextKey,computed(()=>ld.value.zIndex)),od(SIZE_INJECTION_KEY,{size:computed(()=>ld.value.size||"")}),od(emptyValuesContextKey,computed(()=>({emptyValues:ld.value.emptyValues,valueOnClear:ld.value.valueOnClear}))),(ed||!globalConfig.value)&&(globalConfig.value=ld.value),ld},mergeConfig$1=(Ra,qa)=>{const ed=[...new Set([...keysOf(Ra),...keysOf(qa)])],td={};for(const rd of ed)td[rd]=qa[rd]!==void 0?qa[rd]:Ra[rd];return td},messageConfig={};var _export_sfc$1=(Ra,qa)=>{const ed=Ra.__vccOpts||Ra;for(const[td,rd]of qa)ed[td]=rd;return ed};const iconProps=buildProps({size:{type:definePropType([Number,String])},color:{type:String}}),__default__$w=defineComponent({name:"ElIcon",inheritAttrs:!1}),_sfc_main$1u=defineComponent({...__default__$w,props:iconProps,setup(Ra){const qa=Ra,ed=useNamespace("icon"),td=computed(()=>{const{size:rd,color:sd}=qa;return!rd&&!sd?{}:{fontSize:isUndefined$1(rd)?void 0:addUnit(rd),"--color":sd}});return(rd,sd)=>(openBlock(),createElementBlock("i",mergeProps({class:unref(ed).b(),style:unref(td)},rd.$attrs),[renderSlot(rd.$slots,"default")],16))}});var Icon$1=_export_sfc$1(_sfc_main$1u,[["__file","icon.vue"]]);const ElIcon=withInstall(Icon$1),alertEffects=["light","dark"],alertProps=buildProps({title:{type:String,default:""},description:{type:String,default:""},type:{type:String,values:keysOf(TypeComponentsMap),default:"info"},closable:{type:Boolean,default:!0},closeText:{type:String,default:""},showIcon:Boolean,center:Boolean,effect:{type:String,values:alertEffects,default:"light"}}),alertEmits={close:Ra=>Ra instanceof MouseEvent},__default__$v=defineComponent({name:"ElAlert"}),_sfc_main$1t=defineComponent({...__default__$v,props:alertProps,emits:alertEmits,setup(Ra,{emit:qa}){const ed=Ra,{Close:td}=TypeComponents,rd=useSlots(),sd=useNamespace("alert"),od=ref$1(!0),ld=computed(()=>TypeComponentsMap[ed.type]),cd=computed(()=>[sd.e("icon"),{[sd.is("big")]:!!ed.description||!!rd.default}]),ud=computed(()=>({"with-description":ed.description||rd.default})),_d=Ed=>{od.value=!1,qa("close",Ed)};return(Ed,Ad)=>(openBlock(),createBlock(Transition$1,{name:unref(sd).b("fade"),persisted:""},{default:withCtx(()=>[withDirectives(createBaseVNode("div",{class:normalizeClass([unref(sd).b(),unref(sd).m(Ed.type),unref(sd).is("center",Ed.center),unref(sd).is(Ed.effect)]),role:"alert"},[Ed.showIcon&&unref(ld)?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(cd))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(ld))))]),_:1},8,["class"])):createCommentVNode("v-if",!0),createBaseVNode("div",{class:normalizeClass(unref(sd).e("content"))},[Ed.title||Ed.$slots.title?(openBlock(),createElementBlock("span",{key:0,class:normalizeClass([unref(sd).e("title"),unref(ud)])},[renderSlot(Ed.$slots,"title",{},()=>[createTextVNode(toDisplayString(Ed.title),1)])],2)):createCommentVNode("v-if",!0),Ed.$slots.default||Ed.description?(openBlock(),createElementBlock("p",{key:1,class:normalizeClass(unref(sd).e("description"))},[renderSlot(Ed.$slots,"default",{},()=>[createTextVNode(toDisplayString(Ed.description),1)])],2)):createCommentVNode("v-if",!0),Ed.closable?(openBlock(),createElementBlock(Fragment,{key:2},[Ed.closeText?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass([unref(sd).e("close-btn"),unref(sd).is("customed")]),onClick:_d},toDisplayString(Ed.closeText),3)):(openBlock(),createBlock(unref(ElIcon),{key:1,class:normalizeClass(unref(sd).e("close-btn")),onClick:_d},{default:withCtx(()=>[createVNode(unref(td))]),_:1},8,["class"]))],64)):createCommentVNode("v-if",!0)],2)],2),[[vShow,od.value]])]),_:3},8,["name"]))}});var Alert=_export_sfc$1(_sfc_main$1t,[["__file","alert.vue"]]);const ElAlert=withInstall(Alert),formContextKey=Symbol("formContextKey"),formItemContextKey=Symbol("formItemContextKey"),useFormSize=(Ra,qa={})=>{const ed=ref$1(void 0),td=qa.prop?ed:useProp("size"),rd=qa.global?ed:useGlobalSize(),sd=qa.form?{size:void 0}:inject(formContextKey,void 0),od=qa.formItem?{size:void 0}:inject(formItemContextKey,void 0);return computed(()=>td.value||unref(Ra)||(od==null?void 0:od.size)||(sd==null?void 0:sd.size)||rd.value||"")},useFormDisabled=Ra=>{const qa=useProp("disabled"),ed=inject(formContextKey,void 0);return computed(()=>qa.value||unref(Ra)||(ed==null?void 0:ed.disabled)||!1)},useFormItem=()=>{const Ra=inject(formContextKey,void 0),qa=inject(formItemContextKey,void 0);return{form:Ra,formItem:qa}},useFormItemInputId=(Ra,{formItemContext:qa,disableIdGeneration:ed,disableIdManagement:td})=>{ed||(ed=ref$1(!1)),td||(td=ref$1(!1));const rd=ref$1();let sd;const od=computed(()=>{var ld;return!!(!(Ra.label||Ra.ariaLabel)&&qa&&qa.inputIds&&((ld=qa.inputIds)==null?void 0:ld.length)<=1)});return onMounted(()=>{sd=watch([toRef$1(Ra,"id"),ed],([ld,cd])=>{const ud=ld??(cd?void 0:useId().value);ud!==rd.value&&(qa!=null&&qa.removeInputId&&(rd.value&&qa.removeInputId(rd.value),!(td!=null&&td.value)&&!cd&&ud&&qa.addInputId(ud)),rd.value=ud)},{immediate:!0})}),onUnmounted(()=>{sd&&sd(),qa!=null&&qa.removeInputId&&rd.value&&qa.removeInputId(rd.value)}),{isLabeledByFormItem:od,inputId:rd}},formMetaProps=buildProps({size:{type:String,values:componentSizes},disabled:Boolean}),formProps=buildProps({...formMetaProps,model:Object,rules:{type:definePropType(Object)},labelPosition:{type:String,values:["left","right","top"],default:"right"},requireAsteriskPosition:{type:String,values:["left","right"],default:"left"},labelWidth:{type:[String,Number],default:""},labelSuffix:{type:String,default:""},inline:Boolean,inlineMessage:Boolean,statusIcon:Boolean,showMessage:{type:Boolean,default:!0},validateOnRuleChange:{type:Boolean,default:!0},hideRequiredAsterisk:Boolean,scrollToError:Boolean,scrollIntoViewOptions:{type:[Object,Boolean]}}),formEmits={validate:(Ra,qa,ed)=>(isArray$2(Ra)||isString$3(Ra))&&isBoolean$3(qa)&&isString$3(ed)};function useFormLabelWidth(){const Ra=ref$1([]),qa=computed(()=>{if(!Ra.value.length)return"0";const sd=Math.max(...Ra.value);return sd?`${sd}px`:""});function ed(sd){const od=Ra.value.indexOf(sd);return od===-1&&qa.value,od}function td(sd,od){if(sd&&od){const ld=ed(od);Ra.value.splice(ld,1,sd)}else sd&&Ra.value.push(sd)}function rd(sd){const od=ed(sd);od>-1&&Ra.value.splice(od,1)}return{autoLabelWidth:qa,registerLabelWidth:td,deregisterLabelWidth:rd}}const filterFields=(Ra,qa)=>{const ed=castArray(qa);return ed.length>0?Ra.filter(td=>td.prop&&ed.includes(td.prop)):Ra},COMPONENT_NAME$a="ElForm",__default__$u=defineComponent({name:COMPONENT_NAME$a}),_sfc_main$1s=defineComponent({...__default__$u,props:formProps,emits:formEmits,setup(Ra,{expose:qa,emit:ed}){const td=Ra,rd=[],sd=useFormSize(),od=useNamespace("form"),ld=computed(()=>{const{labelPosition:Md,inline:Pd}=td;return[od.b(),od.m(sd.value||"default"),{[od.m(`label-${Md}`)]:Md,[od.m("inline")]:Pd}]}),cd=Md=>rd.find(Pd=>Pd.prop===Md),ud=Md=>{rd.push(Md)},_d=Md=>{Md.prop&&rd.splice(rd.indexOf(Md),1)},Ed=(Md=[])=>{td.model&&filterFields(rd,Md).forEach(Pd=>Pd.resetField())},Ad=(Md=[])=>{filterFields(rd,Md).forEach(Pd=>Pd.clearValidate())},Td=computed(()=>!!td.model),Nd=Md=>{if(rd.length===0)return[];const Pd=filterFields(rd,Md);return Pd.length?Pd:[]},Rd=async Md=>kd(void 0,Md),Bd=async(Md=[])=>{if(!Td.value)return!1;const Pd=Nd(Md);if(Pd.length===0)return!0;let Fd={};for(const Ud of Pd)try{await Ud.validate("")}catch(Gd){Fd={...Fd,...Gd}}return Object.keys(Fd).length===0?!0:Promise.reject(Fd)},kd=async(Md=[],Pd)=>{const Fd=!isFunction$4(Pd);try{const Ud=await Bd(Md);return Ud===!0&&await(Pd==null?void 0:Pd(Ud)),Ud}catch(Ud){if(Ud instanceof Error)throw Ud;const Gd=Ud;return td.scrollToError&&Od(Object.keys(Gd)[0]),await(Pd==null?void 0:Pd(!1,Gd)),Fd&&Promise.reject(Gd)}},Od=Md=>{var Pd;const Fd=filterFields(rd,Md)[0];Fd&&((Pd=Fd.$el)==null||Pd.scrollIntoView(td.scrollIntoViewOptions))};return watch(()=>td.rules,()=>{td.validateOnRuleChange&&Rd().catch(Md=>void 0)},{deep:!0}),provide(formContextKey,reactive({...toRefs(td),emit:ed,resetFields:Ed,clearValidate:Ad,validateField:kd,getField:cd,addField:ud,removeField:_d,...useFormLabelWidth()})),qa({validate:Rd,validateField:kd,resetFields:Ed,clearValidate:Ad,scrollToField:Od,fields:rd}),(Md,Pd)=>(openBlock(),createElementBlock("form",{class:normalizeClass(unref(ld))},[renderSlot(Md.$slots,"default")],2))}});var Form=_export_sfc$1(_sfc_main$1s,[["__file","form.vue"]]),define_process_default$9={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}},define_process_env_default={};function _extends(){return _extends=Object.assign?Object.assign.bind():function(Ra){for(var qa=1;qa"u"||!Reflect.construct||Reflect.construct.sham)return!1;if(typeof Proxy=="function")return!0;try{return Boolean.prototype.valueOf.call(Reflect.construct(Boolean,[],function(){})),!0}catch{return!1}}function _construct(Ra,qa,ed){return _isNativeReflectConstruct()?_construct=Reflect.construct.bind():_construct=function(rd,sd,od){var ld=[null];ld.push.apply(ld,sd);var cd=Function.bind.apply(rd,ld),ud=new cd;return od&&_setPrototypeOf(ud,od.prototype),ud},_construct.apply(null,arguments)}function _isNativeFunction(Ra){return Function.toString.call(Ra).indexOf("[native code]")!==-1}function _wrapNativeSuper(Ra){var qa=typeof Map=="function"?new Map:void 0;return _wrapNativeSuper=function(td){if(td===null||!_isNativeFunction(td))return td;if(typeof td!="function")throw new TypeError("Super expression must either be null or a function");if(typeof qa<"u"){if(qa.has(td))return qa.get(td);qa.set(td,rd)}function rd(){return _construct(td,arguments,_getPrototypeOf(this).constructor)}return rd.prototype=Object.create(td.prototype,{constructor:{value:rd,enumerable:!1,writable:!0,configurable:!0}}),_setPrototypeOf(rd,td)},_wrapNativeSuper(Ra)}var formatRegExp=/%[sdj%]/g,warning=function(){};typeof define_process_default$9<"u";function convertFieldsError(Ra){if(!Ra||!Ra.length)return null;var qa={};return Ra.forEach(function(ed){var td=ed.field;qa[td]=qa[td]||[],qa[td].push(ed)}),qa}function format$2(Ra){for(var qa=arguments.length,ed=new Array(qa>1?qa-1:0),td=1;td=sd)return ld;switch(ld){case"%s":return String(ed[rd++]);case"%d":return Number(ed[rd++]);case"%j":try{return JSON.stringify(ed[rd++])}catch{return"[Circular]"}break;default:return ld}});return od}return Ra}function isNativeStringType(Ra){return Ra==="string"||Ra==="url"||Ra==="hex"||Ra==="email"||Ra==="date"||Ra==="pattern"}function isEmptyValue(Ra,qa){return!!(Ra==null||qa==="array"&&Array.isArray(Ra)&&!Ra.length||isNativeStringType(qa)&&typeof Ra=="string"&&!Ra)}function asyncParallelArray(Ra,qa,ed){var td=[],rd=0,sd=Ra.length;function od(ld){td.push.apply(td,ld||[]),rd++,rd===sd&&ed(td)}Ra.forEach(function(ld){qa(ld,od)})}function asyncSerialArray(Ra,qa,ed){var td=0,rd=Ra.length;function sd(od){if(od&&od.length){ed(od);return}var ld=td;td=td+1,ld()\[\]\\.,;:\s@"]+(\.[^<>()\[\]\\.,;:\s@"]+)*)|(".+"))@((\[[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}])|(([a-zA-Z\-0-9\u00A0-\uD7FF\uF900-\uFDCF\uFDF0-\uFFEF]+\.)+[a-zA-Z\u00A0-\uD7FF\uF900-\uFDCF\uFDF0-\uFFEF]{2,}))$/,hex:/^#?([a-f0-9]{6}|[a-f0-9]{3})$/i},types$2={integer:function(qa){return types$2.number(qa)&&parseInt(qa,10)===qa},float:function(qa){return types$2.number(qa)&&!types$2.integer(qa)},array:function(qa){return Array.isArray(qa)},regexp:function(qa){if(qa instanceof RegExp)return!0;try{return!!new RegExp(qa)}catch{return!1}},date:function(qa){return typeof qa.getTime=="function"&&typeof qa.getMonth=="function"&&typeof qa.getYear=="function"&&!isNaN(qa.getTime())},number:function(qa){return isNaN(qa)?!1:typeof qa=="number"},object:function(qa){return typeof qa=="object"&&!types$2.array(qa)},method:function(qa){return typeof qa=="function"},email:function(qa){return typeof qa=="string"&&qa.length<=320&&!!qa.match(pattern$2.email)},url:function(qa){return typeof qa=="string"&&qa.length<=2048&&!!qa.match(getUrlRegex())},hex:function(qa){return typeof qa=="string"&&!!qa.match(pattern$2.hex)}},type$1$1=function(qa,ed,td,rd,sd){if(qa.required&&ed===void 0){required$1(qa,ed,td,rd,sd);return}var od=["integer","float","array","regexp","object","method","email","number","date","url","hex"],ld=qa.type;od.indexOf(ld)>-1?types$2[ld](ed)||rd.push(format$2(sd.messages.types[ld],qa.fullField,qa.type)):ld&&typeof ed!==qa.type&&rd.push(format$2(sd.messages.types[ld],qa.fullField,qa.type))},range$4=function(qa,ed,td,rd,sd){var od=typeof qa.len=="number",ld=typeof qa.min=="number",cd=typeof qa.max=="number",ud=/[\uD800-\uDBFF][\uDC00-\uDFFF]/g,_d=ed,Ed=null,Ad=typeof ed=="number",Td=typeof ed=="string",Nd=Array.isArray(ed);if(Ad?Ed="number":Td?Ed="string":Nd&&(Ed="array"),!Ed)return!1;Nd&&(_d=ed.length),Td&&(_d=ed.replace(ud,"_").length),od?_d!==qa.len&&rd.push(format$2(sd.messages[Ed].len,qa.fullField,qa.len)):ld&&!cd&&_dqa.max?rd.push(format$2(sd.messages[Ed].max,qa.fullField,qa.max)):ld&&cd&&(_dqa.max)&&rd.push(format$2(sd.messages[Ed].range,qa.fullField,qa.min,qa.max))},ENUM$1="enum",enumerable$1=function(qa,ed,td,rd,sd){qa[ENUM$1]=Array.isArray(qa[ENUM$1])?qa[ENUM$1]:[],qa[ENUM$1].indexOf(ed)===-1&&rd.push(format$2(sd.messages[ENUM$1],qa.fullField,qa[ENUM$1].join(", ")))},pattern$1=function(qa,ed,td,rd,sd){if(qa.pattern){if(qa.pattern instanceof RegExp)qa.pattern.lastIndex=0,qa.pattern.test(ed)||rd.push(format$2(sd.messages.pattern.mismatch,qa.fullField,ed,qa.pattern));else if(typeof qa.pattern=="string"){var od=new RegExp(qa.pattern);od.test(ed)||rd.push(format$2(sd.messages.pattern.mismatch,qa.fullField,ed,qa.pattern))}}},rules={required:required$1,whitespace:whitespace$1,type:type$1$1,range:range$4,enum:enumerable$1,pattern:pattern$1},string=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed,"string")&&!qa.required)return td();rules.required(qa,ed,rd,od,sd,"string"),isEmptyValue(ed,"string")||(rules.type(qa,ed,rd,od,sd),rules.range(qa,ed,rd,od,sd),rules.pattern(qa,ed,rd,od,sd),qa.whitespace===!0&&rules.whitespace(qa,ed,rd,od,sd))}td(od)},method2=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed)&&!qa.required)return td();rules.required(qa,ed,rd,od,sd),ed!==void 0&&rules.type(qa,ed,rd,od,sd)}td(od)},number2=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(ed===""&&(ed=void 0),isEmptyValue(ed)&&!qa.required)return td();rules.required(qa,ed,rd,od,sd),ed!==void 0&&(rules.type(qa,ed,rd,od,sd),rules.range(qa,ed,rd,od,sd))}td(od)},_boolean=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed)&&!qa.required)return td();rules.required(qa,ed,rd,od,sd),ed!==void 0&&rules.type(qa,ed,rd,od,sd)}td(od)},regexp2=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed)&&!qa.required)return td();rules.required(qa,ed,rd,od,sd),isEmptyValue(ed)||rules.type(qa,ed,rd,od,sd)}td(od)},integer2=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed)&&!qa.required)return td();rules.required(qa,ed,rd,od,sd),ed!==void 0&&(rules.type(qa,ed,rd,od,sd),rules.range(qa,ed,rd,od,sd))}td(od)},floatFn=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed)&&!qa.required)return td();rules.required(qa,ed,rd,od,sd),ed!==void 0&&(rules.type(qa,ed,rd,od,sd),rules.range(qa,ed,rd,od,sd))}td(od)},array2=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(ed==null&&!qa.required)return td();rules.required(qa,ed,rd,od,sd,"array"),ed!=null&&(rules.type(qa,ed,rd,od,sd),rules.range(qa,ed,rd,od,sd))}td(od)},object2=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed)&&!qa.required)return td();rules.required(qa,ed,rd,od,sd),ed!==void 0&&rules.type(qa,ed,rd,od,sd)}td(od)},ENUM="enum",enumerable2=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed)&&!qa.required)return td();rules.required(qa,ed,rd,od,sd),ed!==void 0&&rules[ENUM](qa,ed,rd,od,sd)}td(od)},pattern2=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed,"string")&&!qa.required)return td();rules.required(qa,ed,rd,od,sd),isEmptyValue(ed,"string")||rules.pattern(qa,ed,rd,od,sd)}td(od)},date2=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed,"date")&&!qa.required)return td();if(rules.required(qa,ed,rd,od,sd),!isEmptyValue(ed,"date")){var cd;ed instanceof Date?cd=ed:cd=new Date(ed),rules.type(qa,cd,rd,od,sd),cd&&rules.range(qa,cd.getTime(),rd,od,sd)}}td(od)},required2=function(qa,ed,td,rd,sd){var od=[],ld=Array.isArray(ed)?"array":typeof ed;rules.required(qa,ed,rd,od,sd,ld),td(od)},type2=function(qa,ed,td,rd,sd){var od=qa.type,ld=[],cd=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(cd){if(isEmptyValue(ed,od)&&!qa.required)return td();rules.required(qa,ed,rd,ld,sd,od),isEmptyValue(ed,od)||rules.type(qa,ed,rd,ld,sd)}td(ld)},any=function(qa,ed,td,rd,sd){var od=[],ld=qa.required||!qa.required&&rd.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(ed)&&!qa.required)return td();rules.required(qa,ed,rd,od,sd)}td(od)},validators$2={string,method:method2,number:number2,boolean:_boolean,regexp:regexp2,integer:integer2,float:floatFn,array:array2,object:object2,enum:enumerable2,pattern:pattern2,date:date2,url:type2,hex:type2,email:type2,required:required2,any};function newMessages(){return{default:"Validation error on field %s",required:"%s is required",enum:"%s must be one of %s",whitespace:"%s cannot be empty",date:{format:"%s date %s is invalid for format %s",parse:"%s date could not be parsed, %s is invalid ",invalid:"%s date %s is invalid"},types:{string:"%s is not a %s",method:"%s is not a %s (function)",array:"%s is not an %s",object:"%s is not an %s",number:"%s is not a %s",date:"%s is not a %s",boolean:"%s is not a %s",integer:"%s is not an %s",float:"%s is not a %s",regexp:"%s is not a valid %s",email:"%s is not a valid %s",url:"%s is not a valid %s",hex:"%s is not a valid %s"},string:{len:"%s must be exactly %s characters",min:"%s must be at least %s characters",max:"%s cannot be longer than %s characters",range:"%s must be between %s and %s characters"},number:{len:"%s must equal %s",min:"%s cannot be less than %s",max:"%s cannot be greater than %s",range:"%s must be between %s and %s"},array:{len:"%s must be exactly %s in length",min:"%s cannot be less than %s in length",max:"%s cannot be greater than %s in length",range:"%s must be between %s and %s in length"},pattern:{mismatch:"%s value %s does not match pattern %s"},clone:function(){var qa=JSON.parse(JSON.stringify(this));return qa.clone=this.clone,qa}}}var messages=newMessages(),Schema=function(){function Ra(ed){this.rules=null,this._messages=messages,this.define(ed)}var qa=Ra.prototype;return qa.define=function(td){var rd=this;if(!td)throw new Error("Cannot configure a schema with no rules");if(typeof td!="object"||Array.isArray(td))throw new Error("Rules must be an object");this.rules={},Object.keys(td).forEach(function(sd){var od=td[sd];rd.rules[sd]=Array.isArray(od)?od:[od]})},qa.messages=function(td){return td&&(this._messages=deepMerge(newMessages(),td)),this._messages},qa.validate=function(td,rd,sd){var od=this;rd===void 0&&(rd={}),sd===void 0&&(sd=function(){});var ld=td,cd=rd,ud=sd;if(typeof cd=="function"&&(ud=cd,cd={}),!this.rules||Object.keys(this.rules).length===0)return ud&&ud(null,ld),Promise.resolve(ld);function _d(Rd){var Bd=[],kd={};function Od(Pd){if(Array.isArray(Pd)){var Fd;Bd=(Fd=Bd).concat.apply(Fd,Pd)}else Bd.push(Pd)}for(var Md=0;Md");const rd=useNamespace("form"),sd=ref$1(),od=ref$1(0),ld=()=>{var _d;if((_d=sd.value)!=null&&_d.firstElementChild){const Ed=window.getComputedStyle(sd.value.firstElementChild).width;return Math.ceil(Number.parseFloat(Ed))}else return 0},cd=(_d="update")=>{nextTick$1(()=>{qa.default&&Ra.isAutoWidth&&(_d==="update"?od.value=ld():_d==="remove"&&(ed==null||ed.deregisterLabelWidth(od.value)))})},ud=()=>cd("update");return onMounted(()=>{ud()}),onBeforeUnmount(()=>{cd("remove")}),onUpdated(()=>ud()),watch(od,(_d,Ed)=>{Ra.updateAll&&(ed==null||ed.registerLabelWidth(_d,Ed))}),useResizeObserver(computed(()=>{var _d,Ed;return(Ed=(_d=sd.value)==null?void 0:_d.firstElementChild)!=null?Ed:null}),ud),()=>{var _d,Ed;if(!qa)return null;const{isAutoWidth:Ad}=Ra;if(Ad){const Td=ed==null?void 0:ed.autoLabelWidth,Nd=td==null?void 0:td.hasLabel,Rd={};if(Nd&&Td&&Td!=="auto"){const Bd=Math.max(0,Number.parseInt(Td,10)-od.value),Od=(td.labelPosition||ed.labelPosition)==="left"?"marginRight":"marginLeft";Bd&&(Rd[Od]=`${Bd}px`)}return createVNode("div",{ref:sd,class:[rd.be("item","label-wrap")],style:Rd},[(_d=qa.default)==null?void 0:_d.call(qa)])}else return createVNode(Fragment,{ref:sd},[(Ed=qa.default)==null?void 0:Ed.call(qa)])}}});const __default__$t=defineComponent({name:"ElFormItem"}),_sfc_main$1r=defineComponent({...__default__$t,props:formItemProps,setup(Ra,{expose:qa}){const ed=Ra,td=useSlots(),rd=inject(formContextKey,void 0),sd=inject(formItemContextKey,void 0),od=useFormSize(void 0,{formItem:!1}),ld=useNamespace("form-item"),cd=useId().value,ud=ref$1([]),_d=ref$1(""),Ed=refDebounced(_d,100),Ad=ref$1(""),Td=ref$1();let Nd,Rd=!1;const Bd=computed(()=>ed.labelPosition||(rd==null?void 0:rd.labelPosition)),kd=computed(()=>{if(Bd.value==="top")return{};const bf=addUnit(ed.labelWidth||(rd==null?void 0:rd.labelWidth)||"");return bf?{width:bf}:{}}),Od=computed(()=>{if(Bd.value==="top"||rd!=null&&rd.inline)return{};if(!ed.label&&!ed.labelWidth&&zd)return{};const bf=addUnit(ed.labelWidth||(rd==null?void 0:rd.labelWidth)||"");return!ed.label&&!td.label?{marginLeft:bf}:{}}),Md=computed(()=>[ld.b(),ld.m(od.value),ld.is("error",_d.value==="error"),ld.is("validating",_d.value==="validating"),ld.is("success",_d.value==="success"),ld.is("required",hf.value||ed.required),ld.is("no-asterisk",rd==null?void 0:rd.hideRequiredAsterisk),(rd==null?void 0:rd.requireAsteriskPosition)==="right"?"asterisk-right":"asterisk-left",{[ld.m("feedback")]:rd==null?void 0:rd.statusIcon,[ld.m(`label-${Bd.value}`)]:Bd.value}]),Pd=computed(()=>isBoolean$3(ed.inlineMessage)?ed.inlineMessage:(rd==null?void 0:rd.inlineMessage)||!1),Fd=computed(()=>[ld.e("error"),{[ld.em("error","inline")]:Pd.value}]),Ud=computed(()=>ed.prop?isString$3(ed.prop)?ed.prop:ed.prop.join("."):""),Gd=computed(()=>!!(ed.label||td.label)),Qd=computed(()=>ed.for||(ud.value.length===1?ud.value[0]:void 0)),Vd=computed(()=>!Qd.value&&Gd.value),zd=!!sd,Xd=computed(()=>{const bf=rd==null?void 0:rd.model;if(!(!bf||!ed.prop))return getProp(bf,ed.prop).value}),df=computed(()=>{const{required:bf}=ed,Bf=[];ed.rules&&Bf.push(...castArray(ed.rules));const Kf=rd==null?void 0:rd.rules;if(Kf&&ed.prop){const nh=getProp(Kf,ed.prop).value;nh&&Bf.push(...castArray(nh))}if(bf!==void 0){const nh=Bf.map((zf,$f)=>[zf,$f]).filter(([zf])=>Object.keys(zf).includes("required"));if(nh.length>0)for(const[zf,$f]of nh)zf.required!==bf&&(Bf[$f]={...zf,required:bf});else Bf.push({required:bf})}return Bf}),mf=computed(()=>df.value.length>0),_f=bf=>df.value.filter(Kf=>!Kf.trigger||!bf?!0:Array.isArray(Kf.trigger)?Kf.trigger.includes(bf):Kf.trigger===bf).map(({trigger:Kf,...nh})=>nh),hf=computed(()=>df.value.some(bf=>bf.required)),gf=computed(()=>{var bf;return Ed.value==="error"&&ed.showMessage&&((bf=rd==null?void 0:rd.showMessage)!=null?bf:!0)}),yf=computed(()=>`${ed.label||""}${(rd==null?void 0:rd.labelSuffix)||""}`),Nf=bf=>{_d.value=bf},Pf=bf=>{var Bf,Kf;const{errors:nh,fields:zf}=bf;(!nh||!zf)&&console.error(bf),Nf("error"),Ad.value=nh?(Kf=(Bf=nh==null?void 0:nh[0])==null?void 0:Bf.message)!=null?Kf:`${ed.prop} is required`:"",rd==null||rd.emit("validate",ed.prop,!1,Ad.value)},Yf=()=>{Nf("success"),rd==null||rd.emit("validate",ed.prop,!0,"")},Uf=async bf=>{const Bf=Ud.value;return new Schema({[Bf]:bf}).validate({[Bf]:Xd.value},{firstFields:!0}).then(()=>(Yf(),!0)).catch(nh=>(Pf(nh),Promise.reject(nh)))},Lf=async(bf,Bf)=>{if(Rd||!ed.prop)return!1;const Kf=isFunction$4(Bf);if(!mf.value)return Bf==null||Bf(!1),!1;const nh=_f(bf);return nh.length===0?(Bf==null||Bf(!0),!0):(Nf("validating"),Uf(nh).then(()=>(Bf==null||Bf(!0),!0)).catch(zf=>{const{fields:$f}=zf;return Bf==null||Bf(!1,$f),Kf?!1:Promise.reject($f)}))},xf=()=>{Nf(""),Ad.value="",Rd=!1},wf=async()=>{const bf=rd==null?void 0:rd.model;if(!bf||!ed.prop)return;const Bf=getProp(bf,ed.prop);Rd=!0,Bf.value=clone$1(Nd),await nextTick$1(),xf(),Rd=!1},Jf=bf=>{ud.value.includes(bf)||ud.value.push(bf)},Qf=bf=>{ud.value=ud.value.filter(Bf=>Bf!==bf)};watch(()=>ed.error,bf=>{Ad.value=bf||"",Nf(bf?"error":"")},{immediate:!0}),watch(()=>ed.validateStatus,bf=>Nf(bf||""));const Ef=reactive({...toRefs(ed),$el:Td,size:od,validateState:_d,labelId:cd,inputIds:ud,isGroup:Vd,hasLabel:Gd,fieldValue:Xd,addInputId:Jf,removeInputId:Qf,resetField:wf,clearValidate:xf,validate:Lf});return provide(formItemContextKey,Ef),onMounted(()=>{ed.prop&&(rd==null||rd.addField(Ef),Nd=clone$1(Xd.value))}),onBeforeUnmount(()=>{rd==null||rd.removeField(Ef)}),qa({size:od,validateMessage:Ad,validateState:_d,validate:Lf,clearValidate:xf,resetField:wf}),(bf,Bf)=>{var Kf;return openBlock(),createElementBlock("div",{ref_key:"formItemRef",ref:Td,class:normalizeClass(unref(Md)),role:unref(Vd)?"group":void 0,"aria-labelledby":unref(Vd)?unref(cd):void 0},[createVNode(unref(FormLabelWrap),{"is-auto-width":unref(kd).width==="auto","update-all":((Kf=unref(rd))==null?void 0:Kf.labelWidth)==="auto"},{default:withCtx(()=>[unref(Gd)?(openBlock(),createBlock(resolveDynamicComponent(unref(Qd)?"label":"div"),{key:0,id:unref(cd),for:unref(Qd),class:normalizeClass(unref(ld).e("label")),style:normalizeStyle(unref(kd))},{default:withCtx(()=>[renderSlot(bf.$slots,"label",{label:unref(yf)},()=>[createTextVNode(toDisplayString(unref(yf)),1)])]),_:3},8,["id","for","class","style"])):createCommentVNode("v-if",!0)]),_:3},8,["is-auto-width","update-all"]),createBaseVNode("div",{class:normalizeClass(unref(ld).e("content")),style:normalizeStyle(unref(Od))},[renderSlot(bf.$slots,"default"),createVNode(TransitionGroup,{name:`${unref(ld).namespace.value}-zoom-in-top`},{default:withCtx(()=>[unref(gf)?renderSlot(bf.$slots,"error",{key:0,error:Ad.value},()=>[createBaseVNode("div",{class:normalizeClass(unref(Fd))},toDisplayString(Ad.value),3)]):createCommentVNode("v-if",!0)]),_:3},8,["name"])],6)],10,["role","aria-labelledby"])}}});var FormItem=_export_sfc$1(_sfc_main$1r,[["__file","form-item.vue"]]);const ElForm=withInstall(Form,{FormItem}),ElFormItem=withNoopInstall(FormItem);let hiddenTextarea;const HIDDEN_STYLE=` height:0 !important; visibility:hidden !important; ${isFirefox()?"":"overflow:hidden !important;"} position:absolute !important; z-index:-1000 !important; top:0 !important; right:0 !important; `,CONTEXT_STYLE=["letter-spacing","line-height","padding-top","padding-bottom","font-family","font-weight","font-size","text-rendering","text-transform","width","text-indent","padding-left","padding-right","border-width","box-sizing"];function calculateNodeStyling(Ra){const qa=window.getComputedStyle(Ra),ed=qa.getPropertyValue("box-sizing"),td=Number.parseFloat(qa.getPropertyValue("padding-bottom"))+Number.parseFloat(qa.getPropertyValue("padding-top")),rd=Number.parseFloat(qa.getPropertyValue("border-bottom-width"))+Number.parseFloat(qa.getPropertyValue("border-top-width"));return{contextStyle:CONTEXT_STYLE.map(od=>`${od}:${qa.getPropertyValue(od)}`).join(";"),paddingSize:td,borderSize:rd,boxSizing:ed}}function calcTextareaHeight(Ra,qa=1,ed){var td;hiddenTextarea||(hiddenTextarea=document.createElement("textarea"),document.body.appendChild(hiddenTextarea));const{paddingSize:rd,borderSize:sd,boxSizing:od,contextStyle:ld}=calculateNodeStyling(Ra);hiddenTextarea.setAttribute("style",`${ld};${HIDDEN_STYLE}`),hiddenTextarea.value=Ra.value||Ra.placeholder||"";let cd=hiddenTextarea.scrollHeight;const ud={};od==="border-box"?cd=cd+sd:od==="content-box"&&(cd=cd-rd),hiddenTextarea.value="";const _d=hiddenTextarea.scrollHeight-rd;if(isNumber$3(qa)){let Ed=_d*qa;od==="border-box"&&(Ed=Ed+rd+sd),cd=Math.max(Ed,cd),ud.minHeight=`${Ed}px`}if(isNumber$3(ed)){let Ed=_d*ed;od==="border-box"&&(Ed=Ed+rd+sd),cd=Math.min(Ed,cd)}return ud.height=`${cd}px`,(td=hiddenTextarea.parentNode)==null||td.removeChild(hiddenTextarea),hiddenTextarea=void 0,ud}const inputProps=buildProps({id:{type:String,default:void 0},size:useSizeProp,disabled:Boolean,modelValue:{type:definePropType([String,Number,Object]),default:""},maxlength:{type:[String,Number]},minlength:{type:[String,Number]},type:{type:String,default:"text"},resize:{type:String,values:["none","both","horizontal","vertical"]},autosize:{type:definePropType([Boolean,Object]),default:!1},autocomplete:{type:String,default:"off"},formatter:{type:Function},parser:{type:Function},placeholder:{type:String},form:{type:String},readonly:Boolean,clearable:Boolean,showPassword:Boolean,showWordLimit:Boolean,suffixIcon:{type:iconPropType},prefixIcon:{type:iconPropType},containerRole:{type:String,default:void 0},tabindex:{type:[String,Number],default:0},validateEvent:{type:Boolean,default:!0},inputStyle:{type:definePropType([Object,Array,String]),default:()=>mutable({})},autofocus:Boolean,rows:{type:Number,default:2},...useAriaProps(["ariaLabel"])}),inputEmits={[UPDATE_MODEL_EVENT]:Ra=>isString$3(Ra),input:Ra=>isString$3(Ra),change:Ra=>isString$3(Ra),focus:Ra=>Ra instanceof FocusEvent,blur:Ra=>Ra instanceof FocusEvent,clear:()=>!0,mouseleave:Ra=>Ra instanceof MouseEvent,mouseenter:Ra=>Ra instanceof MouseEvent,keydown:Ra=>Ra instanceof Event,compositionstart:Ra=>Ra instanceof CompositionEvent,compositionupdate:Ra=>Ra instanceof CompositionEvent,compositionend:Ra=>Ra instanceof CompositionEvent},__default__$s=defineComponent({name:"ElInput",inheritAttrs:!1}),_sfc_main$1q=defineComponent({...__default__$s,props:inputProps,emits:inputEmits,setup(Ra,{expose:qa,emit:ed}){const td=Ra,rd=useAttrs$1(),sd=useSlots(),od=computed(()=>{const Dh={};return td.containerRole==="combobox"&&(Dh["aria-haspopup"]=rd["aria-haspopup"],Dh["aria-owns"]=rd["aria-owns"],Dh["aria-expanded"]=rd["aria-expanded"]),Dh}),ld=computed(()=>[td.type==="textarea"?Bd.b():Rd.b(),Rd.m(Td.value),Rd.is("disabled",Nd.value),Rd.is("exceed",xf.value),{[Rd.b("group")]:sd.prepend||sd.append,[Rd.m("prefix")]:sd.prefix||td.prefixIcon,[Rd.m("suffix")]:sd.suffix||td.suffixIcon||td.clearable||td.showPassword,[Rd.bm("suffix","password-clear")]:Pf.value&&Yf.value,[Rd.b("hidden")]:td.type==="hidden"},rd.class]),cd=computed(()=>[Rd.e("wrapper"),Rd.is("focus",Vd.value)]),ud=useAttrs({excludeKeys:computed(()=>Object.keys(od.value))}),{form:_d,formItem:Ed}=useFormItem(),{inputId:Ad}=useFormItemInputId(td,{formItemContext:Ed}),Td=useFormSize(),Nd=useFormDisabled(),Rd=useNamespace("input"),Bd=useNamespace("textarea"),kd=shallowRef(),Od=shallowRef(),Md=ref$1(!1),Pd=ref$1(!1),Fd=ref$1(),Ud=shallowRef(td.inputStyle),Gd=computed(()=>kd.value||Od.value),{wrapperRef:Qd,isFocused:Vd,handleFocus:zd,handleBlur:Xd}=useFocusController(Gd,{beforeFocus(){return Nd.value},afterBlur(){var Dh;td.validateEvent&&((Dh=Ed==null?void 0:Ed.validate)==null||Dh.call(Ed,"blur").catch(Xh=>void 0))}}),df=computed(()=>{var Dh;return(Dh=_d==null?void 0:_d.statusIcon)!=null?Dh:!1}),mf=computed(()=>(Ed==null?void 0:Ed.validateState)||""),_f=computed(()=>mf.value&&ValidateComponentsMap[mf.value]),hf=computed(()=>Pd.value?view_default:hide_default),gf=computed(()=>[rd.style]),yf=computed(()=>[td.inputStyle,Ud.value,{resize:td.resize}]),Nf=computed(()=>isNil(td.modelValue)?"":String(td.modelValue)),Pf=computed(()=>td.clearable&&!Nd.value&&!td.readonly&&!!Nf.value&&(Vd.value||Md.value)),Yf=computed(()=>td.showPassword&&!Nd.value&&!td.readonly&&!!Nf.value&&(!!Nf.value||Vd.value)),Uf=computed(()=>td.showWordLimit&&!!td.maxlength&&(td.type==="text"||td.type==="textarea")&&!Nd.value&&!td.readonly&&!td.showPassword),Lf=computed(()=>Nf.value.length),xf=computed(()=>!!Uf.value&&Lf.value>Number(td.maxlength)),wf=computed(()=>!!sd.suffix||!!td.suffixIcon||Pf.value||td.showPassword||Uf.value||!!mf.value&&df.value),[Jf,Qf]=useCursor(kd);useResizeObserver(Od,Dh=>{if(Bf(),!Uf.value||td.resize!=="both")return;const Xh=Dh[0],{width:qh}=Xh.contentRect;Fd.value={right:`calc(100% - ${qh+15+6}px)`}});const Ef=()=>{const{type:Dh,autosize:Xh}=td;if(!(!isClient$1||Dh!=="textarea"||!Od.value))if(Xh){const qh=isObject$5(Xh)?Xh.minRows:void 0,sm=isObject$5(Xh)?Xh.maxRows:void 0,hm=calcTextareaHeight(Od.value,qh,sm);Ud.value={overflowY:"hidden",...hm},nextTick$1(()=>{Od.value.offsetHeight,Ud.value=hm})}else Ud.value={minHeight:calcTextareaHeight(Od.value).minHeight}},Bf=(Dh=>{let Xh=!1;return()=>{var qh;if(Xh||!td.autosize)return;((qh=Od.value)==null?void 0:qh.offsetParent)===null||(Dh(),Xh=!0)}})(Ef),Kf=()=>{const Dh=Gd.value,Xh=td.formatter?td.formatter(Nf.value):Nf.value;!Dh||Dh.value===Xh||(Dh.value=Xh)},nh=async Dh=>{Jf();let{value:Xh}=Dh.target;if(td.formatter&&(Xh=td.parser?td.parser(Xh):Xh),!$f.value){if(Xh===Nf.value){Kf();return}ed(UPDATE_MODEL_EVENT,Xh),ed("input",Xh),await nextTick$1(),Kf(),Qf()}},zf=Dh=>{ed("change",Dh.target.value)},{isComposing:$f,handleCompositionStart:th,handleCompositionUpdate:hh,handleCompositionEnd:Xf}=useComposition({emit:ed,afterComposition:nh}),Df=()=>{Pd.value=!Pd.value,Of()},Of=async()=>{var Dh;await nextTick$1(),(Dh=Gd.value)==null||Dh.focus()},Vf=()=>{var Dh;return(Dh=Gd.value)==null?void 0:Dh.blur()},dh=Dh=>{Md.value=!1,ed("mouseleave",Dh)},bh=Dh=>{Md.value=!0,ed("mouseenter",Dh)},oh=Dh=>{ed("keydown",Dh)},Ih=()=>{var Dh;(Dh=Gd.value)==null||Dh.select()},_h=()=>{ed(UPDATE_MODEL_EVENT,""),ed("change",""),ed("clear"),ed("input","")};return watch(()=>td.modelValue,()=>{var Dh;nextTick$1(()=>Ef()),td.validateEvent&&((Dh=Ed==null?void 0:Ed.validate)==null||Dh.call(Ed,"change").catch(Xh=>void 0))}),watch(Nf,()=>Kf()),watch(()=>td.type,async()=>{await nextTick$1(),Kf(),Ef()}),onMounted(()=>{!td.formatter&&td.parser,Kf(),nextTick$1(Ef)}),qa({input:kd,textarea:Od,ref:Gd,textareaStyle:yf,autosize:toRef$1(td,"autosize"),isComposing:$f,focus:Of,blur:Vf,select:Ih,clear:_h,resizeTextarea:Ef}),(Dh,Xh)=>(openBlock(),createElementBlock("div",mergeProps(unref(od),{class:[unref(ld),{[unref(Rd).bm("group","append")]:Dh.$slots.append,[unref(Rd).bm("group","prepend")]:Dh.$slots.prepend}],style:unref(gf),role:Dh.containerRole,onMouseenter:bh,onMouseleave:dh}),[createCommentVNode(" input "),Dh.type!=="textarea"?(openBlock(),createElementBlock(Fragment,{key:0},[createCommentVNode(" prepend slot "),Dh.$slots.prepend?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(unref(Rd).be("group","prepend"))},[renderSlot(Dh.$slots,"prepend")],2)):createCommentVNode("v-if",!0),createBaseVNode("div",{ref_key:"wrapperRef",ref:Qd,class:normalizeClass(unref(cd))},[createCommentVNode(" prefix slot "),Dh.$slots.prefix||Dh.prefixIcon?(openBlock(),createElementBlock("span",{key:0,class:normalizeClass(unref(Rd).e("prefix"))},[createBaseVNode("span",{class:normalizeClass(unref(Rd).e("prefix-inner"))},[renderSlot(Dh.$slots,"prefix"),Dh.prefixIcon?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(Rd).e("icon"))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Dh.prefixIcon)))]),_:1},8,["class"])):createCommentVNode("v-if",!0)],2)],2)):createCommentVNode("v-if",!0),createBaseVNode("input",mergeProps({id:unref(Ad),ref_key:"input",ref:kd,class:unref(Rd).e("inner")},unref(ud),{minlength:Dh.minlength,maxlength:Dh.maxlength,type:Dh.showPassword?Pd.value?"text":"password":Dh.type,disabled:unref(Nd),readonly:Dh.readonly,autocomplete:Dh.autocomplete,tabindex:Dh.tabindex,"aria-label":Dh.ariaLabel,placeholder:Dh.placeholder,style:Dh.inputStyle,form:Dh.form,autofocus:Dh.autofocus,onCompositionstart:unref(th),onCompositionupdate:unref(hh),onCompositionend:unref(Xf),onInput:nh,onChange:zf,onKeydown:oh}),null,16,["id","minlength","maxlength","type","disabled","readonly","autocomplete","tabindex","aria-label","placeholder","form","autofocus","onCompositionstart","onCompositionupdate","onCompositionend"]),createCommentVNode(" suffix slot "),unref(wf)?(openBlock(),createElementBlock("span",{key:1,class:normalizeClass(unref(Rd).e("suffix"))},[createBaseVNode("span",{class:normalizeClass(unref(Rd).e("suffix-inner"))},[!unref(Pf)||!unref(Yf)||!unref(Uf)?(openBlock(),createElementBlock(Fragment,{key:0},[renderSlot(Dh.$slots,"suffix"),Dh.suffixIcon?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(Rd).e("icon"))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Dh.suffixIcon)))]),_:1},8,["class"])):createCommentVNode("v-if",!0)],64)):createCommentVNode("v-if",!0),unref(Pf)?(openBlock(),createBlock(unref(ElIcon),{key:1,class:normalizeClass([unref(Rd).e("icon"),unref(Rd).e("clear")]),onMousedown:withModifiers(unref(NOOP),["prevent"]),onClick:_h},{default:withCtx(()=>[createVNode(unref(circle_close_default))]),_:1},8,["class","onMousedown"])):createCommentVNode("v-if",!0),unref(Yf)?(openBlock(),createBlock(unref(ElIcon),{key:2,class:normalizeClass([unref(Rd).e("icon"),unref(Rd).e("password")]),onClick:Df},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(hf))))]),_:1},8,["class"])):createCommentVNode("v-if",!0),unref(Uf)?(openBlock(),createElementBlock("span",{key:3,class:normalizeClass(unref(Rd).e("count"))},[createBaseVNode("span",{class:normalizeClass(unref(Rd).e("count-inner"))},toDisplayString(unref(Lf))+" / "+toDisplayString(Dh.maxlength),3)],2)):createCommentVNode("v-if",!0),unref(mf)&&unref(_f)&&unref(df)?(openBlock(),createBlock(unref(ElIcon),{key:4,class:normalizeClass([unref(Rd).e("icon"),unref(Rd).e("validateIcon"),unref(Rd).is("loading",unref(mf)==="validating")])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(_f))))]),_:1},8,["class"])):createCommentVNode("v-if",!0)],2)],2)):createCommentVNode("v-if",!0)],2),createCommentVNode(" append slot "),Dh.$slots.append?(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(unref(Rd).be("group","append"))},[renderSlot(Dh.$slots,"append")],2)):createCommentVNode("v-if",!0)],64)):(openBlock(),createElementBlock(Fragment,{key:1},[createCommentVNode(" textarea "),createBaseVNode("textarea",mergeProps({id:unref(Ad),ref_key:"textarea",ref:Od,class:[unref(Bd).e("inner"),unref(Rd).is("focus",unref(Vd))]},unref(ud),{minlength:Dh.minlength,maxlength:Dh.maxlength,tabindex:Dh.tabindex,disabled:unref(Nd),readonly:Dh.readonly,autocomplete:Dh.autocomplete,style:unref(yf),"aria-label":Dh.ariaLabel,placeholder:Dh.placeholder,form:Dh.form,autofocus:Dh.autofocus,rows:Dh.rows,onCompositionstart:unref(th),onCompositionupdate:unref(hh),onCompositionend:unref(Xf),onInput:nh,onFocus:unref(zd),onBlur:unref(Xd),onChange:zf,onKeydown:oh}),null,16,["id","minlength","maxlength","tabindex","disabled","readonly","autocomplete","aria-label","placeholder","form","autofocus","rows","onCompositionstart","onCompositionupdate","onCompositionend","onFocus","onBlur"]),unref(Uf)?(openBlock(),createElementBlock("span",{key:0,style:normalizeStyle(Fd.value),class:normalizeClass(unref(Rd).e("count"))},toDisplayString(unref(Lf))+" / "+toDisplayString(Dh.maxlength),7)):createCommentVNode("v-if",!0)],64))],16,["role"]))}});var Input=_export_sfc$1(_sfc_main$1q,[["__file","input.vue"]]);const ElInput=withInstall(Input),GAP=4,BAR_MAP={vertical:{offset:"offsetHeight",scroll:"scrollTop",scrollSize:"scrollHeight",size:"height",key:"vertical",axis:"Y",client:"clientY",direction:"top"},horizontal:{offset:"offsetWidth",scroll:"scrollLeft",scrollSize:"scrollWidth",size:"width",key:"horizontal",axis:"X",client:"clientX",direction:"left"}},renderThumbStyle=({move:Ra,size:qa,bar:ed})=>({[ed.size]:qa,transform:`translate${ed.axis}(${Ra}%)`}),scrollbarContextKey=Symbol("scrollbarContextKey"),thumbProps=buildProps({vertical:Boolean,size:String,move:Number,ratio:{type:Number,required:!0},always:Boolean}),COMPONENT_NAME$8="Thumb",_sfc_main$1p=defineComponent({__name:"thumb",props:thumbProps,setup(Ra){const qa=Ra,ed=inject(scrollbarContextKey),td=useNamespace("scrollbar");ed||throwError$1(COMPONENT_NAME$8,"can not inject scrollbar context");const rd=ref$1(),sd=ref$1(),od=ref$1({}),ld=ref$1(!1);let cd=!1,ud=!1,_d=isClient$1?document.onselectstart:null;const Ed=computed(()=>BAR_MAP[qa.vertical?"vertical":"horizontal"]),Ad=computed(()=>renderThumbStyle({size:qa.size,move:qa.move,bar:Ed.value})),Td=computed(()=>rd.value[Ed.value.offset]**2/ed.wrapElement[Ed.value.scrollSize]/qa.ratio/sd.value[Ed.value.offset]),Nd=Ud=>{var Gd;if(Ud.stopPropagation(),Ud.ctrlKey||[1,2].includes(Ud.button))return;(Gd=window.getSelection())==null||Gd.removeAllRanges(),Bd(Ud);const Qd=Ud.currentTarget;Qd&&(od.value[Ed.value.axis]=Qd[Ed.value.offset]-(Ud[Ed.value.client]-Qd.getBoundingClientRect()[Ed.value.direction]))},Rd=Ud=>{if(!sd.value||!rd.value||!ed.wrapElement)return;const Gd=Math.abs(Ud.target.getBoundingClientRect()[Ed.value.direction]-Ud[Ed.value.client]),Qd=sd.value[Ed.value.offset]/2,Vd=(Gd-Qd)*100*Td.value/rd.value[Ed.value.offset];ed.wrapElement[Ed.value.scroll]=Vd*ed.wrapElement[Ed.value.scrollSize]/100},Bd=Ud=>{Ud.stopImmediatePropagation(),cd=!0,document.addEventListener("mousemove",kd),document.addEventListener("mouseup",Od),_d=document.onselectstart,document.onselectstart=()=>!1},kd=Ud=>{if(!rd.value||!sd.value||cd===!1)return;const Gd=od.value[Ed.value.axis];if(!Gd)return;const Qd=(rd.value.getBoundingClientRect()[Ed.value.direction]-Ud[Ed.value.client])*-1,Vd=sd.value[Ed.value.offset]-Gd,zd=(Qd-Vd)*100*Td.value/rd.value[Ed.value.offset];ed.wrapElement[Ed.value.scroll]=zd*ed.wrapElement[Ed.value.scrollSize]/100},Od=()=>{cd=!1,od.value[Ed.value.axis]=0,document.removeEventListener("mousemove",kd),document.removeEventListener("mouseup",Od),Fd(),ud&&(ld.value=!1)},Md=()=>{ud=!1,ld.value=!!qa.size},Pd=()=>{ud=!0,ld.value=cd};onBeforeUnmount(()=>{Fd(),document.removeEventListener("mouseup",Od)});const Fd=()=>{document.onselectstart!==_d&&(document.onselectstart=_d)};return useEventListener$1(toRef$1(ed,"scrollbarElement"),"mousemove",Md),useEventListener$1(toRef$1(ed,"scrollbarElement"),"mouseleave",Pd),(Ud,Gd)=>(openBlock(),createBlock(Transition$1,{name:unref(td).b("fade"),persisted:""},{default:withCtx(()=>[withDirectives(createBaseVNode("div",{ref_key:"instance",ref:rd,class:normalizeClass([unref(td).e("bar"),unref(td).is(unref(Ed).key)]),onMousedown:Rd},[createBaseVNode("div",{ref_key:"thumb",ref:sd,class:normalizeClass(unref(td).e("thumb")),style:normalizeStyle(unref(Ad)),onMousedown:Nd},null,38)],34),[[vShow,Ud.always||ld.value]])]),_:1},8,["name"]))}});var Thumb=_export_sfc$1(_sfc_main$1p,[["__file","thumb.vue"]]);const barProps=buildProps({always:{type:Boolean,default:!0},minSize:{type:Number,required:!0}}),_sfc_main$1o=defineComponent({__name:"bar",props:barProps,setup(Ra,{expose:qa}){const ed=Ra,td=inject(scrollbarContextKey),rd=ref$1(0),sd=ref$1(0),od=ref$1(""),ld=ref$1(""),cd=ref$1(1),ud=ref$1(1);return qa({handleScroll:Ad=>{if(Ad){const Td=Ad.offsetHeight-GAP,Nd=Ad.offsetWidth-GAP;sd.value=Ad.scrollTop*100/Td*cd.value,rd.value=Ad.scrollLeft*100/Nd*ud.value}},update:()=>{const Ad=td==null?void 0:td.wrapElement;if(!Ad)return;const Td=Ad.offsetHeight-GAP,Nd=Ad.offsetWidth-GAP,Rd=Td**2/Ad.scrollHeight,Bd=Nd**2/Ad.scrollWidth,kd=Math.max(Rd,ed.minSize),Od=Math.max(Bd,ed.minSize);cd.value=Rd/(Td-Rd)/(kd/(Td-kd)),ud.value=Bd/(Nd-Bd)/(Od/(Nd-Od)),ld.value=kd+GAP(openBlock(),createElementBlock(Fragment,null,[createVNode(Thumb,{move:rd.value,ratio:ud.value,size:od.value,always:Ad.always},null,8,["move","ratio","size","always"]),createVNode(Thumb,{move:sd.value,ratio:cd.value,size:ld.value,vertical:"",always:Ad.always},null,8,["move","ratio","size","always"])],64))}});var Bar=_export_sfc$1(_sfc_main$1o,[["__file","bar.vue"]]);const scrollbarProps=buildProps({height:{type:[String,Number],default:""},maxHeight:{type:[String,Number],default:""},native:{type:Boolean,default:!1},wrapStyle:{type:definePropType([String,Object,Array]),default:""},wrapClass:{type:[String,Array],default:""},viewClass:{type:[String,Array],default:""},viewStyle:{type:[String,Array,Object],default:""},noresize:Boolean,tag:{type:String,default:"div"},always:Boolean,minSize:{type:Number,default:20},id:String,role:String,...useAriaProps(["ariaLabel","ariaOrientation"])}),scrollbarEmits={scroll:({scrollTop:Ra,scrollLeft:qa})=>[Ra,qa].every(isNumber$3)},COMPONENT_NAME$7="ElScrollbar",__default__$r=defineComponent({name:COMPONENT_NAME$7}),_sfc_main$1n=defineComponent({...__default__$r,props:scrollbarProps,emits:scrollbarEmits,setup(Ra,{expose:qa,emit:ed}){const td=Ra,rd=useNamespace("scrollbar");let sd,od,ld=0,cd=0;const ud=ref$1(),_d=ref$1(),Ed=ref$1(),Ad=ref$1(),Td=computed(()=>{const Fd={};return td.height&&(Fd.height=addUnit(td.height)),td.maxHeight&&(Fd.maxHeight=addUnit(td.maxHeight)),[td.wrapStyle,Fd]}),Nd=computed(()=>[td.wrapClass,rd.e("wrap"),{[rd.em("wrap","hidden-default")]:!td.native}]),Rd=computed(()=>[rd.e("view"),td.viewClass]),Bd=()=>{var Fd;_d.value&&((Fd=Ad.value)==null||Fd.handleScroll(_d.value),ld=_d.value.scrollTop,cd=_d.value.scrollLeft,ed("scroll",{scrollTop:_d.value.scrollTop,scrollLeft:_d.value.scrollLeft}))};function kd(Fd,Ud){isObject$5(Fd)?_d.value.scrollTo(Fd):isNumber$3(Fd)&&isNumber$3(Ud)&&_d.value.scrollTo(Fd,Ud)}const Od=Fd=>{isNumber$3(Fd)&&(_d.value.scrollTop=Fd)},Md=Fd=>{isNumber$3(Fd)&&(_d.value.scrollLeft=Fd)},Pd=()=>{var Fd;(Fd=Ad.value)==null||Fd.update()};return watch(()=>td.noresize,Fd=>{Fd?(sd==null||sd(),od==null||od()):({stop:sd}=useResizeObserver(Ed,Pd),od=useEventListener$1("resize",Pd))},{immediate:!0}),watch(()=>[td.maxHeight,td.height],()=>{td.native||nextTick$1(()=>{var Fd;Pd(),_d.value&&((Fd=Ad.value)==null||Fd.handleScroll(_d.value))})}),provide(scrollbarContextKey,reactive({scrollbarElement:ud,wrapElement:_d})),onActivated(()=>{_d.value.scrollTop=ld,_d.value.scrollLeft=cd}),onMounted(()=>{td.native||nextTick$1(()=>{Pd()})}),onUpdated(()=>Pd()),qa({wrapRef:_d,update:Pd,scrollTo:kd,setScrollTop:Od,setScrollLeft:Md,handleScroll:Bd}),(Fd,Ud)=>(openBlock(),createElementBlock("div",{ref_key:"scrollbarRef",ref:ud,class:normalizeClass(unref(rd).b())},[createBaseVNode("div",{ref_key:"wrapRef",ref:_d,class:normalizeClass(unref(Nd)),style:normalizeStyle(unref(Td)),onScroll:Bd},[(openBlock(),createBlock(resolveDynamicComponent(Fd.tag),{id:Fd.id,ref_key:"resizeRef",ref:Ed,class:normalizeClass(unref(Rd)),style:normalizeStyle(Fd.viewStyle),role:Fd.role,"aria-label":Fd.ariaLabel,"aria-orientation":Fd.ariaOrientation},{default:withCtx(()=>[renderSlot(Fd.$slots,"default")]),_:3},8,["id","class","style","role","aria-label","aria-orientation"]))],38),Fd.native?createCommentVNode("v-if",!0):(openBlock(),createBlock(Bar,{key:0,ref_key:"barRef",ref:Ad,always:Fd.always,"min-size":Fd.minSize},null,8,["always","min-size"]))],2))}});var Scrollbar=_export_sfc$1(_sfc_main$1n,[["__file","scrollbar.vue"]]);const ElScrollbar=withInstall(Scrollbar),POPPER_INJECTION_KEY=Symbol("popper"),POPPER_CONTENT_INJECTION_KEY=Symbol("popperContent"),roleTypes=["dialog","grid","group","listbox","menu","navigation","tooltip","tree"],popperProps=buildProps({role:{type:String,values:roleTypes,default:"tooltip"}}),__default__$q=defineComponent({name:"ElPopper",inheritAttrs:!1}),_sfc_main$1m=defineComponent({...__default__$q,props:popperProps,setup(Ra,{expose:qa}){const ed=Ra,td=ref$1(),rd=ref$1(),sd=ref$1(),od=ref$1(),ld=computed(()=>ed.role),cd={triggerRef:td,popperInstanceRef:rd,contentRef:sd,referenceRef:od,role:ld};return qa(cd),provide(POPPER_INJECTION_KEY,cd),(ud,_d)=>renderSlot(ud.$slots,"default")}});var Popper=_export_sfc$1(_sfc_main$1m,[["__file","popper.vue"]]);const popperArrowProps=buildProps({arrowOffset:{type:Number,default:5}}),__default__$p=defineComponent({name:"ElPopperArrow",inheritAttrs:!1}),_sfc_main$1l=defineComponent({...__default__$p,props:popperArrowProps,setup(Ra,{expose:qa}){const ed=Ra,td=useNamespace("popper"),{arrowOffset:rd,arrowRef:sd,arrowStyle:od}=inject(POPPER_CONTENT_INJECTION_KEY,void 0);return watch(()=>ed.arrowOffset,ld=>{rd.value=ld}),onBeforeUnmount(()=>{sd.value=void 0}),qa({arrowRef:sd}),(ld,cd)=>(openBlock(),createElementBlock("span",{ref_key:"arrowRef",ref:sd,class:normalizeClass(unref(td).e("arrow")),style:normalizeStyle(unref(od)),"data-popper-arrow":""},null,6))}});var ElPopperArrow=_export_sfc$1(_sfc_main$1l,[["__file","arrow.vue"]]);const NAME="ElOnlyChild",OnlyChild=defineComponent({name:NAME,setup(Ra,{slots:qa,attrs:ed}){var td;const rd=inject(FORWARD_REF_INJECTION_KEY),sd=useForwardRefDirective((td=rd==null?void 0:rd.setForwardRef)!=null?td:NOOP);return()=>{var od;const ld=(od=qa.default)==null?void 0:od.call(qa,ed);if(!ld||ld.length>1)return null;const cd=findFirstLegitChild(ld);return cd?withDirectives(cloneVNode(cd,ed),[[sd]]):null}}});function findFirstLegitChild(Ra){if(!Ra)return null;const qa=Ra;for(const ed of qa){if(isObject$5(ed))switch(ed.type){case Comment:continue;case Text:case"svg":return wrapTextContent(ed);case Fragment:return findFirstLegitChild(ed.children);default:return ed}return wrapTextContent(ed)}return null}function wrapTextContent(Ra){const qa=useNamespace("only-child");return createVNode("span",{class:qa.e("content")},[Ra])}const popperTriggerProps=buildProps({virtualRef:{type:definePropType(Object)},virtualTriggering:Boolean,onMouseenter:{type:definePropType(Function)},onMouseleave:{type:definePropType(Function)},onClick:{type:definePropType(Function)},onKeydown:{type:definePropType(Function)},onFocus:{type:definePropType(Function)},onBlur:{type:definePropType(Function)},onContextmenu:{type:definePropType(Function)},id:String,open:Boolean}),__default__$o=defineComponent({name:"ElPopperTrigger",inheritAttrs:!1}),_sfc_main$1k=defineComponent({...__default__$o,props:popperTriggerProps,setup(Ra,{expose:qa}){const ed=Ra,{role:td,triggerRef:rd}=inject(POPPER_INJECTION_KEY,void 0);useForwardRef(rd);const sd=computed(()=>ld.value?ed.id:void 0),od=computed(()=>{if(td&&td.value==="tooltip")return ed.open&&ed.id?ed.id:void 0}),ld=computed(()=>{if(td&&td.value!=="tooltip")return td.value}),cd=computed(()=>ld.value?`${ed.open}`:void 0);let ud;const _d=["onMouseenter","onMouseleave","onClick","onKeydown","onFocus","onBlur","onContextmenu"];return onMounted(()=>{watch(()=>ed.virtualRef,Ed=>{Ed&&(rd.value=unrefElement$1(Ed))},{immediate:!0}),watch(rd,(Ed,Ad)=>{ud==null||ud(),ud=void 0,isElement$2(Ed)&&(_d.forEach(Td=>{var Nd;const Rd=ed[Td];Rd&&(Ed.addEventListener(Td.slice(2).toLowerCase(),Rd),(Nd=Ad==null?void 0:Ad.removeEventListener)==null||Nd.call(Ad,Td.slice(2).toLowerCase(),Rd))}),ud=watch([sd,od,ld,cd],Td=>{["aria-controls","aria-describedby","aria-haspopup","aria-expanded"].forEach((Nd,Rd)=>{isNil(Td[Rd])?Ed.removeAttribute(Nd):Ed.setAttribute(Nd,Td[Rd])})},{immediate:!0})),isElement$2(Ad)&&["aria-controls","aria-describedby","aria-haspopup","aria-expanded"].forEach(Td=>Ad.removeAttribute(Td))},{immediate:!0})}),onBeforeUnmount(()=>{if(ud==null||ud(),ud=void 0,rd.value&&isElement$2(rd.value)){const Ed=rd.value;_d.forEach(Ad=>{const Td=ed[Ad];Td&&Ed.removeEventListener(Ad.slice(2).toLowerCase(),Td)}),rd.value=void 0}}),qa({triggerRef:rd}),(Ed,Ad)=>Ed.virtualTriggering?createCommentVNode("v-if",!0):(openBlock(),createBlock(unref(OnlyChild),mergeProps({key:0},Ed.$attrs,{"aria-controls":unref(sd),"aria-describedby":unref(od),"aria-expanded":unref(cd),"aria-haspopup":unref(ld)}),{default:withCtx(()=>[renderSlot(Ed.$slots,"default")]),_:3},16,["aria-controls","aria-describedby","aria-expanded","aria-haspopup"]))}});var ElPopperTrigger=_export_sfc$1(_sfc_main$1k,[["__file","trigger.vue"]]);const FOCUS_AFTER_TRAPPED="focus-trap.focus-after-trapped",FOCUS_AFTER_RELEASED="focus-trap.focus-after-released",FOCUSOUT_PREVENTED="focus-trap.focusout-prevented",FOCUS_AFTER_TRAPPED_OPTS={cancelable:!0,bubbles:!1},FOCUSOUT_PREVENTED_OPTS={cancelable:!0,bubbles:!1},ON_TRAP_FOCUS_EVT="focusAfterTrapped",ON_RELEASE_FOCUS_EVT="focusAfterReleased",FOCUS_TRAP_INJECTION_KEY=Symbol("elFocusTrap"),focusReason=ref$1(),lastUserFocusTimestamp=ref$1(0),lastAutomatedFocusTimestamp=ref$1(0);let focusReasonUserCount=0;const obtainAllFocusableElements=Ra=>{const qa=[],ed=document.createTreeWalker(Ra,NodeFilter.SHOW_ELEMENT,{acceptNode:td=>{const rd=td.tagName==="INPUT"&&td.type==="hidden";return td.disabled||td.hidden||rd?NodeFilter.FILTER_SKIP:td.tabIndex>=0||td===document.activeElement?NodeFilter.FILTER_ACCEPT:NodeFilter.FILTER_SKIP}});for(;ed.nextNode();)qa.push(ed.currentNode);return qa},getVisibleElement=(Ra,qa)=>{for(const ed of Ra)if(!isHidden(ed,qa))return ed},isHidden=(Ra,qa)=>{if(getComputedStyle(Ra).visibility==="hidden")return!0;for(;Ra;){if(qa&&Ra===qa)return!1;if(getComputedStyle(Ra).display==="none")return!0;Ra=Ra.parentElement}return!1},getEdges=Ra=>{const qa=obtainAllFocusableElements(Ra),ed=getVisibleElement(qa,Ra),td=getVisibleElement(qa.reverse(),Ra);return[ed,td]},isSelectable=Ra=>Ra instanceof HTMLInputElement&&"select"in Ra,tryFocus=(Ra,qa)=>{if(Ra&&Ra.focus){const ed=document.activeElement;Ra.focus({preventScroll:!0}),lastAutomatedFocusTimestamp.value=window.performance.now(),Ra!==ed&&isSelectable(Ra)&&qa&&Ra.select()}};function removeFromStack(Ra,qa){const ed=[...Ra],td=Ra.indexOf(qa);return td!==-1&&ed.splice(td,1),ed}const createFocusableStack=()=>{let Ra=[];return{push:td=>{const rd=Ra[0];rd&&td!==rd&&rd.pause(),Ra=removeFromStack(Ra,td),Ra.unshift(td)},remove:td=>{var rd,sd;Ra=removeFromStack(Ra,td),(sd=(rd=Ra[0])==null?void 0:rd.resume)==null||sd.call(rd)}}},focusFirstDescendant=(Ra,qa=!1)=>{const ed=document.activeElement;for(const td of Ra)if(tryFocus(td,qa),document.activeElement!==ed)return},focusableStack=createFocusableStack(),isFocusCausedByUserEvent=()=>lastUserFocusTimestamp.value>lastAutomatedFocusTimestamp.value,notifyFocusReasonPointer=()=>{focusReason.value="pointer",lastUserFocusTimestamp.value=window.performance.now()},notifyFocusReasonKeydown=()=>{focusReason.value="keyboard",lastUserFocusTimestamp.value=window.performance.now()},useFocusReason=()=>(onMounted(()=>{focusReasonUserCount===0&&(document.addEventListener("mousedown",notifyFocusReasonPointer),document.addEventListener("touchstart",notifyFocusReasonPointer),document.addEventListener("keydown",notifyFocusReasonKeydown)),focusReasonUserCount++}),onBeforeUnmount(()=>{focusReasonUserCount--,focusReasonUserCount<=0&&(document.removeEventListener("mousedown",notifyFocusReasonPointer),document.removeEventListener("touchstart",notifyFocusReasonPointer),document.removeEventListener("keydown",notifyFocusReasonKeydown))}),{focusReason,lastUserFocusTimestamp,lastAutomatedFocusTimestamp}),createFocusOutPreventedEvent=Ra=>new CustomEvent(FOCUSOUT_PREVENTED,{...FOCUSOUT_PREVENTED_OPTS,detail:Ra}),_sfc_main$1j=defineComponent({name:"ElFocusTrap",inheritAttrs:!1,props:{loop:Boolean,trapped:Boolean,focusTrapEl:Object,focusStartEl:{type:[Object,String],default:"first"}},emits:[ON_TRAP_FOCUS_EVT,ON_RELEASE_FOCUS_EVT,"focusin","focusout","focusout-prevented","release-requested"],setup(Ra,{emit:qa}){const ed=ref$1();let td,rd;const{focusReason:sd}=useFocusReason();useEscapeKeydown(Nd=>{Ra.trapped&&!od.paused&&qa("release-requested",Nd)});const od={paused:!1,pause(){this.paused=!0},resume(){this.paused=!1}},ld=Nd=>{if(!Ra.loop&&!Ra.trapped||od.paused)return;const{key:Rd,altKey:Bd,ctrlKey:kd,metaKey:Od,currentTarget:Md,shiftKey:Pd}=Nd,{loop:Fd}=Ra,Ud=Rd===EVENT_CODE.tab&&!Bd&&!kd&&!Od,Gd=document.activeElement;if(Ud&&Gd){const Qd=Md,[Vd,zd]=getEdges(Qd);if(Vd&&zd){if(!Pd&&Gd===zd){const df=createFocusOutPreventedEvent({focusReason:sd.value});qa("focusout-prevented",df),df.defaultPrevented||(Nd.preventDefault(),Fd&&tryFocus(Vd,!0))}else if(Pd&&[Vd,Qd].includes(Gd)){const df=createFocusOutPreventedEvent({focusReason:sd.value});qa("focusout-prevented",df),df.defaultPrevented||(Nd.preventDefault(),Fd&&tryFocus(zd,!0))}}else if(Gd===Qd){const df=createFocusOutPreventedEvent({focusReason:sd.value});qa("focusout-prevented",df),df.defaultPrevented||Nd.preventDefault()}}};provide(FOCUS_TRAP_INJECTION_KEY,{focusTrapRef:ed,onKeydown:ld}),watch(()=>Ra.focusTrapEl,Nd=>{Nd&&(ed.value=Nd)},{immediate:!0}),watch([ed],([Nd],[Rd])=>{Nd&&(Nd.addEventListener("keydown",ld),Nd.addEventListener("focusin",_d),Nd.addEventListener("focusout",Ed)),Rd&&(Rd.removeEventListener("keydown",ld),Rd.removeEventListener("focusin",_d),Rd.removeEventListener("focusout",Ed))});const cd=Nd=>{qa(ON_TRAP_FOCUS_EVT,Nd)},ud=Nd=>qa(ON_RELEASE_FOCUS_EVT,Nd),_d=Nd=>{const Rd=unref(ed);if(!Rd)return;const Bd=Nd.target,kd=Nd.relatedTarget,Od=Bd&&Rd.contains(Bd);Ra.trapped||kd&&Rd.contains(kd)||(td=kd),Od&&qa("focusin",Nd),!od.paused&&Ra.trapped&&(Od?rd=Bd:tryFocus(rd,!0))},Ed=Nd=>{const Rd=unref(ed);if(!(od.paused||!Rd))if(Ra.trapped){const Bd=Nd.relatedTarget;!isNil(Bd)&&!Rd.contains(Bd)&&setTimeout(()=>{if(!od.paused&&Ra.trapped){const kd=createFocusOutPreventedEvent({focusReason:sd.value});qa("focusout-prevented",kd),kd.defaultPrevented||tryFocus(rd,!0)}},0)}else{const Bd=Nd.target;Bd&&Rd.contains(Bd)||qa("focusout",Nd)}};async function Ad(){await nextTick$1();const Nd=unref(ed);if(Nd){focusableStack.push(od);const Rd=Nd.contains(document.activeElement)?td:document.activeElement;if(td=Rd,!Nd.contains(Rd)){const kd=new Event(FOCUS_AFTER_TRAPPED,FOCUS_AFTER_TRAPPED_OPTS);Nd.addEventListener(FOCUS_AFTER_TRAPPED,cd),Nd.dispatchEvent(kd),kd.defaultPrevented||nextTick$1(()=>{let Od=Ra.focusStartEl;isString$3(Od)||(tryFocus(Od),document.activeElement!==Od&&(Od="first")),Od==="first"&&focusFirstDescendant(obtainAllFocusableElements(Nd),!0),(document.activeElement===Rd||Od==="container")&&tryFocus(Nd)})}}}function Td(){const Nd=unref(ed);if(Nd){Nd.removeEventListener(FOCUS_AFTER_TRAPPED,cd);const Rd=new CustomEvent(FOCUS_AFTER_RELEASED,{...FOCUS_AFTER_TRAPPED_OPTS,detail:{focusReason:sd.value}});Nd.addEventListener(FOCUS_AFTER_RELEASED,ud),Nd.dispatchEvent(Rd),!Rd.defaultPrevented&&(sd.value=="keyboard"||!isFocusCausedByUserEvent()||Nd.contains(document.activeElement))&&tryFocus(td??document.body),Nd.removeEventListener(FOCUS_AFTER_RELEASED,ud),focusableStack.remove(od)}}return onMounted(()=>{Ra.trapped&&Ad(),watch(()=>Ra.trapped,Nd=>{Nd?Ad():Td()})}),onBeforeUnmount(()=>{Ra.trapped&&Td(),ed.value&&(ed.value.removeEventListener("keydown",ld),ed.value.removeEventListener("focusin",_d),ed.value.removeEventListener("focusout",Ed),ed.value=void 0)}),{onKeydown:ld}}});function _sfc_render$8(Ra,qa,ed,td,rd,sd){return renderSlot(Ra.$slots,"default",{handleKeydown:Ra.onKeydown})}var ElFocusTrap=_export_sfc$1(_sfc_main$1j,[["render",_sfc_render$8],["__file","focus-trap.vue"]]);const POSITIONING_STRATEGIES=["fixed","absolute"],popperCoreConfigProps=buildProps({boundariesPadding:{type:Number,default:0},fallbackPlacements:{type:definePropType(Array),default:void 0},gpuAcceleration:{type:Boolean,default:!0},offset:{type:Number,default:12},placement:{type:String,values:Ee$4,default:"bottom"},popperOptions:{type:definePropType(Object),default:()=>({})},strategy:{type:String,values:POSITIONING_STRATEGIES,default:"absolute"}}),popperContentProps=buildProps({...popperCoreConfigProps,id:String,style:{type:definePropType([String,Array,Object])},className:{type:definePropType([String,Array,Object])},effect:{type:definePropType(String),default:"dark"},visible:Boolean,enterable:{type:Boolean,default:!0},pure:Boolean,focusOnShow:{type:Boolean,default:!1},trapping:{type:Boolean,default:!1},popperClass:{type:definePropType([String,Array,Object])},popperStyle:{type:definePropType([String,Array,Object])},referenceEl:{type:definePropType(Object)},triggerTargetEl:{type:definePropType(Object)},stopPopperMouseEvent:{type:Boolean,default:!0},virtualTriggering:Boolean,zIndex:Number,...useAriaProps(["ariaLabel"])}),popperContentEmits={mouseenter:Ra=>Ra instanceof MouseEvent,mouseleave:Ra=>Ra instanceof MouseEvent,focus:()=>!0,blur:()=>!0,close:()=>!0},buildPopperOptions=(Ra,qa=[])=>{const{placement:ed,strategy:td,popperOptions:rd}=Ra,sd={placement:ed,strategy:td,...rd,modifiers:[...genModifiers(Ra),...qa]};return deriveExtraModifiers(sd,rd==null?void 0:rd.modifiers),sd},unwrapMeasurableEl=Ra=>{if(isClient$1)return unrefElement$1(Ra)};function genModifiers(Ra){const{offset:qa,gpuAcceleration:ed,fallbackPlacements:td}=Ra;return[{name:"offset",options:{offset:[0,qa??12]}},{name:"preventOverflow",options:{padding:{top:2,bottom:2,left:5,right:5}}},{name:"flip",options:{padding:5,fallbackPlacements:td}},{name:"computeStyles",options:{gpuAcceleration:ed}}]}function deriveExtraModifiers(Ra,qa){qa&&(Ra.modifiers=[...Ra.modifiers,...qa??[]])}const DEFAULT_ARROW_OFFSET=0,usePopperContent=Ra=>{const{popperInstanceRef:qa,contentRef:ed,triggerRef:td,role:rd}=inject(POPPER_INJECTION_KEY,void 0),sd=ref$1(),od=ref$1(),ld=computed(()=>({name:"eventListeners",enabled:!!Ra.visible})),cd=computed(()=>{var kd;const Od=unref(sd),Md=(kd=unref(od))!=null?kd:DEFAULT_ARROW_OFFSET;return{name:"arrow",enabled:!isUndefined$2(Od),options:{element:Od,padding:Md}}}),ud=computed(()=>({onFirstUpdate:()=>{Nd()},...buildPopperOptions(Ra,[unref(cd),unref(ld)])})),_d=computed(()=>unwrapMeasurableEl(Ra.referenceEl)||unref(td)),{attributes:Ed,state:Ad,styles:Td,update:Nd,forceUpdate:Rd,instanceRef:Bd}=usePopper(_d,ed,ud);return watch(Bd,kd=>qa.value=kd),onMounted(()=>{watch(()=>{var kd;return(kd=unref(_d))==null?void 0:kd.getBoundingClientRect()},()=>{Nd()})}),{attributes:Ed,arrowRef:sd,contentRef:ed,instanceRef:Bd,state:Ad,styles:Td,role:rd,forceUpdate:Rd,update:Nd}},usePopperContentDOM=(Ra,{attributes:qa,styles:ed,role:td})=>{const{nextZIndex:rd}=useZIndex(),sd=useNamespace("popper"),od=computed(()=>unref(qa).popper),ld=ref$1(isNumber$3(Ra.zIndex)?Ra.zIndex:rd()),cd=computed(()=>[sd.b(),sd.is("pure",Ra.pure),sd.is(Ra.effect),Ra.popperClass]),ud=computed(()=>[{zIndex:unref(ld)},unref(ed).popper,Ra.popperStyle||{}]),_d=computed(()=>td.value==="dialog"?"false":void 0),Ed=computed(()=>unref(ed).arrow||{});return{ariaModal:_d,arrowStyle:Ed,contentAttrs:od,contentClass:cd,contentStyle:ud,contentZIndex:ld,updateZIndex:()=>{ld.value=isNumber$3(Ra.zIndex)?Ra.zIndex:rd()}}},usePopperContentFocusTrap=(Ra,qa)=>{const ed=ref$1(!1),td=ref$1();return{focusStartRef:td,trapped:ed,onFocusAfterReleased:ud=>{var _d;((_d=ud.detail)==null?void 0:_d.focusReason)!=="pointer"&&(td.value="first",qa("blur"))},onFocusAfterTrapped:()=>{qa("focus")},onFocusInTrap:ud=>{Ra.visible&&!ed.value&&(ud.target&&(td.value=ud.target),ed.value=!0)},onFocusoutPrevented:ud=>{Ra.trapping||(ud.detail.focusReason==="pointer"&&ud.preventDefault(),ed.value=!1)},onReleaseRequested:()=>{ed.value=!1,qa("close")}}},__default__$n=defineComponent({name:"ElPopperContent"}),_sfc_main$1i=defineComponent({...__default__$n,props:popperContentProps,emits:popperContentEmits,setup(Ra,{expose:qa,emit:ed}){const td=Ra,{focusStartRef:rd,trapped:sd,onFocusAfterReleased:od,onFocusAfterTrapped:ld,onFocusInTrap:cd,onFocusoutPrevented:ud,onReleaseRequested:_d}=usePopperContentFocusTrap(td,ed),{attributes:Ed,arrowRef:Ad,contentRef:Td,styles:Nd,instanceRef:Rd,role:Bd,update:kd}=usePopperContent(td),{ariaModal:Od,arrowStyle:Md,contentAttrs:Pd,contentClass:Fd,contentStyle:Ud,updateZIndex:Gd}=usePopperContentDOM(td,{styles:Nd,attributes:Ed,role:Bd}),Qd=inject(formItemContextKey,void 0),Vd=ref$1();provide(POPPER_CONTENT_INJECTION_KEY,{arrowStyle:Md,arrowRef:Ad,arrowOffset:Vd}),Qd&&provide(formItemContextKey,{...Qd,addInputId:NOOP,removeInputId:NOOP});let zd;const Xd=(mf=!0)=>{kd(),mf&&Gd()},df=()=>{Xd(!1),td.visible&&td.focusOnShow?sd.value=!0:td.visible===!1&&(sd.value=!1)};return onMounted(()=>{watch(()=>td.triggerTargetEl,(mf,_f)=>{zd==null||zd(),zd=void 0;const hf=unref(mf||Td.value),gf=unref(_f||Td.value);isElement$2(hf)&&(zd=watch([Bd,()=>td.ariaLabel,Od,()=>td.id],yf=>{["role","aria-label","aria-modal","id"].forEach((Nf,Pf)=>{isNil(yf[Pf])?hf.removeAttribute(Nf):hf.setAttribute(Nf,yf[Pf])})},{immediate:!0})),gf!==hf&&isElement$2(gf)&&["role","aria-label","aria-modal","id"].forEach(yf=>{gf.removeAttribute(yf)})},{immediate:!0}),watch(()=>td.visible,df,{immediate:!0})}),onBeforeUnmount(()=>{zd==null||zd(),zd=void 0}),qa({popperContentRef:Td,popperInstanceRef:Rd,updatePopper:Xd,contentStyle:Ud}),(mf,_f)=>(openBlock(),createElementBlock("div",mergeProps({ref_key:"contentRef",ref:Td},unref(Pd),{style:unref(Ud),class:unref(Fd),tabindex:"-1",onMouseenter:hf=>mf.$emit("mouseenter",hf),onMouseleave:hf=>mf.$emit("mouseleave",hf)}),[createVNode(unref(ElFocusTrap),{trapped:unref(sd),"trap-on-focus-in":!0,"focus-trap-el":unref(Td),"focus-start-el":unref(rd),onFocusAfterTrapped:unref(ld),onFocusAfterReleased:unref(od),onFocusin:unref(cd),onFocusoutPrevented:unref(ud),onReleaseRequested:unref(_d)},{default:withCtx(()=>[renderSlot(mf.$slots,"default")]),_:3},8,["trapped","focus-trap-el","focus-start-el","onFocusAfterTrapped","onFocusAfterReleased","onFocusin","onFocusoutPrevented","onReleaseRequested"])],16,["onMouseenter","onMouseleave"]))}});var ElPopperContent=_export_sfc$1(_sfc_main$1i,[["__file","content.vue"]]);const ElPopper=withInstall(Popper),TOOLTIP_INJECTION_KEY=Symbol("elTooltip"),useTooltipContentProps=buildProps({...useDelayedToggleProps,...popperContentProps,appendTo:{type:definePropType([String,Object])},content:{type:String,default:""},rawContent:Boolean,persistent:Boolean,visible:{type:definePropType(Boolean),default:null},transition:String,teleported:{type:Boolean,default:!0},disabled:Boolean,...useAriaProps(["ariaLabel"])}),useTooltipTriggerProps=buildProps({...popperTriggerProps,disabled:Boolean,trigger:{type:definePropType([String,Array]),default:"hover"},triggerKeys:{type:definePropType(Array),default:()=>[EVENT_CODE.enter,EVENT_CODE.space]}}),{useModelToggleProps:useTooltipModelToggleProps,useModelToggleEmits:useTooltipModelToggleEmits,useModelToggle:useTooltipModelToggle}=createModelToggleComposable("visible"),useTooltipProps=buildProps({...popperProps,...useTooltipModelToggleProps,...useTooltipContentProps,...useTooltipTriggerProps,...popperArrowProps,showArrow:{type:Boolean,default:!0}}),tooltipEmits=[...useTooltipModelToggleEmits,"before-show","before-hide","show","hide","open","close"],isTriggerType=(Ra,qa)=>isArray$2(Ra)?Ra.includes(qa):Ra===qa,whenTrigger=(Ra,qa,ed)=>td=>{isTriggerType(unref(Ra),qa)&&ed(td)},__default__$m=defineComponent({name:"ElTooltipTrigger"}),_sfc_main$1h=defineComponent({...__default__$m,props:useTooltipTriggerProps,setup(Ra,{expose:qa}){const ed=Ra,td=useNamespace("tooltip"),{controlled:rd,id:sd,open:od,onOpen:ld,onClose:cd,onToggle:ud}=inject(TOOLTIP_INJECTION_KEY,void 0),_d=ref$1(null),Ed=()=>{if(unref(rd)||ed.disabled)return!0},Ad=toRef$1(ed,"trigger"),Td=composeEventHandlers(Ed,whenTrigger(Ad,"hover",ld)),Nd=composeEventHandlers(Ed,whenTrigger(Ad,"hover",cd)),Rd=composeEventHandlers(Ed,whenTrigger(Ad,"click",Pd=>{Pd.button===0&&ud(Pd)})),Bd=composeEventHandlers(Ed,whenTrigger(Ad,"focus",ld)),kd=composeEventHandlers(Ed,whenTrigger(Ad,"focus",cd)),Od=composeEventHandlers(Ed,whenTrigger(Ad,"contextmenu",Pd=>{Pd.preventDefault(),ud(Pd)})),Md=composeEventHandlers(Ed,Pd=>{const{code:Fd}=Pd;ed.triggerKeys.includes(Fd)&&(Pd.preventDefault(),ud(Pd))});return qa({triggerRef:_d}),(Pd,Fd)=>(openBlock(),createBlock(unref(ElPopperTrigger),{id:unref(sd),"virtual-ref":Pd.virtualRef,open:unref(od),"virtual-triggering":Pd.virtualTriggering,class:normalizeClass(unref(td).e("trigger")),onBlur:unref(kd),onClick:unref(Rd),onContextmenu:unref(Od),onFocus:unref(Bd),onMouseenter:unref(Td),onMouseleave:unref(Nd),onKeydown:unref(Md)},{default:withCtx(()=>[renderSlot(Pd.$slots,"default")]),_:3},8,["id","virtual-ref","open","virtual-triggering","class","onBlur","onClick","onContextmenu","onFocus","onMouseenter","onMouseleave","onKeydown"]))}});var ElTooltipTrigger=_export_sfc$1(_sfc_main$1h,[["__file","trigger.vue"]]);const teleportProps=buildProps({to:{type:definePropType([String,Object]),required:!0},disabled:Boolean}),_sfc_main$1g=defineComponent({__name:"teleport",props:teleportProps,setup(Ra){return(qa,ed)=>qa.disabled?renderSlot(qa.$slots,"default",{key:0}):(openBlock(),createBlock(Teleport$1,{key:1,to:qa.to},[renderSlot(qa.$slots,"default")],8,["to"]))}});var Teleport=_export_sfc$1(_sfc_main$1g,[["__file","teleport.vue"]]);const ElTeleport=withInstall(Teleport),__default__$l=defineComponent({name:"ElTooltipContent",inheritAttrs:!1}),_sfc_main$1f=defineComponent({...__default__$l,props:useTooltipContentProps,setup(Ra,{expose:qa}){const ed=Ra,{selector:td}=usePopperContainerId(),rd=useNamespace("tooltip"),sd=ref$1(null);let od;const{controlled:ld,id:cd,open:ud,trigger:_d,onClose:Ed,onOpen:Ad,onShow:Td,onHide:Nd,onBeforeShow:Rd,onBeforeHide:Bd}=inject(TOOLTIP_INJECTION_KEY,void 0),kd=computed(()=>ed.transition||`${rd.namespace.value}-fade-in-linear`),Od=computed(()=>ed.persistent);onBeforeUnmount(()=>{od==null||od()});const Md=computed(()=>unref(Od)?!0:unref(ud)),Pd=computed(()=>ed.disabled?!1:unref(ud)),Fd=computed(()=>ed.appendTo||td.value),Ud=computed(()=>{var gf;return(gf=ed.style)!=null?gf:{}}),Gd=computed(()=>!unref(ud)),Qd=()=>{Nd()},Vd=()=>{if(unref(ld))return!0},zd=composeEventHandlers(Vd,()=>{ed.enterable&&unref(_d)==="hover"&&Ad()}),Xd=composeEventHandlers(Vd,()=>{unref(_d)==="hover"&&Ed()}),df=()=>{var gf,yf;(yf=(gf=sd.value)==null?void 0:gf.updatePopper)==null||yf.call(gf),Rd==null||Rd()},mf=()=>{Bd==null||Bd()},_f=()=>{Td(),od=onClickOutside(computed(()=>{var gf;return(gf=sd.value)==null?void 0:gf.popperContentRef}),()=>{if(unref(ld))return;unref(_d)!=="hover"&&Ed()})},hf=()=>{ed.virtualTriggering||Ed()};return watch(()=>unref(ud),gf=>{gf||od==null||od()},{flush:"post"}),watch(()=>ed.content,()=>{var gf,yf;(yf=(gf=sd.value)==null?void 0:gf.updatePopper)==null||yf.call(gf)}),qa({contentRef:sd}),(gf,yf)=>(openBlock(),createBlock(unref(ElTeleport),{disabled:!gf.teleported,to:unref(Fd)},{default:withCtx(()=>[createVNode(Transition$1,{name:unref(kd),onAfterLeave:Qd,onBeforeEnter:df,onAfterEnter:_f,onBeforeLeave:mf},{default:withCtx(()=>[unref(Md)?withDirectives((openBlock(),createBlock(unref(ElPopperContent),mergeProps({key:0,id:unref(cd),ref_key:"contentRef",ref:sd},gf.$attrs,{"aria-label":gf.ariaLabel,"aria-hidden":unref(Gd),"boundaries-padding":gf.boundariesPadding,"fallback-placements":gf.fallbackPlacements,"gpu-acceleration":gf.gpuAcceleration,offset:gf.offset,placement:gf.placement,"popper-options":gf.popperOptions,strategy:gf.strategy,effect:gf.effect,enterable:gf.enterable,pure:gf.pure,"popper-class":gf.popperClass,"popper-style":[gf.popperStyle,unref(Ud)],"reference-el":gf.referenceEl,"trigger-target-el":gf.triggerTargetEl,visible:unref(Pd),"z-index":gf.zIndex,onMouseenter:unref(zd),onMouseleave:unref(Xd),onBlur:hf,onClose:unref(Ed)}),{default:withCtx(()=>[renderSlot(gf.$slots,"default")]),_:3},16,["id","aria-label","aria-hidden","boundaries-padding","fallback-placements","gpu-acceleration","offset","placement","popper-options","strategy","effect","enterable","pure","popper-class","popper-style","reference-el","trigger-target-el","visible","z-index","onMouseenter","onMouseleave","onClose"])),[[vShow,unref(Pd)]]):createCommentVNode("v-if",!0)]),_:3},8,["name"])]),_:3},8,["disabled","to"]))}});var ElTooltipContent=_export_sfc$1(_sfc_main$1f,[["__file","content.vue"]]);const __default__$k=defineComponent({name:"ElTooltip"}),_sfc_main$1e=defineComponent({...__default__$k,props:useTooltipProps,emits:tooltipEmits,setup(Ra,{expose:qa,emit:ed}){const td=Ra;usePopperContainer();const rd=useId(),sd=ref$1(),od=ref$1(),ld=()=>{var kd;const Od=unref(sd);Od&&((kd=Od.popperInstanceRef)==null||kd.update())},cd=ref$1(!1),ud=ref$1(),{show:_d,hide:Ed,hasUpdateHandler:Ad}=useTooltipModelToggle({indicator:cd,toggleReason:ud}),{onOpen:Td,onClose:Nd}=useDelayedToggle({showAfter:toRef$1(td,"showAfter"),hideAfter:toRef$1(td,"hideAfter"),autoClose:toRef$1(td,"autoClose"),open:_d,close:Ed}),Rd=computed(()=>isBoolean$3(td.visible)&&!Ad.value);provide(TOOLTIP_INJECTION_KEY,{controlled:Rd,id:rd,open:readonly(cd),trigger:toRef$1(td,"trigger"),onOpen:kd=>{Td(kd)},onClose:kd=>{Nd(kd)},onToggle:kd=>{unref(cd)?Nd(kd):Td(kd)},onShow:()=>{ed("show",ud.value)},onHide:()=>{ed("hide",ud.value)},onBeforeShow:()=>{ed("before-show",ud.value)},onBeforeHide:()=>{ed("before-hide",ud.value)},updatePopper:ld}),watch(()=>td.disabled,kd=>{kd&&cd.value&&(cd.value=!1)});const Bd=kd=>{var Od,Md;const Pd=(Md=(Od=od.value)==null?void 0:Od.contentRef)==null?void 0:Md.popperContentRef,Fd=(kd==null?void 0:kd.relatedTarget)||document.activeElement;return Pd&&Pd.contains(Fd)};return onDeactivated(()=>cd.value&&Ed()),qa({popperRef:sd,contentRef:od,isFocusInsideContent:Bd,updatePopper:ld,onOpen:Td,onClose:Nd,hide:Ed}),(kd,Od)=>(openBlock(),createBlock(unref(ElPopper),{ref_key:"popperRef",ref:sd,role:kd.role},{default:withCtx(()=>[createVNode(ElTooltipTrigger,{disabled:kd.disabled,trigger:kd.trigger,"trigger-keys":kd.triggerKeys,"virtual-ref":kd.virtualRef,"virtual-triggering":kd.virtualTriggering},{default:withCtx(()=>[kd.$slots.default?renderSlot(kd.$slots,"default",{key:0}):createCommentVNode("v-if",!0)]),_:3},8,["disabled","trigger","trigger-keys","virtual-ref","virtual-triggering"]),createVNode(ElTooltipContent,{ref_key:"contentRef",ref:od,"aria-label":kd.ariaLabel,"boundaries-padding":kd.boundariesPadding,content:kd.content,disabled:kd.disabled,effect:kd.effect,enterable:kd.enterable,"fallback-placements":kd.fallbackPlacements,"hide-after":kd.hideAfter,"gpu-acceleration":kd.gpuAcceleration,offset:kd.offset,persistent:kd.persistent,"popper-class":kd.popperClass,"popper-style":kd.popperStyle,placement:kd.placement,"popper-options":kd.popperOptions,pure:kd.pure,"raw-content":kd.rawContent,"reference-el":kd.referenceEl,"trigger-target-el":kd.triggerTargetEl,"show-after":kd.showAfter,strategy:kd.strategy,teleported:kd.teleported,transition:kd.transition,"virtual-triggering":kd.virtualTriggering,"z-index":kd.zIndex,"append-to":kd.appendTo},{default:withCtx(()=>[renderSlot(kd.$slots,"content",{},()=>[kd.rawContent?(openBlock(),createElementBlock("span",{key:0,innerHTML:kd.content},null,8,["innerHTML"])):(openBlock(),createElementBlock("span",{key:1},toDisplayString(kd.content),1))]),kd.showArrow?(openBlock(),createBlock(unref(ElPopperArrow),{key:0,"arrow-offset":kd.arrowOffset},null,8,["arrow-offset"])):createCommentVNode("v-if",!0)]),_:3},8,["aria-label","boundaries-padding","content","disabled","effect","enterable","fallback-placements","hide-after","gpu-acceleration","offset","persistent","popper-class","popper-style","placement","popper-options","pure","raw-content","reference-el","trigger-target-el","show-after","strategy","teleported","transition","virtual-triggering","z-index","append-to"])]),_:3},8,["role"]))}});var Tooltip=_export_sfc$1(_sfc_main$1e,[["__file","tooltip.vue"]]);const ElTooltip=withInstall(Tooltip),badgeProps=buildProps({value:{type:[String,Number],default:""},max:{type:Number,default:99},isDot:Boolean,hidden:Boolean,type:{type:String,values:["primary","success","warning","info","danger"],default:"danger"},showZero:{type:Boolean,default:!0},color:String,badgeStyle:{type:definePropType([String,Object,Array])},offset:{type:definePropType(Array),default:[0,0]},badgeClass:{type:String}}),__default__$j=defineComponent({name:"ElBadge"}),_sfc_main$1d=defineComponent({...__default__$j,props:badgeProps,setup(Ra,{expose:qa}){const ed=Ra,td=useNamespace("badge"),rd=computed(()=>ed.isDot?"":isNumber$3(ed.value)&&isNumber$3(ed.max)?ed.max{var od,ld,cd,ud,_d;return[{backgroundColor:ed.color,marginRight:addUnit(-((ld=(od=ed.offset)==null?void 0:od[0])!=null?ld:0)),marginTop:addUnit((ud=(cd=ed.offset)==null?void 0:cd[1])!=null?ud:0)},(_d=ed.badgeStyle)!=null?_d:{}]});return qa({content:rd}),(od,ld)=>(openBlock(),createElementBlock("div",{class:normalizeClass(unref(td).b())},[renderSlot(od.$slots,"default"),createVNode(Transition$1,{name:`${unref(td).namespace.value}-zoom-in-center`,persisted:""},{default:withCtx(()=>[withDirectives(createBaseVNode("sup",{class:normalizeClass([unref(td).e("content"),unref(td).em("content",od.type),unref(td).is("fixed",!!od.$slots.default),unref(td).is("dot",od.isDot),od.badgeClass]),style:normalizeStyle(unref(sd)),textContent:toDisplayString(unref(rd))},null,14,["textContent"]),[[vShow,!od.hidden&&(unref(rd)||od.isDot)]])]),_:1},8,["name"])],2))}});var Badge=_export_sfc$1(_sfc_main$1d,[["__file","badge.vue"]]);const ElBadge=withInstall(Badge),buttonGroupContextKey=Symbol("buttonGroupContextKey"),useButton=(Ra,qa)=>{useDeprecated({from:"type.text",replacement:"link",version:"3.0.0",scope:"props",ref:"https://element-plus.org/en-US/component/button.html#button-attributes"},computed(()=>Ra.type==="text"));const ed=inject(buttonGroupContextKey,void 0),td=useGlobalConfig("button"),{form:rd}=useFormItem(),sd=useFormSize(computed(()=>ed==null?void 0:ed.size)),od=useFormDisabled(),ld=ref$1(),cd=useSlots(),ud=computed(()=>Ra.type||(ed==null?void 0:ed.type)||""),_d=computed(()=>{var Nd,Rd,Bd;return(Bd=(Rd=Ra.autoInsertSpace)!=null?Rd:(Nd=td.value)==null?void 0:Nd.autoInsertSpace)!=null?Bd:!1}),Ed=computed(()=>Ra.tag==="button"?{ariaDisabled:od.value||Ra.loading,disabled:od.value||Ra.loading,autofocus:Ra.autofocus,type:Ra.nativeType}:{}),Ad=computed(()=>{var Nd;const Rd=(Nd=cd.default)==null?void 0:Nd.call(cd);if(_d.value&&(Rd==null?void 0:Rd.length)===1){const Bd=Rd[0];if((Bd==null?void 0:Bd.type)===Text){const kd=Bd.children;return new RegExp("^\\p{Unified_Ideograph}{2}$","u").test(kd.trim())}}return!1});return{_disabled:od,_size:sd,_type:ud,_ref:ld,_props:Ed,shouldAddSpace:Ad,handleClick:Nd=>{if(od.value||Ra.loading){Nd.stopPropagation();return}Ra.nativeType==="reset"&&(rd==null||rd.resetFields()),qa("click",Nd)}}},buttonTypes=["default","primary","success","warning","info","danger","text",""],buttonNativeTypes=["button","submit","reset"],buttonProps=buildProps({size:useSizeProp,disabled:Boolean,type:{type:String,values:buttonTypes,default:""},icon:{type:iconPropType},nativeType:{type:String,values:buttonNativeTypes,default:"button"},loading:Boolean,loadingIcon:{type:iconPropType,default:()=>loading_default},plain:Boolean,text:Boolean,link:Boolean,bg:Boolean,autofocus:Boolean,round:Boolean,circle:Boolean,color:String,dark:Boolean,autoInsertSpace:{type:Boolean,default:void 0},tag:{type:definePropType([String,Object]),default:"button"}}),buttonEmits={click:Ra=>Ra instanceof MouseEvent};function bound01$1(Ra,qa){isOnePointZero$1(Ra)&&(Ra="100%");var ed=isPercentage$1(Ra);return Ra=qa===360?Ra:Math.min(qa,Math.max(0,parseFloat(Ra))),ed&&(Ra=parseInt(String(Ra*qa),10)/100),Math.abs(Ra-qa)<1e-6?1:(qa===360?Ra=(Ra<0?Ra%qa+qa:Ra%qa)/parseFloat(String(qa)):Ra=Ra%qa/parseFloat(String(qa)),Ra)}function clamp01(Ra){return Math.min(1,Math.max(0,Ra))}function isOnePointZero$1(Ra){return typeof Ra=="string"&&Ra.indexOf(".")!==-1&&parseFloat(Ra)===1}function isPercentage$1(Ra){return typeof Ra=="string"&&Ra.indexOf("%")!==-1}function boundAlpha(Ra){return Ra=parseFloat(Ra),(isNaN(Ra)||Ra<0||Ra>1)&&(Ra=1),Ra}function convertToPercentage(Ra){return Ra<=1?"".concat(Number(Ra)*100,"%"):Ra}function pad2(Ra){return Ra.length===1?"0"+Ra:String(Ra)}function rgbToRgb(Ra,qa,ed){return{r:bound01$1(Ra,255)*255,g:bound01$1(qa,255)*255,b:bound01$1(ed,255)*255}}function rgbToHsl(Ra,qa,ed){Ra=bound01$1(Ra,255),qa=bound01$1(qa,255),ed=bound01$1(ed,255);var td=Math.max(Ra,qa,ed),rd=Math.min(Ra,qa,ed),sd=0,od=0,ld=(td+rd)/2;if(td===rd)od=0,sd=0;else{var cd=td-rd;switch(od=ld>.5?cd/(2-td-rd):cd/(td+rd),td){case Ra:sd=(qa-ed)/cd+(qa1&&(ed-=1),ed<1/6?Ra+(qa-Ra)*(6*ed):ed<1/2?qa:ed<2/3?Ra+(qa-Ra)*(2/3-ed)*6:Ra}function hslToRgb(Ra,qa,ed){var td,rd,sd;if(Ra=bound01$1(Ra,360),qa=bound01$1(qa,100),ed=bound01$1(ed,100),qa===0)rd=ed,sd=ed,td=ed;else{var od=ed<.5?ed*(1+qa):ed+qa-ed*qa,ld=2*ed-od;td=hue2rgb(ld,od,Ra+1/3),rd=hue2rgb(ld,od,Ra),sd=hue2rgb(ld,od,Ra-1/3)}return{r:td*255,g:rd*255,b:sd*255}}function rgbToHsv(Ra,qa,ed){Ra=bound01$1(Ra,255),qa=bound01$1(qa,255),ed=bound01$1(ed,255);var td=Math.max(Ra,qa,ed),rd=Math.min(Ra,qa,ed),sd=0,od=td,ld=td-rd,cd=td===0?0:ld/td;if(td===rd)sd=0;else{switch(td){case Ra:sd=(qa-ed)/ld+(qa>16,g:(Ra&65280)>>8,b:Ra&255}}var names={aliceblue:"#f0f8ff",antiquewhite:"#faebd7",aqua:"#00ffff",aquamarine:"#7fffd4",azure:"#f0ffff",beige:"#f5f5dc",bisque:"#ffe4c4",black:"#000000",blanchedalmond:"#ffebcd",blue:"#0000ff",blueviolet:"#8a2be2",brown:"#a52a2a",burlywood:"#deb887",cadetblue:"#5f9ea0",chartreuse:"#7fff00",chocolate:"#d2691e",coral:"#ff7f50",cornflowerblue:"#6495ed",cornsilk:"#fff8dc",crimson:"#dc143c",cyan:"#00ffff",darkblue:"#00008b",darkcyan:"#008b8b",darkgoldenrod:"#b8860b",darkgray:"#a9a9a9",darkgreen:"#006400",darkgrey:"#a9a9a9",darkkhaki:"#bdb76b",darkmagenta:"#8b008b",darkolivegreen:"#556b2f",darkorange:"#ff8c00",darkorchid:"#9932cc",darkred:"#8b0000",darksalmon:"#e9967a",darkseagreen:"#8fbc8f",darkslateblue:"#483d8b",darkslategray:"#2f4f4f",darkslategrey:"#2f4f4f",darkturquoise:"#00ced1",darkviolet:"#9400d3",deeppink:"#ff1493",deepskyblue:"#00bfff",dimgray:"#696969",dimgrey:"#696969",dodgerblue:"#1e90ff",firebrick:"#b22222",floralwhite:"#fffaf0",forestgreen:"#228b22",fuchsia:"#ff00ff",gainsboro:"#dcdcdc",ghostwhite:"#f8f8ff",goldenrod:"#daa520",gold:"#ffd700",gray:"#808080",green:"#008000",greenyellow:"#adff2f",grey:"#808080",honeydew:"#f0fff0",hotpink:"#ff69b4",indianred:"#cd5c5c",indigo:"#4b0082",ivory:"#fffff0",khaki:"#f0e68c",lavenderblush:"#fff0f5",lavender:"#e6e6fa",lawngreen:"#7cfc00",lemonchiffon:"#fffacd",lightblue:"#add8e6",lightcoral:"#f08080",lightcyan:"#e0ffff",lightgoldenrodyellow:"#fafad2",lightgray:"#d3d3d3",lightgreen:"#90ee90",lightgrey:"#d3d3d3",lightpink:"#ffb6c1",lightsalmon:"#ffa07a",lightseagreen:"#20b2aa",lightskyblue:"#87cefa",lightslategray:"#778899",lightslategrey:"#778899",lightsteelblue:"#b0c4de",lightyellow:"#ffffe0",lime:"#00ff00",limegreen:"#32cd32",linen:"#faf0e6",magenta:"#ff00ff",maroon:"#800000",mediumaquamarine:"#66cdaa",mediumblue:"#0000cd",mediumorchid:"#ba55d3",mediumpurple:"#9370db",mediumseagreen:"#3cb371",mediumslateblue:"#7b68ee",mediumspringgreen:"#00fa9a",mediumturquoise:"#48d1cc",mediumvioletred:"#c71585",midnightblue:"#191970",mintcream:"#f5fffa",mistyrose:"#ffe4e1",moccasin:"#ffe4b5",navajowhite:"#ffdead",navy:"#000080",oldlace:"#fdf5e6",olive:"#808000",olivedrab:"#6b8e23",orange:"#ffa500",orangered:"#ff4500",orchid:"#da70d6",palegoldenrod:"#eee8aa",palegreen:"#98fb98",paleturquoise:"#afeeee",palevioletred:"#db7093",papayawhip:"#ffefd5",peachpuff:"#ffdab9",peru:"#cd853f",pink:"#ffc0cb",plum:"#dda0dd",powderblue:"#b0e0e6",purple:"#800080",rebeccapurple:"#663399",red:"#ff0000",rosybrown:"#bc8f8f",royalblue:"#4169e1",saddlebrown:"#8b4513",salmon:"#fa8072",sandybrown:"#f4a460",seagreen:"#2e8b57",seashell:"#fff5ee",sienna:"#a0522d",silver:"#c0c0c0",skyblue:"#87ceeb",slateblue:"#6a5acd",slategray:"#708090",slategrey:"#708090",snow:"#fffafa",springgreen:"#00ff7f",steelblue:"#4682b4",tan:"#d2b48c",teal:"#008080",thistle:"#d8bfd8",tomato:"#ff6347",turquoise:"#40e0d0",violet:"#ee82ee",wheat:"#f5deb3",white:"#ffffff",whitesmoke:"#f5f5f5",yellow:"#ffff00",yellowgreen:"#9acd32"};function inputToRGB(Ra){var qa={r:0,g:0,b:0},ed=1,td=null,rd=null,sd=null,od=!1,ld=!1;return typeof Ra=="string"&&(Ra=stringInputToObject(Ra)),typeof Ra=="object"&&(isValidCSSUnit(Ra.r)&&isValidCSSUnit(Ra.g)&&isValidCSSUnit(Ra.b)?(qa=rgbToRgb(Ra.r,Ra.g,Ra.b),od=!0,ld=String(Ra.r).substr(-1)==="%"?"prgb":"rgb"):isValidCSSUnit(Ra.h)&&isValidCSSUnit(Ra.s)&&isValidCSSUnit(Ra.v)?(td=convertToPercentage(Ra.s),rd=convertToPercentage(Ra.v),qa=hsvToRgb(Ra.h,td,rd),od=!0,ld="hsv"):isValidCSSUnit(Ra.h)&&isValidCSSUnit(Ra.s)&&isValidCSSUnit(Ra.l)&&(td=convertToPercentage(Ra.s),sd=convertToPercentage(Ra.l),qa=hslToRgb(Ra.h,td,sd),od=!0,ld="hsl"),Object.prototype.hasOwnProperty.call(Ra,"a")&&(ed=Ra.a)),ed=boundAlpha(ed),{ok:od,format:Ra.format||ld,r:Math.min(255,Math.max(qa.r,0)),g:Math.min(255,Math.max(qa.g,0)),b:Math.min(255,Math.max(qa.b,0)),a:ed}}var CSS_INTEGER="[-\\+]?\\d+%?",CSS_NUMBER="[-\\+]?\\d*\\.\\d+%?",CSS_UNIT="(?:".concat(CSS_NUMBER,")|(?:").concat(CSS_INTEGER,")"),PERMISSIVE_MATCH3="[\\s|\\(]+(".concat(CSS_UNIT,")[,|\\s]+(").concat(CSS_UNIT,")[,|\\s]+(").concat(CSS_UNIT,")\\s*\\)?"),PERMISSIVE_MATCH4="[\\s|\\(]+(".concat(CSS_UNIT,")[,|\\s]+(").concat(CSS_UNIT,")[,|\\s]+(").concat(CSS_UNIT,")[,|\\s]+(").concat(CSS_UNIT,")\\s*\\)?"),matchers={CSS_UNIT:new RegExp(CSS_UNIT),rgb:new RegExp("rgb"+PERMISSIVE_MATCH3),rgba:new RegExp("rgba"+PERMISSIVE_MATCH4),hsl:new RegExp("hsl"+PERMISSIVE_MATCH3),hsla:new RegExp("hsla"+PERMISSIVE_MATCH4),hsv:new RegExp("hsv"+PERMISSIVE_MATCH3),hsva:new RegExp("hsva"+PERMISSIVE_MATCH4),hex3:/^#?([0-9a-fA-F]{1})([0-9a-fA-F]{1})([0-9a-fA-F]{1})$/,hex6:/^#?([0-9a-fA-F]{2})([0-9a-fA-F]{2})([0-9a-fA-F]{2})$/,hex4:/^#?([0-9a-fA-F]{1})([0-9a-fA-F]{1})([0-9a-fA-F]{1})([0-9a-fA-F]{1})$/,hex8:/^#?([0-9a-fA-F]{2})([0-9a-fA-F]{2})([0-9a-fA-F]{2})([0-9a-fA-F]{2})$/};function stringInputToObject(Ra){if(Ra=Ra.trim().toLowerCase(),Ra.length===0)return!1;var qa=!1;if(names[Ra])Ra=names[Ra],qa=!0;else if(Ra==="transparent")return{r:0,g:0,b:0,a:0,format:"name"};var ed=matchers.rgb.exec(Ra);return ed?{r:ed[1],g:ed[2],b:ed[3]}:(ed=matchers.rgba.exec(Ra),ed?{r:ed[1],g:ed[2],b:ed[3],a:ed[4]}:(ed=matchers.hsl.exec(Ra),ed?{h:ed[1],s:ed[2],l:ed[3]}:(ed=matchers.hsla.exec(Ra),ed?{h:ed[1],s:ed[2],l:ed[3],a:ed[4]}:(ed=matchers.hsv.exec(Ra),ed?{h:ed[1],s:ed[2],v:ed[3]}:(ed=matchers.hsva.exec(Ra),ed?{h:ed[1],s:ed[2],v:ed[3],a:ed[4]}:(ed=matchers.hex8.exec(Ra),ed?{r:parseIntFromHex(ed[1]),g:parseIntFromHex(ed[2]),b:parseIntFromHex(ed[3]),a:convertHexToDecimal(ed[4]),format:qa?"name":"hex8"}:(ed=matchers.hex6.exec(Ra),ed?{r:parseIntFromHex(ed[1]),g:parseIntFromHex(ed[2]),b:parseIntFromHex(ed[3]),format:qa?"name":"hex"}:(ed=matchers.hex4.exec(Ra),ed?{r:parseIntFromHex(ed[1]+ed[1]),g:parseIntFromHex(ed[2]+ed[2]),b:parseIntFromHex(ed[3]+ed[3]),a:convertHexToDecimal(ed[4]+ed[4]),format:qa?"name":"hex8"}:(ed=matchers.hex3.exec(Ra),ed?{r:parseIntFromHex(ed[1]+ed[1]),g:parseIntFromHex(ed[2]+ed[2]),b:parseIntFromHex(ed[3]+ed[3]),format:qa?"name":"hex"}:!1)))))))))}function isValidCSSUnit(Ra){return!!matchers.CSS_UNIT.exec(String(Ra))}var TinyColor=function(){function Ra(qa,ed){qa===void 0&&(qa=""),ed===void 0&&(ed={});var td;if(qa instanceof Ra)return qa;typeof qa=="number"&&(qa=numberInputToObject(qa)),this.originalInput=qa;var rd=inputToRGB(qa);this.originalInput=qa,this.r=rd.r,this.g=rd.g,this.b=rd.b,this.a=rd.a,this.roundA=Math.round(100*this.a)/100,this.format=(td=ed.format)!==null&&td!==void 0?td:rd.format,this.gradientType=ed.gradientType,this.r<1&&(this.r=Math.round(this.r)),this.g<1&&(this.g=Math.round(this.g)),this.b<1&&(this.b=Math.round(this.b)),this.isValid=rd.ok}return Ra.prototype.isDark=function(){return this.getBrightness()<128},Ra.prototype.isLight=function(){return!this.isDark()},Ra.prototype.getBrightness=function(){var qa=this.toRgb();return(qa.r*299+qa.g*587+qa.b*114)/1e3},Ra.prototype.getLuminance=function(){var qa=this.toRgb(),ed,td,rd,sd=qa.r/255,od=qa.g/255,ld=qa.b/255;return sd<=.03928?ed=sd/12.92:ed=Math.pow((sd+.055)/1.055,2.4),od<=.03928?td=od/12.92:td=Math.pow((od+.055)/1.055,2.4),ld<=.03928?rd=ld/12.92:rd=Math.pow((ld+.055)/1.055,2.4),.2126*ed+.7152*td+.0722*rd},Ra.prototype.getAlpha=function(){return this.a},Ra.prototype.setAlpha=function(qa){return this.a=boundAlpha(qa),this.roundA=Math.round(100*this.a)/100,this},Ra.prototype.isMonochrome=function(){var qa=this.toHsl().s;return qa===0},Ra.prototype.toHsv=function(){var qa=rgbToHsv(this.r,this.g,this.b);return{h:qa.h*360,s:qa.s,v:qa.v,a:this.a}},Ra.prototype.toHsvString=function(){var qa=rgbToHsv(this.r,this.g,this.b),ed=Math.round(qa.h*360),td=Math.round(qa.s*100),rd=Math.round(qa.v*100);return this.a===1?"hsv(".concat(ed,", ").concat(td,"%, ").concat(rd,"%)"):"hsva(".concat(ed,", ").concat(td,"%, ").concat(rd,"%, ").concat(this.roundA,")")},Ra.prototype.toHsl=function(){var qa=rgbToHsl(this.r,this.g,this.b);return{h:qa.h*360,s:qa.s,l:qa.l,a:this.a}},Ra.prototype.toHslString=function(){var qa=rgbToHsl(this.r,this.g,this.b),ed=Math.round(qa.h*360),td=Math.round(qa.s*100),rd=Math.round(qa.l*100);return this.a===1?"hsl(".concat(ed,", ").concat(td,"%, ").concat(rd,"%)"):"hsla(".concat(ed,", ").concat(td,"%, ").concat(rd,"%, ").concat(this.roundA,")")},Ra.prototype.toHex=function(qa){return qa===void 0&&(qa=!1),rgbToHex(this.r,this.g,this.b,qa)},Ra.prototype.toHexString=function(qa){return qa===void 0&&(qa=!1),"#"+this.toHex(qa)},Ra.prototype.toHex8=function(qa){return qa===void 0&&(qa=!1),rgbaToHex(this.r,this.g,this.b,this.a,qa)},Ra.prototype.toHex8String=function(qa){return qa===void 0&&(qa=!1),"#"+this.toHex8(qa)},Ra.prototype.toHexShortString=function(qa){return qa===void 0&&(qa=!1),this.a===1?this.toHexString(qa):this.toHex8String(qa)},Ra.prototype.toRgb=function(){return{r:Math.round(this.r),g:Math.round(this.g),b:Math.round(this.b),a:this.a}},Ra.prototype.toRgbString=function(){var qa=Math.round(this.r),ed=Math.round(this.g),td=Math.round(this.b);return this.a===1?"rgb(".concat(qa,", ").concat(ed,", ").concat(td,")"):"rgba(".concat(qa,", ").concat(ed,", ").concat(td,", ").concat(this.roundA,")")},Ra.prototype.toPercentageRgb=function(){var qa=function(ed){return"".concat(Math.round(bound01$1(ed,255)*100),"%")};return{r:qa(this.r),g:qa(this.g),b:qa(this.b),a:this.a}},Ra.prototype.toPercentageRgbString=function(){var qa=function(ed){return Math.round(bound01$1(ed,255)*100)};return this.a===1?"rgb(".concat(qa(this.r),"%, ").concat(qa(this.g),"%, ").concat(qa(this.b),"%)"):"rgba(".concat(qa(this.r),"%, ").concat(qa(this.g),"%, ").concat(qa(this.b),"%, ").concat(this.roundA,")")},Ra.prototype.toName=function(){if(this.a===0)return"transparent";if(this.a<1)return!1;for(var qa="#"+rgbToHex(this.r,this.g,this.b,!1),ed=0,td=Object.entries(names);ed=0,sd=!ed&&rd&&(qa.startsWith("hex")||qa==="name");return sd?qa==="name"&&this.a===0?this.toName():this.toRgbString():(qa==="rgb"&&(td=this.toRgbString()),qa==="prgb"&&(td=this.toPercentageRgbString()),(qa==="hex"||qa==="hex6")&&(td=this.toHexString()),qa==="hex3"&&(td=this.toHexString(!0)),qa==="hex4"&&(td=this.toHex8String(!0)),qa==="hex8"&&(td=this.toHex8String()),qa==="name"&&(td=this.toName()),qa==="hsl"&&(td=this.toHslString()),qa==="hsv"&&(td=this.toHsvString()),td||this.toHexString())},Ra.prototype.toNumber=function(){return(Math.round(this.r)<<16)+(Math.round(this.g)<<8)+Math.round(this.b)},Ra.prototype.clone=function(){return new Ra(this.toString())},Ra.prototype.lighten=function(qa){qa===void 0&&(qa=10);var ed=this.toHsl();return ed.l+=qa/100,ed.l=clamp01(ed.l),new Ra(ed)},Ra.prototype.brighten=function(qa){qa===void 0&&(qa=10);var ed=this.toRgb();return ed.r=Math.max(0,Math.min(255,ed.r-Math.round(255*-(qa/100)))),ed.g=Math.max(0,Math.min(255,ed.g-Math.round(255*-(qa/100)))),ed.b=Math.max(0,Math.min(255,ed.b-Math.round(255*-(qa/100)))),new Ra(ed)},Ra.prototype.darken=function(qa){qa===void 0&&(qa=10);var ed=this.toHsl();return ed.l-=qa/100,ed.l=clamp01(ed.l),new Ra(ed)},Ra.prototype.tint=function(qa){return qa===void 0&&(qa=10),this.mix("white",qa)},Ra.prototype.shade=function(qa){return qa===void 0&&(qa=10),this.mix("black",qa)},Ra.prototype.desaturate=function(qa){qa===void 0&&(qa=10);var ed=this.toHsl();return ed.s-=qa/100,ed.s=clamp01(ed.s),new Ra(ed)},Ra.prototype.saturate=function(qa){qa===void 0&&(qa=10);var ed=this.toHsl();return ed.s+=qa/100,ed.s=clamp01(ed.s),new Ra(ed)},Ra.prototype.greyscale=function(){return this.desaturate(100)},Ra.prototype.spin=function(qa){var ed=this.toHsl(),td=(ed.h+qa)%360;return ed.h=td<0?360+td:td,new Ra(ed)},Ra.prototype.mix=function(qa,ed){ed===void 0&&(ed=50);var td=this.toRgb(),rd=new Ra(qa).toRgb(),sd=ed/100,od={r:(rd.r-td.r)*sd+td.r,g:(rd.g-td.g)*sd+td.g,b:(rd.b-td.b)*sd+td.b,a:(rd.a-td.a)*sd+td.a};return new Ra(od)},Ra.prototype.analogous=function(qa,ed){qa===void 0&&(qa=6),ed===void 0&&(ed=30);var td=this.toHsl(),rd=360/ed,sd=[this];for(td.h=(td.h-(rd*qa>>1)+720)%360;--qa;)td.h=(td.h+rd)%360,sd.push(new Ra(td));return sd},Ra.prototype.complement=function(){var qa=this.toHsl();return qa.h=(qa.h+180)%360,new Ra(qa)},Ra.prototype.monochromatic=function(qa){qa===void 0&&(qa=6);for(var ed=this.toHsv(),td=ed.h,rd=ed.s,sd=ed.v,od=[],ld=1/qa;qa--;)od.push(new Ra({h:td,s:rd,v:sd})),sd=(sd+ld)%1;return od},Ra.prototype.splitcomplement=function(){var qa=this.toHsl(),ed=qa.h;return[this,new Ra({h:(ed+72)%360,s:qa.s,l:qa.l}),new Ra({h:(ed+216)%360,s:qa.s,l:qa.l})]},Ra.prototype.onBackground=function(qa){var ed=this.toRgb(),td=new Ra(qa).toRgb(),rd=ed.a+td.a*(1-ed.a);return new Ra({r:(ed.r*ed.a+td.r*td.a*(1-ed.a))/rd,g:(ed.g*ed.a+td.g*td.a*(1-ed.a))/rd,b:(ed.b*ed.a+td.b*td.a*(1-ed.a))/rd,a:rd})},Ra.prototype.triad=function(){return this.polyad(3)},Ra.prototype.tetrad=function(){return this.polyad(4)},Ra.prototype.polyad=function(qa){for(var ed=this.toHsl(),td=ed.h,rd=[this],sd=360/qa,od=1;od{let td={},rd=Ra.color;if(rd){const sd=rd.match(/var\((.*?)\)/);sd&&(rd=window.getComputedStyle(window.document.documentElement).getPropertyValue(sd[1]));const od=new TinyColor(rd),ld=Ra.dark?od.tint(20).toString():darken$1(od,20);if(Ra.plain)td=ed.cssVarBlock({"bg-color":Ra.dark?darken$1(od,90):od.tint(90).toString(),"text-color":rd,"border-color":Ra.dark?darken$1(od,50):od.tint(50).toString(),"hover-text-color":`var(${ed.cssVarName("color-white")})`,"hover-bg-color":rd,"hover-border-color":rd,"active-bg-color":ld,"active-text-color":`var(${ed.cssVarName("color-white")})`,"active-border-color":ld}),qa.value&&(td[ed.cssVarBlockName("disabled-bg-color")]=Ra.dark?darken$1(od,90):od.tint(90).toString(),td[ed.cssVarBlockName("disabled-text-color")]=Ra.dark?darken$1(od,50):od.tint(50).toString(),td[ed.cssVarBlockName("disabled-border-color")]=Ra.dark?darken$1(od,80):od.tint(80).toString());else{const cd=Ra.dark?darken$1(od,30):od.tint(30).toString(),ud=od.isDark()?`var(${ed.cssVarName("color-white")})`:`var(${ed.cssVarName("color-black")})`;if(td=ed.cssVarBlock({"bg-color":rd,"text-color":ud,"border-color":rd,"hover-bg-color":cd,"hover-text-color":ud,"hover-border-color":cd,"active-bg-color":ld,"active-border-color":ld}),qa.value){const _d=Ra.dark?darken$1(od,50):od.tint(50).toString();td[ed.cssVarBlockName("disabled-bg-color")]=_d,td[ed.cssVarBlockName("disabled-text-color")]=Ra.dark?"rgba(255, 255, 255, 0.5)":`var(${ed.cssVarName("color-white")})`,td[ed.cssVarBlockName("disabled-border-color")]=_d}}}return td})}const __default__$i=defineComponent({name:"ElButton"}),_sfc_main$1c=defineComponent({...__default__$i,props:buttonProps,emits:buttonEmits,setup(Ra,{expose:qa,emit:ed}){const td=Ra,rd=useButtonCustomStyle(td),sd=useNamespace("button"),{_ref:od,_size:ld,_type:cd,_disabled:ud,_props:_d,shouldAddSpace:Ed,handleClick:Ad}=useButton(td,ed),Td=computed(()=>[sd.b(),sd.m(cd.value),sd.m(ld.value),sd.is("disabled",ud.value),sd.is("loading",td.loading),sd.is("plain",td.plain),sd.is("round",td.round),sd.is("circle",td.circle),sd.is("text",td.text),sd.is("link",td.link),sd.is("has-bg",td.bg)]);return qa({ref:od,size:ld,type:cd,disabled:ud,shouldAddSpace:Ed}),(Nd,Rd)=>(openBlock(),createBlock(resolveDynamicComponent(Nd.tag),mergeProps({ref_key:"_ref",ref:od},unref(_d),{class:unref(Td),style:unref(rd),onClick:unref(Ad)}),{default:withCtx(()=>[Nd.loading?(openBlock(),createElementBlock(Fragment,{key:0},[Nd.$slots.loading?renderSlot(Nd.$slots,"loading",{key:0}):(openBlock(),createBlock(unref(ElIcon),{key:1,class:normalizeClass(unref(sd).is("loading"))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Nd.loadingIcon)))]),_:1},8,["class"]))],64)):Nd.icon||Nd.$slots.icon?(openBlock(),createBlock(unref(ElIcon),{key:1},{default:withCtx(()=>[Nd.icon?(openBlock(),createBlock(resolveDynamicComponent(Nd.icon),{key:0})):renderSlot(Nd.$slots,"icon",{key:1})]),_:3})):createCommentVNode("v-if",!0),Nd.$slots.default?(openBlock(),createElementBlock("span",{key:2,class:normalizeClass({[unref(sd).em("text","expand")]:unref(Ed)})},[renderSlot(Nd.$slots,"default")],2)):createCommentVNode("v-if",!0)]),_:3},16,["class","style","onClick"]))}});var Button=_export_sfc$1(_sfc_main$1c,[["__file","button.vue"]]);const buttonGroupProps={size:buttonProps.size,type:buttonProps.type},__default__$h=defineComponent({name:"ElButtonGroup"}),_sfc_main$1b=defineComponent({...__default__$h,props:buttonGroupProps,setup(Ra){const qa=Ra;provide(buttonGroupContextKey,reactive({size:toRef$1(qa,"size"),type:toRef$1(qa,"type")}));const ed=useNamespace("button");return(td,rd)=>(openBlock(),createElementBlock("div",{class:normalizeClass(unref(ed).b("group"))},[renderSlot(td.$slots,"default")],2))}});var ButtonGroup=_export_sfc$1(_sfc_main$1b,[["__file","button-group.vue"]]);const ElButton=withInstall(Button,{ButtonGroup});withNoopInstall(ButtonGroup);var commonjsGlobal=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global<"u"?global:typeof self<"u"?self:{};function getDefaultExportFromCjs(Ra){return Ra&&Ra.__esModule&&Object.prototype.hasOwnProperty.call(Ra,"default")?Ra.default:Ra}function getAugmentedNamespace(Ra){if(Ra.__esModule)return Ra;var qa=Ra.default;if(typeof qa=="function"){var ed=function td(){return this instanceof td?Reflect.construct(qa,arguments,this.constructor):qa.apply(this,arguments)};ed.prototype=qa.prototype}else ed={};return Object.defineProperty(ed,"__esModule",{value:!0}),Object.keys(Ra).forEach(function(td){var rd=Object.getOwnPropertyDescriptor(Ra,td);Object.defineProperty(ed,td,rd.get?rd:{enumerable:!0,get:function(){return Ra[td]}})}),ed}var dayjs_min={exports:{}};(function(Ra,qa){(function(ed,td){Ra.exports=td()})(commonjsGlobal,function(){var ed=1e3,td=6e4,rd=36e5,sd="millisecond",od="second",ld="minute",cd="hour",ud="day",_d="week",Ed="month",Ad="quarter",Td="year",Nd="date",Rd="Invalid Date",Bd=/^(\d{4})[-/]?(\d{1,2})?[-/]?(\d{0,2})[Tt\s]*(\d{1,2})?:?(\d{1,2})?:?(\d{1,2})?[.:]?(\d+)?$/,kd=/\[([^\]]+)]|Y{1,4}|M{1,4}|D{1,2}|d{1,4}|H{1,2}|h{1,2}|a|A|m{1,2}|s{1,2}|Z{1,2}|SSS/g,Od={name:"en",weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),ordinal:function(_f){var hf=["th","st","nd","rd"],gf=_f%100;return"["+_f+(hf[(gf-20)%10]||hf[gf]||hf[0])+"]"}},Md=function(_f,hf,gf){var yf=String(_f);return!yf||yf.length>=hf?_f:""+Array(hf+1-yf.length).join(gf)+_f},Pd={s:Md,z:function(_f){var hf=-_f.utcOffset(),gf=Math.abs(hf),yf=Math.floor(gf/60),Nf=gf%60;return(hf<=0?"+":"-")+Md(yf,2,"0")+":"+Md(Nf,2,"0")},m:function _f(hf,gf){if(hf.date()1)return _f(Yf[0])}else{var Uf=hf.name;Ud[Uf]=hf,Nf=Uf}return!yf&&Nf&&(Fd=Nf),Nf||!yf&&Fd},zd=function(_f,hf){if(Qd(_f))return _f.clone();var gf=typeof hf=="object"?hf:{};return gf.date=_f,gf.args=arguments,new df(gf)},Xd=Pd;Xd.l=Vd,Xd.i=Qd,Xd.w=function(_f,hf){return zd(_f,{locale:hf.$L,utc:hf.$u,x:hf.$x,$offset:hf.$offset})};var df=function(){function _f(gf){this.$L=Vd(gf.locale,null,!0),this.parse(gf),this.$x=this.$x||gf.x||{},this[Gd]=!0}var hf=_f.prototype;return hf.parse=function(gf){this.$d=function(yf){var Nf=yf.date,Pf=yf.utc;if(Nf===null)return new Date(NaN);if(Xd.u(Nf))return new Date;if(Nf instanceof Date)return new Date(Nf);if(typeof Nf=="string"&&!/Z$/i.test(Nf)){var Yf=Nf.match(Bd);if(Yf){var Uf=Yf[2]-1||0,Lf=(Yf[7]||"0").substring(0,3);return Pf?new Date(Date.UTC(Yf[1],Uf,Yf[3]||1,Yf[4]||0,Yf[5]||0,Yf[6]||0,Lf)):new Date(Yf[1],Uf,Yf[3]||1,Yf[4]||0,Yf[5]||0,Yf[6]||0,Lf)}}return new Date(Nf)}(gf),this.init()},hf.init=function(){var gf=this.$d;this.$y=gf.getFullYear(),this.$M=gf.getMonth(),this.$D=gf.getDate(),this.$W=gf.getDay(),this.$H=gf.getHours(),this.$m=gf.getMinutes(),this.$s=gf.getSeconds(),this.$ms=gf.getMilliseconds()},hf.$utils=function(){return Xd},hf.isValid=function(){return this.$d.toString()!==Rd},hf.isSame=function(gf,yf){var Nf=zd(gf);return this.startOf(yf)<=Nf&&Nf<=this.endOf(yf)},hf.isAfter=function(gf,yf){return zd(gf)Ra=qa),document.addEventListener("mouseup",qa=>{if(Ra){for(const ed of nodeList.values())for(const{documentHandler:td}of ed)td(qa,Ra);Ra=void 0}})}function createDocumentHandler(Ra,qa){let ed=[];return Array.isArray(qa.arg)?ed=qa.arg:isElement$2(qa.arg)&&ed.push(qa.arg),function(td,rd){const sd=qa.instance.popperRef,od=td.target,ld=rd==null?void 0:rd.target,cd=!qa||!qa.instance,ud=!od||!ld,_d=Ra.contains(od)||Ra.contains(ld),Ed=Ra===od,Ad=ed.length&&ed.some(Nd=>Nd==null?void 0:Nd.contains(od))||ed.length&&ed.includes(ld),Td=sd&&(sd.contains(od)||sd.contains(ld));cd||ud||_d||Ed||Ad||Td||qa.value(td,rd)}}const ClickOutside={beforeMount(Ra,qa){nodeList.has(Ra)||nodeList.set(Ra,[]),nodeList.get(Ra).push({documentHandler:createDocumentHandler(Ra,qa),bindingFn:qa.value})},updated(Ra,qa){nodeList.has(Ra)||nodeList.set(Ra,[]);const ed=nodeList.get(Ra),td=ed.findIndex(sd=>sd.bindingFn===qa.oldValue),rd={documentHandler:createDocumentHandler(Ra,qa),bindingFn:qa.value};td>=0?ed.splice(td,1,rd):ed.push(rd)},unmounted(Ra){nodeList.delete(Ra)}},REPEAT_INTERVAL=100,REPEAT_DELAY=600,vRepeatClick={beforeMount(Ra,qa){const ed=qa.value,{interval:td=REPEAT_INTERVAL,delay:rd=REPEAT_DELAY}=isFunction$4(ed)?{}:ed;let sd,od;const ld=()=>isFunction$4(ed)?ed():ed.handler(),cd=()=>{od&&(clearTimeout(od),od=void 0),sd&&(clearInterval(sd),sd=void 0)};Ra.addEventListener("mousedown",ud=>{ud.button===0&&(cd(),ld(),document.addEventListener("mouseup",()=>cd(),{once:!0}),od=setTimeout(()=>{sd=setInterval(()=>{ld()},td)},rd))})}},FOCUSABLE_CHILDREN="_trap-focus-children",FOCUS_STACK=[],FOCUS_HANDLER=Ra=>{if(FOCUS_STACK.length===0)return;const qa=FOCUS_STACK[FOCUS_STACK.length-1][FOCUSABLE_CHILDREN];if(qa.length>0&&Ra.code===EVENT_CODE.tab){if(qa.length===1){Ra.preventDefault(),document.activeElement!==qa[0]&&qa[0].focus();return}const ed=Ra.shiftKey,td=Ra.target===qa[0],rd=Ra.target===qa[qa.length-1];td&&ed&&(Ra.preventDefault(),qa[qa.length-1].focus()),rd&&!ed&&(Ra.preventDefault(),qa[0].focus())}},TrapFocus={beforeMount(Ra){Ra[FOCUSABLE_CHILDREN]=obtainAllFocusableElements$1(Ra),FOCUS_STACK.push(Ra),FOCUS_STACK.length<=1&&document.addEventListener("keydown",FOCUS_HANDLER)},updated(Ra){nextTick$1(()=>{Ra[FOCUSABLE_CHILDREN]=obtainAllFocusableElements$1(Ra)})},unmounted(){FOCUS_STACK.shift(),FOCUS_STACK.length===0&&document.removeEventListener("keydown",FOCUS_HANDLER)}},tagProps=buildProps({type:{type:String,values:["primary","success","info","warning","danger"],default:"primary"},closable:Boolean,disableTransitions:Boolean,hit:Boolean,color:String,size:{type:String,values:componentSizes},effect:{type:String,values:["dark","light","plain"],default:"light"},round:Boolean}),tagEmits={close:Ra=>Ra instanceof MouseEvent,click:Ra=>Ra instanceof MouseEvent},__default__$g=defineComponent({name:"ElTag"}),_sfc_main$1a=defineComponent({...__default__$g,props:tagProps,emits:tagEmits,setup(Ra,{emit:qa}){const ed=Ra,td=useFormSize(),rd=useNamespace("tag"),sd=computed(()=>{const{type:ud,hit:_d,effect:Ed,closable:Ad,round:Td}=ed;return[rd.b(),rd.is("closable",Ad),rd.m(ud||"primary"),rd.m(td.value),rd.m(Ed),rd.is("hit",_d),rd.is("round",Td)]}),od=ud=>{qa("close",ud)},ld=ud=>{qa("click",ud)},cd=ud=>{ud.component.subTree.component.bum=null};return(ud,_d)=>ud.disableTransitions?(openBlock(),createElementBlock("span",{key:0,class:normalizeClass(unref(sd)),style:normalizeStyle({backgroundColor:ud.color}),onClick:ld},[createBaseVNode("span",{class:normalizeClass(unref(rd).e("content"))},[renderSlot(ud.$slots,"default")],2),ud.closable?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(rd).e("close")),onClick:withModifiers(od,["stop"])},{default:withCtx(()=>[createVNode(unref(close_default))]),_:1},8,["class","onClick"])):createCommentVNode("v-if",!0)],6)):(openBlock(),createBlock(Transition$1,{key:1,name:`${unref(rd).namespace.value}-zoom-in-center`,appear:"",onVnodeMounted:cd},{default:withCtx(()=>[createBaseVNode("span",{class:normalizeClass(unref(sd)),style:normalizeStyle({backgroundColor:ud.color}),onClick:ld},[createBaseVNode("span",{class:normalizeClass(unref(rd).e("content"))},[renderSlot(ud.$slots,"default")],2),ud.closable?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(rd).e("close")),onClick:withModifiers(od,["stop"])},{default:withCtx(()=>[createVNode(unref(close_default))]),_:1},8,["class","onClick"])):createCommentVNode("v-if",!0)],6)]),_:3},8,["name"]))}});var Tag=_export_sfc$1(_sfc_main$1a,[["__file","tag.vue"]]);const ElTag=withInstall(Tag),rowContextKey=Symbol("rowContextKey"),RowJustify=["start","center","end","space-around","space-between","space-evenly"],RowAlign=["top","middle","bottom"],rowProps=buildProps({tag:{type:String,default:"div"},gutter:{type:Number,default:0},justify:{type:String,values:RowJustify,default:"start"},align:{type:String,values:RowAlign}}),__default__$f=defineComponent({name:"ElRow"}),_sfc_main$19=defineComponent({...__default__$f,props:rowProps,setup(Ra){const qa=Ra,ed=useNamespace("row"),td=computed(()=>qa.gutter);provide(rowContextKey,{gutter:td});const rd=computed(()=>{const od={};return qa.gutter&&(od.marginRight=od.marginLeft=`-${qa.gutter/2}px`),od}),sd=computed(()=>[ed.b(),ed.is(`justify-${qa.justify}`,qa.justify!=="start"),ed.is(`align-${qa.align}`,!!qa.align)]);return(od,ld)=>(openBlock(),createBlock(resolveDynamicComponent(od.tag),{class:normalizeClass(unref(sd)),style:normalizeStyle(unref(rd))},{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},8,["class","style"]))}});var Row=_export_sfc$1(_sfc_main$19,[["__file","row.vue"]]);const ElRow=withInstall(Row),colProps=buildProps({tag:{type:String,default:"div"},span:{type:Number,default:24},offset:{type:Number,default:0},pull:{type:Number,default:0},push:{type:Number,default:0},xs:{type:definePropType([Number,Object]),default:()=>mutable({})},sm:{type:definePropType([Number,Object]),default:()=>mutable({})},md:{type:definePropType([Number,Object]),default:()=>mutable({})},lg:{type:definePropType([Number,Object]),default:()=>mutable({})},xl:{type:definePropType([Number,Object]),default:()=>mutable({})}}),__default__$e=defineComponent({name:"ElCol"}),_sfc_main$18=defineComponent({...__default__$e,props:colProps,setup(Ra){const qa=Ra,{gutter:ed}=inject(rowContextKey,{gutter:computed(()=>0)}),td=useNamespace("col"),rd=computed(()=>{const od={};return ed.value&&(od.paddingLeft=od.paddingRight=`${ed.value/2}px`),od}),sd=computed(()=>{const od=[];return["span","offset","pull","push"].forEach(ud=>{const _d=qa[ud];isNumber$3(_d)&&(ud==="span"?od.push(td.b(`${qa[ud]}`)):_d>0&&od.push(td.b(`${ud}-${qa[ud]}`)))}),["xs","sm","md","lg","xl"].forEach(ud=>{isNumber$3(qa[ud])?od.push(td.b(`${ud}-${qa[ud]}`)):isObject$5(qa[ud])&&Object.entries(qa[ud]).forEach(([_d,Ed])=>{od.push(_d!=="span"?td.b(`${ud}-${_d}-${Ed}`):td.b(`${ud}-${Ed}`))})}),ed.value&&od.push(td.is("guttered")),[td.b(),od]});return(od,ld)=>(openBlock(),createBlock(resolveDynamicComponent(od.tag),{class:normalizeClass(unref(sd)),style:normalizeStyle(unref(rd))},{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},8,["class","style"]))}});var Col=_export_sfc$1(_sfc_main$18,[["__file","col.vue"]]);const ElCol=withInstall(Col),alphaSliderProps=buildProps({color:{type:definePropType(Object),required:!0},vertical:{type:Boolean,default:!1}});let isDragging=!1;function draggable(Ra,qa){if(!isClient$1)return;const ed=function(sd){var od;(od=qa.drag)==null||od.call(qa,sd)},td=function(sd){var od;document.removeEventListener("mousemove",ed),document.removeEventListener("mouseup",td),document.removeEventListener("touchmove",ed),document.removeEventListener("touchend",td),document.onselectstart=null,document.ondragstart=null,isDragging=!1,(od=qa.end)==null||od.call(qa,sd)},rd=function(sd){var od;isDragging||(sd.preventDefault(),document.onselectstart=()=>!1,document.ondragstart=()=>!1,document.addEventListener("mousemove",ed),document.addEventListener("mouseup",td),document.addEventListener("touchmove",ed),document.addEventListener("touchend",td),isDragging=!0,(od=qa.start)==null||od.call(qa,sd))};Ra.addEventListener("mousedown",rd),Ra.addEventListener("touchstart",rd,{passive:!1})}const useAlphaSlider=Ra=>{const qa=getCurrentInstance(),{t:ed}=useLocale(),td=shallowRef(),rd=shallowRef(),sd=computed(()=>Ra.color.get("alpha")),od=computed(()=>ed("el.colorpicker.alphaLabel"));function ld(Ed){var Ad;Ed.target!==td.value&&cd(Ed),(Ad=td.value)==null||Ad.focus()}function cd(Ed){if(!rd.value||!td.value)return;const Td=qa.vnode.el.getBoundingClientRect(),{clientX:Nd,clientY:Rd}=getClientXY(Ed);if(Ra.vertical){let Bd=Rd-Td.top;Bd=Math.max(td.value.offsetHeight/2,Bd),Bd=Math.min(Bd,Td.height-td.value.offsetHeight/2),Ra.color.set("alpha",Math.round((Bd-td.value.offsetHeight/2)/(Td.height-td.value.offsetHeight)*100))}else{let Bd=Nd-Td.left;Bd=Math.max(td.value.offsetWidth/2,Bd),Bd=Math.min(Bd,Td.width-td.value.offsetWidth/2),Ra.color.set("alpha",Math.round((Bd-td.value.offsetWidth/2)/(Td.width-td.value.offsetWidth)*100))}}function ud(Ed){const{code:Ad,shiftKey:Td}=Ed,Nd=Td?10:1;switch(Ad){case EVENT_CODE.left:case EVENT_CODE.down:Ed.preventDefault(),Ed.stopPropagation(),_d(-Nd);break;case EVENT_CODE.right:case EVENT_CODE.up:Ed.preventDefault(),Ed.stopPropagation(),_d(Nd);break}}function _d(Ed){let Ad=sd.value+Ed;Ad=Ad<0?0:Ad>100?100:Ad,Ra.color.set("alpha",Ad)}return{thumb:td,bar:rd,alpha:sd,alphaLabel:od,handleDrag:cd,handleClick:ld,handleKeydown:ud}},useAlphaSliderDOM=(Ra,{bar:qa,thumb:ed,handleDrag:td})=>{const rd=getCurrentInstance(),sd=useNamespace("color-alpha-slider"),od=ref$1(0),ld=ref$1(0),cd=ref$1();function ud(){if(!ed.value||Ra.vertical)return 0;const Od=rd.vnode.el,Md=Ra.color.get("alpha");return Od?Math.round(Md*(Od.offsetWidth-ed.value.offsetWidth/2)/100):0}function _d(){if(!ed.value)return 0;const Od=rd.vnode.el;if(!Ra.vertical)return 0;const Md=Ra.color.get("alpha");return Od?Math.round(Md*(Od.offsetHeight-ed.value.offsetHeight/2)/100):0}function Ed(){if(Ra.color&&Ra.color.value){const{r:Od,g:Md,b:Pd}=Ra.color.toRgb();return`linear-gradient(to right, rgba(${Od}, ${Md}, ${Pd}, 0) 0%, rgba(${Od}, ${Md}, ${Pd}, 1) 100%)`}return""}function Ad(){od.value=ud(),ld.value=_d(),cd.value=Ed()}onMounted(()=>{if(!qa.value||!ed.value)return;const Od={drag:Md=>{td(Md)},end:Md=>{td(Md)}};draggable(qa.value,Od),draggable(ed.value,Od),Ad()}),watch(()=>Ra.color.get("alpha"),()=>Ad()),watch(()=>Ra.color.value,()=>Ad());const Td=computed(()=>[sd.b(),sd.is("vertical",Ra.vertical)]),Nd=computed(()=>sd.e("bar")),Rd=computed(()=>sd.e("thumb")),Bd=computed(()=>({background:cd.value})),kd=computed(()=>({left:addUnit(od.value),top:addUnit(ld.value)}));return{rootKls:Td,barKls:Nd,barStyle:Bd,thumbKls:Rd,thumbStyle:kd,update:Ad}},COMPONENT_NAME$6="ElColorAlphaSlider",__default__$d=defineComponent({name:COMPONENT_NAME$6}),_sfc_main$17=defineComponent({...__default__$d,props:alphaSliderProps,setup(Ra,{expose:qa}){const ed=Ra,{alpha:td,alphaLabel:rd,bar:sd,thumb:od,handleDrag:ld,handleClick:cd,handleKeydown:ud}=useAlphaSlider(ed),{rootKls:_d,barKls:Ed,barStyle:Ad,thumbKls:Td,thumbStyle:Nd,update:Rd}=useAlphaSliderDOM(ed,{bar:sd,thumb:od,handleDrag:ld});return qa({update:Rd,bar:sd,thumb:od}),(Bd,kd)=>(openBlock(),createElementBlock("div",{class:normalizeClass(unref(_d))},[createBaseVNode("div",{ref_key:"bar",ref:sd,class:normalizeClass(unref(Ed)),style:normalizeStyle(unref(Ad)),onClick:unref(cd)},null,14,["onClick"]),createBaseVNode("div",{ref_key:"thumb",ref:od,class:normalizeClass(unref(Td)),style:normalizeStyle(unref(Nd)),"aria-label":unref(rd),"aria-valuenow":unref(td),"aria-orientation":Bd.vertical?"vertical":"horizontal","aria-valuemin":"0","aria-valuemax":"100",role:"slider",tabindex:"0",onKeydown:unref(ud)},null,46,["aria-label","aria-valuenow","aria-orientation","onKeydown"])],2))}});var AlphaSlider=_export_sfc$1(_sfc_main$17,[["__file","alpha-slider.vue"]]);const _sfc_main$16=defineComponent({name:"ElColorHueSlider",props:{color:{type:Object,required:!0},vertical:Boolean},setup(Ra){const qa=useNamespace("color-hue-slider"),ed=getCurrentInstance(),td=ref$1(),rd=ref$1(),sd=ref$1(0),od=ref$1(0),ld=computed(()=>Ra.color.get("hue"));watch(()=>ld.value,()=>{Ad()});function cd(Td){Td.target!==td.value&&ud(Td)}function ud(Td){if(!rd.value||!td.value)return;const Rd=ed.vnode.el.getBoundingClientRect(),{clientX:Bd,clientY:kd}=getClientXY(Td);let Od;if(Ra.vertical){let Md=kd-Rd.top;Md=Math.min(Md,Rd.height-td.value.offsetHeight/2),Md=Math.max(td.value.offsetHeight/2,Md),Od=Math.round((Md-td.value.offsetHeight/2)/(Rd.height-td.value.offsetHeight)*360)}else{let Md=Bd-Rd.left;Md=Math.min(Md,Rd.width-td.value.offsetWidth/2),Md=Math.max(td.value.offsetWidth/2,Md),Od=Math.round((Md-td.value.offsetWidth/2)/(Rd.width-td.value.offsetWidth)*360)}Ra.color.set("hue",Od)}function _d(){if(!td.value)return 0;const Td=ed.vnode.el;if(Ra.vertical)return 0;const Nd=Ra.color.get("hue");return Td?Math.round(Nd*(Td.offsetWidth-td.value.offsetWidth/2)/360):0}function Ed(){if(!td.value)return 0;const Td=ed.vnode.el;if(!Ra.vertical)return 0;const Nd=Ra.color.get("hue");return Td?Math.round(Nd*(Td.offsetHeight-td.value.offsetHeight/2)/360):0}function Ad(){sd.value=_d(),od.value=Ed()}return onMounted(()=>{if(!rd.value||!td.value)return;const Td={drag:Nd=>{ud(Nd)},end:Nd=>{ud(Nd)}};draggable(rd.value,Td),draggable(td.value,Td),Ad()}),{bar:rd,thumb:td,thumbLeft:sd,thumbTop:od,hueValue:ld,handleClick:cd,update:Ad,ns:qa}}});function _sfc_render$7(Ra,qa,ed,td,rd,sd){return openBlock(),createElementBlock("div",{class:normalizeClass([Ra.ns.b(),Ra.ns.is("vertical",Ra.vertical)])},[createBaseVNode("div",{ref:"bar",class:normalizeClass(Ra.ns.e("bar")),onClick:Ra.handleClick},null,10,["onClick"]),createBaseVNode("div",{ref:"thumb",class:normalizeClass(Ra.ns.e("thumb")),style:normalizeStyle({left:Ra.thumbLeft+"px",top:Ra.thumbTop+"px"})},null,6)],2)}var HueSlider=_export_sfc$1(_sfc_main$16,[["render",_sfc_render$7],["__file","hue-slider.vue"]]);const colorPickerProps=buildProps({modelValue:String,id:String,showAlpha:Boolean,colorFormat:String,disabled:Boolean,size:useSizeProp,popperClass:{type:String,default:""},tabindex:{type:[String,Number],default:0},teleported:useTooltipContentProps.teleported,predefine:{type:definePropType(Array)},validateEvent:{type:Boolean,default:!0},...useAriaProps(["ariaLabel"])}),colorPickerEmits={[UPDATE_MODEL_EVENT]:Ra=>isString$3(Ra)||isNil(Ra),[CHANGE_EVENT]:Ra=>isString$3(Ra)||isNil(Ra),activeChange:Ra=>isString$3(Ra)||isNil(Ra),focus:Ra=>Ra instanceof FocusEvent,blur:Ra=>Ra instanceof FocusEvent},colorPickerContextKey=Symbol("colorPickerContextKey"),hsv2hsl=function(Ra,qa,ed){return[Ra,qa*ed/((Ra=(2-qa)*ed)<1?Ra:2-Ra)||0,Ra/2]},isOnePointZero=function(Ra){return typeof Ra=="string"&&Ra.includes(".")&&Number.parseFloat(Ra)===1},isPercentage=function(Ra){return typeof Ra=="string"&&Ra.includes("%")},bound01=function(Ra,qa){isOnePointZero(Ra)&&(Ra="100%");const ed=isPercentage(Ra);return Ra=Math.min(qa,Math.max(0,Number.parseFloat(`${Ra}`))),ed&&(Ra=Number.parseInt(`${Ra*qa}`,10)/100),Math.abs(Ra-qa)<1e-6?1:Ra%qa/Number.parseFloat(qa)},INT_HEX_MAP={10:"A",11:"B",12:"C",13:"D",14:"E",15:"F"},hexOne=Ra=>{Ra=Math.min(Math.round(Ra),255);const qa=Math.floor(Ra/16),ed=Ra%16;return`${INT_HEX_MAP[qa]||qa}${INT_HEX_MAP[ed]||ed}`},toHex=function({r:Ra,g:qa,b:ed}){return Number.isNaN(+Ra)||Number.isNaN(+qa)||Number.isNaN(+ed)?"":`#${hexOne(Ra)}${hexOne(qa)}${hexOne(ed)}`},HEX_INT_MAP={A:10,B:11,C:12,D:13,E:14,F:15},parseHexChannel=function(Ra){return Ra.length===2?(HEX_INT_MAP[Ra[0].toUpperCase()]||+Ra[0])*16+(HEX_INT_MAP[Ra[1].toUpperCase()]||+Ra[1]):HEX_INT_MAP[Ra[1].toUpperCase()]||+Ra[1]},hsl2hsv=function(Ra,qa,ed){qa=qa/100,ed=ed/100;let td=qa;const rd=Math.max(ed,.01);ed*=2,qa*=ed<=1?ed:2-ed,td*=rd<=1?rd:2-rd;const sd=(ed+qa)/2,od=ed===0?2*td/(rd+td):2*qa/(ed+qa);return{h:Ra,s:od*100,v:sd*100}},rgb2hsv=(Ra,qa,ed)=>{Ra=bound01(Ra,255),qa=bound01(qa,255),ed=bound01(ed,255);const td=Math.max(Ra,qa,ed),rd=Math.min(Ra,qa,ed);let sd;const od=td,ld=td-rd,cd=td===0?0:ld/td;if(td===rd)sd=0;else{switch(td){case Ra:{sd=(qa-ed)/ld+(qa{this._hue=Math.max(0,Math.min(360,td)),this._saturation=Math.max(0,Math.min(100,rd)),this._value=Math.max(0,Math.min(100,sd)),this.doOnChange()};if(qa.includes("hsl")){const td=qa.replace(/hsla|hsl|\(|\)/gm,"").split(/\s|,/g).filter(rd=>rd!=="").map((rd,sd)=>sd>2?Number.parseFloat(rd):Number.parseInt(rd,10));if(td.length===4?this._alpha=Number.parseFloat(td[3])*100:td.length===3&&(this._alpha=100),td.length>=3){const{h:rd,s:sd,v:od}=hsl2hsv(td[0],td[1],td[2]);ed(rd,sd,od)}}else if(qa.includes("hsv")){const td=qa.replace(/hsva|hsv|\(|\)/gm,"").split(/\s|,/g).filter(rd=>rd!=="").map((rd,sd)=>sd>2?Number.parseFloat(rd):Number.parseInt(rd,10));td.length===4?this._alpha=Number.parseFloat(td[3])*100:td.length===3&&(this._alpha=100),td.length>=3&&ed(td[0],td[1],td[2])}else if(qa.includes("rgb")){const td=qa.replace(/rgba|rgb|\(|\)/gm,"").split(/\s|,/g).filter(rd=>rd!=="").map((rd,sd)=>sd>2?Number.parseFloat(rd):Number.parseInt(rd,10));if(td.length===4?this._alpha=Number.parseFloat(td[3])*100:td.length===3&&(this._alpha=100),td.length>=3){const{h:rd,s:sd,v:od}=rgb2hsv(td[0],td[1],td[2]);ed(rd,sd,od)}}else if(qa.includes("#")){const td=qa.replace("#","").trim();if(!/^[0-9a-fA-F]{3}$|^[0-9a-fA-F]{6}$|^[0-9a-fA-F]{8}$/.test(td))return;let rd,sd,od;td.length===3?(rd=parseHexChannel(td[0]+td[0]),sd=parseHexChannel(td[1]+td[1]),od=parseHexChannel(td[2]+td[2])):(td.length===6||td.length===8)&&(rd=parseHexChannel(td.slice(0,2)),sd=parseHexChannel(td.slice(2,4)),od=parseHexChannel(td.slice(4,6))),td.length===8?this._alpha=parseHexChannel(td.slice(6))/255*100:(td.length===3||td.length===6)&&(this._alpha=100);const{h:ld,s:cd,v:ud}=rgb2hsv(rd,sd,od);ed(ld,cd,ud)}}compare(qa){return Math.abs(qa._hue-this._hue)<2&&Math.abs(qa._saturation-this._saturation)<1&&Math.abs(qa._value-this._value)<1&&Math.abs(qa._alpha-this._alpha)<1}doOnChange(){const{_hue:qa,_saturation:ed,_value:td,_alpha:rd,format:sd}=this;if(this.enableAlpha)switch(sd){case"hsl":{const od=hsv2hsl(qa,ed/100,td/100);this.value=`hsla(${qa}, ${Math.round(od[1]*100)}%, ${Math.round(od[2]*100)}%, ${this.get("alpha")/100})`;break}case"hsv":{this.value=`hsva(${qa}, ${Math.round(ed)}%, ${Math.round(td)}%, ${this.get("alpha")/100})`;break}case"hex":{this.value=`${toHex(hsv2rgb(qa,ed,td))}${hexOne(rd*255/100)}`;break}default:{const{r:od,g:ld,b:cd}=hsv2rgb(qa,ed,td);this.value=`rgba(${od}, ${ld}, ${cd}, ${this.get("alpha")/100})`}}else switch(sd){case"hsl":{const od=hsv2hsl(qa,ed/100,td/100);this.value=`hsl(${qa}, ${Math.round(od[1]*100)}%, ${Math.round(od[2]*100)}%)`;break}case"hsv":{this.value=`hsv(${qa}, ${Math.round(ed)}%, ${Math.round(td)}%)`;break}case"rgb":{const{r:od,g:ld,b:cd}=hsv2rgb(qa,ed,td);this.value=`rgb(${od}, ${ld}, ${cd})`;break}default:this.value=toHex(hsv2rgb(qa,ed,td))}}};const _sfc_main$15=defineComponent({props:{colors:{type:Array,required:!0},color:{type:Object,required:!0},enableAlpha:{type:Boolean,required:!0}},setup(Ra){const qa=useNamespace("color-predefine"),{currentColor:ed}=inject(colorPickerContextKey),td=ref$1(sd(Ra.colors,Ra.color));watch(()=>ed.value,od=>{const ld=new Color$2;ld.fromString(od),td.value.forEach(cd=>{cd.selected=ld.compare(cd)})}),watchEffect(()=>{td.value=sd(Ra.colors,Ra.color)});function rd(od){Ra.color.fromString(Ra.colors[od])}function sd(od,ld){return od.map(cd=>{const ud=new Color$2;return ud.enableAlpha=Ra.enableAlpha,ud.format="rgba",ud.fromString(cd),ud.selected=ud.value===ld.value,ud})}return{rgbaColors:td,handleSelect:rd,ns:qa}}});function _sfc_render$6(Ra,qa,ed,td,rd,sd){return openBlock(),createElementBlock("div",{class:normalizeClass(Ra.ns.b())},[createBaseVNode("div",{class:normalizeClass(Ra.ns.e("colors"))},[(openBlock(!0),createElementBlock(Fragment,null,renderList(Ra.rgbaColors,(od,ld)=>(openBlock(),createElementBlock("div",{key:Ra.colors[ld],class:normalizeClass([Ra.ns.e("color-selector"),Ra.ns.is("alpha",od._alpha<100),{selected:od.selected}]),onClick:cd=>Ra.handleSelect(ld)},[createBaseVNode("div",{style:normalizeStyle({backgroundColor:od.value})},null,4)],10,["onClick"]))),128))],2)],2)}var Predefine=_export_sfc$1(_sfc_main$15,[["render",_sfc_render$6],["__file","predefine.vue"]]);const _sfc_main$14=defineComponent({name:"ElSlPanel",props:{color:{type:Object,required:!0}},setup(Ra){const qa=useNamespace("color-svpanel"),ed=getCurrentInstance(),td=ref$1(0),rd=ref$1(0),sd=ref$1("hsl(0, 100%, 50%)"),od=computed(()=>{const ud=Ra.color.get("hue"),_d=Ra.color.get("value");return{hue:ud,value:_d}});function ld(){const ud=Ra.color.get("saturation"),_d=Ra.color.get("value"),Ed=ed.vnode.el,{clientWidth:Ad,clientHeight:Td}=Ed;rd.value=ud*Ad/100,td.value=(100-_d)*Td/100,sd.value=`hsl(${Ra.color.get("hue")}, 100%, 50%)`}function cd(ud){const Ed=ed.vnode.el.getBoundingClientRect(),{clientX:Ad,clientY:Td}=getClientXY(ud);let Nd=Ad-Ed.left,Rd=Td-Ed.top;Nd=Math.max(0,Nd),Nd=Math.min(Nd,Ed.width),Rd=Math.max(0,Rd),Rd=Math.min(Rd,Ed.height),rd.value=Nd,td.value=Rd,Ra.color.set({saturation:Nd/Ed.width*100,value:100-Rd/Ed.height*100})}return watch(()=>od.value,()=>{ld()}),onMounted(()=>{draggable(ed.vnode.el,{drag:ud=>{cd(ud)},end:ud=>{cd(ud)}}),ld()}),{cursorTop:td,cursorLeft:rd,background:sd,colorValue:od,handleDrag:cd,update:ld,ns:qa}}});function _sfc_render$5(Ra,qa,ed,td,rd,sd){return openBlock(),createElementBlock("div",{class:normalizeClass(Ra.ns.b()),style:normalizeStyle({backgroundColor:Ra.background})},[createBaseVNode("div",{class:normalizeClass(Ra.ns.e("white"))},null,2),createBaseVNode("div",{class:normalizeClass(Ra.ns.e("black"))},null,2),createBaseVNode("div",{class:normalizeClass(Ra.ns.e("cursor")),style:normalizeStyle({top:Ra.cursorTop+"px",left:Ra.cursorLeft+"px"})},[createBaseVNode("div")],6)],6)}var SvPanel=_export_sfc$1(_sfc_main$14,[["render",_sfc_render$5],["__file","sv-panel.vue"]]);const __default__$c=defineComponent({name:"ElColorPicker"}),_sfc_main$13=defineComponent({...__default__$c,props:colorPickerProps,emits:colorPickerEmits,setup(Ra,{expose:qa,emit:ed}){const td=Ra,{t:rd}=useLocale(),sd=useNamespace("color"),{formItem:od}=useFormItem(),ld=useFormSize(),cd=useFormDisabled(),{inputId:ud,isLabeledByFormItem:_d}=useFormItemInputId(td,{formItemContext:od}),Ed=ref$1(),Ad=ref$1(),Td=ref$1(),Nd=ref$1(),Rd=ref$1(),Bd=ref$1(),{isFocused:kd,handleFocus:Od,handleBlur:Md}=useFocusController(Rd,{beforeFocus(){return cd.value},beforeBlur(Bf){var Kf;return(Kf=Nd.value)==null?void 0:Kf.isFocusInsideContent(Bf)},afterBlur(){hf(!1),Pf()}});let Pd=!0;const Fd=reactive(new Color$2({enableAlpha:td.showAlpha,format:td.colorFormat||"",value:td.modelValue})),Ud=ref$1(!1),Gd=ref$1(!1),Qd=ref$1(""),Vd=computed(()=>!td.modelValue&&!Gd.value?"transparent":_f(Fd,td.showAlpha)),zd=computed(()=>!td.modelValue&&!Gd.value?"":Fd.value),Xd=computed(()=>_d.value?void 0:td.ariaLabel||rd("el.colorpicker.defaultLabel")),df=computed(()=>_d.value?od==null?void 0:od.labelId:void 0),mf=computed(()=>[sd.b("picker"),sd.is("disabled",cd.value),sd.bm("picker",ld.value),sd.is("focused",kd.value)]);function _f(Bf,Kf){if(!(Bf instanceof Color$2))throw new TypeError("color should be instance of _color Class");const{r:nh,g:zf,b:$f}=Bf.toRgb();return Kf?`rgba(${nh}, ${zf}, ${$f}, ${Bf.get("alpha")/100})`:`rgb(${nh}, ${zf}, ${$f})`}function hf(Bf){Ud.value=Bf}const gf=debounce(hf,100,{leading:!0});function yf(){cd.value||hf(!0)}function Nf(){gf(!1),Pf()}function Pf(){nextTick$1(()=>{td.modelValue?Fd.fromString(td.modelValue):(Fd.value="",nextTick$1(()=>{Gd.value=!1}))})}function Yf(){cd.value||gf(!Ud.value)}function Uf(){Fd.fromString(Qd.value)}function Lf(){const Bf=Fd.value;ed(UPDATE_MODEL_EVENT,Bf),ed("change",Bf),td.validateEvent&&(od==null||od.validate("change").catch(Kf=>void 0)),gf(!1),nextTick$1(()=>{const Kf=new Color$2({enableAlpha:td.showAlpha,format:td.colorFormat||"",value:td.modelValue});Fd.compare(Kf)||Pf()})}function xf(){gf(!1),ed(UPDATE_MODEL_EVENT,null),ed("change",null),td.modelValue!==null&&td.validateEvent&&(od==null||od.validate("change").catch(Bf=>void 0)),Pf()}function wf(){Ud.value&&(Nf(),kd.value&&Ef())}function Jf(Bf){Bf.preventDefault(),Bf.stopPropagation(),hf(!1),Pf()}function Qf(Bf){switch(Bf.code){case EVENT_CODE.enter:case EVENT_CODE.space:Bf.preventDefault(),Bf.stopPropagation(),yf(),Bd.value.focus();break;case EVENT_CODE.esc:Jf(Bf);break}}function Ef(){Rd.value.focus()}function bf(){Rd.value.blur()}return onMounted(()=>{td.modelValue&&(Qd.value=zd.value)}),watch(()=>td.modelValue,Bf=>{Bf?Bf&&Bf!==Fd.value&&(Pd=!1,Fd.fromString(Bf)):Gd.value=!1}),watch(()=>zd.value,Bf=>{Qd.value=Bf,Pd&&ed("activeChange",Bf),Pd=!0}),watch(()=>Fd.value,()=>{!td.modelValue&&!Gd.value&&(Gd.value=!0)}),watch(()=>Ud.value,()=>{nextTick$1(()=>{var Bf,Kf,nh;(Bf=Ed.value)==null||Bf.update(),(Kf=Ad.value)==null||Kf.update(),(nh=Td.value)==null||nh.update()})}),provide(colorPickerContextKey,{currentColor:zd}),qa({color:Fd,show:yf,hide:Nf,focus:Ef,blur:bf}),(Bf,Kf)=>(openBlock(),createBlock(unref(ElTooltip),{ref_key:"popper",ref:Nd,visible:Ud.value,"show-arrow":!1,"fallback-placements":["bottom","top","right","left"],offset:0,"gpu-acceleration":!1,"popper-class":[unref(sd).be("picker","panel"),unref(sd).b("dropdown"),Bf.popperClass],"stop-popper-mouse-event":!1,effect:"light",trigger:"click",teleported:Bf.teleported,transition:`${unref(sd).namespace.value}-zoom-in-top`,persistent:"",onHide:nh=>hf(!1)},{content:withCtx(()=>[withDirectives((openBlock(),createElementBlock("div",{onKeydown:withKeys(Jf,["esc"])},[createBaseVNode("div",{class:normalizeClass(unref(sd).be("dropdown","main-wrapper"))},[createVNode(HueSlider,{ref_key:"hue",ref:Ed,class:"hue-slider",color:unref(Fd),vertical:""},null,8,["color"]),createVNode(SvPanel,{ref_key:"sv",ref:Ad,color:unref(Fd)},null,8,["color"])],2),Bf.showAlpha?(openBlock(),createBlock(AlphaSlider,{key:0,ref_key:"alpha",ref:Td,color:unref(Fd)},null,8,["color"])):createCommentVNode("v-if",!0),Bf.predefine?(openBlock(),createBlock(Predefine,{key:1,ref:"predefine","enable-alpha":Bf.showAlpha,color:unref(Fd),colors:Bf.predefine},null,8,["enable-alpha","color","colors"])):createCommentVNode("v-if",!0),createBaseVNode("div",{class:normalizeClass(unref(sd).be("dropdown","btns"))},[createBaseVNode("span",{class:normalizeClass(unref(sd).be("dropdown","value"))},[createVNode(unref(ElInput),{ref_key:"inputRef",ref:Bd,modelValue:Qd.value,"onUpdate:modelValue":nh=>Qd.value=nh,"validate-event":!1,size:"small",onKeyup:withKeys(Uf,["enter"]),onBlur:Uf},null,8,["modelValue","onUpdate:modelValue","onKeyup"])],2),createVNode(unref(ElButton),{class:normalizeClass(unref(sd).be("dropdown","link-btn")),text:"",size:"small",onClick:xf},{default:withCtx(()=>[createTextVNode(toDisplayString(unref(rd)("el.colorpicker.clear")),1)]),_:1},8,["class"]),createVNode(unref(ElButton),{plain:"",size:"small",class:normalizeClass(unref(sd).be("dropdown","btn")),onClick:Lf},{default:withCtx(()=>[createTextVNode(toDisplayString(unref(rd)("el.colorpicker.confirm")),1)]),_:1},8,["class"])],2)],40,["onKeydown"])),[[unref(ClickOutside),wf]])]),default:withCtx(()=>[createBaseVNode("div",mergeProps({id:unref(ud),ref_key:"triggerRef",ref:Rd},Bf.$attrs,{class:unref(mf),role:"button","aria-label":unref(Xd),"aria-labelledby":unref(df),"aria-description":unref(rd)("el.colorpicker.description",{color:Bf.modelValue||""}),"aria-disabled":unref(cd),tabindex:unref(cd)?-1:Bf.tabindex,onKeydown:Qf,onFocus:unref(Od),onBlur:unref(Md)}),[unref(cd)?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(unref(sd).be("picker","mask"))},null,2)):createCommentVNode("v-if",!0),createBaseVNode("div",{class:normalizeClass(unref(sd).be("picker","trigger")),onClick:Yf},[createBaseVNode("span",{class:normalizeClass([unref(sd).be("picker","color"),unref(sd).is("alpha",Bf.showAlpha)])},[createBaseVNode("span",{class:normalizeClass(unref(sd).be("picker","color-inner")),style:normalizeStyle({backgroundColor:unref(Vd)})},[withDirectives(createVNode(unref(ElIcon),{class:normalizeClass([unref(sd).be("picker","icon"),unref(sd).is("icon-arrow-down")])},{default:withCtx(()=>[createVNode(unref(arrow_down_default))]),_:1},8,["class"]),[[vShow,Bf.modelValue||Gd.value]]),withDirectives(createVNode(unref(ElIcon),{class:normalizeClass([unref(sd).be("picker","empty"),unref(sd).is("icon-close")])},{default:withCtx(()=>[createVNode(unref(close_default))]),_:1},8,["class"]),[[vShow,!Bf.modelValue&&!Gd.value]])],6)],2)],2)],16,["id","aria-label","aria-labelledby","aria-description","aria-disabled","tabindex","onFocus","onBlur"])]),_:1},8,["visible","popper-class","teleported","transition","onHide"]))}});var ColorPicker=_export_sfc$1(_sfc_main$13,[["__file","color-picker.vue"]]);const ElColorPicker=withInstall(ColorPicker),overlayProps=buildProps({mask:{type:Boolean,default:!0},customMaskEvent:Boolean,overlayClass:{type:definePropType([String,Array,Object])},zIndex:{type:definePropType([String,Number])}}),overlayEmits={click:Ra=>Ra instanceof MouseEvent},BLOCK="overlay";var Overlay=defineComponent({name:"ElOverlay",props:overlayProps,emits:overlayEmits,setup(Ra,{slots:qa,emit:ed}){const td=useNamespace(BLOCK),rd=cd=>{ed("click",cd)},{onClick:sd,onMousedown:od,onMouseup:ld}=useSameTarget(Ra.customMaskEvent?void 0:rd);return()=>Ra.mask?createVNode("div",{class:[td.b(),Ra.overlayClass],style:{zIndex:Ra.zIndex},onClick:sd,onMousedown:od,onMouseup:ld},[renderSlot(qa,"default")],PatchFlags.STYLE|PatchFlags.CLASS|PatchFlags.PROPS,["onClick","onMouseup","onMousedown"]):h$1("div",{class:Ra.overlayClass,style:{zIndex:Ra.zIndex,position:"fixed",top:"0px",right:"0px",bottom:"0px",left:"0px"}},[renderSlot(qa,"default")])}});const ElOverlay=Overlay,inputNumberProps=buildProps({id:{type:String,default:void 0},step:{type:Number,default:1},stepStrictly:Boolean,max:{type:Number,default:Number.POSITIVE_INFINITY},min:{type:Number,default:Number.NEGATIVE_INFINITY},modelValue:Number,readonly:Boolean,disabled:Boolean,size:useSizeProp,controls:{type:Boolean,default:!0},controlsPosition:{type:String,default:"",values:["","right"]},valueOnClear:{type:[String,Number,null],validator:Ra=>Ra===null||isNumber$3(Ra)||["min","max"].includes(Ra),default:null},name:String,placeholder:String,precision:{type:Number,validator:Ra=>Ra>=0&&Ra===Number.parseInt(`${Ra}`,10)},validateEvent:{type:Boolean,default:!0},...useAriaProps(["ariaLabel"])}),inputNumberEmits={[CHANGE_EVENT]:(Ra,qa)=>qa!==Ra,blur:Ra=>Ra instanceof FocusEvent,focus:Ra=>Ra instanceof FocusEvent,[INPUT_EVENT]:Ra=>isNumber$3(Ra)||isNil(Ra),[UPDATE_MODEL_EVENT]:Ra=>isNumber$3(Ra)||isNil(Ra)},__default__$b=defineComponent({name:"ElInputNumber"}),_sfc_main$12=defineComponent({...__default__$b,props:inputNumberProps,emits:inputNumberEmits,setup(Ra,{expose:qa,emit:ed}){const td=Ra,{t:rd}=useLocale(),sd=useNamespace("input-number"),od=ref$1(),ld=reactive({currentValue:td.modelValue,userInput:null}),{formItem:cd}=useFormItem(),ud=computed(()=>isNumber$3(td.modelValue)&&td.modelValue<=td.min),_d=computed(()=>isNumber$3(td.modelValue)&&td.modelValue>=td.max),Ed=computed(()=>{const hf=kd(td.step);return isUndefined$1(td.precision)?Math.max(kd(td.modelValue),hf):(hf>td.precision,td.precision)}),Ad=computed(()=>td.controls&&td.controlsPosition==="right"),Td=useFormSize(),Nd=useFormDisabled(),Rd=computed(()=>{if(ld.userInput!==null)return ld.userInput;let hf=ld.currentValue;if(isNil(hf))return"";if(isNumber$3(hf)){if(Number.isNaN(hf))return"";isUndefined$1(td.precision)||(hf=hf.toFixed(td.precision))}return hf}),Bd=(hf,gf)=>{if(isUndefined$1(gf)&&(gf=Ed.value),gf===0)return Math.round(hf);let yf=String(hf);const Nf=yf.indexOf(".");if(Nf===-1||!yf.replace(".","").split("")[Nf+gf])return hf;const Uf=yf.length;return yf.charAt(Uf-1)==="5"&&(yf=`${yf.slice(0,Math.max(0,Uf-1))}6`),Number.parseFloat(Number(yf).toFixed(gf))},kd=hf=>{if(isNil(hf))return 0;const gf=hf.toString(),yf=gf.indexOf(".");let Nf=0;return yf!==-1&&(Nf=gf.length-yf-1),Nf},Od=(hf,gf=1)=>isNumber$3(hf)?Bd(hf+td.step*gf):ld.currentValue,Md=()=>{if(td.readonly||Nd.value||_d.value)return;const hf=Number(Rd.value)||0,gf=Od(hf);Ud(gf),ed(INPUT_EVENT,ld.currentValue),mf()},Pd=()=>{if(td.readonly||Nd.value||ud.value)return;const hf=Number(Rd.value)||0,gf=Od(hf,-1);Ud(gf),ed(INPUT_EVENT,ld.currentValue),mf()},Fd=(hf,gf)=>{const{max:yf,min:Nf,step:Pf,precision:Yf,stepStrictly:Uf,valueOnClear:Lf}=td;yfyf||xfyf?yf:Nf,gf&&ed(UPDATE_MODEL_EVENT,xf)),xf},Ud=(hf,gf=!0)=>{var yf;const Nf=ld.currentValue,Pf=Fd(hf);if(!gf){ed(UPDATE_MODEL_EVENT,Pf);return}Nf===Pf&&hf||(ld.userInput=null,ed(UPDATE_MODEL_EVENT,Pf),Nf!==Pf&&ed(CHANGE_EVENT,Pf,Nf),td.validateEvent&&((yf=cd==null?void 0:cd.validate)==null||yf.call(cd,"change").catch(Yf=>void 0)),ld.currentValue=Pf)},Gd=hf=>{ld.userInput=hf;const gf=hf===""?null:Number(hf);ed(INPUT_EVENT,gf),Ud(gf,!1)},Qd=hf=>{const gf=hf!==""?Number(hf):"";(isNumber$3(gf)&&!Number.isNaN(gf)||hf==="")&&Ud(gf),mf(),ld.userInput=null},Vd=()=>{var hf,gf;(gf=(hf=od.value)==null?void 0:hf.focus)==null||gf.call(hf)},zd=()=>{var hf,gf;(gf=(hf=od.value)==null?void 0:hf.blur)==null||gf.call(hf)},Xd=hf=>{ed("focus",hf)},df=hf=>{var gf;ld.userInput=null,ed("blur",hf),td.validateEvent&&((gf=cd==null?void 0:cd.validate)==null||gf.call(cd,"blur").catch(yf=>void 0))},mf=()=>{ld.currentValue!==td.modelValue&&(ld.currentValue=td.modelValue)},_f=hf=>{document.activeElement===hf.target&&hf.preventDefault()};return watch(()=>td.modelValue,(hf,gf)=>{const yf=Fd(hf,!0);ld.userInput===null&&yf!==gf&&(ld.currentValue=yf)},{immediate:!0}),onMounted(()=>{var hf;const{min:gf,max:yf,modelValue:Nf}=td,Pf=(hf=od.value)==null?void 0:hf.input;if(Pf.setAttribute("role","spinbutton"),Number.isFinite(yf)?Pf.setAttribute("aria-valuemax",String(yf)):Pf.removeAttribute("aria-valuemax"),Number.isFinite(gf)?Pf.setAttribute("aria-valuemin",String(gf)):Pf.removeAttribute("aria-valuemin"),Pf.setAttribute("aria-valuenow",ld.currentValue||ld.currentValue===0?String(ld.currentValue):""),Pf.setAttribute("aria-disabled",String(Nd.value)),!isNumber$3(Nf)&&Nf!=null){let Yf=Number(Nf);Number.isNaN(Yf)&&(Yf=null),ed(UPDATE_MODEL_EVENT,Yf)}Pf.addEventListener("wheel",_f,{passive:!1})}),onUpdated(()=>{var hf,gf;const yf=(hf=od.value)==null?void 0:hf.input;yf==null||yf.setAttribute("aria-valuenow",`${(gf=ld.currentValue)!=null?gf:""}`)}),qa({focus:Vd,blur:zd}),(hf,gf)=>(openBlock(),createElementBlock("div",{class:normalizeClass([unref(sd).b(),unref(sd).m(unref(Td)),unref(sd).is("disabled",unref(Nd)),unref(sd).is("without-controls",!hf.controls),unref(sd).is("controls-right",unref(Ad))]),onDragstart:withModifiers(()=>{},["prevent"])},[hf.controls?withDirectives((openBlock(),createElementBlock("span",{key:0,role:"button","aria-label":unref(rd)("el.inputNumber.decrease"),class:normalizeClass([unref(sd).e("decrease"),unref(sd).is("disabled",unref(ud))]),onKeydown:withKeys(Pd,["enter"])},[renderSlot(hf.$slots,"decrease-icon",{},()=>[createVNode(unref(ElIcon),null,{default:withCtx(()=>[unref(Ad)?(openBlock(),createBlock(unref(arrow_down_default),{key:0})):(openBlock(),createBlock(unref(minus_default),{key:1}))]),_:1})])],42,["aria-label","onKeydown"])),[[unref(vRepeatClick),Pd]]):createCommentVNode("v-if",!0),hf.controls?withDirectives((openBlock(),createElementBlock("span",{key:1,role:"button","aria-label":unref(rd)("el.inputNumber.increase"),class:normalizeClass([unref(sd).e("increase"),unref(sd).is("disabled",unref(_d))]),onKeydown:withKeys(Md,["enter"])},[renderSlot(hf.$slots,"increase-icon",{},()=>[createVNode(unref(ElIcon),null,{default:withCtx(()=>[unref(Ad)?(openBlock(),createBlock(unref(arrow_up_default),{key:0})):(openBlock(),createBlock(unref(plus_default),{key:1}))]),_:1})])],42,["aria-label","onKeydown"])),[[unref(vRepeatClick),Md]]):createCommentVNode("v-if",!0),createVNode(unref(ElInput),{id:hf.id,ref_key:"input",ref:od,type:"number",step:hf.step,"model-value":unref(Rd),placeholder:hf.placeholder,readonly:hf.readonly,disabled:unref(Nd),size:unref(Td),max:hf.max,min:hf.min,name:hf.name,"aria-label":hf.ariaLabel,"validate-event":!1,onKeydown:[withKeys(withModifiers(Md,["prevent"]),["up"]),withKeys(withModifiers(Pd,["prevent"]),["down"])],onBlur:df,onFocus:Xd,onInput:Gd,onChange:Qd},null,8,["id","step","model-value","placeholder","readonly","disabled","size","max","min","name","aria-label","onKeydown"])],42,["onDragstart"]))}});var InputNumber=_export_sfc$1(_sfc_main$12,[["__file","input-number.vue"]]);const ElInputNumber=withInstall(InputNumber),linkProps=buildProps({type:{type:String,values:["primary","success","warning","info","danger","default"],default:"default"},underline:{type:Boolean,default:!0},disabled:Boolean,href:{type:String,default:""},target:{type:String,default:"_self"},icon:{type:iconPropType}}),linkEmits={click:Ra=>Ra instanceof MouseEvent},__default__$a=defineComponent({name:"ElLink"}),_sfc_main$11=defineComponent({...__default__$a,props:linkProps,emits:linkEmits,setup(Ra,{emit:qa}){const ed=Ra,td=useNamespace("link"),rd=computed(()=>[td.b(),td.m(ed.type),td.is("disabled",ed.disabled),td.is("underline",ed.underline&&!ed.disabled)]);function sd(od){ed.disabled||qa("click",od)}return(od,ld)=>(openBlock(),createElementBlock("a",{class:normalizeClass(unref(rd)),href:od.disabled||!od.href?void 0:od.href,target:od.disabled||!od.href?void 0:od.target,onClick:sd},[od.icon?(openBlock(),createBlock(unref(ElIcon),{key:0},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(od.icon)))]),_:1})):createCommentVNode("v-if",!0),od.$slots.default?(openBlock(),createElementBlock("span",{key:1,class:normalizeClass(unref(td).e("inner"))},[renderSlot(od.$slots,"default")],2)):createCommentVNode("v-if",!0),od.$slots.icon?renderSlot(od.$slots,"icon",{key:2}):createCommentVNode("v-if",!0)],10,["href","target"]))}});var Link=_export_sfc$1(_sfc_main$11,[["__file","link.vue"]]);const ElLink=withInstall(Link),selectGroupKey=Symbol("ElSelectGroup"),selectKey=Symbol("ElSelect");function useOption(Ra,qa){const ed=inject(selectKey),td=inject(selectGroupKey,{disabled:!1}),rd=computed(()=>_d(castArray(ed.props.modelValue),Ra.value)),sd=computed(()=>{var Td;if(ed.props.multiple){const Nd=castArray((Td=ed.props.modelValue)!=null?Td:[]);return!rd.value&&Nd.length>=ed.props.multipleLimit&&ed.props.multipleLimit>0}else return!1}),od=computed(()=>Ra.label||(isObject$5(Ra.value)?"":Ra.value)),ld=computed(()=>Ra.value||Ra.label||""),cd=computed(()=>Ra.disabled||qa.groupDisabled||sd.value),ud=getCurrentInstance(),_d=(Td=[],Nd)=>{if(isObject$5(Ra.value)){const Rd=ed.props.valueKey;return Td&&Td.some(Bd=>toRaw(get$2(Bd,Rd))===get$2(Nd,Rd))}else return Td&&Td.includes(Nd)},Ed=()=>{!Ra.disabled&&!td.disabled&&(ed.states.hoveringIndex=ed.optionsArray.indexOf(ud.proxy))},Ad=Td=>{const Nd=new RegExp(escapeStringRegexp(Td),"i");qa.visible=Nd.test(od.value)||Ra.created};return watch(()=>od.value,()=>{!Ra.created&&!ed.props.remote&&ed.setSelected()}),watch(()=>Ra.value,(Td,Nd)=>{const{remote:Rd,valueKey:Bd}=ed.props;if(Td!==Nd&&(ed.onOptionDestroy(Nd,ud.proxy),ed.onOptionCreate(ud.proxy)),!Ra.created&&!Rd){if(Bd&&isObject$5(Td)&&isObject$5(Nd)&&Td[Bd]===Nd[Bd])return;ed.setSelected()}}),watch(()=>td.disabled,()=>{qa.groupDisabled=td.disabled},{immediate:!0}),{select:ed,currentLabel:od,currentValue:ld,itemSelected:rd,isDisabled:cd,hoverItem:Ed,updateOption:Ad}}const _sfc_main$10=defineComponent({name:"ElOption",componentName:"ElOption",props:{value:{required:!0,type:[String,Number,Boolean,Object]},label:[String,Number],created:Boolean,disabled:Boolean},setup(Ra){const qa=useNamespace("select"),ed=useId(),td=computed(()=>[qa.be("dropdown","item"),qa.is("disabled",unref(ld)),qa.is("selected",unref(od)),qa.is("hovering",unref(Ad))]),rd=reactive({index:-1,groupDisabled:!1,visible:!0,hover:!1}),{currentLabel:sd,itemSelected:od,isDisabled:ld,select:cd,hoverItem:ud,updateOption:_d}=useOption(Ra,rd),{visible:Ed,hover:Ad}=toRefs(rd),Td=getCurrentInstance().proxy;cd.onOptionCreate(Td),onBeforeUnmount(()=>{const Rd=Td.value,{selected:Bd}=cd.states,Od=(cd.props.multiple?Bd:[Bd]).some(Md=>Md.value===Td.value);nextTick$1(()=>{cd.states.cachedOptions.get(Rd)===Td&&!Od&&cd.states.cachedOptions.delete(Rd)}),cd.onOptionDestroy(Rd,Td)});function Nd(){ld.value||cd.handleOptionSelect(Td)}return{ns:qa,id:ed,containerKls:td,currentLabel:sd,itemSelected:od,isDisabled:ld,select:cd,hoverItem:ud,updateOption:_d,visible:Ed,hover:Ad,selectOptionClick:Nd,states:rd}}});function _sfc_render$4(Ra,qa,ed,td,rd,sd){return withDirectives((openBlock(),createElementBlock("li",{id:Ra.id,class:normalizeClass(Ra.containerKls),role:"option","aria-disabled":Ra.isDisabled||void 0,"aria-selected":Ra.itemSelected,onMouseenter:Ra.hoverItem,onClick:withModifiers(Ra.selectOptionClick,["stop"])},[renderSlot(Ra.$slots,"default",{},()=>[createBaseVNode("span",null,toDisplayString(Ra.currentLabel),1)])],42,["id","aria-disabled","aria-selected","onMouseenter","onClick"])),[[vShow,Ra.visible]])}var Option=_export_sfc$1(_sfc_main$10,[["render",_sfc_render$4],["__file","option.vue"]]);const _sfc_main$$=defineComponent({name:"ElSelectDropdown",componentName:"ElSelectDropdown",setup(){const Ra=inject(selectKey),qa=useNamespace("select"),ed=computed(()=>Ra.props.popperClass),td=computed(()=>Ra.props.multiple),rd=computed(()=>Ra.props.fitInputWidth),sd=ref$1("");function od(){var ld;sd.value=`${(ld=Ra.selectRef)==null?void 0:ld.offsetWidth}px`}return onMounted(()=>{od(),useResizeObserver(Ra.selectRef,od)}),{ns:qa,minWidth:sd,popperClass:ed,isMultiple:td,isFitInputWidth:rd}}});function _sfc_render$3(Ra,qa,ed,td,rd,sd){return openBlock(),createElementBlock("div",{class:normalizeClass([Ra.ns.b("dropdown"),Ra.ns.is("multiple",Ra.isMultiple),Ra.popperClass]),style:normalizeStyle({[Ra.isFitInputWidth?"width":"minWidth"]:Ra.minWidth})},[Ra.$slots.header?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(Ra.ns.be("dropdown","header"))},[renderSlot(Ra.$slots,"header")],2)):createCommentVNode("v-if",!0),renderSlot(Ra.$slots,"default"),Ra.$slots.footer?(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(Ra.ns.be("dropdown","footer"))},[renderSlot(Ra.$slots,"footer")],2)):createCommentVNode("v-if",!0)],6)}var ElSelectMenu=_export_sfc$1(_sfc_main$$,[["render",_sfc_render$3],["__file","select-dropdown.vue"]]);const MINIMUM_INPUT_WIDTH=11,useSelect=(Ra,qa)=>{const{t:ed}=useLocale(),td=useId(),rd=useNamespace("select"),sd=useNamespace("input"),od=reactive({inputValue:"",options:new Map,cachedOptions:new Map,disabledOptions:new Map,optionValues:[],selected:[],selectionWidth:0,calculatorWidth:0,collapseItemWidth:0,selectedLabel:"",hoveringIndex:-1,previousQuery:null,inputHovering:!1,menuVisibleOnFocus:!1,isBeforeHide:!1}),ld=ref$1(null),cd=ref$1(null),ud=ref$1(null),_d=ref$1(null),Ed=ref$1(null),Ad=ref$1(null),Td=ref$1(null),Nd=ref$1(null),Rd=ref$1(null),Bd=ref$1(null),kd=ref$1(null),Od=ref$1(null),{isComposing:Md,handleCompositionStart:Pd,handleCompositionUpdate:Fd,handleCompositionEnd:Ud}=useComposition({afterComposition:am=>qh(am)}),{wrapperRef:Gd,isFocused:Qd}=useFocusController(Ed,{beforeFocus(){return gf.value},afterFocus(){Ra.automaticDropdown&&!Vd.value&&(Vd.value=!0,od.menuVisibleOnFocus=!0)},beforeBlur(am){var Af,ph;return((Af=ud.value)==null?void 0:Af.isFocusInsideContent(am))||((ph=_d.value)==null?void 0:ph.isFocusInsideContent(am))},afterBlur(){Vd.value=!1,od.menuVisibleOnFocus=!1}}),Vd=ref$1(!1),zd=ref$1(),{form:Xd,formItem:df}=useFormItem(),{inputId:mf}=useFormItemInputId(Ra,{formItemContext:df}),{valueOnClear:_f,isEmptyValue:hf}=useEmptyValues(Ra),gf=computed(()=>Ra.disabled||(Xd==null?void 0:Xd.disabled)),yf=computed(()=>isArray$2(Ra.modelValue)?Ra.modelValue.length>0:!hf(Ra.modelValue)),Nf=computed(()=>Ra.clearable&&!gf.value&&od.inputHovering&&yf.value),Pf=computed(()=>Ra.remote&&Ra.filterable&&!Ra.remoteShowSuffix?"":Ra.suffixIcon),Yf=computed(()=>rd.is("reverse",Pf.value&&Vd.value)),Uf=computed(()=>(df==null?void 0:df.validateState)||""),Lf=computed(()=>ValidateComponentsMap[Uf.value]),xf=computed(()=>Ra.remote?300:0),wf=computed(()=>Ra.loading?Ra.loadingText||ed("el.select.loading"):Ra.remote&&!od.inputValue&&od.options.size===0?!1:Ra.filterable&&od.inputValue&&od.options.size>0&&Jf.value===0?Ra.noMatchText||ed("el.select.noMatch"):od.options.size===0?Ra.noDataText||ed("el.select.noData"):null),Jf=computed(()=>Qf.value.filter(am=>am.visible).length),Qf=computed(()=>{const am=Array.from(od.options.values()),Af=[];return od.optionValues.forEach(ph=>{const ih=am.findIndex(fh=>fh.value===ph);ih>-1&&Af.push(am[ih])}),Af.length>=am.length?Af:am}),Ef=computed(()=>Array.from(od.cachedOptions.values())),bf=computed(()=>{const am=Qf.value.filter(Af=>!Af.created).some(Af=>Af.currentLabel===od.inputValue);return Ra.filterable&&Ra.allowCreate&&od.inputValue!==""&&!am}),Bf=()=>{Ra.filterable&&isFunction$4(Ra.filterMethod)||Ra.filterable&&Ra.remote&&isFunction$4(Ra.remoteMethod)||Qf.value.forEach(am=>{var Af;(Af=am.updateOption)==null||Af.call(am,od.inputValue)})},Kf=useFormSize(),nh=computed(()=>["small"].includes(Kf.value)?"small":"default"),zf=computed({get(){return Vd.value&&wf.value!==!1},set(am){Vd.value=am}}),$f=computed(()=>{if(Ra.multiple&&!isUndefined$1(Ra.modelValue))return castArray(Ra.modelValue).length===0&&!od.inputValue;const am=isArray$2(Ra.modelValue)?Ra.modelValue[0]:Ra.modelValue;return Ra.filterable||isUndefined$1(am)?!od.inputValue:!0}),th=computed(()=>{var am;const Af=(am=Ra.placeholder)!=null?am:ed("el.select.placeholder");return Ra.multiple||!yf.value?Af:od.selectedLabel}),hh=computed(()=>isIOS$1?null:"mouseenter");watch(()=>Ra.modelValue,(am,Af)=>{Ra.multiple&&Ra.filterable&&!Ra.reserveKeyword&&(od.inputValue="",Xf("")),Of(),!isEqual(am,Af)&&Ra.validateEvent&&(df==null||df.validate("change").catch(ph=>void 0))},{flush:"post",deep:!0}),watch(()=>Vd.value,am=>{am?Xf(od.inputValue):(od.inputValue="",od.previousQuery=null,od.isBeforeHide=!0),qa("visible-change",am)}),watch(()=>od.options.entries(),()=>{var am;if(!isClient$1)return;const Af=((am=ld.value)==null?void 0:am.querySelectorAll("input"))||[];(!Ra.filterable&&!Ra.defaultFirstOption&&!isUndefined$1(Ra.modelValue)||!Array.from(Af).includes(document.activeElement))&&Of(),Ra.defaultFirstOption&&(Ra.filterable||Ra.remote)&&Jf.value&&Df()},{flush:"post"}),watch(()=>od.hoveringIndex,am=>{isNumber$3(am)&&am>-1?zd.value=Qf.value[am]||{}:zd.value={},Qf.value.forEach(Af=>{Af.hover=zd.value===Af})}),watchEffect(()=>{od.isBeforeHide||Bf()});const Xf=am=>{od.previousQuery===am||Md.value||(od.previousQuery=am,Ra.filterable&&isFunction$4(Ra.filterMethod)?Ra.filterMethod(am):Ra.filterable&&Ra.remote&&isFunction$4(Ra.remoteMethod)&&Ra.remoteMethod(am),Ra.defaultFirstOption&&(Ra.filterable||Ra.remote)&&Jf.value?nextTick$1(Df):nextTick$1(dh))},Df=()=>{const am=Qf.value.filter(ih=>ih.visible&&!ih.disabled&&!ih.states.groupDisabled),Af=am.find(ih=>ih.created),ph=am[0];od.hoveringIndex=wh(Qf.value,Af||ph)},Of=()=>{if(Ra.multiple)od.selectedLabel="";else{const Af=isArray$2(Ra.modelValue)?Ra.modelValue[0]:Ra.modelValue,ph=Vf(Af);od.selectedLabel=ph.currentLabel,od.selected=[ph];return}const am=[];isUndefined$1(Ra.modelValue)||castArray(Ra.modelValue).forEach(Af=>{am.push(Vf(Af))}),od.selected=am},Vf=am=>{let Af;const ph=toRawType(am).toLowerCase()==="object",ih=toRawType(am).toLowerCase()==="null",fh=toRawType(am).toLowerCase()==="undefined";for(let Bh=od.cachedOptions.size-1;Bh>=0;Bh--){const Rh=Ef.value[Bh];if(ph?get$2(Rh.value,Ra.valueKey)===get$2(am,Ra.valueKey):Rh.value===am){Af={value:am,currentLabel:Rh.currentLabel,get isDisabled(){return Rh.isDisabled}};break}}if(Af)return Af;const Ah=ph?am.label:!ih&&!fh?am:"";return{value:am,currentLabel:Ah}},dh=()=>{od.hoveringIndex=Qf.value.findIndex(am=>od.selected.some(Af=>P_(Af)===P_(am)))},bh=()=>{od.selectionWidth=cd.value.getBoundingClientRect().width},oh=()=>{od.calculatorWidth=Ad.value.getBoundingClientRect().width},Ih=()=>{od.collapseItemWidth=kd.value.getBoundingClientRect().width},_h=()=>{var am,Af;(Af=(am=ud.value)==null?void 0:am.updatePopper)==null||Af.call(am)},Dh=()=>{var am,Af;(Af=(am=_d.value)==null?void 0:am.updatePopper)==null||Af.call(am)},Xh=()=>{od.inputValue.length>0&&!Vd.value&&(Vd.value=!0),Xf(od.inputValue)},qh=am=>{if(od.inputValue=am.target.value,Ra.remote)sm();else return Xh()},sm=debounce(()=>{Xh()},xf.value),hm=am=>{isEqual(Ra.modelValue,am)||qa(CHANGE_EVENT,am)},ah=am=>findLastIndex(am,Af=>!od.disabledOptions.has(Af)),kf=am=>{if(Ra.multiple&&am.code!==EVENT_CODE.delete&&am.target.value.length<=0){const Af=castArray(Ra.modelValue).slice(),ph=ah(Af);if(ph<0)return;const ih=Af[ph];Af.splice(ph,1),qa(UPDATE_MODEL_EVENT,Af),hm(Af),qa("remove-tag",ih)}},If=(am,Af)=>{const ph=od.selected.indexOf(Af);if(ph>-1&&!gf.value){const ih=castArray(Ra.modelValue).slice();ih.splice(ph,1),qa(UPDATE_MODEL_EVENT,ih),hm(ih),qa("remove-tag",Af.value)}am.stopPropagation(),Fm()},rh=am=>{am.stopPropagation();const Af=Ra.multiple?[]:_f.value;if(Ra.multiple)for(const ph of od.selected)ph.isDisabled&&Af.push(ph.value);qa(UPDATE_MODEL_EVENT,Af),hm(Af),od.hoveringIndex=-1,Vd.value=!1,qa("clear"),Fm()},ch=am=>{var Af;if(Ra.multiple){const ph=castArray((Af=Ra.modelValue)!=null?Af:[]).slice(),ih=wh(ph,am.value);ih>-1?ph.splice(ih,1):(Ra.multipleLimit<=0||ph.length{$h(am)})},wh=(am=[],Af)=>{if(!isObject$5(Af))return am.indexOf(Af);const ph=Ra.valueKey;let ih=-1;return am.some((fh,Ah)=>toRaw(get$2(fh,ph))===get$2(Af,ph)?(ih=Ah,!0):!1),ih},$h=am=>{var Af,ph,ih,fh,Ah;const Ch=isArray$2(am)?am[0]:am;let Bh=null;if(Ch!=null&&Ch.value){const Rh=Qf.value.filter(gh=>gh.value===Ch.value);Rh.length>0&&(Bh=Rh[0].$el)}if(ud.value&&Bh){const Rh=(fh=(ih=(ph=(Af=ud.value)==null?void 0:Af.popperRef)==null?void 0:ph.contentRef)==null?void 0:ih.querySelector)==null?void 0:fh.call(ih,`.${rd.be("dropdown","wrap")}`);Rh&&scrollIntoView(Rh,Bh)}(Ah=Od.value)==null||Ah.handleScroll()},om=am=>{od.options.set(am.value,am),od.cachedOptions.set(am.value,am),am.disabled&&od.disabledOptions.set(am.value,am)},Tm=(am,Af)=>{od.options.get(am)===Af&&od.options.delete(am)},um=computed(()=>{var am,Af;return(Af=(am=ud.value)==null?void 0:am.popperRef)==null?void 0:Af.contentRef}),Wm=()=>{od.isBeforeHide=!1,nextTick$1(()=>$h(od.selected))},Fm=()=>{var am;(am=Ed.value)==null||am.focus()},Lm=()=>{var am;(am=Ed.value)==null||am.blur()},e_=am=>{rh(am)},F_=()=>{Vd.value=!1,Qd.value&&Lm()},R_=()=>{od.inputValue.length>0?od.inputValue="":Vd.value=!1},w_=()=>{gf.value||(isIOS$1&&(od.inputHovering=!0),od.menuVisibleOnFocus?od.menuVisibleOnFocus=!1:Vd.value=!Vd.value)},Qm=()=>{Vd.value?Qf.value[od.hoveringIndex]&&ch(Qf.value[od.hoveringIndex]):w_()},P_=am=>isObject$5(am.value)?get$2(am.value,Ra.valueKey):am.value,V_=computed(()=>Qf.value.filter(am=>am.visible).every(am=>am.disabled)),Z_=computed(()=>Ra.multiple?Ra.collapseTags?od.selected.slice(0,Ra.maxCollapseTags):od.selected:[]),t1=computed(()=>Ra.multiple?Ra.collapseTags?od.selected.slice(Ra.maxCollapseTags):[]:[]),j_=am=>{if(!Vd.value){Vd.value=!0;return}if(!(od.options.size===0||od.filteredOptionsCount===0||Md.value)&&!V_.value){am==="next"?(od.hoveringIndex++,od.hoveringIndex===od.options.size&&(od.hoveringIndex=0)):am==="prev"&&(od.hoveringIndex--,od.hoveringIndex<0&&(od.hoveringIndex=od.options.size-1));const Af=Qf.value[od.hoveringIndex];(Af.disabled===!0||Af.states.groupDisabled===!0||!Af.visible)&&j_(am),nextTick$1(()=>$h(zd.value))}},$_=()=>{if(!cd.value)return 0;const am=window.getComputedStyle(cd.value);return Number.parseFloat(am.gap||"6px")},Hm=computed(()=>{const am=$_();return{maxWidth:`${kd.value&&Ra.maxCollapseTags===1?od.selectionWidth-od.collapseItemWidth-am:od.selectionWidth}px`}}),v_=computed(()=>({maxWidth:`${od.selectionWidth}px`})),m1=computed(()=>({width:`${Math.max(od.calculatorWidth,MINIMUM_INPUT_WIDTH)}px`}));return useResizeObserver(cd,bh),useResizeObserver(Ad,oh),useResizeObserver(Rd,_h),useResizeObserver(Gd,_h),useResizeObserver(Bd,Dh),useResizeObserver(kd,Ih),onMounted(()=>{Of()}),{inputId:mf,contentId:td,nsSelect:rd,nsInput:sd,states:od,isFocused:Qd,expanded:Vd,optionsArray:Qf,hoverOption:zd,selectSize:Kf,filteredOptionsCount:Jf,resetCalculatorWidth:oh,updateTooltip:_h,updateTagTooltip:Dh,debouncedOnInputChange:sm,onInput:qh,deletePrevTag:kf,deleteTag:If,deleteSelected:rh,handleOptionSelect:ch,scrollToOption:$h,hasModelValue:yf,shouldShowPlaceholder:$f,currentPlaceholder:th,mouseEnterEventName:hh,showClose:Nf,iconComponent:Pf,iconReverse:Yf,validateState:Uf,validateIcon:Lf,showNewOption:bf,updateOptions:Bf,collapseTagSize:nh,setSelected:Of,selectDisabled:gf,emptyText:wf,handleCompositionStart:Pd,handleCompositionUpdate:Fd,handleCompositionEnd:Ud,onOptionCreate:om,onOptionDestroy:Tm,handleMenuEnter:Wm,focus:Fm,blur:Lm,handleClearClick:e_,handleClickOutside:F_,handleEsc:R_,toggleMenu:w_,selectOption:Qm,getValueKey:P_,navigateOptions:j_,dropdownMenuVisible:zf,showTagList:Z_,collapseTagList:t1,tagStyle:Hm,collapseTagStyle:v_,inputStyle:m1,popperRef:um,inputRef:Ed,tooltipRef:ud,tagTooltipRef:_d,calculatorRef:Ad,prefixRef:Td,suffixRef:Nd,selectRef:ld,wrapperRef:Gd,selectionRef:cd,scrollbarRef:Od,menuRef:Rd,tagMenuRef:Bd,collapseItemRef:kd}};var ElOptions=defineComponent({name:"ElOptions",setup(Ra,{slots:qa}){const ed=inject(selectKey);let td=[];return()=>{var rd,sd;const od=(rd=qa.default)==null?void 0:rd.call(qa),ld=[];function cd(ud){isArray$2(ud)&&ud.forEach(_d=>{var Ed,Ad,Td,Nd;const Rd=(Ed=(_d==null?void 0:_d.type)||{})==null?void 0:Ed.name;Rd==="ElOptionGroup"?cd(!isString$3(_d.children)&&!isArray$2(_d.children)&&isFunction$4((Ad=_d.children)==null?void 0:Ad.default)?(Td=_d.children)==null?void 0:Td.default():_d.children):Rd==="ElOption"?ld.push((Nd=_d.props)==null?void 0:Nd.value):isArray$2(_d.children)&&cd(_d.children)})}return od.length&&cd((sd=od[0])==null?void 0:sd.children),isEqual(ld,td)||(td=ld,ed&&(ed.states.optionValues=ld)),od}}});const SelectProps=buildProps({name:String,id:String,modelValue:{type:[Array,String,Number,Boolean,Object],default:void 0},autocomplete:{type:String,default:"off"},automaticDropdown:Boolean,size:useSizeProp,effect:{type:definePropType(String),default:"light"},disabled:Boolean,clearable:Boolean,filterable:Boolean,allowCreate:Boolean,loading:Boolean,popperClass:{type:String,default:""},popperOptions:{type:definePropType(Object),default:()=>({})},remote:Boolean,loadingText:String,noMatchText:String,noDataText:String,remoteMethod:Function,filterMethod:Function,multiple:Boolean,multipleLimit:{type:Number,default:0},placeholder:{type:String},defaultFirstOption:Boolean,reserveKeyword:{type:Boolean,default:!0},valueKey:{type:String,default:"value"},collapseTags:Boolean,collapseTagsTooltip:Boolean,maxCollapseTags:{type:Number,default:1},teleported:useTooltipContentProps.teleported,persistent:{type:Boolean,default:!0},clearIcon:{type:iconPropType,default:circle_close_default},fitInputWidth:Boolean,suffixIcon:{type:iconPropType,default:arrow_down_default},tagType:{...tagProps.type,default:"info"},tagEffect:{...tagProps.effect,default:"light"},validateEvent:{type:Boolean,default:!0},remoteShowSuffix:Boolean,placement:{type:definePropType(String),values:Ee$4,default:"bottom-start"},fallbackPlacements:{type:definePropType(Array),default:["bottom-start","top-start","right","left"]},...useEmptyValuesProps,...useAriaProps(["ariaLabel"])}),COMPONENT_NAME$5="ElSelect",_sfc_main$_=defineComponent({name:COMPONENT_NAME$5,componentName:COMPONENT_NAME$5,components:{ElSelectMenu,ElOption:Option,ElOptions,ElTag,ElScrollbar,ElTooltip,ElIcon},directives:{ClickOutside},props:SelectProps,emits:[UPDATE_MODEL_EVENT,CHANGE_EVENT,"remove-tag","clear","visible-change","focus","blur"],setup(Ra,{emit:qa}){const ed=computed(()=>{const{modelValue:sd,multiple:od}=Ra,ld=od?[]:void 0;return isArray$2(sd)?od?sd:ld:od?ld:sd}),td=reactive({...toRefs(Ra),modelValue:ed}),rd=useSelect(td,qa);return provide(selectKey,reactive({props:td,states:rd.states,optionsArray:rd.optionsArray,handleOptionSelect:rd.handleOptionSelect,onOptionCreate:rd.onOptionCreate,onOptionDestroy:rd.onOptionDestroy,selectRef:rd.selectRef,setSelected:rd.setSelected})),{...rd,modelValue:ed}}});function _sfc_render$2(Ra,qa,ed,td,rd,sd){const od=resolveComponent("el-tag"),ld=resolveComponent("el-tooltip"),cd=resolveComponent("el-icon"),ud=resolveComponent("el-option"),_d=resolveComponent("el-options"),Ed=resolveComponent("el-scrollbar"),Ad=resolveComponent("el-select-menu"),Td=resolveDirective("click-outside");return withDirectives((openBlock(),createElementBlock("div",{ref:"selectRef",class:normalizeClass([Ra.nsSelect.b(),Ra.nsSelect.m(Ra.selectSize)]),[toHandlerKey(Ra.mouseEnterEventName)]:Nd=>Ra.states.inputHovering=!0,onMouseleave:Nd=>Ra.states.inputHovering=!1},[createVNode(ld,{ref:"tooltipRef",visible:Ra.dropdownMenuVisible,placement:Ra.placement,teleported:Ra.teleported,"popper-class":[Ra.nsSelect.e("popper"),Ra.popperClass],"popper-options":Ra.popperOptions,"fallback-placements":Ra.fallbackPlacements,effect:Ra.effect,pure:"",trigger:"click",transition:`${Ra.nsSelect.namespace.value}-zoom-in-top`,"stop-popper-mouse-event":!1,"gpu-acceleration":!1,persistent:Ra.persistent,onBeforeShow:Ra.handleMenuEnter,onHide:Nd=>Ra.states.isBeforeHide=!1},{default:withCtx(()=>{var Nd;return[createBaseVNode("div",{ref:"wrapperRef",class:normalizeClass([Ra.nsSelect.e("wrapper"),Ra.nsSelect.is("focused",Ra.isFocused),Ra.nsSelect.is("hovering",Ra.states.inputHovering),Ra.nsSelect.is("filterable",Ra.filterable),Ra.nsSelect.is("disabled",Ra.selectDisabled)]),onClick:withModifiers(Ra.toggleMenu,["prevent"])},[Ra.$slots.prefix?(openBlock(),createElementBlock("div",{key:0,ref:"prefixRef",class:normalizeClass(Ra.nsSelect.e("prefix"))},[renderSlot(Ra.$slots,"prefix")],2)):createCommentVNode("v-if",!0),createBaseVNode("div",{ref:"selectionRef",class:normalizeClass([Ra.nsSelect.e("selection"),Ra.nsSelect.is("near",Ra.multiple&&!Ra.$slots.prefix&&!!Ra.states.selected.length)])},[Ra.multiple?renderSlot(Ra.$slots,"tag",{key:0},()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(Ra.showTagList,Rd=>(openBlock(),createElementBlock("div",{key:Ra.getValueKey(Rd),class:normalizeClass(Ra.nsSelect.e("selected-item"))},[createVNode(od,{closable:!Ra.selectDisabled&&!Rd.isDisabled,size:Ra.collapseTagSize,type:Ra.tagType,effect:Ra.tagEffect,"disable-transitions":"",style:normalizeStyle(Ra.tagStyle),onClose:Bd=>Ra.deleteTag(Bd,Rd)},{default:withCtx(()=>[createBaseVNode("span",{class:normalizeClass(Ra.nsSelect.e("tags-text"))},[renderSlot(Ra.$slots,"label",{label:Rd.currentLabel,value:Rd.value},()=>[createTextVNode(toDisplayString(Rd.currentLabel),1)])],2)]),_:2},1032,["closable","size","type","effect","style","onClose"])],2))),128)),Ra.collapseTags&&Ra.states.selected.length>Ra.maxCollapseTags?(openBlock(),createBlock(ld,{key:0,ref:"tagTooltipRef",disabled:Ra.dropdownMenuVisible||!Ra.collapseTagsTooltip,"fallback-placements":["bottom","top","right","left"],effect:Ra.effect,placement:"bottom",teleported:Ra.teleported},{default:withCtx(()=>[createBaseVNode("div",{ref:"collapseItemRef",class:normalizeClass(Ra.nsSelect.e("selected-item"))},[createVNode(od,{closable:!1,size:Ra.collapseTagSize,type:Ra.tagType,effect:Ra.tagEffect,"disable-transitions":"",style:normalizeStyle(Ra.collapseTagStyle)},{default:withCtx(()=>[createBaseVNode("span",{class:normalizeClass(Ra.nsSelect.e("tags-text"))}," + "+toDisplayString(Ra.states.selected.length-Ra.maxCollapseTags),3)]),_:1},8,["size","type","effect","style"])],2)]),content:withCtx(()=>[createBaseVNode("div",{ref:"tagMenuRef",class:normalizeClass(Ra.nsSelect.e("selection"))},[(openBlock(!0),createElementBlock(Fragment,null,renderList(Ra.collapseTagList,Rd=>(openBlock(),createElementBlock("div",{key:Ra.getValueKey(Rd),class:normalizeClass(Ra.nsSelect.e("selected-item"))},[createVNode(od,{class:"in-tooltip",closable:!Ra.selectDisabled&&!Rd.isDisabled,size:Ra.collapseTagSize,type:Ra.tagType,effect:Ra.tagEffect,"disable-transitions":"",onClose:Bd=>Ra.deleteTag(Bd,Rd)},{default:withCtx(()=>[createBaseVNode("span",{class:normalizeClass(Ra.nsSelect.e("tags-text"))},[renderSlot(Ra.$slots,"label",{label:Rd.currentLabel,value:Rd.value},()=>[createTextVNode(toDisplayString(Rd.currentLabel),1)])],2)]),_:2},1032,["closable","size","type","effect","onClose"])],2))),128))],2)]),_:3},8,["disabled","effect","teleported"])):createCommentVNode("v-if",!0)]):createCommentVNode("v-if",!0),Ra.selectDisabled?createCommentVNode("v-if",!0):(openBlock(),createElementBlock("div",{key:1,class:normalizeClass([Ra.nsSelect.e("selected-item"),Ra.nsSelect.e("input-wrapper"),Ra.nsSelect.is("hidden",!Ra.filterable)])},[withDirectives(createBaseVNode("input",{id:Ra.inputId,ref:"inputRef","onUpdate:modelValue":Rd=>Ra.states.inputValue=Rd,type:"text",name:Ra.name,class:normalizeClass([Ra.nsSelect.e("input"),Ra.nsSelect.is(Ra.selectSize)]),disabled:Ra.selectDisabled,autocomplete:Ra.autocomplete,style:normalizeStyle(Ra.inputStyle),role:"combobox",readonly:!Ra.filterable,spellcheck:"false","aria-activedescendant":((Nd=Ra.hoverOption)==null?void 0:Nd.id)||"","aria-controls":Ra.contentId,"aria-expanded":Ra.dropdownMenuVisible,"aria-label":Ra.ariaLabel,"aria-autocomplete":"none","aria-haspopup":"listbox",onKeydown:[withKeys(withModifiers(Rd=>Ra.navigateOptions("next"),["stop","prevent"]),["down"]),withKeys(withModifiers(Rd=>Ra.navigateOptions("prev"),["stop","prevent"]),["up"]),withKeys(withModifiers(Ra.handleEsc,["stop","prevent"]),["esc"]),withKeys(withModifiers(Ra.selectOption,["stop","prevent"]),["enter"]),withKeys(withModifiers(Ra.deletePrevTag,["stop"]),["delete"])],onCompositionstart:Ra.handleCompositionStart,onCompositionupdate:Ra.handleCompositionUpdate,onCompositionend:Ra.handleCompositionEnd,onInput:Ra.onInput,onClick:withModifiers(Ra.toggleMenu,["stop"])},null,46,["id","onUpdate:modelValue","name","disabled","autocomplete","readonly","aria-activedescendant","aria-controls","aria-expanded","aria-label","onKeydown","onCompositionstart","onCompositionupdate","onCompositionend","onInput","onClick"]),[[vModelText,Ra.states.inputValue]]),Ra.filterable?(openBlock(),createElementBlock("span",{key:0,ref:"calculatorRef","aria-hidden":"true",class:normalizeClass(Ra.nsSelect.e("input-calculator")),textContent:toDisplayString(Ra.states.inputValue)},null,10,["textContent"])):createCommentVNode("v-if",!0)],2)),Ra.shouldShowPlaceholder?(openBlock(),createElementBlock("div",{key:2,class:normalizeClass([Ra.nsSelect.e("selected-item"),Ra.nsSelect.e("placeholder"),Ra.nsSelect.is("transparent",!Ra.hasModelValue||Ra.expanded&&!Ra.states.inputValue)])},[Ra.hasModelValue?renderSlot(Ra.$slots,"label",{key:0,label:Ra.currentPlaceholder,value:Ra.modelValue},()=>[createBaseVNode("span",null,toDisplayString(Ra.currentPlaceholder),1)]):(openBlock(),createElementBlock("span",{key:1},toDisplayString(Ra.currentPlaceholder),1))],2)):createCommentVNode("v-if",!0)],2),createBaseVNode("div",{ref:"suffixRef",class:normalizeClass(Ra.nsSelect.e("suffix"))},[Ra.iconComponent&&!Ra.showClose?(openBlock(),createBlock(cd,{key:0,class:normalizeClass([Ra.nsSelect.e("caret"),Ra.nsSelect.e("icon"),Ra.iconReverse])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ra.iconComponent)))]),_:1},8,["class"])):createCommentVNode("v-if",!0),Ra.showClose&&Ra.clearIcon?(openBlock(),createBlock(cd,{key:1,class:normalizeClass([Ra.nsSelect.e("caret"),Ra.nsSelect.e("icon"),Ra.nsSelect.e("clear")]),onClick:Ra.handleClearClick},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ra.clearIcon)))]),_:1},8,["class","onClick"])):createCommentVNode("v-if",!0),Ra.validateState&&Ra.validateIcon?(openBlock(),createBlock(cd,{key:2,class:normalizeClass([Ra.nsInput.e("icon"),Ra.nsInput.e("validateIcon")])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ra.validateIcon)))]),_:1},8,["class"])):createCommentVNode("v-if",!0)],2)],10,["onClick"])]}),content:withCtx(()=>[createVNode(Ad,{ref:"menuRef"},{default:withCtx(()=>[Ra.$slots.header?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(Ra.nsSelect.be("dropdown","header")),onClick:withModifiers(()=>{},["stop"])},[renderSlot(Ra.$slots,"header")],10,["onClick"])):createCommentVNode("v-if",!0),withDirectives(createVNode(Ed,{id:Ra.contentId,ref:"scrollbarRef",tag:"ul","wrap-class":Ra.nsSelect.be("dropdown","wrap"),"view-class":Ra.nsSelect.be("dropdown","list"),class:normalizeClass([Ra.nsSelect.is("empty",Ra.filteredOptionsCount===0)]),role:"listbox","aria-label":Ra.ariaLabel,"aria-orientation":"vertical"},{default:withCtx(()=>[Ra.showNewOption?(openBlock(),createBlock(ud,{key:0,value:Ra.states.inputValue,created:!0},null,8,["value"])):createCommentVNode("v-if",!0),createVNode(_d,null,{default:withCtx(()=>[renderSlot(Ra.$slots,"default")]),_:3})]),_:3},8,["id","wrap-class","view-class","class","aria-label"]),[[vShow,Ra.states.options.size>0&&!Ra.loading]]),Ra.$slots.loading&&Ra.loading?(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(Ra.nsSelect.be("dropdown","loading"))},[renderSlot(Ra.$slots,"loading")],2)):Ra.loading||Ra.filteredOptionsCount===0?(openBlock(),createElementBlock("div",{key:2,class:normalizeClass(Ra.nsSelect.be("dropdown","empty"))},[renderSlot(Ra.$slots,"empty",{},()=>[createBaseVNode("span",null,toDisplayString(Ra.emptyText),1)])],2)):createCommentVNode("v-if",!0),Ra.$slots.footer?(openBlock(),createElementBlock("div",{key:3,class:normalizeClass(Ra.nsSelect.be("dropdown","footer")),onClick:withModifiers(()=>{},["stop"])},[renderSlot(Ra.$slots,"footer")],10,["onClick"])):createCommentVNode("v-if",!0)]),_:3},512)]),_:3},8,["visible","placement","teleported","popper-class","popper-options","fallback-placements","effect","transition","persistent","onBeforeShow","onHide"])],16,["onMouseleave"])),[[Td,Ra.handleClickOutside,Ra.popperRef]])}var Select=_export_sfc$1(_sfc_main$_,[["render",_sfc_render$2],["__file","select.vue"]]);const _sfc_main$Z=defineComponent({name:"ElOptionGroup",componentName:"ElOptionGroup",props:{label:String,disabled:Boolean},setup(Ra){const qa=useNamespace("select"),ed=ref$1(null),td=getCurrentInstance(),rd=ref$1([]);provide(selectGroupKey,reactive({...toRefs(Ra)}));const sd=computed(()=>rd.value.some(ud=>ud.visible===!0)),od=ud=>{var _d,Ed;return((_d=ud.type)==null?void 0:_d.name)==="ElOption"&&!!((Ed=ud.component)!=null&&Ed.proxy)},ld=ud=>{const _d=castArray(ud),Ed=[];return _d.forEach(Ad=>{var Td,Nd;od(Ad)?Ed.push(Ad.component.proxy):(Td=Ad.children)!=null&&Td.length?Ed.push(...ld(Ad.children)):(Nd=Ad.component)!=null&&Nd.subTree&&Ed.push(...ld(Ad.component.subTree))}),Ed},cd=()=>{rd.value=ld(td.subTree)};return onMounted(()=>{cd()}),useMutationObserver(ed,cd,{attributes:!0,subtree:!0,childList:!0}),{groupRef:ed,visible:sd,ns:qa}}});function _sfc_render$1(Ra,qa,ed,td,rd,sd){return withDirectives((openBlock(),createElementBlock("ul",{ref:"groupRef",class:normalizeClass(Ra.ns.be("group","wrap"))},[createBaseVNode("li",{class:normalizeClass(Ra.ns.be("group","title"))},toDisplayString(Ra.label),3),createBaseVNode("li",null,[createBaseVNode("ul",{class:normalizeClass(Ra.ns.b("group"))},[renderSlot(Ra.$slots,"default")],2)])],2)),[[vShow,Ra.visible]])}var OptionGroup=_export_sfc$1(_sfc_main$Z,[["render",_sfc_render$1],["__file","option-group.vue"]]);const ElSelect=withInstall(Select,{Option,OptionGroup}),ElOption=withNoopInstall(Option);withNoopInstall(OptionGroup);const progressProps=buildProps({type:{type:String,default:"line",values:["line","circle","dashboard"]},percentage:{type:Number,default:0,validator:Ra=>Ra>=0&&Ra<=100},status:{type:String,default:"",values:["","success","exception","warning"]},indeterminate:Boolean,duration:{type:Number,default:3},strokeWidth:{type:Number,default:6},strokeLinecap:{type:definePropType(String),default:"round"},textInside:Boolean,width:{type:Number,default:126},showText:{type:Boolean,default:!0},color:{type:definePropType([String,Array,Function]),default:""},striped:Boolean,stripedFlow:Boolean,format:{type:definePropType(Function),default:Ra=>`${Ra}%`}}),__default__$9=defineComponent({name:"ElProgress"}),_sfc_main$Y=defineComponent({...__default__$9,props:progressProps,setup(Ra){const qa=Ra,ed={success:"#13ce66",exception:"#ff4949",warning:"#e6a23c",default:"#20a0ff"},td=useNamespace("progress"),rd=computed(()=>{const Md={width:`${qa.percentage}%`,animationDuration:`${qa.duration}s`},Pd=Od(qa.percentage);return Pd.includes("gradient")?Md.background=Pd:Md.backgroundColor=Pd,Md}),sd=computed(()=>(qa.strokeWidth/qa.width*100).toFixed(1)),od=computed(()=>["circle","dashboard"].includes(qa.type)?Number.parseInt(`${50-Number.parseFloat(sd.value)/2}`,10):0),ld=computed(()=>{const Md=od.value,Pd=qa.type==="dashboard";return` M 50 50 m 0 ${Pd?"":"-"}${Md} a ${Md} ${Md} 0 1 1 0 ${Pd?"-":""}${Md*2} a ${Md} ${Md} 0 1 1 0 ${Pd?"":"-"}${Md*2} `}),cd=computed(()=>2*Math.PI*od.value),ud=computed(()=>qa.type==="dashboard"?.75:1),_d=computed(()=>`${-1*cd.value*(1-ud.value)/2}px`),Ed=computed(()=>({strokeDasharray:`${cd.value*ud.value}px, ${cd.value}px`,strokeDashoffset:_d.value})),Ad=computed(()=>({strokeDasharray:`${cd.value*ud.value*(qa.percentage/100)}px, ${cd.value}px`,strokeDashoffset:_d.value,transition:"stroke-dasharray 0.6s ease 0s, stroke 0.6s ease, opacity ease 0.6s"})),Td=computed(()=>{let Md;return qa.color?Md=Od(qa.percentage):Md=ed[qa.status]||ed.default,Md}),Nd=computed(()=>qa.status==="warning"?warning_filled_default:qa.type==="line"?qa.status==="success"?circle_check_default:circle_close_default:qa.status==="success"?check_default:close_default),Rd=computed(()=>qa.type==="line"?12+qa.strokeWidth*.4:qa.width*.111111+2),Bd=computed(()=>qa.format(qa.percentage));function kd(Md){const Pd=100/Md.length;return Md.map((Ud,Gd)=>isString$3(Ud)?{color:Ud,percentage:(Gd+1)*Pd}:Ud).sort((Ud,Gd)=>Ud.percentage-Gd.percentage)}const Od=Md=>{var Pd;const{color:Fd}=qa;if(isFunction$4(Fd))return Fd(Md);if(isString$3(Fd))return Fd;{const Ud=kd(Fd);for(const Gd of Ud)if(Gd.percentage>Md)return Gd.color;return(Pd=Ud[Ud.length-1])==null?void 0:Pd.color}};return(Md,Pd)=>(openBlock(),createElementBlock("div",{class:normalizeClass([unref(td).b(),unref(td).m(Md.type),unref(td).is(Md.status),{[unref(td).m("without-text")]:!Md.showText,[unref(td).m("text-inside")]:Md.textInside}]),role:"progressbar","aria-valuenow":Md.percentage,"aria-valuemin":"0","aria-valuemax":"100"},[Md.type==="line"?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(unref(td).b("bar"))},[createBaseVNode("div",{class:normalizeClass(unref(td).be("bar","outer")),style:normalizeStyle({height:`${Md.strokeWidth}px`})},[createBaseVNode("div",{class:normalizeClass([unref(td).be("bar","inner"),{[unref(td).bem("bar","inner","indeterminate")]:Md.indeterminate},{[unref(td).bem("bar","inner","striped")]:Md.striped},{[unref(td).bem("bar","inner","striped-flow")]:Md.stripedFlow}]),style:normalizeStyle(unref(rd))},[(Md.showText||Md.$slots.default)&&Md.textInside?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(unref(td).be("bar","innerText"))},[renderSlot(Md.$slots,"default",{percentage:Md.percentage},()=>[createBaseVNode("span",null,toDisplayString(unref(Bd)),1)])],2)):createCommentVNode("v-if",!0)],6)],6)],2)):(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(unref(td).b("circle")),style:normalizeStyle({height:`${Md.width}px`,width:`${Md.width}px`})},[(openBlock(),createElementBlock("svg",{viewBox:"0 0 100 100"},[createBaseVNode("path",{class:normalizeClass(unref(td).be("circle","track")),d:unref(ld),stroke:`var(${unref(td).cssVarName("fill-color-light")}, #e5e9f2)`,"stroke-linecap":Md.strokeLinecap,"stroke-width":unref(sd),fill:"none",style:normalizeStyle(unref(Ed))},null,14,["d","stroke","stroke-linecap","stroke-width"]),createBaseVNode("path",{class:normalizeClass(unref(td).be("circle","path")),d:unref(ld),stroke:unref(Td),fill:"none",opacity:Md.percentage?1:0,"stroke-linecap":Md.strokeLinecap,"stroke-width":unref(sd),style:normalizeStyle(unref(Ad))},null,14,["d","stroke","opacity","stroke-linecap","stroke-width"])]))],6)),(Md.showText||Md.$slots.default)&&!Md.textInside?(openBlock(),createElementBlock("div",{key:2,class:normalizeClass(unref(td).e("text")),style:normalizeStyle({fontSize:`${unref(Rd)}px`})},[renderSlot(Md.$slots,"default",{percentage:Md.percentage},()=>[Md.status?(openBlock(),createBlock(unref(ElIcon),{key:1},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(Nd))))]),_:1})):(openBlock(),createElementBlock("span",{key:0},toDisplayString(unref(Bd)),1))])],6)):createCommentVNode("v-if",!0)],10,["aria-valuenow"]))}});var Progress=_export_sfc$1(_sfc_main$Y,[["__file","progress.vue"]]);const ElProgress=withInstall(Progress),switchProps=buildProps({modelValue:{type:[Boolean,String,Number],default:!1},disabled:Boolean,loading:Boolean,size:{type:String,validator:isValidComponentSize},width:{type:[String,Number],default:""},inlinePrompt:Boolean,inactiveActionIcon:{type:iconPropType},activeActionIcon:{type:iconPropType},activeIcon:{type:iconPropType},inactiveIcon:{type:iconPropType},activeText:{type:String,default:""},inactiveText:{type:String,default:""},activeValue:{type:[Boolean,String,Number],default:!0},inactiveValue:{type:[Boolean,String,Number],default:!1},name:{type:String,default:""},validateEvent:{type:Boolean,default:!0},beforeChange:{type:definePropType(Function)},id:String,tabindex:{type:[String,Number]},...useAriaProps(["ariaLabel"])}),switchEmits={[UPDATE_MODEL_EVENT]:Ra=>isBoolean$3(Ra)||isString$3(Ra)||isNumber$3(Ra),[CHANGE_EVENT]:Ra=>isBoolean$3(Ra)||isString$3(Ra)||isNumber$3(Ra),[INPUT_EVENT]:Ra=>isBoolean$3(Ra)||isString$3(Ra)||isNumber$3(Ra)},COMPONENT_NAME$4="ElSwitch",__default__$8=defineComponent({name:COMPONENT_NAME$4}),_sfc_main$X=defineComponent({...__default__$8,props:switchProps,emits:switchEmits,setup(Ra,{expose:qa,emit:ed}){const td=Ra,{formItem:rd}=useFormItem(),sd=useFormSize(),od=useNamespace("switch"),{inputId:ld}=useFormItemInputId(td,{formItemContext:rd}),cd=useFormDisabled(computed(()=>td.loading)),ud=ref$1(td.modelValue!==!1),_d=ref$1(),Ed=ref$1(),Ad=computed(()=>[od.b(),od.m(sd.value),od.is("disabled",cd.value),od.is("checked",kd.value)]),Td=computed(()=>[od.e("label"),od.em("label","left"),od.is("active",!kd.value)]),Nd=computed(()=>[od.e("label"),od.em("label","right"),od.is("active",kd.value)]),Rd=computed(()=>({width:addUnit(td.width)}));watch(()=>td.modelValue,()=>{ud.value=!0});const Bd=computed(()=>ud.value?td.modelValue:!1),kd=computed(()=>Bd.value===td.activeValue);[td.activeValue,td.inactiveValue].includes(Bd.value)||(ed(UPDATE_MODEL_EVENT,td.inactiveValue),ed(CHANGE_EVENT,td.inactiveValue),ed(INPUT_EVENT,td.inactiveValue)),watch(kd,Fd=>{var Ud;_d.value.checked=Fd,td.validateEvent&&((Ud=rd==null?void 0:rd.validate)==null||Ud.call(rd,"change").catch(Gd=>void 0))});const Od=()=>{const Fd=kd.value?td.inactiveValue:td.activeValue;ed(UPDATE_MODEL_EVENT,Fd),ed(CHANGE_EVENT,Fd),ed(INPUT_EVENT,Fd),nextTick$1(()=>{_d.value.checked=kd.value})},Md=()=>{if(cd.value)return;const{beforeChange:Fd}=td;if(!Fd){Od();return}const Ud=Fd();[isPromise(Ud),isBoolean$3(Ud)].includes(!0)||throwError$1(COMPONENT_NAME$4,"beforeChange must return type `Promise` or `boolean`"),isPromise(Ud)?Ud.then(Qd=>{Qd&&Od()}).catch(Qd=>{}):Ud&&Od()},Pd=()=>{var Fd,Ud;(Ud=(Fd=_d.value)==null?void 0:Fd.focus)==null||Ud.call(Fd)};return onMounted(()=>{_d.value.checked=kd.value}),qa({focus:Pd,checked:kd}),(Fd,Ud)=>(openBlock(),createElementBlock("div",{class:normalizeClass(unref(Ad)),onClick:withModifiers(Md,["prevent"])},[createBaseVNode("input",{id:unref(ld),ref_key:"input",ref:_d,class:normalizeClass(unref(od).e("input")),type:"checkbox",role:"switch","aria-checked":unref(kd),"aria-disabled":unref(cd),"aria-label":Fd.ariaLabel,name:Fd.name,"true-value":Fd.activeValue,"false-value":Fd.inactiveValue,disabled:unref(cd),tabindex:Fd.tabindex,onChange:Od,onKeydown:withKeys(Md,["enter"])},null,42,["id","aria-checked","aria-disabled","aria-label","name","true-value","false-value","disabled","tabindex","onKeydown"]),!Fd.inlinePrompt&&(Fd.inactiveIcon||Fd.inactiveText)?(openBlock(),createElementBlock("span",{key:0,class:normalizeClass(unref(Td))},[Fd.inactiveIcon?(openBlock(),createBlock(unref(ElIcon),{key:0},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Fd.inactiveIcon)))]),_:1})):createCommentVNode("v-if",!0),!Fd.inactiveIcon&&Fd.inactiveText?(openBlock(),createElementBlock("span",{key:1,"aria-hidden":unref(kd)},toDisplayString(Fd.inactiveText),9,["aria-hidden"])):createCommentVNode("v-if",!0)],2)):createCommentVNode("v-if",!0),createBaseVNode("span",{ref_key:"core",ref:Ed,class:normalizeClass(unref(od).e("core")),style:normalizeStyle(unref(Rd))},[Fd.inlinePrompt?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(unref(od).e("inner"))},[Fd.activeIcon||Fd.inactiveIcon?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(od).is("icon"))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(kd)?Fd.activeIcon:Fd.inactiveIcon)))]),_:1},8,["class"])):Fd.activeText||Fd.inactiveText?(openBlock(),createElementBlock("span",{key:1,class:normalizeClass(unref(od).is("text")),"aria-hidden":!unref(kd)},toDisplayString(unref(kd)?Fd.activeText:Fd.inactiveText),11,["aria-hidden"])):createCommentVNode("v-if",!0)],2)):createCommentVNode("v-if",!0),createBaseVNode("div",{class:normalizeClass(unref(od).e("action"))},[Fd.loading?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(od).is("loading"))},{default:withCtx(()=>[createVNode(unref(loading_default))]),_:1},8,["class"])):unref(kd)?renderSlot(Fd.$slots,"active-action",{key:1},()=>[Fd.activeActionIcon?(openBlock(),createBlock(unref(ElIcon),{key:0},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Fd.activeActionIcon)))]),_:1})):createCommentVNode("v-if",!0)]):unref(kd)?createCommentVNode("v-if",!0):renderSlot(Fd.$slots,"inactive-action",{key:2},()=>[Fd.inactiveActionIcon?(openBlock(),createBlock(unref(ElIcon),{key:0},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Fd.inactiveActionIcon)))]),_:1})):createCommentVNode("v-if",!0)])],2)],6),!Fd.inlinePrompt&&(Fd.activeIcon||Fd.activeText)?(openBlock(),createElementBlock("span",{key:1,class:normalizeClass(unref(Nd))},[Fd.activeIcon?(openBlock(),createBlock(unref(ElIcon),{key:0},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Fd.activeIcon)))]),_:1})):createCommentVNode("v-if",!0),!Fd.activeIcon&&Fd.activeText?(openBlock(),createElementBlock("span",{key:1,"aria-hidden":!unref(kd)},toDisplayString(Fd.activeText),9,["aria-hidden"])):createCommentVNode("v-if",!0)],2)):createCommentVNode("v-if",!0)],10,["onClick"]))}});var Switch=_export_sfc$1(_sfc_main$X,[["__file","switch.vue"]]);const ElSwitch=withInstall(Switch),tabsRootContextKey=Symbol("tabsRootContextKey"),tabBarProps=buildProps({tabs:{type:definePropType(Array),default:()=>mutable([])}}),COMPONENT_NAME$3="ElTabBar",__default__$7=defineComponent({name:COMPONENT_NAME$3}),_sfc_main$W=defineComponent({...__default__$7,props:tabBarProps,setup(Ra,{expose:qa}){const ed=Ra,td=getCurrentInstance(),rd=inject(tabsRootContextKey);rd||throwError$1(COMPONENT_NAME$3,"");const sd=useNamespace("tabs"),od=ref$1(),ld=ref$1(),cd=()=>{let Td=0,Nd=0;const Rd=["top","bottom"].includes(rd.props.tabPosition)?"width":"height",Bd=Rd==="width"?"x":"y",kd=Bd==="x"?"left":"top";return ed.tabs.every(Od=>{var Md,Pd;const Fd=(Pd=(Md=td.parent)==null?void 0:Md.refs)==null?void 0:Pd[`tab-${Od.uid}`];if(!Fd)return!1;if(!Od.active)return!0;Td=Fd[`offset${capitalize(kd)}`],Nd=Fd[`client${capitalize(Rd)}`];const Ud=window.getComputedStyle(Fd);return Rd==="width"&&(Nd-=Number.parseFloat(Ud.paddingLeft)+Number.parseFloat(Ud.paddingRight),Td+=Number.parseFloat(Ud.paddingLeft)),!1}),{[Rd]:`${Nd}px`,transform:`translate${capitalize(Bd)}(${Td}px)`}},ud=()=>ld.value=cd(),_d=[],Ed=()=>{var Td;_d.forEach(Rd=>Rd.stop()),_d.length=0;const Nd=(Td=td.parent)==null?void 0:Td.refs;if(Nd){for(const Rd in Nd)if(Rd.startsWith("tab-")){const Bd=Nd[Rd];Bd&&_d.push(useResizeObserver(Bd,ud))}}};watch(()=>ed.tabs,async()=>{await nextTick$1(),ud(),Ed()},{immediate:!0});const Ad=useResizeObserver(od,()=>ud());return onBeforeUnmount(()=>{_d.forEach(Td=>Td.stop()),_d.length=0,Ad.stop()}),qa({ref:od,update:ud}),(Td,Nd)=>(openBlock(),createElementBlock("div",{ref_key:"barRef",ref:od,class:normalizeClass([unref(sd).e("active-bar"),unref(sd).is(unref(rd).props.tabPosition)]),style:normalizeStyle(ld.value)},null,6))}});var TabBar=_export_sfc$1(_sfc_main$W,[["__file","tab-bar.vue"]]);const tabNavProps=buildProps({panes:{type:definePropType(Array),default:()=>mutable([])},currentName:{type:[String,Number],default:""},editable:Boolean,type:{type:String,values:["card","border-card",""],default:""},stretch:Boolean}),tabNavEmits={tabClick:(Ra,qa,ed)=>ed instanceof Event,tabRemove:(Ra,qa)=>qa instanceof Event},COMPONENT_NAME$2="ElTabNav",TabNav=defineComponent({name:COMPONENT_NAME$2,props:tabNavProps,emits:tabNavEmits,setup(Ra,{expose:qa,emit:ed}){const td=inject(tabsRootContextKey);td||throwError$1(COMPONENT_NAME$2,"");const rd=useNamespace("tabs"),sd=useDocumentVisibility(),od=useWindowFocus(),ld=ref$1(),cd=ref$1(),ud=ref$1(),_d=ref$1(),Ed=ref$1(!1),Ad=ref$1(0),Td=ref$1(!1),Nd=ref$1(!0),Rd=computed(()=>["top","bottom"].includes(td.props.tabPosition)?"width":"height"),Bd=computed(()=>({transform:`translate${Rd.value==="width"?"X":"Y"}(-${Ad.value}px)`})),kd=()=>{if(!ld.value)return;const Qd=ld.value[`offset${capitalize(Rd.value)}`],Vd=Ad.value;if(!Vd)return;const zd=Vd>Qd?Vd-Qd:0;Ad.value=zd},Od=()=>{if(!ld.value||!cd.value)return;const Qd=cd.value[`offset${capitalize(Rd.value)}`],Vd=ld.value[`offset${capitalize(Rd.value)}`],zd=Ad.value;if(Qd-zd<=Vd)return;const Xd=Qd-zd>Vd*2?zd+Vd:Qd-Vd;Ad.value=Xd},Md=async()=>{const Qd=cd.value;if(!Ed.value||!ud.value||!ld.value||!Qd)return;await nextTick$1();const Vd=ud.value.querySelector(".is-active");if(!Vd)return;const zd=ld.value,Xd=["top","bottom"].includes(td.props.tabPosition),df=Vd.getBoundingClientRect(),mf=zd.getBoundingClientRect(),_f=Xd?Qd.offsetWidth-mf.width:Qd.offsetHeight-mf.height,hf=Ad.value;let gf=hf;Xd?(df.leftmf.right&&(gf=hf+df.right-mf.right)):(df.topmf.bottom&&(gf=hf+(df.bottom-mf.bottom))),gf=Math.max(gf,0),Ad.value=Math.min(gf,_f)},Pd=()=>{var Qd;if(!cd.value||!ld.value)return;Ra.stretch&&((Qd=_d.value)==null||Qd.update());const Vd=cd.value[`offset${capitalize(Rd.value)}`],zd=ld.value[`offset${capitalize(Rd.value)}`],Xd=Ad.value;zd0&&(Ad.value=0))},Fd=Qd=>{const Vd=Qd.code,{up:zd,down:Xd,left:df,right:mf}=EVENT_CODE;if(![zd,Xd,df,mf].includes(Vd))return;const _f=Array.from(Qd.currentTarget.querySelectorAll("[role=tab]:not(.is-disabled)")),hf=_f.indexOf(Qd.target);let gf;Vd===df||Vd===zd?hf===0?gf=_f.length-1:gf=hf-1:hf<_f.length-1?gf=hf+1:gf=0,_f[gf].focus({preventScroll:!0}),_f[gf].click(),Ud()},Ud=()=>{Nd.value&&(Td.value=!0)},Gd=()=>Td.value=!1;return watch(sd,Qd=>{Qd==="hidden"?Nd.value=!1:Qd==="visible"&&setTimeout(()=>Nd.value=!0,50)}),watch(od,Qd=>{Qd?setTimeout(()=>Nd.value=!0,50):Nd.value=!1}),useResizeObserver(ud,Pd),onMounted(()=>setTimeout(()=>Md(),0)),onUpdated(()=>Pd()),qa({scrollToActiveTab:Md,removeFocus:Gd}),()=>{const Qd=Ed.value?[createVNode("span",{class:[rd.e("nav-prev"),rd.is("disabled",!Ed.value.prev)],onClick:kd},[createVNode(ElIcon,null,{default:()=>[createVNode(arrow_left_default,null,null)]})]),createVNode("span",{class:[rd.e("nav-next"),rd.is("disabled",!Ed.value.next)],onClick:Od},[createVNode(ElIcon,null,{default:()=>[createVNode(arrow_right_default,null,null)]})])]:null,Vd=Ra.panes.map((zd,Xd)=>{var df,mf,_f,hf;const gf=zd.uid,yf=zd.props.disabled,Nf=(mf=(df=zd.props.name)!=null?df:zd.index)!=null?mf:`${Xd}`,Pf=!yf&&(zd.isClosable||Ra.editable);zd.index=`${Xd}`;const Yf=Pf?createVNode(ElIcon,{class:"is-icon-close",onClick:xf=>ed("tabRemove",zd,xf)},{default:()=>[createVNode(close_default,null,null)]}):null,Uf=((hf=(_f=zd.slots).label)==null?void 0:hf.call(_f))||zd.props.label,Lf=!yf&&zd.active?0:-1;return createVNode("div",{ref:`tab-${gf}`,class:[rd.e("item"),rd.is(td.props.tabPosition),rd.is("active",zd.active),rd.is("disabled",yf),rd.is("closable",Pf),rd.is("focus",Td.value)],id:`tab-${Nf}`,key:`tab-${gf}`,"aria-controls":`pane-${Nf}`,role:"tab","aria-selected":zd.active,tabindex:Lf,onFocus:()=>Ud(),onBlur:()=>Gd(),onClick:xf=>{Gd(),ed("tabClick",zd,Nf,xf)},onKeydown:xf=>{Pf&&(xf.code===EVENT_CODE.delete||xf.code===EVENT_CODE.backspace)&&ed("tabRemove",zd,xf)}},[Uf,Yf])});return createVNode("div",{ref:ud,class:[rd.e("nav-wrap"),rd.is("scrollable",!!Ed.value),rd.is(td.props.tabPosition)]},[Qd,createVNode("div",{class:rd.e("nav-scroll"),ref:ld},[createVNode("div",{class:[rd.e("nav"),rd.is(td.props.tabPosition),rd.is("stretch",Ra.stretch&&["top","bottom"].includes(td.props.tabPosition))],ref:cd,style:Bd.value,role:"tablist",onKeydown:Fd},[Ra.type?null:createVNode(TabBar,{ref:_d,tabs:[...Ra.panes]},null),Vd])])])}}}),tabsProps=buildProps({type:{type:String,values:["card","border-card",""],default:""},closable:Boolean,addable:Boolean,modelValue:{type:[String,Number]},editable:Boolean,tabPosition:{type:String,values:["top","right","bottom","left"],default:"top"},beforeLeave:{type:definePropType(Function),default:()=>!0},stretch:Boolean}),isPaneName=Ra=>isString$3(Ra)||isNumber$3(Ra),tabsEmits={[UPDATE_MODEL_EVENT]:Ra=>isPaneName(Ra),tabClick:(Ra,qa)=>qa instanceof Event,tabChange:Ra=>isPaneName(Ra),edit:(Ra,qa)=>["remove","add"].includes(qa),tabRemove:Ra=>isPaneName(Ra),tabAdd:()=>!0},Tabs=defineComponent({name:"ElTabs",props:tabsProps,emits:tabsEmits,setup(Ra,{emit:qa,slots:ed,expose:td}){var rd;const sd=useNamespace("tabs"),od=computed(()=>["left","right"].includes(Ra.tabPosition)),{children:ld,addChild:cd,removeChild:ud}=useOrderedChildren(getCurrentInstance(),"ElTabPane"),_d=ref$1(),Ed=ref$1((rd=Ra.modelValue)!=null?rd:"0"),Ad=async(kd,Od=!1)=>{var Md,Pd,Fd;if(!(Ed.value===kd||isUndefined$1(kd)))try{await((Md=Ra.beforeLeave)==null?void 0:Md.call(Ra,kd,Ed.value))!==!1&&(Ed.value=kd,Od&&(qa(UPDATE_MODEL_EVENT,kd),qa("tabChange",kd)),(Fd=(Pd=_d.value)==null?void 0:Pd.removeFocus)==null||Fd.call(Pd))}catch{}},Td=(kd,Od,Md)=>{kd.props.disabled||(Ad(Od,!0),qa("tabClick",kd,Md))},Nd=(kd,Od)=>{kd.props.disabled||isUndefined$1(kd.props.name)||(Od.stopPropagation(),qa("edit",kd.props.name,"remove"),qa("tabRemove",kd.props.name))},Rd=()=>{qa("edit",void 0,"add"),qa("tabAdd")};watch(()=>Ra.modelValue,kd=>Ad(kd)),watch(Ed,async()=>{var kd;await nextTick$1(),(kd=_d.value)==null||kd.scrollToActiveTab()}),provide(tabsRootContextKey,{props:Ra,currentName:Ed,registerPane:kd=>{ld.value.push(kd)},sortPane:cd,unregisterPane:ud}),td({currentName:Ed});const Bd=({render:kd})=>kd();return()=>{const kd=ed["add-icon"],Od=Ra.editable||Ra.addable?createVNode("div",{class:[sd.e("new-tab"),od.value&&sd.e("new-tab-vertical")],tabindex:"0",onClick:Rd,onKeydown:Fd=>{Fd.code===EVENT_CODE.enter&&Rd()}},[kd?renderSlot(ed,"add-icon"):createVNode(ElIcon,{class:sd.is("icon-plus")},{default:()=>[createVNode(plus_default,null,null)]})]):null,Md=createVNode("div",{class:[sd.e("header"),od.value&&sd.e("header-vertical"),sd.is(Ra.tabPosition)]},[createVNode(Bd,{render:()=>{const Fd=ld.value.some(Ud=>Ud.slots.label);return createVNode(TabNav,{ref:_d,currentName:Ed.value,editable:Ra.editable,type:Ra.type,panes:ld.value,stretch:Ra.stretch,onTabClick:Td,onTabRemove:Nd},{$stable:!Fd})}},null),Od]),Pd=createVNode("div",{class:sd.e("content")},[renderSlot(ed,"default")]);return createVNode("div",{class:[sd.b(),sd.m(Ra.tabPosition),{[sd.m("card")]:Ra.type==="card",[sd.m("border-card")]:Ra.type==="border-card"}]},[Pd,Md])}}}),tabPaneProps=buildProps({label:{type:String,default:""},name:{type:[String,Number]},closable:Boolean,disabled:Boolean,lazy:Boolean}),COMPONENT_NAME$1="ElTabPane",__default__$6=defineComponent({name:COMPONENT_NAME$1}),_sfc_main$V=defineComponent({...__default__$6,props:tabPaneProps,setup(Ra){const qa=Ra,ed=getCurrentInstance(),td=useSlots(),rd=inject(tabsRootContextKey);rd||throwError$1(COMPONENT_NAME$1,"usage: ");const sd=useNamespace("tab-pane"),od=ref$1(),ld=computed(()=>qa.closable||rd.props.closable),cd=computedEager(()=>{var Td;return rd.currentName.value===((Td=qa.name)!=null?Td:od.value)}),ud=ref$1(cd.value),_d=computed(()=>{var Td;return(Td=qa.name)!=null?Td:od.value}),Ed=computedEager(()=>!qa.lazy||ud.value||cd.value);watch(cd,Td=>{Td&&(ud.value=!0)});const Ad=reactive({uid:ed.uid,slots:td,props:qa,paneName:_d,active:cd,index:od,isClosable:ld});return rd.registerPane(Ad),onMounted(()=>{rd.sortPane(Ad)}),onUnmounted(()=>{rd.unregisterPane(Ad.uid)}),(Td,Nd)=>unref(Ed)?withDirectives((openBlock(),createElementBlock("div",{key:0,id:`pane-${unref(_d)}`,class:normalizeClass(unref(sd).b()),role:"tabpanel","aria-hidden":!unref(cd),"aria-labelledby":`tab-${unref(_d)}`},[renderSlot(Td.$slots,"default")],10,["id","aria-hidden","aria-labelledby"])),[[vShow,unref(cd)]]):createCommentVNode("v-if",!0)}});var TabPane=_export_sfc$1(_sfc_main$V,[["__file","tab-pane.vue"]]);const ElTabs=withInstall(Tabs,{TabPane}),ElTabPane=withNoopInstall(TabPane),uploadContextKey=Symbol("uploadContextKey"),SCOPE$1="ElUpload";class UploadAjaxError extends Error{constructor(qa,ed,td,rd){super(qa),this.name="UploadAjaxError",this.status=ed,this.method=td,this.url=rd}}function getError(Ra,qa,ed){let td;return ed.response?td=`${ed.response.error||ed.response}`:ed.responseText?td=`${ed.responseText}`:td=`fail to ${qa.method} ${Ra} ${ed.status}`,new UploadAjaxError(td,ed.status,qa.method,Ra)}function getBody(Ra){const qa=Ra.responseText||Ra.response;if(!qa)return qa;try{return JSON.parse(qa)}catch{return qa}}const ajaxUpload=Ra=>{typeof XMLHttpRequest>"u"&&throwError$1(SCOPE$1,"XMLHttpRequest is undefined");const qa=new XMLHttpRequest,ed=Ra.action;qa.upload&&qa.upload.addEventListener("progress",sd=>{const od=sd;od.percent=sd.total>0?sd.loaded/sd.total*100:0,Ra.onProgress(od)});const td=new FormData;if(Ra.data)for(const[sd,od]of Object.entries(Ra.data))isArray$2(od)&&od.length?td.append(sd,...od):td.append(sd,od);td.append(Ra.filename,Ra.file,Ra.file.name),qa.addEventListener("error",()=>{Ra.onError(getError(ed,Ra,qa))}),qa.addEventListener("load",()=>{if(qa.status<200||qa.status>=300)return Ra.onError(getError(ed,Ra,qa));Ra.onSuccess(getBody(qa))}),qa.open(Ra.method,ed,!0),Ra.withCredentials&&"withCredentials"in qa&&(qa.withCredentials=!0);const rd=Ra.headers||{};if(rd instanceof Headers)rd.forEach((sd,od)=>qa.setRequestHeader(od,sd));else for(const[sd,od]of Object.entries(rd))isNil(od)||qa.setRequestHeader(sd,String(od));return qa.send(td),qa},uploadListTypes=["text","picture","picture-card"];let fileId=1;const genFileId=()=>Date.now()+fileId++,uploadBaseProps=buildProps({action:{type:String,default:"#"},headers:{type:definePropType(Object)},method:{type:String,default:"post"},data:{type:definePropType([Object,Function,Promise]),default:()=>mutable({})},multiple:Boolean,name:{type:String,default:"file"},drag:Boolean,withCredentials:Boolean,showFileList:{type:Boolean,default:!0},accept:{type:String,default:""},fileList:{type:definePropType(Array),default:()=>mutable([])},autoUpload:{type:Boolean,default:!0},listType:{type:String,values:uploadListTypes,default:"text"},httpRequest:{type:definePropType(Function),default:ajaxUpload},disabled:Boolean,limit:Number}),uploadProps=buildProps({...uploadBaseProps,beforeUpload:{type:definePropType(Function),default:NOOP},beforeRemove:{type:definePropType(Function)},onRemove:{type:definePropType(Function),default:NOOP},onChange:{type:definePropType(Function),default:NOOP},onPreview:{type:definePropType(Function),default:NOOP},onSuccess:{type:definePropType(Function),default:NOOP},onProgress:{type:definePropType(Function),default:NOOP},onError:{type:definePropType(Function),default:NOOP},onExceed:{type:definePropType(Function),default:NOOP},crossorigin:{type:definePropType(String)}}),uploadListProps=buildProps({files:{type:definePropType(Array),default:()=>mutable([])},disabled:{type:Boolean,default:!1},handlePreview:{type:definePropType(Function),default:NOOP},listType:{type:String,values:uploadListTypes,default:"text"},crossorigin:{type:definePropType(String)}}),uploadListEmits={remove:Ra=>!!Ra},__default__$5=defineComponent({name:"ElUploadList"}),_sfc_main$U=defineComponent({...__default__$5,props:uploadListProps,emits:uploadListEmits,setup(Ra,{emit:qa}){const ed=Ra,{t:td}=useLocale(),rd=useNamespace("upload"),sd=useNamespace("icon"),od=useNamespace("list"),ld=useFormDisabled(),cd=ref$1(!1),ud=computed(()=>[rd.b("list"),rd.bm("list",ed.listType),rd.is("disabled",ed.disabled)]),_d=Ed=>{qa("remove",Ed)};return(Ed,Ad)=>(openBlock(),createBlock(TransitionGroup,{tag:"ul",class:normalizeClass(unref(ud)),name:unref(od).b()},{default:withCtx(()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(Ed.files,(Td,Nd)=>(openBlock(),createElementBlock("li",{key:Td.uid||Td.name,class:normalizeClass([unref(rd).be("list","item"),unref(rd).is(Td.status),{focusing:cd.value}]),tabindex:"0",onKeydown:withKeys(Rd=>!unref(ld)&&_d(Td),["delete"]),onFocus:Rd=>cd.value=!0,onBlur:Rd=>cd.value=!1,onClick:Rd=>cd.value=!1},[renderSlot(Ed.$slots,"default",{file:Td,index:Nd},()=>[Ed.listType==="picture"||Td.status!=="uploading"&&Ed.listType==="picture-card"?(openBlock(),createElementBlock("img",{key:0,class:normalizeClass(unref(rd).be("list","item-thumbnail")),src:Td.url,crossorigin:Ed.crossorigin,alt:""},null,10,["src","crossorigin"])):createCommentVNode("v-if",!0),Td.status==="uploading"||Ed.listType!=="picture-card"?(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(unref(rd).be("list","item-info"))},[createBaseVNode("a",{class:normalizeClass(unref(rd).be("list","item-name")),onClick:withModifiers(Rd=>Ed.handlePreview(Td),["prevent"])},[createVNode(unref(ElIcon),{class:normalizeClass(unref(sd).m("document"))},{default:withCtx(()=>[createVNode(unref(document_default))]),_:1},8,["class"]),createBaseVNode("span",{class:normalizeClass(unref(rd).be("list","item-file-name")),title:Td.name},toDisplayString(Td.name),11,["title"])],10,["onClick"]),Td.status==="uploading"?(openBlock(),createBlock(unref(ElProgress),{key:0,type:Ed.listType==="picture-card"?"circle":"line","stroke-width":Ed.listType==="picture-card"?6:2,percentage:Number(Td.percentage),style:normalizeStyle(Ed.listType==="picture-card"?"":"margin-top: 0.5rem")},null,8,["type","stroke-width","percentage","style"])):createCommentVNode("v-if",!0)],2)):createCommentVNode("v-if",!0),createBaseVNode("label",{class:normalizeClass(unref(rd).be("list","item-status-label"))},[Ed.listType==="text"?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass([unref(sd).m("upload-success"),unref(sd).m("circle-check")])},{default:withCtx(()=>[createVNode(unref(circle_check_default))]),_:1},8,["class"])):["picture-card","picture"].includes(Ed.listType)?(openBlock(),createBlock(unref(ElIcon),{key:1,class:normalizeClass([unref(sd).m("upload-success"),unref(sd).m("check")])},{default:withCtx(()=>[createVNode(unref(check_default))]),_:1},8,["class"])):createCommentVNode("v-if",!0)],2),unref(ld)?createCommentVNode("v-if",!0):(openBlock(),createBlock(unref(ElIcon),{key:2,class:normalizeClass(unref(sd).m("close")),onClick:Rd=>_d(Td)},{default:withCtx(()=>[createVNode(unref(close_default))]),_:2},1032,["class","onClick"])),createCommentVNode(" Due to close btn only appears when li gets focused disappears after li gets blurred, thus keyboard navigation can never reach close btn"),createCommentVNode(" This is a bug which needs to be fixed "),createCommentVNode(" TODO: Fix the incorrect navigation interaction "),unref(ld)?createCommentVNode("v-if",!0):(openBlock(),createElementBlock("i",{key:3,class:normalizeClass(unref(sd).m("close-tip"))},toDisplayString(unref(td)("el.upload.deleteTip")),3)),Ed.listType==="picture-card"?(openBlock(),createElementBlock("span",{key:4,class:normalizeClass(unref(rd).be("list","item-actions"))},[createBaseVNode("span",{class:normalizeClass(unref(rd).be("list","item-preview")),onClick:Rd=>Ed.handlePreview(Td)},[createVNode(unref(ElIcon),{class:normalizeClass(unref(sd).m("zoom-in"))},{default:withCtx(()=>[createVNode(unref(zoom_in_default))]),_:1},8,["class"])],10,["onClick"]),unref(ld)?createCommentVNode("v-if",!0):(openBlock(),createElementBlock("span",{key:0,class:normalizeClass(unref(rd).be("list","item-delete")),onClick:Rd=>_d(Td)},[createVNode(unref(ElIcon),{class:normalizeClass(unref(sd).m("delete"))},{default:withCtx(()=>[createVNode(unref(delete_default))]),_:1},8,["class"])],10,["onClick"]))],2)):createCommentVNode("v-if",!0)])],42,["onKeydown","onFocus","onBlur","onClick"]))),128)),renderSlot(Ed.$slots,"append")]),_:3},8,["class","name"]))}});var UploadList=_export_sfc$1(_sfc_main$U,[["__file","upload-list.vue"]]);const uploadDraggerProps=buildProps({disabled:{type:Boolean,default:!1}}),uploadDraggerEmits={file:Ra=>isArray$2(Ra)},COMPONENT_NAME="ElUploadDrag",__default__$4=defineComponent({name:COMPONENT_NAME}),_sfc_main$T=defineComponent({...__default__$4,props:uploadDraggerProps,emits:uploadDraggerEmits,setup(Ra,{emit:qa}){inject(uploadContextKey)||throwError$1(COMPONENT_NAME,"usage: ");const td=useNamespace("upload"),rd=ref$1(!1),sd=useFormDisabled(),od=cd=>{if(sd.value)return;rd.value=!1,cd.stopPropagation();const ud=Array.from(cd.dataTransfer.files);qa("file",ud)},ld=()=>{sd.value||(rd.value=!0)};return(cd,ud)=>(openBlock(),createElementBlock("div",{class:normalizeClass([unref(td).b("dragger"),unref(td).is("dragover",rd.value)]),onDrop:withModifiers(od,["prevent"]),onDragover:withModifiers(ld,["prevent"]),onDragleave:withModifiers(_d=>rd.value=!1,["prevent"])},[renderSlot(cd.$slots,"default")],42,["onDrop","onDragover","onDragleave"]))}});var UploadDragger=_export_sfc$1(_sfc_main$T,[["__file","upload-dragger.vue"]]);const uploadContentProps=buildProps({...uploadBaseProps,beforeUpload:{type:definePropType(Function),default:NOOP},onRemove:{type:definePropType(Function),default:NOOP},onStart:{type:definePropType(Function),default:NOOP},onSuccess:{type:definePropType(Function),default:NOOP},onProgress:{type:definePropType(Function),default:NOOP},onError:{type:definePropType(Function),default:NOOP},onExceed:{type:definePropType(Function),default:NOOP}}),__default__$3=defineComponent({name:"ElUploadContent",inheritAttrs:!1}),_sfc_main$S=defineComponent({...__default__$3,props:uploadContentProps,setup(Ra,{expose:qa}){const ed=Ra,td=useNamespace("upload"),rd=useFormDisabled(),sd=shallowRef({}),od=shallowRef(),ld=Rd=>{if(Rd.length===0)return;const{autoUpload:Bd,limit:kd,fileList:Od,multiple:Md,onStart:Pd,onExceed:Fd}=ed;if(kd&&Od.length+Rd.length>kd){Fd(Rd,Od);return}Md||(Rd=Rd.slice(0,1));for(const Ud of Rd){const Gd=Ud;Gd.uid=genFileId(),Pd(Gd),Bd&&cd(Gd)}},cd=async Rd=>{if(od.value.value="",!ed.beforeUpload)return _d(Rd);let Bd,kd={};try{const Md=ed.data,Pd=ed.beforeUpload(Rd);kd=isPlainObject$3(ed.data)?cloneDeep$1(ed.data):ed.data,Bd=await Pd,isPlainObject$3(ed.data)&&isEqual(Md,kd)&&(kd=cloneDeep$1(ed.data))}catch{Bd=!1}if(Bd===!1){ed.onRemove(Rd);return}let Od=Rd;Bd instanceof Blob&&(Bd instanceof File?Od=Bd:Od=new File([Bd],Rd.name,{type:Rd.type})),_d(Object.assign(Od,{uid:Rd.uid}),kd)},ud=async(Rd,Bd)=>isFunction$4(Rd)?Rd(Bd):Rd,_d=async(Rd,Bd)=>{const{headers:kd,data:Od,method:Md,withCredentials:Pd,name:Fd,action:Ud,onProgress:Gd,onSuccess:Qd,onError:Vd,httpRequest:zd}=ed;try{Bd=await ud(Bd??Od,Rd)}catch{ed.onRemove(Rd);return}const{uid:Xd}=Rd,df={headers:kd||{},withCredentials:Pd,file:Rd,data:Bd,method:Md,filename:Fd,action:Ud,onProgress:_f=>{Gd(_f,Rd)},onSuccess:_f=>{Qd(_f,Rd),delete sd.value[Xd]},onError:_f=>{Vd(_f,Rd),delete sd.value[Xd]}},mf=zd(df);sd.value[Xd]=mf,mf instanceof Promise&&mf.then(df.onSuccess,df.onError)},Ed=Rd=>{const Bd=Rd.target.files;Bd&&ld(Array.from(Bd))},Ad=()=>{rd.value||(od.value.value="",od.value.click())},Td=()=>{Ad()};return qa({abort:Rd=>{entriesOf(sd.value).filter(Rd?([kd])=>String(Rd.uid)===kd:()=>!0).forEach(([kd,Od])=>{Od instanceof XMLHttpRequest&&Od.abort(),delete sd.value[kd]})},upload:cd}),(Rd,Bd)=>(openBlock(),createElementBlock("div",{class:normalizeClass([unref(td).b(),unref(td).m(Rd.listType),unref(td).is("drag",Rd.drag),unref(td).is("disabled",unref(rd))]),tabindex:unref(rd)?"-1":"0",onClick:Ad,onKeydown:withKeys(withModifiers(Td,["self"]),["enter","space"])},[Rd.drag?(openBlock(),createBlock(UploadDragger,{key:0,disabled:unref(rd),onFile:ld},{default:withCtx(()=>[renderSlot(Rd.$slots,"default")]),_:3},8,["disabled"])):renderSlot(Rd.$slots,"default",{key:1}),createBaseVNode("input",{ref_key:"inputRef",ref:od,class:normalizeClass(unref(td).e("input")),name:Rd.name,disabled:unref(rd),multiple:Rd.multiple,accept:Rd.accept,type:"file",onChange:Ed,onClick:withModifiers(()=>{},["stop"])},null,42,["name","disabled","multiple","accept","onClick"])],42,["tabindex","onKeydown"]))}});var UploadContent=_export_sfc$1(_sfc_main$S,[["__file","upload-content.vue"]]);const SCOPE="ElUpload",revokeFileObjectURL=Ra=>{var qa;(qa=Ra.url)!=null&&qa.startsWith("blob:")&&URL.revokeObjectURL(Ra.url)},useHandlers=(Ra,qa)=>{const ed=useVModel(Ra,"fileList",void 0,{passive:!0}),td=Td=>ed.value.find(Nd=>Nd.uid===Td.uid);function rd(Td){var Nd;(Nd=qa.value)==null||Nd.abort(Td)}function sd(Td=["ready","uploading","success","fail"]){ed.value=ed.value.filter(Nd=>!Td.includes(Nd.status))}function od(Td){ed.value=ed.value.filter(Nd=>Nd!==Td)}const ld=(Td,Nd)=>{const Rd=td(Nd);Rd&&(console.error(Td),Rd.status="fail",od(Rd),Ra.onError(Td,Rd,ed.value),Ra.onChange(Rd,ed.value))},cd=(Td,Nd)=>{const Rd=td(Nd);Rd&&(Ra.onProgress(Td,Rd,ed.value),Rd.status="uploading",Rd.percentage=Math.round(Td.percent))},ud=(Td,Nd)=>{const Rd=td(Nd);Rd&&(Rd.status="success",Rd.response=Td,Ra.onSuccess(Td,Rd,ed.value),Ra.onChange(Rd,ed.value))},_d=Td=>{isNil(Td.uid)&&(Td.uid=genFileId());const Nd={name:Td.name,percentage:0,status:"ready",size:Td.size,raw:Td,uid:Td.uid};if(Ra.listType==="picture-card"||Ra.listType==="picture")try{Nd.url=URL.createObjectURL(Td)}catch(Rd){Rd.message,Ra.onError(Rd,Nd,ed.value)}ed.value=[...ed.value,Nd],Ra.onChange(Nd,ed.value)},Ed=async Td=>{const Nd=Td instanceof File?td(Td):Td;Nd||throwError$1(SCOPE,"file to be removed not found");const Rd=Bd=>{rd(Bd),od(Bd),Ra.onRemove(Bd,ed.value),revokeFileObjectURL(Bd)};Ra.beforeRemove?await Ra.beforeRemove(Nd,ed.value)!==!1&&Rd(Nd):Rd(Nd)};function Ad(){ed.value.filter(({status:Td})=>Td==="ready").forEach(({raw:Td})=>{var Nd;return Td&&((Nd=qa.value)==null?void 0:Nd.upload(Td))})}return watch(()=>Ra.listType,Td=>{Td!=="picture-card"&&Td!=="picture"||(ed.value=ed.value.map(Nd=>{const{raw:Rd,url:Bd}=Nd;if(!Bd&&Rd)try{Nd.url=URL.createObjectURL(Rd)}catch(kd){Ra.onError(kd,Nd,ed.value)}return Nd}))}),watch(ed,Td=>{for(const Nd of Td)Nd.uid||(Nd.uid=genFileId()),Nd.status||(Nd.status="success")},{immediate:!0,deep:!0}),{uploadFiles:ed,abort:rd,clearFiles:sd,handleError:ld,handleProgress:cd,handleStart:_d,handleSuccess:ud,handleRemove:Ed,submit:Ad,revokeFileObjectURL}},__default__$2=defineComponent({name:"ElUpload"}),_sfc_main$R=defineComponent({...__default__$2,props:uploadProps,setup(Ra,{expose:qa}){const ed=Ra,td=useFormDisabled(),rd=shallowRef(),{abort:sd,submit:od,clearFiles:ld,uploadFiles:cd,handleStart:ud,handleError:_d,handleRemove:Ed,handleSuccess:Ad,handleProgress:Td,revokeFileObjectURL:Nd}=useHandlers(ed,rd),Rd=computed(()=>ed.listType==="picture-card"),Bd=computed(()=>({...ed,fileList:cd.value,onStart:ud,onProgress:Td,onSuccess:Ad,onError:_d,onRemove:Ed}));return onBeforeUnmount(()=>{cd.value.forEach(Nd)}),provide(uploadContextKey,{accept:toRef$1(ed,"accept")}),qa({abort:sd,submit:od,clearFiles:ld,handleStart:ud,handleRemove:Ed}),(kd,Od)=>(openBlock(),createElementBlock("div",null,[unref(Rd)&&kd.showFileList?(openBlock(),createBlock(UploadList,{key:0,disabled:unref(td),"list-type":kd.listType,files:unref(cd),crossorigin:kd.crossorigin,"handle-preview":kd.onPreview,onRemove:unref(Ed)},createSlots({append:withCtx(()=>[createVNode(UploadContent,mergeProps({ref_key:"uploadRef",ref:rd},unref(Bd)),{default:withCtx(()=>[kd.$slots.trigger?renderSlot(kd.$slots,"trigger",{key:0}):createCommentVNode("v-if",!0),!kd.$slots.trigger&&kd.$slots.default?renderSlot(kd.$slots,"default",{key:1}):createCommentVNode("v-if",!0)]),_:3},16)]),_:2},[kd.$slots.file?{name:"default",fn:withCtx(({file:Md,index:Pd})=>[renderSlot(kd.$slots,"file",{file:Md,index:Pd})])}:void 0]),1032,["disabled","list-type","files","crossorigin","handle-preview","onRemove"])):createCommentVNode("v-if",!0),!unref(Rd)||unref(Rd)&&!kd.showFileList?(openBlock(),createBlock(UploadContent,mergeProps({key:1,ref_key:"uploadRef",ref:rd},unref(Bd)),{default:withCtx(()=>[kd.$slots.trigger?renderSlot(kd.$slots,"trigger",{key:0}):createCommentVNode("v-if",!0),!kd.$slots.trigger&&kd.$slots.default?renderSlot(kd.$slots,"default",{key:1}):createCommentVNode("v-if",!0)]),_:3},16)):createCommentVNode("v-if",!0),kd.$slots.trigger?renderSlot(kd.$slots,"default",{key:2}):createCommentVNode("v-if",!0),renderSlot(kd.$slots,"tip"),!unref(Rd)&&kd.showFileList?(openBlock(),createBlock(UploadList,{key:3,disabled:unref(td),"list-type":kd.listType,files:unref(cd),crossorigin:kd.crossorigin,"handle-preview":kd.onPreview,onRemove:unref(Ed)},createSlots({_:2},[kd.$slots.file?{name:"default",fn:withCtx(({file:Md,index:Pd})=>[renderSlot(kd.$slots,"file",{file:Md,index:Pd})])}:void 0]),1032,["disabled","list-type","files","crossorigin","handle-preview","onRemove"])):createCommentVNode("v-if",!0)]))}});var Upload=_export_sfc$1(_sfc_main$R,[["__file","upload.vue"]]);const ElUpload=withInstall(Upload);function createLoadingComponent(Ra){let qa;const ed=ref$1(!1),td=reactive({...Ra,originalPosition:"",originalOverflow:"",visible:!1});function rd(Ad){td.text=Ad}function sd(){const Ad=td.parent,Td=Ed.ns;if(!Ad.vLoadingAddClassList){let Nd=Ad.getAttribute("loading-number");Nd=Number.parseInt(Nd)-1,Nd?Ad.setAttribute("loading-number",Nd.toString()):(removeClass(Ad,Td.bm("parent","relative")),Ad.removeAttribute("loading-number")),removeClass(Ad,Td.bm("parent","hidden"))}od(),_d.unmount()}function od(){var Ad,Td;(Td=(Ad=Ed.$el)==null?void 0:Ad.parentNode)==null||Td.removeChild(Ed.$el)}function ld(){var Ad;Ra.beforeClose&&!Ra.beforeClose()||(ed.value=!0,clearTimeout(qa),qa=setTimeout(cd,400),td.visible=!1,(Ad=Ra.closed)==null||Ad.call(Ra))}function cd(){if(!ed.value)return;const Ad=td.parent;ed.value=!1,Ad.vLoadingAddClassList=void 0,sd()}const _d=createApp(defineComponent({name:"ElLoading",setup(Ad,{expose:Td}){const{ns:Nd,zIndex:Rd}=useGlobalComponentSettings("loading");return Td({ns:Nd,zIndex:Rd}),()=>{const Bd=td.spinner||td.svg,kd=h$1("svg",{class:"circular",viewBox:td.svgViewBox?td.svgViewBox:"0 0 50 50",...Bd?{innerHTML:Bd}:{}},[h$1("circle",{class:"path",cx:"25",cy:"25",r:"20",fill:"none"})]),Od=td.text?h$1("p",{class:Nd.b("text")},[td.text]):void 0;return h$1(Transition$1,{name:Nd.b("fade"),onAfterLeave:cd},{default:withCtx(()=>[withDirectives(createVNode("div",{style:{backgroundColor:td.background||""},class:[Nd.b("mask"),td.customClass,td.fullscreen?"is-fullscreen":""]},[h$1("div",{class:Nd.b("spinner")},[kd,Od])]),[[vShow,td.visible]])])})}}})),Ed=_d.mount(document.createElement("div"));return{...toRefs(td),setText:rd,removeElLoadingChild:od,close:ld,handleAfterLeave:cd,vm:Ed,get $el(){return Ed.$el}}}let fullscreenInstance;const Loading=function(Ra={}){if(!isClient$1)return;const qa=resolveOptions(Ra);if(qa.fullscreen&&fullscreenInstance)return fullscreenInstance;const ed=createLoadingComponent({...qa,closed:()=>{var rd;(rd=qa.closed)==null||rd.call(qa),qa.fullscreen&&(fullscreenInstance=void 0)}});addStyle(qa,qa.parent,ed),addClassList(qa,qa.parent,ed),qa.parent.vLoadingAddClassList=()=>addClassList(qa,qa.parent,ed);let td=qa.parent.getAttribute("loading-number");return td?td=`${Number.parseInt(td)+1}`:td="1",qa.parent.setAttribute("loading-number",td),qa.parent.appendChild(ed.$el),nextTick$1(()=>ed.visible.value=qa.visible),qa.fullscreen&&(fullscreenInstance=ed),ed},resolveOptions=Ra=>{var qa,ed,td,rd;let sd;return isString$3(Ra.target)?sd=(qa=document.querySelector(Ra.target))!=null?qa:document.body:sd=Ra.target||document.body,{parent:sd===document.body||Ra.body?document.body:sd,background:Ra.background||"",svg:Ra.svg||"",svgViewBox:Ra.svgViewBox||"",spinner:Ra.spinner||!1,text:Ra.text||"",fullscreen:sd===document.body&&((ed=Ra.fullscreen)!=null?ed:!0),lock:(td=Ra.lock)!=null?td:!1,customClass:Ra.customClass||"",visible:(rd=Ra.visible)!=null?rd:!0,beforeClose:Ra.beforeClose,closed:Ra.closed,target:sd}},addStyle=async(Ra,qa,ed)=>{const{nextZIndex:td}=ed.vm.zIndex||ed.vm._.exposed.zIndex,rd={};if(Ra.fullscreen)ed.originalPosition.value=getStyle(document.body,"position"),ed.originalOverflow.value=getStyle(document.body,"overflow"),rd.zIndex=td();else if(Ra.parent===document.body){ed.originalPosition.value=getStyle(document.body,"position"),await nextTick$1();for(const sd of["top","left"]){const od=sd==="top"?"scrollTop":"scrollLeft";rd[sd]=`${Ra.target.getBoundingClientRect()[sd]+document.body[od]+document.documentElement[od]-Number.parseInt(getStyle(document.body,`margin-${sd}`),10)}px`}for(const sd of["height","width"])rd[sd]=`${Ra.target.getBoundingClientRect()[sd]}px`}else ed.originalPosition.value=getStyle(qa,"position");for(const[sd,od]of Object.entries(rd))ed.$el.style[sd]=od},addClassList=(Ra,qa,ed)=>{const td=ed.vm.ns||ed.vm._.exposed.ns;["absolute","fixed","sticky"].includes(ed.originalPosition.value)?removeClass(qa,td.bm("parent","relative")):addClass(qa,td.bm("parent","relative")),Ra.fullscreen&&Ra.lock?addClass(qa,td.bm("parent","hidden")):removeClass(qa,td.bm("parent","hidden"))},INSTANCE_KEY=Symbol("ElLoading"),createInstance$1=(Ra,qa)=>{var ed,td,rd,sd;const od=qa.instance,ld=Ad=>isObject$5(qa.value)?qa.value[Ad]:void 0,cd=Ad=>{const Td=isString$3(Ad)&&(od==null?void 0:od[Ad])||Ad;return Td&&ref$1(Td)},ud=Ad=>cd(ld(Ad)||Ra.getAttribute(`element-loading-${hyphenate(Ad)}`)),_d=(ed=ld("fullscreen"))!=null?ed:qa.modifiers.fullscreen,Ed={text:ud("text"),svg:ud("svg"),svgViewBox:ud("svgViewBox"),spinner:ud("spinner"),background:ud("background"),customClass:ud("customClass"),fullscreen:_d,target:(td=ld("target"))!=null?td:_d?void 0:Ra,body:(rd=ld("body"))!=null?rd:qa.modifiers.body,lock:(sd=ld("lock"))!=null?sd:qa.modifiers.lock};Ra[INSTANCE_KEY]={options:Ed,instance:Loading(Ed)}},updateOptions=(Ra,qa)=>{for(const ed of Object.keys(qa))isRef(qa[ed])&&(qa[ed].value=Ra[ed])},vLoading={mounted(Ra,qa){qa.value&&createInstance$1(Ra,qa)},updated(Ra,qa){const ed=Ra[INSTANCE_KEY];qa.oldValue!==qa.value&&(qa.value&&!qa.oldValue?createInstance$1(Ra,qa):qa.value&&qa.oldValue?isObject$5(qa.value)&&updateOptions(qa.value,ed.options):ed==null||ed.instance.close())},unmounted(Ra){var qa;(qa=Ra[INSTANCE_KEY])==null||qa.instance.close(),Ra[INSTANCE_KEY]=null}},ElLoading={install(Ra){Ra.directive("loading",vLoading),Ra.config.globalProperties.$loading=Loading},directive:vLoading,service:Loading},messageTypes=["success","info","warning","error"],messageDefaults=mutable({customClass:"",center:!1,dangerouslyUseHTMLString:!1,duration:3e3,icon:void 0,id:"",message:"",onClose:void 0,showClose:!1,type:"info",plain:!1,offset:16,zIndex:0,grouping:!1,repeatNum:1,appendTo:isClient$1?document.body:void 0}),messageProps=buildProps({customClass:{type:String,default:messageDefaults.customClass},center:{type:Boolean,default:messageDefaults.center},dangerouslyUseHTMLString:{type:Boolean,default:messageDefaults.dangerouslyUseHTMLString},duration:{type:Number,default:messageDefaults.duration},icon:{type:iconPropType,default:messageDefaults.icon},id:{type:String,default:messageDefaults.id},message:{type:definePropType([String,Object,Function]),default:messageDefaults.message},onClose:{type:definePropType(Function),default:messageDefaults.onClose},showClose:{type:Boolean,default:messageDefaults.showClose},type:{type:String,values:messageTypes,default:messageDefaults.type},plain:{type:Boolean,default:messageDefaults.plain},offset:{type:Number,default:messageDefaults.offset},zIndex:{type:Number,default:messageDefaults.zIndex},grouping:{type:Boolean,default:messageDefaults.grouping},repeatNum:{type:Number,default:messageDefaults.repeatNum}}),messageEmits={destroy:()=>!0},instances=shallowReactive([]),getInstance=Ra=>{const qa=instances.findIndex(rd=>rd.id===Ra),ed=instances[qa];let td;return qa>0&&(td=instances[qa-1]),{current:ed,prev:td}},getLastOffset=Ra=>{const{prev:qa}=getInstance(Ra);return qa?qa.vm.exposed.bottom.value:0},getOffsetOrSpace=(Ra,qa)=>instances.findIndex(td=>td.id===Ra)>0?16:qa,__default__$1=defineComponent({name:"ElMessage"}),_sfc_main$Q=defineComponent({...__default__$1,props:messageProps,emits:messageEmits,setup(Ra,{expose:qa}){const ed=Ra,{Close:td}=TypeComponents,{ns:rd,zIndex:sd}=useGlobalComponentSettings("message"),{currentZIndex:od,nextZIndex:ld}=sd,cd=ref$1(),ud=ref$1(!1),_d=ref$1(0);let Ed;const Ad=computed(()=>ed.type?ed.type==="error"?"danger":ed.type:"info"),Td=computed(()=>{const Gd=ed.type;return{[rd.bm("icon",Gd)]:Gd&&TypeComponentsMap[Gd]}}),Nd=computed(()=>ed.icon||TypeComponentsMap[ed.type]||""),Rd=computed(()=>getLastOffset(ed.id)),Bd=computed(()=>getOffsetOrSpace(ed.id,ed.offset)+Rd.value),kd=computed(()=>_d.value+Bd.value),Od=computed(()=>({top:`${Bd.value}px`,zIndex:od.value}));function Md(){ed.duration!==0&&({stop:Ed}=useTimeoutFn(()=>{Fd()},ed.duration))}function Pd(){Ed==null||Ed()}function Fd(){ud.value=!1}function Ud({code:Gd}){Gd===EVENT_CODE.esc&&Fd()}return onMounted(()=>{Md(),ld(),ud.value=!0}),watch(()=>ed.repeatNum,()=>{Pd(),Md()}),useEventListener$1(document,"keydown",Ud),useResizeObserver(cd,()=>{_d.value=cd.value.getBoundingClientRect().height}),qa({visible:ud,bottom:kd,close:Fd}),(Gd,Qd)=>(openBlock(),createBlock(Transition$1,{name:unref(rd).b("fade"),onBeforeLeave:Gd.onClose,onAfterLeave:Vd=>Gd.$emit("destroy"),persisted:""},{default:withCtx(()=>[withDirectives(createBaseVNode("div",{id:Gd.id,ref_key:"messageRef",ref:cd,class:normalizeClass([unref(rd).b(),{[unref(rd).m(Gd.type)]:Gd.type},unref(rd).is("center",Gd.center),unref(rd).is("closable",Gd.showClose),unref(rd).is("plain",Gd.plain),Gd.customClass]),style:normalizeStyle(unref(Od)),role:"alert",onMouseenter:Pd,onMouseleave:Md},[Gd.repeatNum>1?(openBlock(),createBlock(unref(ElBadge),{key:0,value:Gd.repeatNum,type:unref(Ad),class:normalizeClass(unref(rd).e("badge"))},null,8,["value","type","class"])):createCommentVNode("v-if",!0),unref(Nd)?(openBlock(),createBlock(unref(ElIcon),{key:1,class:normalizeClass([unref(rd).e("icon"),unref(Td)])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(Nd))))]),_:1},8,["class"])):createCommentVNode("v-if",!0),renderSlot(Gd.$slots,"default",{},()=>[Gd.dangerouslyUseHTMLString?(openBlock(),createElementBlock(Fragment,{key:1},[createCommentVNode(" Caution here, message could've been compromised, never use user's input as message "),createBaseVNode("p",{class:normalizeClass(unref(rd).e("content")),innerHTML:Gd.message},null,10,["innerHTML"])],2112)):(openBlock(),createElementBlock("p",{key:0,class:normalizeClass(unref(rd).e("content"))},toDisplayString(Gd.message),3))]),Gd.showClose?(openBlock(),createBlock(unref(ElIcon),{key:2,class:normalizeClass(unref(rd).e("closeBtn")),onClick:withModifiers(Fd,["stop"])},{default:withCtx(()=>[createVNode(unref(td))]),_:1},8,["class","onClick"])):createCommentVNode("v-if",!0)],46,["id"]),[[vShow,ud.value]])]),_:3},8,["name","onBeforeLeave","onAfterLeave"]))}});var MessageConstructor=_export_sfc$1(_sfc_main$Q,[["__file","message.vue"]]);let seed$1=1;const normalizeOptions=Ra=>{const qa=!Ra||isString$3(Ra)||isVNode(Ra)||isFunction$4(Ra)?{message:Ra}:Ra,ed={...messageDefaults,...qa};if(!ed.appendTo)ed.appendTo=document.body;else if(isString$3(ed.appendTo)){let td=document.querySelector(ed.appendTo);isElement$2(td)||(td=document.body),ed.appendTo=td}return isBoolean$3(messageConfig.grouping)&&!ed.grouping&&(ed.grouping=messageConfig.grouping),isNumber$3(messageConfig.duration)&&ed.duration===3e3&&(ed.duration=messageConfig.duration),isNumber$3(messageConfig.offset)&&ed.offset===16&&(ed.offset=messageConfig.offset),isBoolean$3(messageConfig.showClose)&&!ed.showClose&&(ed.showClose=messageConfig.showClose),ed},closeMessage=Ra=>{const qa=instances.indexOf(Ra);if(qa===-1)return;instances.splice(qa,1);const{handler:ed}=Ra;ed.close()},createMessage=({appendTo:Ra,...qa},ed)=>{const td=`message_${seed$1++}`,rd=qa.onClose,sd=document.createElement("div"),od={...qa,id:td,onClose:()=>{rd==null||rd(),closeMessage(_d)},onDestroy:()=>{render$2(null,sd)}},ld=createVNode(MessageConstructor,od,isFunction$4(od.message)||isVNode(od.message)?{default:isFunction$4(od.message)?od.message:()=>od.message}:null);ld.appContext=ed||message._context,render$2(ld,sd),Ra.appendChild(sd.firstElementChild);const cd=ld.component,_d={id:td,vnode:ld,vm:cd,handler:{close:()=>{cd.exposed.visible.value=!1}},props:ld.component.props};return _d},message=(Ra={},qa)=>{if(!isClient$1)return{close:()=>{}};const ed=normalizeOptions(Ra);if(ed.grouping&&instances.length){const rd=instances.find(({vnode:sd})=>{var od;return((od=sd.props)==null?void 0:od.message)===ed.message});if(rd)return rd.props.repeatNum+=1,rd.props.type=ed.type,rd.handler}if(isNumber$3(messageConfig.max)&&instances.length>=messageConfig.max)return{close:()=>{}};const td=createMessage(ed,qa);return instances.push(td),td.handler};messageTypes.forEach(Ra=>{message[Ra]=(qa={},ed)=>{const td=normalizeOptions(qa);return message({...td,type:Ra},ed)}});function closeAll$1(Ra){for(const qa of instances)(!Ra||Ra===qa.props.type)&&qa.handler.close()}message.closeAll=closeAll$1;message._context=null;const ElMessage=withInstallFunction(message,"$message"),_sfc_main$P=defineComponent({name:"ElMessageBox",directives:{TrapFocus},components:{ElButton,ElFocusTrap,ElInput,ElOverlay,ElIcon,...TypeComponents},inheritAttrs:!1,props:{buttonSize:{type:String,validator:isValidComponentSize},modal:{type:Boolean,default:!0},lockScroll:{type:Boolean,default:!0},showClose:{type:Boolean,default:!0},closeOnClickModal:{type:Boolean,default:!0},closeOnPressEscape:{type:Boolean,default:!0},closeOnHashChange:{type:Boolean,default:!0},center:Boolean,draggable:Boolean,overflow:Boolean,roundButton:{default:!1,type:Boolean},container:{type:String,default:"body"},boxType:{type:String,default:""}},emits:["vanish","action"],setup(Ra,{emit:qa}){const{locale:ed,zIndex:td,ns:rd,size:sd}=useGlobalComponentSettings("message-box",computed(()=>Ra.buttonSize)),{t:od}=ed,{nextZIndex:ld}=td,cd=ref$1(!1),ud=reactive({autofocus:!0,beforeClose:null,callback:null,cancelButtonText:"",cancelButtonClass:"",confirmButtonText:"",confirmButtonClass:"",customClass:"",customStyle:{},dangerouslyUseHTMLString:!1,distinguishCancelAndClose:!1,icon:"",inputPattern:null,inputPlaceholder:"",inputType:"text",inputValue:null,inputValidator:null,inputErrorMessage:"",message:null,modalFade:!0,modalClass:"",showCancelButton:!1,showConfirmButton:!0,type:"",title:void 0,showInput:!1,action:"",confirmButtonLoading:!1,cancelButtonLoading:!1,confirmButtonLoadingIcon:markRaw(loading_default),cancelButtonLoadingIcon:markRaw(loading_default),confirmButtonDisabled:!1,editorErrorMessage:"",validateError:!1,zIndex:ld()}),_d=computed(()=>{const gf=ud.type;return{[rd.bm("icon",gf)]:gf&&TypeComponentsMap[gf]}}),Ed=useId(),Ad=useId(),Td=computed(()=>ud.icon||TypeComponentsMap[ud.type]||""),Nd=computed(()=>!!ud.message),Rd=ref$1(),Bd=ref$1(),kd=ref$1(),Od=ref$1(),Md=ref$1(),Pd=computed(()=>ud.confirmButtonClass);watch(()=>ud.inputValue,async gf=>{await nextTick$1(),Ra.boxType==="prompt"&&gf!==null&&df()},{immediate:!0}),watch(()=>cd.value,gf=>{var yf,Nf;gf&&(Ra.boxType!=="prompt"&&(ud.autofocus?kd.value=(Nf=(yf=Md.value)==null?void 0:yf.$el)!=null?Nf:Rd.value:kd.value=Rd.value),ud.zIndex=ld()),Ra.boxType==="prompt"&&(gf?nextTick$1().then(()=>{var Pf;Od.value&&Od.value.$el&&(ud.autofocus?kd.value=(Pf=mf())!=null?Pf:Rd.value:kd.value=Rd.value)}):(ud.editorErrorMessage="",ud.validateError=!1))});const Fd=computed(()=>Ra.draggable),Ud=computed(()=>Ra.overflow);useDraggable(Rd,Bd,Fd,Ud),onMounted(async()=>{await nextTick$1(),Ra.closeOnHashChange&&window.addEventListener("hashchange",Gd)}),onBeforeUnmount(()=>{Ra.closeOnHashChange&&window.removeEventListener("hashchange",Gd)});function Gd(){cd.value&&(cd.value=!1,nextTick$1(()=>{ud.action&&qa("action",ud.action)}))}const Qd=()=>{Ra.closeOnClickModal&&Xd(ud.distinguishCancelAndClose?"close":"cancel")},Vd=useSameTarget(Qd),zd=gf=>{if(ud.inputType!=="textarea")return gf.preventDefault(),Xd("confirm")},Xd=gf=>{var yf;Ra.boxType==="prompt"&&gf==="confirm"&&!df()||(ud.action=gf,ud.beforeClose?(yf=ud.beforeClose)==null||yf.call(ud,gf,ud,Gd):Gd())},df=()=>{if(Ra.boxType==="prompt"){const gf=ud.inputPattern;if(gf&&!gf.test(ud.inputValue||""))return ud.editorErrorMessage=ud.inputErrorMessage||od("el.messagebox.error"),ud.validateError=!0,!1;const yf=ud.inputValidator;if(typeof yf=="function"){const Nf=yf(ud.inputValue);if(Nf===!1)return ud.editorErrorMessage=ud.inputErrorMessage||od("el.messagebox.error"),ud.validateError=!0,!1;if(typeof Nf=="string")return ud.editorErrorMessage=Nf,ud.validateError=!0,!1}}return ud.editorErrorMessage="",ud.validateError=!1,!0},mf=()=>{const gf=Od.value.$refs;return gf.input||gf.textarea},_f=()=>{Xd("close")},hf=()=>{Ra.closeOnPressEscape&&_f()};return Ra.lockScroll&&useLockscreen(cd),{...toRefs(ud),ns:rd,overlayEvent:Vd,visible:cd,hasMessage:Nd,typeClass:_d,contentId:Ed,inputId:Ad,btnSize:sd,iconComponent:Td,confirmButtonClasses:Pd,rootRef:Rd,focusStartRef:kd,headerRef:Bd,inputRef:Od,confirmRef:Md,doClose:Gd,handleClose:_f,onCloseRequested:hf,handleWrapperClick:Qd,handleInputEnter:zd,handleAction:Xd,t:od}}});function _sfc_render(Ra,qa,ed,td,rd,sd){const od=resolveComponent("el-icon"),ld=resolveComponent("close"),cd=resolveComponent("el-input"),ud=resolveComponent("el-button"),_d=resolveComponent("el-focus-trap"),Ed=resolveComponent("el-overlay");return openBlock(),createBlock(Transition$1,{name:"fade-in-linear",onAfterLeave:Ad=>Ra.$emit("vanish"),persisted:""},{default:withCtx(()=>[withDirectives(createVNode(Ed,{"z-index":Ra.zIndex,"overlay-class":[Ra.ns.is("message-box"),Ra.modalClass],mask:Ra.modal},{default:withCtx(()=>[createBaseVNode("div",{role:"dialog","aria-label":Ra.title,"aria-modal":"true","aria-describedby":Ra.showInput?void 0:Ra.contentId,class:normalizeClass(`${Ra.ns.namespace.value}-overlay-message-box`),onClick:Ra.overlayEvent.onClick,onMousedown:Ra.overlayEvent.onMousedown,onMouseup:Ra.overlayEvent.onMouseup},[createVNode(_d,{loop:"",trapped:Ra.visible,"focus-trap-el":Ra.rootRef,"focus-start-el":Ra.focusStartRef,onReleaseRequested:Ra.onCloseRequested},{default:withCtx(()=>[createBaseVNode("div",{ref:"rootRef",class:normalizeClass([Ra.ns.b(),Ra.customClass,Ra.ns.is("draggable",Ra.draggable),{[Ra.ns.m("center")]:Ra.center}]),style:normalizeStyle(Ra.customStyle),tabindex:"-1",onClick:withModifiers(()=>{},["stop"])},[Ra.title!==null&&Ra.title!==void 0?(openBlock(),createElementBlock("div",{key:0,ref:"headerRef",class:normalizeClass([Ra.ns.e("header"),{"show-close":Ra.showClose}])},[createBaseVNode("div",{class:normalizeClass(Ra.ns.e("title"))},[Ra.iconComponent&&Ra.center?(openBlock(),createBlock(od,{key:0,class:normalizeClass([Ra.ns.e("status"),Ra.typeClass])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ra.iconComponent)))]),_:1},8,["class"])):createCommentVNode("v-if",!0),createBaseVNode("span",null,toDisplayString(Ra.title),1)],2),Ra.showClose?(openBlock(),createElementBlock("button",{key:0,type:"button",class:normalizeClass(Ra.ns.e("headerbtn")),"aria-label":Ra.t("el.messagebox.close"),onClick:Ad=>Ra.handleAction(Ra.distinguishCancelAndClose?"close":"cancel"),onKeydown:withKeys(withModifiers(Ad=>Ra.handleAction(Ra.distinguishCancelAndClose?"close":"cancel"),["prevent"]),["enter"])},[createVNode(od,{class:normalizeClass(Ra.ns.e("close"))},{default:withCtx(()=>[createVNode(ld)]),_:1},8,["class"])],42,["aria-label","onClick","onKeydown"])):createCommentVNode("v-if",!0)],2)):createCommentVNode("v-if",!0),createBaseVNode("div",{id:Ra.contentId,class:normalizeClass(Ra.ns.e("content"))},[createBaseVNode("div",{class:normalizeClass(Ra.ns.e("container"))},[Ra.iconComponent&&!Ra.center&&Ra.hasMessage?(openBlock(),createBlock(od,{key:0,class:normalizeClass([Ra.ns.e("status"),Ra.typeClass])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ra.iconComponent)))]),_:1},8,["class"])):createCommentVNode("v-if",!0),Ra.hasMessage?(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(Ra.ns.e("message"))},[renderSlot(Ra.$slots,"default",{},()=>[Ra.dangerouslyUseHTMLString?(openBlock(),createBlock(resolveDynamicComponent(Ra.showInput?"label":"p"),{key:1,for:Ra.showInput?Ra.inputId:void 0,innerHTML:Ra.message},null,8,["for","innerHTML"])):(openBlock(),createBlock(resolveDynamicComponent(Ra.showInput?"label":"p"),{key:0,for:Ra.showInput?Ra.inputId:void 0},{default:withCtx(()=>[createTextVNode(toDisplayString(Ra.dangerouslyUseHTMLString?"":Ra.message),1)]),_:1},8,["for"]))])],2)):createCommentVNode("v-if",!0)],2),withDirectives(createBaseVNode("div",{class:normalizeClass(Ra.ns.e("input"))},[createVNode(cd,{id:Ra.inputId,ref:"inputRef",modelValue:Ra.inputValue,"onUpdate:modelValue":Ad=>Ra.inputValue=Ad,type:Ra.inputType,placeholder:Ra.inputPlaceholder,"aria-invalid":Ra.validateError,class:normalizeClass({invalid:Ra.validateError}),onKeydown:withKeys(Ra.handleInputEnter,["enter"])},null,8,["id","modelValue","onUpdate:modelValue","type","placeholder","aria-invalid","class","onKeydown"]),createBaseVNode("div",{class:normalizeClass(Ra.ns.e("errormsg")),style:normalizeStyle({visibility:Ra.editorErrorMessage?"visible":"hidden"})},toDisplayString(Ra.editorErrorMessage),7)],2),[[vShow,Ra.showInput]])],10,["id"]),createBaseVNode("div",{class:normalizeClass(Ra.ns.e("btns"))},[Ra.showCancelButton?(openBlock(),createBlock(ud,{key:0,loading:Ra.cancelButtonLoading,"loading-icon":Ra.cancelButtonLoadingIcon,class:normalizeClass([Ra.cancelButtonClass]),round:Ra.roundButton,size:Ra.btnSize,onClick:Ad=>Ra.handleAction("cancel"),onKeydown:withKeys(withModifiers(Ad=>Ra.handleAction("cancel"),["prevent"]),["enter"])},{default:withCtx(()=>[createTextVNode(toDisplayString(Ra.cancelButtonText||Ra.t("el.messagebox.cancel")),1)]),_:1},8,["loading","loading-icon","class","round","size","onClick","onKeydown"])):createCommentVNode("v-if",!0),withDirectives(createVNode(ud,{ref:"confirmRef",type:"primary",loading:Ra.confirmButtonLoading,"loading-icon":Ra.confirmButtonLoadingIcon,class:normalizeClass([Ra.confirmButtonClasses]),round:Ra.roundButton,disabled:Ra.confirmButtonDisabled,size:Ra.btnSize,onClick:Ad=>Ra.handleAction("confirm"),onKeydown:withKeys(withModifiers(Ad=>Ra.handleAction("confirm"),["prevent"]),["enter"])},{default:withCtx(()=>[createTextVNode(toDisplayString(Ra.confirmButtonText||Ra.t("el.messagebox.confirm")),1)]),_:1},8,["loading","loading-icon","class","round","disabled","size","onClick","onKeydown"]),[[vShow,Ra.showConfirmButton]])],2)],14,["onClick"])]),_:3},8,["trapped","focus-trap-el","focus-start-el","onReleaseRequested"])],42,["aria-label","aria-describedby","onClick","onMousedown","onMouseup"])]),_:3},8,["z-index","overlay-class","mask"]),[[vShow,Ra.visible]])]),_:3},8,["onAfterLeave"])}var MessageBoxConstructor=_export_sfc$1(_sfc_main$P,[["render",_sfc_render],["__file","index.vue"]]);const messageInstance=new Map,getAppendToElement=Ra=>{let qa=document.body;return Ra.appendTo&&(isString$3(Ra.appendTo)&&(qa=document.querySelector(Ra.appendTo)),isElement$2(Ra.appendTo)&&(qa=Ra.appendTo),isElement$2(qa)||(qa=document.body)),qa},initInstance=(Ra,qa,ed=null)=>{const td=createVNode(MessageBoxConstructor,Ra,isFunction$4(Ra.message)||isVNode(Ra.message)?{default:isFunction$4(Ra.message)?Ra.message:()=>Ra.message}:null);return td.appContext=ed,render$2(td,qa),getAppendToElement(Ra).appendChild(qa.firstElementChild),td.component},genContainer=()=>document.createElement("div"),showMessage=(Ra,qa)=>{const ed=genContainer();Ra.onVanish=()=>{render$2(null,ed),messageInstance.delete(rd)},Ra.onAction=sd=>{const od=messageInstance.get(rd);let ld;Ra.showInput?ld={value:rd.inputValue,action:sd}:ld=sd,Ra.callback?Ra.callback(ld,td.proxy):sd==="cancel"||sd==="close"?Ra.distinguishCancelAndClose&&sd!=="cancel"?od.reject("close"):od.reject("cancel"):od.resolve(ld)};const td=initInstance(Ra,ed,qa),rd=td.proxy;for(const sd in Ra)hasOwn$1(Ra,sd)&&!hasOwn$1(rd.$props,sd)&&(rd[sd]=Ra[sd]);return rd.visible=!0,rd};function MessageBox(Ra,qa=null){if(!isClient$1)return Promise.reject();let ed;return isString$3(Ra)||isVNode(Ra)?Ra={message:Ra}:ed=Ra.callback,new Promise((td,rd)=>{const sd=showMessage(Ra,qa??MessageBox._context);messageInstance.set(sd,{options:Ra,callback:ed,resolve:td,reject:rd})})}const MESSAGE_BOX_VARIANTS=["alert","confirm","prompt"],MESSAGE_BOX_DEFAULT_OPTS={alert:{closeOnPressEscape:!1,closeOnClickModal:!1},confirm:{showCancelButton:!0},prompt:{showCancelButton:!0,showInput:!0}};MESSAGE_BOX_VARIANTS.forEach(Ra=>{MessageBox[Ra]=messageBoxFactory(Ra)});function messageBoxFactory(Ra){return(qa,ed,td,rd)=>{let sd="";return isObject$5(ed)?(td=ed,sd=""):isUndefined$1(ed)?sd="":sd=ed,MessageBox(Object.assign({title:sd,message:qa,type:"",...MESSAGE_BOX_DEFAULT_OPTS[Ra]},td,{boxType:Ra}),rd)}}MessageBox.close=()=>{messageInstance.forEach((Ra,qa)=>{qa.doClose()}),messageInstance.clear()};MessageBox._context=null;const _MessageBox=MessageBox;_MessageBox.install=Ra=>{_MessageBox._context=Ra._context,Ra.config.globalProperties.$msgbox=_MessageBox,Ra.config.globalProperties.$messageBox=_MessageBox,Ra.config.globalProperties.$alert=_MessageBox.alert,Ra.config.globalProperties.$confirm=_MessageBox.confirm,Ra.config.globalProperties.$prompt=_MessageBox.prompt};const ElMessageBox=_MessageBox,notificationTypes=["success","info","warning","error"],notificationProps=buildProps({customClass:{type:String,default:""},dangerouslyUseHTMLString:Boolean,duration:{type:Number,default:4500},icon:{type:iconPropType},id:{type:String,default:""},message:{type:definePropType([String,Object]),default:""},offset:{type:Number,default:0},onClick:{type:definePropType(Function),default:()=>{}},onClose:{type:definePropType(Function),required:!0},position:{type:String,values:["top-right","top-left","bottom-right","bottom-left"],default:"top-right"},showClose:{type:Boolean,default:!0},title:{type:String,default:""},type:{type:String,values:[...notificationTypes,""],default:""},zIndex:Number}),notificationEmits={destroy:()=>!0},__default__=defineComponent({name:"ElNotification"}),_sfc_main$O=defineComponent({...__default__,props:notificationProps,emits:notificationEmits,setup(Ra,{expose:qa}){const ed=Ra,{ns:td,zIndex:rd}=useGlobalComponentSettings("notification"),{nextZIndex:sd,currentZIndex:od}=rd,{Close:ld}=CloseComponents,cd=ref$1(!1);let ud;const _d=computed(()=>{const Md=ed.type;return Md&&TypeComponentsMap[ed.type]?td.m(Md):""}),Ed=computed(()=>ed.type&&TypeComponentsMap[ed.type]||ed.icon),Ad=computed(()=>ed.position.endsWith("right")?"right":"left"),Td=computed(()=>ed.position.startsWith("top")?"top":"bottom"),Nd=computed(()=>{var Md;return{[Td.value]:`${ed.offset}px`,zIndex:(Md=ed.zIndex)!=null?Md:od.value}});function Rd(){ed.duration>0&&({stop:ud}=useTimeoutFn(()=>{cd.value&&kd()},ed.duration))}function Bd(){ud==null||ud()}function kd(){cd.value=!1}function Od({code:Md}){Md===EVENT_CODE.delete||Md===EVENT_CODE.backspace?Bd():Md===EVENT_CODE.esc?cd.value&&kd():Rd()}return onMounted(()=>{Rd(),sd(),cd.value=!0}),useEventListener$1(document,"keydown",Od),qa({visible:cd,close:kd}),(Md,Pd)=>(openBlock(),createBlock(Transition$1,{name:unref(td).b("fade"),onBeforeLeave:Md.onClose,onAfterLeave:Fd=>Md.$emit("destroy"),persisted:""},{default:withCtx(()=>[withDirectives(createBaseVNode("div",{id:Md.id,class:normalizeClass([unref(td).b(),Md.customClass,unref(Ad)]),style:normalizeStyle(unref(Nd)),role:"alert",onMouseenter:Bd,onMouseleave:Rd,onClick:Md.onClick},[unref(Ed)?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass([unref(td).e("icon"),unref(_d)])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(Ed))))]),_:1},8,["class"])):createCommentVNode("v-if",!0),createBaseVNode("div",{class:normalizeClass(unref(td).e("group"))},[createBaseVNode("h2",{class:normalizeClass(unref(td).e("title")),textContent:toDisplayString(Md.title)},null,10,["textContent"]),withDirectives(createBaseVNode("div",{class:normalizeClass(unref(td).e("content")),style:normalizeStyle(Md.title?void 0:{margin:0})},[renderSlot(Md.$slots,"default",{},()=>[Md.dangerouslyUseHTMLString?(openBlock(),createElementBlock(Fragment,{key:1},[createCommentVNode(" Caution here, message could've been compromised, never use user's input as message "),createBaseVNode("p",{innerHTML:Md.message},null,8,["innerHTML"])],2112)):(openBlock(),createElementBlock("p",{key:0},toDisplayString(Md.message),1))])],6),[[vShow,Md.message]]),Md.showClose?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(td).e("closeBtn")),onClick:withModifiers(kd,["stop"])},{default:withCtx(()=>[createVNode(unref(ld))]),_:1},8,["class","onClick"])):createCommentVNode("v-if",!0)],2)],46,["id","onClick"]),[[vShow,cd.value]])]),_:3},8,["name","onBeforeLeave","onAfterLeave"]))}});var NotificationConstructor=_export_sfc$1(_sfc_main$O,[["__file","notification.vue"]]);const notifications={"top-left":[],"top-right":[],"bottom-left":[],"bottom-right":[]},GAP_SIZE=16;let seed=1;const notify=function(Ra={},qa=null){if(!isClient$1)return{close:()=>{}};(typeof Ra=="string"||isVNode(Ra))&&(Ra={message:Ra});const ed=Ra.position||"top-right";let td=Ra.offset||0;notifications[ed].forEach(({vm:_d})=>{var Ed;td+=(((Ed=_d.el)==null?void 0:Ed.offsetHeight)||0)+GAP_SIZE}),td+=GAP_SIZE;const rd=`notification_${seed++}`,sd=Ra.onClose,od={...Ra,offset:td,id:rd,onClose:()=>{close(rd,ed,sd)}};let ld=document.body;isElement$2(Ra.appendTo)?ld=Ra.appendTo:isString$3(Ra.appendTo)&&(ld=document.querySelector(Ra.appendTo)),isElement$2(ld)||(ld=document.body);const cd=document.createElement("div"),ud=createVNode(NotificationConstructor,od,isVNode(od.message)?{default:()=>od.message}:null);return ud.appContext=qa??notify._context,ud.props.onDestroy=()=>{render$2(null,cd)},render$2(ud,cd),notifications[ed].push({vm:ud}),ld.appendChild(cd.firstElementChild),{close:()=>{ud.component.exposed.visible.value=!1}}};notificationTypes.forEach(Ra=>{notify[Ra]=(qa={})=>((typeof qa=="string"||isVNode(qa))&&(qa={message:qa}),notify({...qa,type:Ra}))});function close(Ra,qa,ed){const td=notifications[qa],rd=td.findIndex(({vm:ud})=>{var _d;return((_d=ud.component)==null?void 0:_d.props.id)===Ra});if(rd===-1)return;const{vm:sd}=td[rd];if(!sd)return;ed==null||ed(sd);const od=sd.el.offsetHeight,ld=qa.split("-")[0];td.splice(rd,1);const cd=td.length;if(!(cd<1))for(let ud=rd;ud{qa.component.exposed.visible.value=!1})}notify.closeAll=closeAll;notify._context=null;const ElNotification=withInstallFunction(notify,"$notify"),ElementPlus={install(Ra){Ra.config.globalProperties.$loading=ElLoading.service,Ra.config.globalProperties.$message=ElMessage;for(const[qa,ed]of Object.entries(ElementPlusIconsVue))Ra.component(`ElIcon${qa}`,ed)}};var codemirror={exports:{}},hasRequiredCodemirror;function requireCodemirror(){return hasRequiredCodemirror||(hasRequiredCodemirror=1,function(Ra,qa){(function(ed,td){Ra.exports=td()})(commonjsGlobal,function(){var ed=navigator.userAgent,td=navigator.platform,rd=/gecko\/\d/i.test(ed),sd=/MSIE \d/.test(ed),od=/Trident\/(?:[7-9]|\d{2,})\..*rv:(\d+)/.exec(ed),ld=/Edge\/(\d+)/.exec(ed),cd=sd||od||ld,ud=cd&&(sd?document.documentMode||6:+(ld||od)[1]),_d=!ld&&/WebKit\//.test(ed),Ed=_d&&/Qt\/\d+\.\d+/.test(ed),Ad=!ld&&/Chrome\/(\d+)/.exec(ed),Td=Ad&&+Ad[1],Nd=/Opera\//.test(ed),Rd=/Apple Computer/.test(navigator.vendor),Bd=/Mac OS X 1\d\D([8-9]|\d\d)\D/.test(ed),kd=/PhantomJS/.test(ed),Od=Rd&&(/Mobile\/\w+/.test(ed)||navigator.maxTouchPoints>2),Md=/Android/.test(ed),Pd=Od||Md||/webOS|BlackBerry|Opera Mini|Opera Mobi|IEMobile/i.test(ed),Fd=Od||/Mac/.test(td),Ud=/\bCrOS\b/.test(ed),Gd=/win/i.test(td),Qd=Nd&&ed.match(/Version\/(\d*\.\d*)/);Qd&&(Qd=Number(Qd[1])),Qd&&Qd>=15&&(Nd=!1,_d=!0);var Vd=Fd&&(Ed||Nd&&(Qd==null||Qd<12.11)),zd=rd||cd&&ud>=9;function Xd(Id){return new RegExp("(^|\\s)"+Id+"(?:$|\\s)\\s*")}var df=function(Id,jd){var Kd=Id.className,Yd=Xd(jd).exec(Kd);if(Yd){var Zd=Kd.slice(Yd.index+Yd[0].length);Id.className=Kd.slice(0,Yd.index)+(Zd?Yd[1]+Zd:"")}};function mf(Id){for(var jd=Id.childNodes.length;jd>0;--jd)Id.removeChild(Id.firstChild);return Id}function _f(Id,jd){return mf(Id).appendChild(jd)}function hf(Id,jd,Kd,Yd){var Zd=document.createElement(Id);if(Kd&&(Zd.className=Kd),Yd&&(Zd.style.cssText=Yd),typeof jd=="string")Zd.appendChild(document.createTextNode(jd));else if(jd)for(var Sf=0;Sf=jd)return Mf+(jd-Sf);Mf+=Wf-Sf,Mf+=Kd-Mf%Kd,Sf=Wf+1}}var Kf=function(){this.id=null,this.f=null,this.time=0,this.handler=Ef(this.onTimeout,this)};Kf.prototype.onTimeout=function(Id){Id.id=0,Id.time<=+new Date?Id.f():setTimeout(Id.handler,Id.time-+new Date)},Kf.prototype.set=function(Id,jd){this.f=jd;var Kd=+new Date+Id;(!this.id||Kd=jd)return Yd+Math.min(Mf,jd-Zd);if(Zd+=Sf-Yd,Zd+=Kd-Zd%Kd,Yd=Sf+1,Zd>=jd)return Yd}}var Of=[""];function Vf(Id){for(;Of.length<=Id;)Of.push(dh(Of)+" ");return Of[Id]}function dh(Id){return Id[Id.length-1]}function bh(Id,jd){for(var Kd=[],Yd=0;Yd"€"&&(Id.toUpperCase()!=Id.toLowerCase()||Dh.test(Id))}function qh(Id,jd){return jd?jd.source.indexOf("\\w")>-1&&Xh(Id)?!0:jd.test(Id):Xh(Id)}function sm(Id){for(var jd in Id)if(Id.hasOwnProperty(jd)&&Id[jd])return!1;return!0}var hm=/[\u0300-\u036f\u0483-\u0489\u0591-\u05bd\u05bf\u05c1\u05c2\u05c4\u05c5\u05c7\u0610-\u061a\u064b-\u065e\u0670\u06d6-\u06dc\u06de-\u06e4\u06e7\u06e8\u06ea-\u06ed\u0711\u0730-\u074a\u07a6-\u07b0\u07eb-\u07f3\u0816-\u0819\u081b-\u0823\u0825-\u0827\u0829-\u082d\u0900-\u0902\u093c\u0941-\u0948\u094d\u0951-\u0955\u0962\u0963\u0981\u09bc\u09be\u09c1-\u09c4\u09cd\u09d7\u09e2\u09e3\u0a01\u0a02\u0a3c\u0a41\u0a42\u0a47\u0a48\u0a4b-\u0a4d\u0a51\u0a70\u0a71\u0a75\u0a81\u0a82\u0abc\u0ac1-\u0ac5\u0ac7\u0ac8\u0acd\u0ae2\u0ae3\u0b01\u0b3c\u0b3e\u0b3f\u0b41-\u0b44\u0b4d\u0b56\u0b57\u0b62\u0b63\u0b82\u0bbe\u0bc0\u0bcd\u0bd7\u0c3e-\u0c40\u0c46-\u0c48\u0c4a-\u0c4d\u0c55\u0c56\u0c62\u0c63\u0cbc\u0cbf\u0cc2\u0cc6\u0ccc\u0ccd\u0cd5\u0cd6\u0ce2\u0ce3\u0d3e\u0d41-\u0d44\u0d4d\u0d57\u0d62\u0d63\u0dca\u0dcf\u0dd2-\u0dd4\u0dd6\u0ddf\u0e31\u0e34-\u0e3a\u0e47-\u0e4e\u0eb1\u0eb4-\u0eb9\u0ebb\u0ebc\u0ec8-\u0ecd\u0f18\u0f19\u0f35\u0f37\u0f39\u0f71-\u0f7e\u0f80-\u0f84\u0f86\u0f87\u0f90-\u0f97\u0f99-\u0fbc\u0fc6\u102d-\u1030\u1032-\u1037\u1039\u103a\u103d\u103e\u1058\u1059\u105e-\u1060\u1071-\u1074\u1082\u1085\u1086\u108d\u109d\u135f\u1712-\u1714\u1732-\u1734\u1752\u1753\u1772\u1773\u17b7-\u17bd\u17c6\u17c9-\u17d3\u17dd\u180b-\u180d\u18a9\u1920-\u1922\u1927\u1928\u1932\u1939-\u193b\u1a17\u1a18\u1a56\u1a58-\u1a5e\u1a60\u1a62\u1a65-\u1a6c\u1a73-\u1a7c\u1a7f\u1b00-\u1b03\u1b34\u1b36-\u1b3a\u1b3c\u1b42\u1b6b-\u1b73\u1b80\u1b81\u1ba2-\u1ba5\u1ba8\u1ba9\u1c2c-\u1c33\u1c36\u1c37\u1cd0-\u1cd2\u1cd4-\u1ce0\u1ce2-\u1ce8\u1ced\u1dc0-\u1de6\u1dfd-\u1dff\u200c\u200d\u20d0-\u20f0\u2cef-\u2cf1\u2de0-\u2dff\u302a-\u302f\u3099\u309a\ua66f-\ua672\ua67c\ua67d\ua6f0\ua6f1\ua802\ua806\ua80b\ua825\ua826\ua8c4\ua8e0-\ua8f1\ua926-\ua92d\ua947-\ua951\ua980-\ua982\ua9b3\ua9b6-\ua9b9\ua9bc\uaa29-\uaa2e\uaa31\uaa32\uaa35\uaa36\uaa43\uaa4c\uaab0\uaab2-\uaab4\uaab7\uaab8\uaabe\uaabf\uaac1\uabe5\uabe8\uabed\udc00-\udfff\ufb1e\ufe00-\ufe0f\ufe20-\ufe26\uff9e\uff9f]/;function ah(Id){return Id.charCodeAt(0)>=768&&hm.test(Id)}function kf(Id,jd,Kd){for(;(Kd<0?jd>0:jdKd?-1:1;;){if(jd==Kd)return jd;var Zd=(jd+Kd)/2,Sf=Yd<0?Math.ceil(Zd):Math.floor(Zd);if(Sf==jd)return Id(Sf)?jd:Kd;Id(Sf)?Kd=Sf:jd=Sf+Yd}}function rh(Id,jd,Kd,Yd){if(!Id)return Yd(jd,Kd,"ltr",0);for(var Zd=!1,Sf=0;Sfjd||jd==Kd&&Mf.to==jd)&&(Yd(Math.max(Mf.from,jd),Math.min(Mf.to,Kd),Mf.level==1?"rtl":"ltr",Sf),Zd=!0)}Zd||Yd(jd,Kd,"ltr")}var ch=null;function wh(Id,jd,Kd){var Yd;ch=null;for(var Zd=0;Zdjd)return Zd;Sf.to==jd&&(Sf.from!=Sf.to&&Kd=="before"?Yd=Zd:ch=Zd),Sf.from==jd&&(Sf.from!=Sf.to&&Kd!="before"?Yd=Zd:ch=Zd)}return Yd??ch}var $h=function(){var Id="bbbbbbbbbtstwsbbbbbbbbbbbbbbssstwNN%%%NNNNNN,N,N1111111111NNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNbbbbbbsbbbbbbbbbbbbbbbbbbbbbbbbbb,N%%%%NNNNLNNNNN%%11NLNNN1LNNNNNLLLLLLLLLLLLLLLLLLLLLLLNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLN",jd="nnnnnnNNr%%r,rNNmmmmmmmmmmmrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrmmmmmmmmmmmmmmmmmmmmmnnnnnnnnnn%nnrrrmrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrmmmmmmmnNmmmmmmrrmmNmmmmrr1111111111";function Kd(sh){return sh<=247?Id.charAt(sh):1424<=sh&&sh<=1524?"R":1536<=sh&&sh<=1785?jd.charAt(sh-1536):1774<=sh&&sh<=2220?"r":8192<=sh&&sh<=8203?"w":sh==8204?"b":"L"}var Yd=/[\u0590-\u05f4\u0600-\u06ff\u0700-\u08ac]/,Zd=/[stwN]/,Sf=/[LRr]/,Mf=/[Lb1n]/,Wf=/[1n]/;function eh(sh,Th,kh){this.level=sh,this.from=Th,this.to=kh}return function(sh,Th){var kh=Th=="ltr"?"L":"R";if(sh.length==0||Th=="ltr"&&!Yd.test(sh))return!1;for(var Yh=sh.length,Wh=[],mm=0;mm-1&&(Yd[jd]=Zd.slice(0,Sf).concat(Zd.slice(Sf+1)))}}}function Lm(Id,jd){var Kd=Wm(Id,jd);if(Kd.length)for(var Yd=Array.prototype.slice.call(arguments,2),Zd=0;Zd0}function w_(Id){Id.prototype.on=function(jd,Kd){um(this,jd,Kd)},Id.prototype.off=function(jd,Kd){Fm(this,jd,Kd)}}function Qm(Id){Id.preventDefault?Id.preventDefault():Id.returnValue=!1}function P_(Id){Id.stopPropagation?Id.stopPropagation():Id.cancelBubble=!0}function V_(Id){return Id.defaultPrevented!=null?Id.defaultPrevented:Id.returnValue==!1}function Z_(Id){Qm(Id),P_(Id)}function t1(Id){return Id.target||Id.srcElement}function j_(Id){var jd=Id.which;return jd==null&&(Id.button&1?jd=1:Id.button&2?jd=3:Id.button&4&&(jd=2)),Fd&&Id.ctrlKey&&jd==1&&(jd=3),jd}var $_=function(){if(cd&&ud<9)return!1;var Id=hf("div");return"draggable"in Id||"dragDrop"in Id}(),Hm;function v_(Id){if(Hm==null){var jd=hf("span","​");_f(Id,hf("span",[jd,document.createTextNode("x")])),Id.firstChild.offsetHeight!=0&&(Hm=jd.offsetWidth<=1&&jd.offsetHeight>2&&!(cd&&ud<8))}var Kd=Hm?hf("span","​"):hf("span"," ",null,"display: inline-block; width: 1px; margin-right: -1px");return Kd.setAttribute("cm-text",""),Kd}var m1;function am(Id){if(m1!=null)return m1;var jd=_f(Id,document.createTextNode("AخA")),Kd=yf(jd,0,1).getBoundingClientRect(),Yd=yf(jd,1,2).getBoundingClientRect();return mf(Id),!Kd||Kd.left==Kd.right?!1:m1=Yd.right-Kd.right<3}var Af=` b`.split(/\n/).length!=3?function(Id){for(var jd=0,Kd=[],Yd=Id.length;jd<=Yd;){var Zd=Id.indexOf(` `,jd);Zd==-1&&(Zd=Id.length);var Sf=Id.slice(jd,Id.charAt(Zd-1)=="\r"?Zd-1:Zd),Mf=Sf.indexOf("\r");Mf!=-1?(Kd.push(Sf.slice(0,Mf)),jd+=Mf+1):(Kd.push(Sf),jd=Zd+1)}return Kd}:function(Id){return Id.split(/\r\n?|\n/)},ph=window.getSelection?function(Id){try{return Id.selectionStart!=Id.selectionEnd}catch{return!1}}:function(Id){var jd;try{jd=Id.ownerDocument.selection.createRange()}catch{}return!jd||jd.parentElement()!=Id?!1:jd.compareEndPoints("StartToEnd",jd)!=0},ih=function(){var Id=hf("div");return"oncopy"in Id?!0:(Id.setAttribute("oncopy","return;"),typeof Id.oncopy=="function")}(),fh=null;function Ah(Id){if(fh!=null)return fh;var jd=_f(Id,hf("span","x")),Kd=jd.getBoundingClientRect(),Yd=yf(jd,0,1).getBoundingClientRect();return fh=Math.abs(Kd.left-Yd.left)>1}var Ch={},Bh={};function Rh(Id,jd){arguments.length>2&&(jd.dependencies=Array.prototype.slice.call(arguments,2)),Ch[Id]=jd}function gh(Id,jd){Bh[Id]=jd}function dm(Id){if(typeof Id=="string"&&Bh.hasOwnProperty(Id))Id=Bh[Id];else if(Id&&typeof Id.name=="string"&&Bh.hasOwnProperty(Id.name)){var jd=Bh[Id.name];typeof jd=="string"&&(jd={name:jd}),Id=_h(jd,Id),Id.name=jd.name}else{if(typeof Id=="string"&&/^[\w\-]+\/[\w\-]+\+xml$/.test(Id))return dm("application/xml");if(typeof Id=="string"&&/^[\w\-]+\/[\w\-]+\+json$/.test(Id))return dm("application/json")}return typeof Id=="string"?{name:Id}:Id||{name:"null"}}function cm(Id,jd){jd=dm(jd);var Kd=Ch[jd.name];if(!Kd)return cm(Id,"text/plain");var Yd=Kd(Id,jd);if(_m.hasOwnProperty(jd.name)){var Zd=_m[jd.name];for(var Sf in Zd)Zd.hasOwnProperty(Sf)&&(Yd.hasOwnProperty(Sf)&&(Yd["_"+Sf]=Yd[Sf]),Yd[Sf]=Zd[Sf])}if(Yd.name=jd.name,jd.helperType&&(Yd.helperType=jd.helperType),jd.modeProps)for(var Mf in jd.modeProps)Yd[Mf]=jd.modeProps[Mf];return Yd}var _m={};function Gf(Id,jd){var Kd=_m.hasOwnProperty(Id)?_m[Id]:_m[Id]={};bf(jd,Kd)}function Mm(Id,jd){if(jd===!0)return jd;if(Id.copyState)return Id.copyState(jd);var Kd={};for(var Yd in jd){var Zd=jd[Yd];Zd instanceof Array&&(Zd=Zd.concat([])),Kd[Yd]=Zd}return Kd}function g_(Id,jd){for(var Kd;Id.innerMode&&(Kd=Id.innerMode(jd),!(!Kd||Kd.mode==Id));)jd=Kd.state,Id=Kd.mode;return Kd||{mode:Id,state:jd}}function m_(Id,jd,Kd){return Id.startState?Id.startState(jd,Kd):!0}var s_=function(Id,jd,Kd){this.pos=this.start=0,this.string=Id,this.tabSize=jd||8,this.lastColumnPos=this.lastColumnValue=0,this.lineStart=0,this.lineOracle=Kd};s_.prototype.eol=function(){return this.pos>=this.string.length},s_.prototype.sol=function(){return this.pos==this.lineStart},s_.prototype.peek=function(){return this.string.charAt(this.pos)||void 0},s_.prototype.next=function(){if(this.posjd},s_.prototype.eatSpace=function(){for(var Id=this.pos;/[\s\u00a0]/.test(this.string.charAt(this.pos));)++this.pos;return this.pos>Id},s_.prototype.skipToEnd=function(){this.pos=this.string.length},s_.prototype.skipTo=function(Id){var jd=this.string.indexOf(Id,this.pos);if(jd>-1)return this.pos=jd,!0},s_.prototype.backUp=function(Id){this.pos-=Id},s_.prototype.column=function(){return this.lastColumnPos0?null:(Sf&&jd!==!1&&(this.pos+=Sf[0].length),Sf)}},s_.prototype.current=function(){return this.string.slice(this.start,this.pos)},s_.prototype.hideFirstChars=function(Id,jd){this.lineStart+=Id;try{return jd()}finally{this.lineStart-=Id}},s_.prototype.lookAhead=function(Id){var jd=this.lineOracle;return jd&&jd.lookAhead(Id)},s_.prototype.baseToken=function(){var Id=this.lineOracle;return Id&&Id.baseToken(this.pos)};function Rm(Id,jd){if(jd-=Id.first,jd<0||jd>=Id.size)throw new Error("There is no line "+(jd+Id.first)+" in the document.");for(var Kd=Id;!Kd.lines;)for(var Yd=0;;++Yd){var Zd=Kd.children[Yd],Sf=Zd.chunkSize();if(jd=Id.first&&jdKd?Sm(Kd,Rm(Id,Kd).text.length):U1(jd,Rm(Id,jd.line).text.length)}function U1(Id,jd){var Kd=Id.ch;return Kd==null||Kd>jd?Sm(Id.line,jd):Kd<0?Sm(Id.line,0):Id}function L_(Id,jd){for(var Kd=[],Yd=0;Ydthis.maxLookAhead&&(this.maxLookAhead=Id),jd},c1.prototype.baseToken=function(Id){if(!this.baseTokens)return null;for(;this.baseTokens[this.baseTokenPos]<=Id;)this.baseTokenPos+=2;var jd=this.baseTokens[this.baseTokenPos+1];return{type:jd&&jd.replace(/( |^)overlay .*/,""),size:this.baseTokens[this.baseTokenPos]-Id}},c1.prototype.nextLine=function(){this.line++,this.maxLookAhead>0&&this.maxLookAhead--},c1.fromSaved=function(Id,jd,Kd){return jd instanceof y1?new c1(Id,Mm(Id.mode,jd.state),Kd,jd.lookAhead):new c1(Id,Mm(Id.mode,jd),Kd)},c1.prototype.save=function(Id){var jd=Id!==!1?Mm(this.doc.mode,this.state):this.state;return this.maxLookAhead>0?new y1(jd,this.maxLookAhead):jd};function ng(Id,jd,Kd,Yd){var Zd=[Id.state.modeGen],Sf={};p0(Id,jd.text,Id.doc.mode,Kd,function(sh,Th){return Zd.push(sh,Th)},Sf,Yd);for(var Mf=Kd.state,Wf=function(sh){Kd.baseTokens=Zd;var Th=Id.state.overlays[sh],kh=1,Yh=0;Kd.state=!0,p0(Id,jd.text,Th.mode,Kd,function(Wh,mm){for(var Dm=kh;YhWh&&Zd.splice(kh,1,Wh,Zd[kh+1],Um),kh+=2,Yh=Math.min(Wh,Um)}if(mm)if(Th.opaque)Zd.splice(Dm,kh-Dm,Wh,"overlay "+mm),kh=Dm+2;else for(;DmId.options.maxHighlightLength&&Mm(Id.doc.mode,Yd.state),Sf=ng(Id,jd,Yd);Zd&&(Yd.state=Zd),jd.stateAfter=Yd.save(!Zd),jd.styles=Sf.styles,Sf.classes?jd.styleClasses=Sf.classes:jd.styleClasses&&(jd.styleClasses=null),Kd===Id.doc.highlightFrontier&&(Id.doc.modeFrontier=Math.max(Id.doc.modeFrontier,++Id.doc.highlightFrontier))}return jd.styles}function E1(Id,jd,Kd){var Yd=Id.doc,Zd=Id.display;if(!Yd.mode.startState)return new c1(Yd,!0,jd);var Sf=x0(Id,jd,Kd),Mf=Sf>Yd.first&&Rm(Yd,Sf-1).stateAfter,Wf=Mf?c1.fromSaved(Yd,Mf,Sf):new c1(Yd,m_(Yd.mode),Sf);return Yd.iter(Sf,jd,function(eh){ig(Id,eh.text,Wf);var sh=Wf.line;eh.stateAfter=sh==jd-1||sh%5==0||sh>=Zd.viewFrom&&shjd.start)return Sf}throw new Error("Mode "+Id.name+" failed to advance stream.")}var a_=function(Id,jd,Kd){this.start=Id.start,this.end=Id.pos,this.string=Id.current(),this.type=jd||null,this.state=Kd};function Tg(Id,jd,Kd,Yd){var Zd=Id.doc,Sf=Zd.mode,Mf;jd=h_(Zd,jd);var Wf=Rm(Zd,jd.line),eh=E1(Id,jd.line,Kd),sh=new s_(Wf.text,Id.options.tabSize,eh),Th;for(Yd&&(Th=[]);(Yd||sh.posId.options.maxHighlightLength?(Wf=!1,Mf&&ig(Id,jd,Yd,Th.pos),Th.pos=jd.length,kh=null):kh=g1(Qg(Kd,Th,Yd.state,Yh),Sf),Yh){var Wh=Yh[0].name;Wh&&(kh="m-"+(kh?Wh+" "+kh:Wh))}if(!Wf||sh!=kh){for(;ehMf;--Wf){if(Wf<=Sf.first)return Sf.first;var eh=Rm(Sf,Wf-1),sh=eh.stateAfter;if(sh&&(!Kd||Wf+(sh instanceof y1?sh.lookAhead:0)<=Sf.modeFrontier))return Wf;var Th=Bf(eh.text,null,Id.options.tabSize);(Zd==null||Yd>Th)&&(Zd=Wf-1,Yd=Th)}return Zd}function Sg(Id,jd){if(Id.modeFrontier=Math.min(Id.modeFrontier,jd),!(Id.highlightFrontierKd;Yd--){var Zd=Rm(Id,Yd).stateAfter;if(Zd&&(!(Zd instanceof y1)||Yd+Zd.lookAhead=jd:Sf.to>jd);(Yd||(Yd=[])).push(new ag(Mf,Sf.from,eh?null:Sf.to))}}return Yd}function tv(Id,jd,Kd){var Yd;if(Id)for(var Zd=0;Zd=jd:Sf.to>jd);if(Wf||Sf.from==jd&&Mf.type=="bookmark"&&(!Kd||Sf.marker.insertLeft)){var eh=Sf.from==null||(Mf.inclusiveLeft?Sf.from<=jd:Sf.from0&&Wf)for(var Zm=0;Zm0)){var Th=[eh,1],kh=f_(sh.from,Wf.from),Yh=f_(sh.to,Wf.to);(kh<0||!Mf.inclusiveLeft&&!kh)&&Th.push({from:sh.from,to:Wf.from}),(Yh>0||!Mf.inclusiveRight&&!Yh)&&Th.push({from:Wf.to,to:sh.to}),Zd.splice.apply(Zd,Th),eh+=Th.length-3}}return Zd}function Gm(Id){var jd=Id.markedSpans;if(jd){for(var Kd=0;Kdjd)&&(!Yd||w0(Yd,Sf.marker)<0)&&(Yd=Sf.marker)}return Yd}function Y0(Id,jd,Kd,Yd,Zd){var Sf=Rm(Id,jd),Mf=u1&&Sf.markedSpans;if(Mf)for(var Wf=0;Wf=0&&kh<=0||Th<=0&&kh>=0)&&(Th<=0&&(eh.marker.inclusiveRight&&Zd.inclusiveLeft?f_(sh.to,Kd)>=0:f_(sh.to,Kd)>0)||Th>=0&&(eh.marker.inclusiveRight&&Zd.inclusiveLeft?f_(sh.from,Yd)<=0:f_(sh.from,Yd)<0)))return!0}}}function G_(Id){for(var jd;jd=Sb(Id);)Id=jd.find(-1,!0).line;return Id}function K0(Id){for(var jd;jd=xg(Id);)Id=jd.find(1,!0).line;return Id}function $g(Id){for(var jd,Kd;jd=xg(Id);)Id=jd.find(1,!0).line,(Kd||(Kd=[])).push(Id);return Kd}function Q_(Id,jd){var Kd=Rm(Id,jd),Yd=G_(Kd);return Kd==Yd?jd:Vm(Yd)}function sg(Id,jd){if(jd>Id.lastLine())return jd;var Kd=Rm(Id,jd),Yd;if(!s1(Id,Kd))return jd;for(;Yd=xg(Kd);)Kd=Yd.find(1,!0).line;return Vm(Kd)+1}function s1(Id,jd){var Kd=u1&&jd.markedSpans;if(Kd){for(var Yd=void 0,Zd=0;Zdjd.maxLineLength&&(jd.maxLineLength=Zd,jd.maxLine=Yd)})}var Ff=function(Id,jd,Kd){this.text=Id,K_(this,jd),this.height=Kd?Kd(this):1};Ff.prototype.lineNo=function(){return Vm(this)},w_(Ff);function jf(Id,jd,Kd,Yd){Id.text=jd,Id.stateAfter&&(Id.stateAfter=null),Id.styles&&(Id.styles=null),Id.order!=null&&(Id.order=null),Gm(Id),K_(Id,Kd);var Zd=Yd?Yd(Id):1;Zd!=Id.height&&l_(Id,Zd)}function Pm(Id){Id.parent=null,Gm(Id)}var u_={},C_={};function T1(Id,jd){if(!Id||/^\s*$/.test(Id))return null;var Kd=jd.addModeClass?C_:u_;return Kd[Id]||(Kd[Id]=Id.replace(/\S+/g,"cm-$&"))}function pg(Id,jd){var Kd=gf("span",null,null,_d?"padding-right: .1px":null),Yd={pre:gf("pre",[Kd],"CodeMirror-line"),content:Kd,col:0,pos:0,cm:Id,trailingSpace:!1,splitSpaces:Id.getOption("lineWrapping")};jd.measure={};for(var Zd=0;Zd<=(jd.rest?jd.rest.length:0);Zd++){var Sf=Zd?jd.rest[Zd-1]:jd.line,Mf=void 0;Yd.pos=0,Yd.addToken=X0,am(Id.display.measure)&&(Mf=om(Sf,Id.doc.direction))&&(Yd.addToken=i1(Yd.addToken,Mf)),Yd.map=[];var Wf=jd!=Id.display.externalMeasured&&Vm(Sf);rv(Sf,Yd,Eg(Id,Sf,Wf)),Sf.styleClasses&&(Sf.styleClasses.bgClass&&(Yd.bgClass=Uf(Sf.styleClasses.bgClass,Yd.bgClass||"")),Sf.styleClasses.textClass&&(Yd.textClass=Uf(Sf.styleClasses.textClass,Yd.textClass||""))),Yd.map.length==0&&Yd.map.push(0,0,Yd.content.appendChild(v_(Id.display.measure))),Zd==0?(jd.measure.map=Yd.map,jd.measure.cache={}):((jd.measure.maps||(jd.measure.maps=[])).push(Yd.map),(jd.measure.caches||(jd.measure.caches=[])).push({}))}if(_d){var eh=Yd.content.lastChild;(/\bcm-tab\b/.test(eh.className)||eh.querySelector&&eh.querySelector(".cm-tab"))&&(Yd.content.className="cm-tab-wrap-hack")}return Lm(Id,"renderLine",Id,jd.line,Yd.pre),Yd.pre.className&&(Yd.textClass=Uf(Yd.pre.className,Yd.textClass||"")),Yd}function fg(Id){var jd=hf("span","•","cm-invalidchar");return jd.title="\\u"+Id.charCodeAt(0).toString(16),jd.setAttribute("aria-label",jd.title),jd}function X0(Id,jd,Kd,Yd,Zd,Sf,Mf){if(jd){var Wf=Id.splitSpaces?Cb(jd,Id.trailingSpace):jd,eh=Id.cm.state.specialChars,sh=!1,Th;if(!eh.test(jd))Id.col+=jd.length,Th=document.createTextNode(Wf),Id.map.push(Id.pos,Id.pos+jd.length,Th),cd&&ud<9&&(sh=!0),Id.pos+=jd.length;else{Th=document.createDocumentFragment();for(var kh=0;;){eh.lastIndex=kh;var Yh=eh.exec(jd),Wh=Yh?Yh.index-kh:jd.length-kh;if(Wh){var mm=document.createTextNode(Wf.slice(kh,kh+Wh));cd&&ud<9?Th.appendChild(hf("span",[mm])):Th.appendChild(mm),Id.map.push(Id.pos,Id.pos+Wh,mm),Id.col+=Wh,Id.pos+=Wh}if(!Yh)break;kh+=Wh+1;var Dm=void 0;if(Yh[0]==" "){var Um=Id.cm.options.tabSize,Km=Um-Id.col%Um;Dm=Th.appendChild(hf("span",Vf(Km),"cm-tab")),Dm.setAttribute("role","presentation"),Dm.setAttribute("cm-text"," "),Id.col+=Km}else Yh[0]=="\r"||Yh[0]==` `?(Dm=Th.appendChild(hf("span",Yh[0]=="\r"?"␍":"␤","cm-invalidchar")),Dm.setAttribute("cm-text",Yh[0]),Id.col+=1):(Dm=Id.cm.options.specialCharPlaceholder(Yh[0]),Dm.setAttribute("cm-text",Yh[0]),cd&&ud<9?Th.appendChild(hf("span",[Dm])):Th.appendChild(Dm),Id.col+=1);Id.map.push(Id.pos,Id.pos+1,Dm),Id.pos++}}if(Id.trailingSpace=Wf.charCodeAt(jd.length-1)==32,Kd||Yd||Zd||sh||Sf||Mf){var Xm=Kd||"";Yd&&(Xm+=Yd),Zd&&(Xm+=Zd);var Ym=hf("span",[Th],Xm,Sf);if(Mf)for(var Zm in Mf)Mf.hasOwnProperty(Zm)&&Zm!="style"&&Zm!="class"&&Ym.setAttribute(Zm,Mf[Zm]);return Id.content.appendChild(Ym)}Id.content.appendChild(Th)}}function Cb(Id,jd){if(Id.length>1&&!/ /.test(Id))return Id;for(var Kd=jd,Yd="",Zd=0;Zdsh&&kh.from<=sh));Yh++);if(kh.to>=Th)return Id(Kd,Yd,Zd,Sf,Mf,Wf,eh);Id(Kd,Yd.slice(0,kh.to-sh),Zd,Sf,null,Wf,eh),Sf=null,Yd=Yd.slice(kh.to-sh),sh=kh.to}}}function Mg(Id,jd,Kd,Yd){var Zd=!Yd&&Kd.widgetNode;Zd&&Id.map.push(Id.pos,Id.pos+jd,Zd),!Yd&&Id.cm.display.input.needsContentAttribute&&(Zd||(Zd=Id.content.appendChild(document.createElement("span"))),Zd.setAttribute("cm-marker",Kd.id)),Zd&&(Id.cm.display.input.setUneditable(Zd),Id.content.appendChild(Zd)),Id.pos+=jd,Id.trailingSpace=!1}function rv(Id,jd,Kd){var Yd=Id.markedSpans,Zd=Id.text,Sf=0;if(!Yd){for(var Mf=1;Mfeh||A_.collapsed&&o_.to==eh&&o_.from==eh)){if(o_.to!=null&&o_.to!=eh&&Wh>o_.to&&(Wh=o_.to,Dm=""),A_.className&&(mm+=" "+A_.className),A_.css&&(Yh=(Yh?Yh+";":"")+A_.css),A_.startStyle&&o_.from==eh&&(Um+=" "+A_.startStyle),A_.endStyle&&o_.to==Wh&&(Zm||(Zm=[])).push(A_.endStyle,o_.to),A_.title&&((Xm||(Xm={})).title=A_.title),A_.attributes)for(var e1 in A_.attributes)(Xm||(Xm={}))[e1]=A_.attributes[e1];A_.collapsed&&(!Km||w0(Km.marker,A_)<0)&&(Km=o_)}else o_.from>eh&&Wh>o_.from&&(Wh=o_.from)}if(Zm)for(var v1=0;v1=Wf)break;for(var f1=Math.min(Wf,Wh);;){if(Th){var S1=eh+Th.length;if(!Km){var x1=S1>f1?Th.slice(0,f1-eh):Th;jd.addToken(jd,x1,kh?kh+mm:mm,Um,eh+x1.length==Wh?Dm:"",Yh,Xm)}if(S1>=f1){Th=Th.slice(f1-eh),eh=f1;break}eh=S1,Um=""}Th=Zd.slice(Sf,Sf=Kd[sh++]),kh=T1(Kd[sh++],jd.cm.options)}}}function Iv(Id,jd,Kd){this.line=jd,this.rest=$g(jd),this.size=this.rest?Vm(dh(this.rest))-Kd+1:1,this.node=this.text=null,this.hidden=s1(Id,jd)}function A0(Id,jd,Kd){for(var Yd=[],Zd,Sf=jd;Sf2&&Sf.push((eh.bottom+sh.top)/2-Kd.top)}}Sf.push(Kd.bottom-Kd.top)}}function $E(Id,jd,Kd){if(Id.line==jd)return{map:Id.measure.map,cache:Id.measure.cache};if(Id.rest){for(var Yd=0;YdKd)return{map:Id.measure.maps[Zd],cache:Id.measure.caches[Zd],before:!0}}}function L2(Id,jd){jd=G_(jd);var Kd=Vm(jd),Yd=Id.display.externalMeasured=new Iv(Id.doc,jd,Kd);Yd.lineN=Kd;var Zd=Yd.built=pg(Id,Yd);return Yd.text=Zd.pre,_f(Id.display.lineMeasure,Zd.pre),Yd}function kv(Id,jd,Kd,Yd){return mg(Id,hg(Id,jd),Kd,Yd)}function $v(Id,jd){if(jd>=Id.display.viewFrom&&jd=Kd.lineN&&jdjd)&&(Sf=eh-Wf,Zd=Sf-1,jd>=eh&&(Mf="right")),Zd!=null){if(Yd=Id[sh+2],Wf==eh&&Kd==(Yd.insertLeft?"left":"right")&&(Mf=Kd),Kd=="left"&&Zd==0)for(;sh&&Id[sh-2]==Id[sh-3]&&Id[sh-1].insertLeft;)Yd=Id[(sh-=3)+2],Mf="left";if(Kd=="right"&&Zd==eh-Wf)for(;sh=0&&(Kd=Id[Zd]).left==Kd.right;Zd--);return Kd}function Nb(Id,jd,Kd,Yd){var Zd=LE(jd.map,Kd,Yd),Sf=Zd.node,Mf=Zd.start,Wf=Zd.end,eh=Zd.collapse,sh;if(Sf.nodeType==3){for(var Th=0;Th<4;Th++){for(;Mf&&ah(jd.line.text.charAt(Zd.coverStart+Mf));)--Mf;for(;Zd.coverStart+Wf0&&(eh=Yd="right");var kh;Id.options.lineWrapping&&(kh=Sf.getClientRects()).length>1?sh=kh[Yd=="right"?kh.length-1:0]:sh=Sf.getBoundingClientRect()}if(cd&&ud<9&&!Mf&&(!sh||!sh.left&&!sh.right)){var Yh=Sf.parentNode.getClientRects()[0];Yh?sh={left:Yh.left,right:Yh.left+_0(Id.display),top:Yh.top,bottom:Yh.bottom}:sh=ME}for(var Wh=sh.top-jd.rect.top,mm=sh.bottom-jd.rect.top,Dm=(Wh+mm)/2,Um=jd.view.measure.heights,Km=0;Km=Yd.text.length?(eh=Yd.text.length,sh="before"):eh<=0&&(eh=0,sh="after"),!Wf)return Mf(sh=="before"?eh-1:eh,sh=="before");function Th(mm,Dm,Um){var Km=Wf[Dm],Xm=Km.level==1;return Mf(Um?mm-1:mm,Xm!=Um)}var kh=wh(Wf,eh,sh),Yh=ch,Wh=Th(eh,kh,sh=="before");return Yh!=null&&(Wh.other=Th(eh,Yh,sh!="before")),Wh}function i_(Id,jd){var Kd=0;jd=h_(Id.doc,jd),Id.options.lineWrapping||(Kd=_0(Id.display)*jd.ch);var Yd=Rm(Id.doc,jd.line),Zd=og(Yd)+O1(Id.display);return{left:Kd,right:Kd,top:Zd,bottom:Zd+Yd.height}}function x_(Id,jd,Kd,Yd,Zd){var Sf=Sm(Id,jd,Kd);return Sf.xRel=Zd,Yd&&(Sf.outside=Yd),Sf}function U_(Id,jd,Kd){var Yd=Id.doc;if(Kd+=Id.display.viewOffset,Kd<0)return x_(Yd.first,0,null,-1,-1);var Zd=D_(Yd,Kd),Sf=Yd.first+Yd.size-1;if(Zd>Sf)return x_(Yd.first+Yd.size-1,Rm(Yd,Sf).text.length,null,1,1);jd<0&&(jd=0);for(var Mf=Rm(Yd,Zd);;){var Wf=P2(Id,Mf,Zd,jd,Kd),eh=xb(Mf,Wf.ch+(Wf.xRel>0||Wf.outside>0?1:0));if(!eh)return Wf;var sh=eh.find(1);if(sh.line==Zd)return sh;Mf=Rm(Yd,Zd=sh.line)}}function A1(Id,jd,Kd,Yd){Yd-=Ky(jd);var Zd=jd.text.length,Sf=If(function(Mf){return mg(Id,Kd,Mf-1).bottom<=Yd},Zd,0);return Zd=If(function(Mf){return mg(Id,Kd,Mf).top>Yd},Sf,Zd),{begin:Sf,end:Zd}}function r1(Id,jd,Kd,Yd){Kd||(Kd=hg(Id,jd));var Zd=m0(Id,jd,mg(Id,Kd,Yd),"line").top;return A1(Id,jd,Kd,Zd)}function iv(Id,jd,Kd,Yd){return Id.bottom<=Kd?!1:Id.top>Kd?!0:(Yd?Id.left:Id.right)>jd}function P2(Id,jd,Kd,Yd,Zd){Zd-=og(jd);var Sf=hg(Id,jd),Mf=Ky(jd),Wf=0,eh=jd.text.length,sh=!0,Th=om(jd,Id.doc.direction);if(Th){var kh=(Id.options.lineWrapping?Mv:wg)(Id,jd,Kd,Sf,Th,Yd,Zd);sh=kh.level!=1,Wf=sh?kh.from:kh.to-1,eh=sh?kh.to:kh.from-1}var Yh=null,Wh=null,mm=If(function(c_){var o_=mg(Id,Sf,c_);return o_.top+=Mf,o_.bottom+=Mf,iv(o_,Yd,Zd,!1)?(o_.top<=Zd&&o_.left<=Yd&&(Yh=c_,Wh=o_),!0):!1},Wf,eh),Dm,Um,Km=!1;if(Wh){var Xm=Yd-Wh.left=Zm.bottom?1:0}return mm=kf(jd.text,mm,1),x_(Kd,mm,Um,Km,Yd-Dm)}function wg(Id,jd,Kd,Yd,Zd,Sf,Mf){var Wf=If(function(kh){var Yh=Zd[kh],Wh=Yh.level!=1;return iv(fm(Id,Sm(Kd,Wh?Yh.to:Yh.from,Wh?"before":"after"),"line",jd,Yd),Sf,Mf,!0)},0,Zd.length-1),eh=Zd[Wf];if(Wf>0){var sh=eh.level!=1,Th=fm(Id,Sm(Kd,sh?eh.from:eh.to,sh?"after":"before"),"line",jd,Yd);iv(Th,Sf,Mf,!0)&&Th.top>Mf&&(eh=Zd[Wf-1])}return eh}function Mv(Id,jd,Kd,Yd,Zd,Sf,Mf){var Wf=A1(Id,jd,Yd,Mf),eh=Wf.begin,sh=Wf.end;/\s/.test(jd.text.charAt(sh-1))&&sh--;for(var Th=null,kh=null,Yh=0;Yh=sh||Wh.to<=eh)){var mm=Wh.level!=1,Dm=mg(Id,Yd,mm?Math.min(sh,Wh.to)-1:Math.max(eh,Wh.from)).right,Um=DmUm)&&(Th=Wh,kh=Um)}}return Th||(Th=Zd[Zd.length-1]),Th.fromsh&&(Th={from:Th.from,to:sh,level:Th.level}),Th}var Z0;function Bg(Id){if(Id.cachedTextHeight!=null)return Id.cachedTextHeight;if(Z0==null){Z0=hf("pre",null,"CodeMirror-line-like");for(var jd=0;jd<49;++jd)Z0.appendChild(document.createTextNode("x")),Z0.appendChild(hf("br"));Z0.appendChild(document.createTextNode("x"))}_f(Id.measure,Z0);var Kd=Z0.offsetHeight/50;return Kd>3&&(Id.cachedTextHeight=Kd),mf(Id.measure),Kd||1}function _0(Id){if(Id.cachedCharWidth!=null)return Id.cachedCharWidth;var jd=hf("span","xxxxxxxxxx"),Kd=hf("pre",[jd],"CodeMirror-line-like");_f(Id.measure,Kd);var Yd=jd.getBoundingClientRect(),Zd=(Yd.right-Yd.left)/10;return Zd>2&&(Id.cachedCharWidth=Zd),Zd||10}function Db(Id){for(var jd=Id.display,Kd={},Yd={},Zd=jd.gutters.clientLeft,Sf=jd.gutters.firstChild,Mf=0;Sf;Sf=Sf.nextSibling,++Mf){var Wf=Id.display.gutterSpecs[Mf].className;Kd[Wf]=Sf.offsetLeft+Sf.clientLeft+Zd,Yd[Wf]=Sf.clientWidth}return{fixedPos:X_(jd),gutterTotalWidth:jd.gutters.offsetWidth,gutterLeft:Kd,gutterWidth:Yd,wrapperWidth:jd.wrapper.clientWidth}}function X_(Id){return Id.scroller.getBoundingClientRect().left-Id.sizer.getBoundingClientRect().left}function jE(Id){var jd=Bg(Id.display),Kd=Id.options.lineWrapping,Yd=Kd&&Math.max(5,Id.display.scroller.clientWidth/_0(Id.display)-3);return function(Zd){if(s1(Id.doc,Zd))return 0;var Sf=0;if(Zd.widgets)for(var Mf=0;Mf0&&(sh=Rm(Id.doc,eh.line).text).length==eh.ch){var Th=Bf(sh,sh.length,Id.options.tabSize)-sh.length;eh=Sm(eh.line,Math.max(0,Math.round((Sf-wb(Id.display).left)/_0(Id.display))-Th))}return eh}function eb(Id,jd){if(jd>=Id.display.viewTo||(jd-=Id.display.viewFrom,jd<0))return null;for(var Kd=Id.display.view,Yd=0;Ydjd)&&(Zd.updateLineNumbers=jd),Id.curOp.viewChanged=!0,jd>=Zd.viewTo)u1&&Q_(Id.doc,jd)Zd.viewFrom?Pg(Id):(Zd.viewFrom+=Yd,Zd.viewTo+=Yd);else if(jd<=Zd.viewFrom&&Kd>=Zd.viewTo)Pg(Id);else if(jd<=Zd.viewFrom){var Sf=Fg(Id,Kd,Kd+Yd,1);Sf?(Zd.view=Zd.view.slice(Sf.index),Zd.viewFrom=Sf.lineN,Zd.viewTo+=Yd):Pg(Id)}else if(Kd>=Zd.viewTo){var Mf=Fg(Id,jd,jd,-1);Mf?(Zd.view=Zd.view.slice(0,Mf.index),Zd.viewTo=Mf.lineN):Pg(Id)}else{var Wf=Fg(Id,jd,jd,-1),eh=Fg(Id,Kd,Kd+Yd,1);Wf&&eh?(Zd.view=Zd.view.slice(0,Wf.index).concat(A0(Id,Wf.lineN,eh.lineN)).concat(Zd.view.slice(eh.index)),Zd.viewTo+=Yd):Pg(Id)}var sh=Zd.externalMeasured;sh&&(Kd=Zd.lineN&&jd=Yd.viewTo)){var Sf=Yd.view[eb(Id,jd)];if(Sf.node!=null){var Mf=Sf.changes||(Sf.changes=[]);nh(Mf,Kd)==-1&&Mf.push(Kd)}}}function Pg(Id){Id.display.viewFrom=Id.display.viewTo=Id.doc.first,Id.display.view=[],Id.display.viewOffset=0}function Fg(Id,jd,Kd,Yd){var Zd=eb(Id,jd),Sf,Mf=Id.display.view;if(!u1||Kd==Id.doc.first+Id.doc.size)return{index:Zd,lineN:Kd};for(var Wf=Id.display.viewFrom,eh=0;eh0){if(Zd==Mf.length-1)return null;Sf=Wf+Mf[Zd].size-jd,Zd++}else Sf=Wf-jd;jd+=Sf,Kd+=Sf}for(;Q_(Id.doc,Kd)!=Kd;){if(Zd==(Yd<0?0:Mf.length-1))return null;Kd+=Yd*Mf[Zd-(Yd<0?1:0)].size,Zd+=Yd}return{index:Zd,lineN:Kd}}function Bv(Id,jd,Kd){var Yd=Id.display,Zd=Yd.view;Zd.length==0||jd>=Yd.viewTo||Kd<=Yd.viewFrom?(Yd.view=A0(Id,jd,Kd),Yd.viewFrom=jd):(Yd.viewFrom>jd?Yd.view=A0(Id,jd,Yd.viewFrom).concat(Yd.view):Yd.viewFromKd&&(Yd.view=Yd.view.slice(0,eb(Id,Kd)))),Yd.viewTo=Kd}function Xy(Id){for(var jd=Id.display.view,Kd=0,Yd=0;Yd=Id.display.viewTo||eh.to().line0?Mf:Id.defaultCharWidth())+"px"}if(Yd.other){var Wf=Kd.appendChild(hf("div"," ","CodeMirror-cursor CodeMirror-secondarycursor"));Wf.style.display="",Wf.style.left=Yd.other.left+"px",Wf.style.top=Yd.other.top+"px",Wf.style.height=(Yd.other.bottom-Yd.other.top)*.85+"px"}}function tb(Id,jd){return Id.top-jd.top||Id.left-jd.left}function UE(Id,jd,Kd){var Yd=Id.display,Zd=Id.doc,Sf=document.createDocumentFragment(),Mf=wb(Id.display),Wf=Mf.left,eh=Math.max(Yd.sizerWidth,J0(Id)-Yd.sizer.offsetLeft)-Mf.right,sh=Zd.direction=="ltr";function Th(Ym,Zm,c_,o_){Zm<0&&(Zm=0),Zm=Math.round(Zm),o_=Math.round(o_),Sf.appendChild(hf("div",null,"CodeMirror-selected","position: absolute; left: "+Ym+`px; top: `+Zm+"px; width: "+(c_??eh-Ym)+`px; height: `+(o_-Zm)+"px"))}function kh(Ym,Zm,c_){var o_=Rm(Zd,Ym),A_=o_.text.length,e1,v1;function a1(x1,K1){return gm(Id,Sm(Ym,x1),"div",o_,K1)}function f1(x1,K1,V1){var D1=r1(Id,o_,null,x1),C1=K1=="ltr"==(V1=="after")?"left":"right",h1=V1=="after"?D1.begin:D1.end-(/\s/.test(o_.text.charAt(D1.end-1))?2:1);return a1(h1,C1)[C1]}var S1=om(o_,Zd.direction);return rh(S1,Zm||0,c_??A_,function(x1,K1,V1,D1){var C1=V1=="ltr",h1=a1(x1,C1?"left":"right"),Z1=a1(K1-1,C1?"right":"left"),Jb=Zm==null&&x1==0,U0=c_==null&&K1==A_,z1=D1==0,o0=!S1||D1==S1.length-1;if(Z1.top-h1.top<=3){var B1=(sh?Jb:U0)&&z1,RE=(sh?U0:Jb)&&o0,l0=B1?Wf:(C1?h1:Z1).left,q0=RE?eh:(C1?Z1:h1).right;Th(l0,h1.top,q0-l0,h1.bottom)}else{var H0,X1,Zb,IE;C1?(H0=sh&&Jb&&z1?Wf:h1.left,X1=sh?eh:f1(x1,V1,"before"),Zb=sh?Wf:f1(K1,V1,"after"),IE=sh&&U0&&o0?eh:Z1.right):(H0=sh?f1(x1,V1,"before"):Wf,X1=!sh&&Jb&&z1?eh:h1.right,Zb=!sh&&U0&&o0?Wf:Z1.left,IE=sh?f1(K1,V1,"after"):eh),Th(H0,h1.top,X1-H0,h1.bottom),h1.bottom0?jd.blinker=setInterval(function(){Id.hasFocus()||Ib(Id),jd.cursorDiv.style.visibility=(Kd=!Kd)?"":"hidden"},Id.options.cursorBlinkRate):Id.options.cursorBlinkRate<0&&(jd.cursorDiv.style.visibility="hidden")}}function R0(Id){Id.hasFocus()||(Id.display.input.focus(),Id.state.focused||Jy(Id))}function Rb(Id){Id.state.delayingBlurEvent=!0,setTimeout(function(){Id.state.delayingBlurEvent&&(Id.state.delayingBlurEvent=!1,Id.state.focused&&Ib(Id))},100)}function Jy(Id,jd){Id.state.delayingBlurEvent&&!Id.state.draggingText&&(Id.state.delayingBlurEvent=!1),Id.options.readOnly!="nocursor"&&(Id.state.focused||(Lm(Id,"focus",Id,jd),Id.state.focused=!0,Yf(Id.display.wrapper,"CodeMirror-focused"),!Id.curOp&&Id.display.selForContextMenu!=Id.doc.sel&&(Id.display.input.reset(),_d&&setTimeout(function(){return Id.display.input.reset(!0)},20)),Id.display.input.receivedFocus()),rb(Id))}function Ib(Id,jd){Id.state.delayingBlurEvent||(Id.state.focused&&(Lm(Id,"blur",Id,jd),Id.state.focused=!1,df(Id.display.wrapper,"CodeMirror-focused")),clearInterval(Id.display.blinker),setTimeout(function(){Id.state.focused||(Id.display.shift=!1)},150))}function Fv(Id){for(var jd=Id.display,Kd=jd.lineDiv.offsetTop,Yd=Math.max(0,jd.scroller.getBoundingClientRect().top),Zd=jd.lineDiv.getBoundingClientRect().top,Sf=0,Mf=0;Mf.005||Wh<-.005)&&(ZdId.display.sizerWidth){var Dm=Math.ceil(Th/_0(Id.display));Dm>Id.display.maxLineLength&&(Id.display.maxLineLength=Dm,Id.display.maxLine=Wf.line,Id.display.maxLineChanged=!0)}}}Math.abs(Sf)>2&&(jd.scroller.scrollTop+=Sf)}function av(Id){if(Id.widgets)for(var jd=0;jd=Mf&&(Sf=D_(jd,og(Rm(jd,eh))-Id.wrapper.clientHeight),Mf=eh)}return{from:Sf,to:Math.max(Mf,Sf+1)}}function Zy(Id,jd){if(!e_(Id,"scrollCursorIntoView")){var Kd=Id.display,Yd=Kd.sizer.getBoundingClientRect(),Zd=null,Sf=Kd.wrapper.ownerDocument;if(jd.top+Yd.top<0?Zd=!0:jd.bottom+Yd.top>(Sf.defaultView.innerHeight||Sf.documentElement.clientHeight)&&(Zd=!1),Zd!=null&&!kd){var Mf=hf("div","​",null,`position: absolute; top: `+(jd.top-Kd.viewOffset-O1(Id.display))+`px; height: `+(jd.bottom-jd.top+e0(Id)+Kd.barHeight)+`px; left: `+jd.left+"px; width: "+Math.max(2,jd.right-jd.left)+"px;");Id.display.lineSpace.appendChild(Mf),Mf.scrollIntoView(Zd),Id.display.lineSpace.removeChild(Mf)}}}function F2(Id,jd,Kd,Yd){Yd==null&&(Yd=0);var Zd;!Id.options.lineWrapping&&jd==Kd&&(Kd=jd.sticky=="before"?Sm(jd.line,jd.ch+1,"before"):jd,jd=jd.ch?Sm(jd.line,jd.sticky=="before"?jd.ch-1:jd.ch,"after"):jd);for(var Sf=0;Sf<5;Sf++){var Mf=!1,Wf=fm(Id,jd),eh=!Kd||Kd==jd?Wf:fm(Id,Kd);Zd={left:Math.min(Wf.left,eh.left),top:Math.min(Wf.top,eh.top)-Yd,right:Math.max(Wf.left,eh.left),bottom:Math.max(Wf.bottom,eh.bottom)+Yd};var sh=eE(Id,Zd),Th=Id.doc.scrollTop,kh=Id.doc.scrollLeft;if(sh.scrollTop!=null&&(sv(Id,sh.scrollTop),Math.abs(Id.doc.scrollTop-Th)>1&&(Mf=!0)),sh.scrollLeft!=null&&(jg(Id,sh.scrollLeft),Math.abs(Id.doc.scrollLeft-kh)>1&&(Mf=!0)),!Mf)break}return Zd}function qE(Id,jd){var Kd=eE(Id,jd);Kd.scrollTop!=null&&sv(Id,Kd.scrollTop),Kd.scrollLeft!=null&&jg(Id,Kd.scrollLeft)}function eE(Id,jd){var Kd=Id.display,Yd=Bg(Id.display);jd.top<0&&(jd.top=0);var Zd=Id.curOp&&Id.curOp.scrollTop!=null?Id.curOp.scrollTop:Kd.scroller.scrollTop,Sf=zy(Id),Mf={};jd.bottom-jd.top>Sf&&(jd.bottom=jd.top+Sf);var Wf=Id.doc.height+Q0(Kd),eh=jd.topWf-Yd;if(jd.topZd+Sf){var Th=Math.min(jd.top,(sh?Wf:jd.bottom)-Sf);Th!=Zd&&(Mf.scrollTop=Th)}var kh=Id.options.fixedGutter?0:Kd.gutters.offsetWidth,Yh=Id.curOp&&Id.curOp.scrollLeft!=null?Id.curOp.scrollLeft:Kd.scroller.scrollLeft-kh,Wh=J0(Id)-Kd.gutters.offsetWidth,mm=jd.right-jd.left>Wh;return mm&&(jd.right=jd.left+Wh),jd.left<10?Mf.scrollLeft=0:jd.leftWh+Yh-3&&(Mf.scrollLeft=jd.right+(mm?0:10)-Wh),Mf}function tE(Id,jd){jd!=null&&(jv(Id),Id.curOp.scrollTop=(Id.curOp.scrollTop==null?Id.doc.scrollTop:Id.curOp.scrollTop)+jd)}function o1(Id){jv(Id);var jd=Id.getCursor();Id.curOp.scrollToPos={from:jd,to:jd,margin:Id.options.cursorScrollMargin}}function N1(Id,jd,Kd){(jd!=null||Kd!=null)&&jv(Id),jd!=null&&(Id.curOp.scrollLeft=jd),Kd!=null&&(Id.curOp.scrollTop=Kd)}function j2(Id,jd){jv(Id),Id.curOp.scrollToPos=jd}function jv(Id){var jd=Id.curOp.scrollToPos;if(jd){Id.curOp.scrollToPos=null;var Kd=i_(Id,jd.from),Yd=i_(Id,jd.to);rE(Id,Kd,Yd,jd.margin)}}function rE(Id,jd,Kd,Yd){var Zd=eE(Id,{left:Math.min(jd.left,Kd.left),top:Math.min(jd.top,Kd.top)-Yd,right:Math.max(jd.right,Kd.right),bottom:Math.max(jd.bottom,Kd.bottom)+Yd});N1(Id,Zd.scrollLeft,Zd.scrollTop)}function sv(Id,jd){Math.abs(Id.doc.scrollTop-jd)<2||(rd||Ug(Id,{top:jd}),Uv(Id,jd,!0),rd&&Ug(Id),cv(Id,100))}function Uv(Id,jd,Kd){jd=Math.max(0,Math.min(Id.display.scroller.scrollHeight-Id.display.scroller.clientHeight,jd)),!(Id.display.scroller.scrollTop==jd&&!Kd)&&(Id.doc.scrollTop=jd,Id.display.scrollbars.setScrollTop(jd),Id.display.scroller.scrollTop!=jd&&(Id.display.scroller.scrollTop=jd))}function jg(Id,jd,Kd,Yd){jd=Math.max(0,Math.min(jd,Id.display.scroller.scrollWidth-Id.display.scroller.clientWidth)),!((Kd?jd==Id.doc.scrollLeft:Math.abs(Id.doc.scrollLeft-jd)<2)&&!Yd)&&(Id.doc.scrollLeft=jd,zE(Id),Id.display.scroller.scrollLeft!=jd&&(Id.display.scroller.scrollLeft=jd),Id.display.scrollbars.setScrollLeft(jd))}function ib(Id){var jd=Id.display,Kd=jd.gutters.offsetWidth,Yd=Math.round(Id.doc.height+Q0(Id.display));return{clientHeight:jd.scroller.clientHeight,viewHeight:jd.wrapper.clientHeight,scrollWidth:jd.scroller.scrollWidth,clientWidth:jd.scroller.clientWidth,viewWidth:jd.wrapper.clientWidth,barLeft:Id.options.fixedGutter?Kd:0,docHeight:Yd,scrollHeight:Yd+e0(Id)+jd.barHeight,nativeBarWidth:jd.nativeBarWidth,gutterWidth:Kd}}var Ag=function(Id,jd,Kd){this.cm=Kd;var Yd=this.vert=hf("div",[hf("div",null,null,"min-width: 1px")],"CodeMirror-vscrollbar"),Zd=this.horiz=hf("div",[hf("div",null,null,"height: 100%; min-height: 1px")],"CodeMirror-hscrollbar");Yd.tabIndex=Zd.tabIndex=-1,Id(Yd),Id(Zd),um(Yd,"scroll",function(){Yd.clientHeight&&jd(Yd.scrollTop,"vertical")}),um(Zd,"scroll",function(){Zd.clientWidth&&jd(Zd.scrollLeft,"horizontal")}),this.checkedZeroWidth=!1,cd&&ud<8&&(this.horiz.style.minHeight=this.vert.style.minWidth="18px")};Ag.prototype.update=function(Id){var jd=Id.scrollWidth>Id.clientWidth+1,Kd=Id.scrollHeight>Id.clientHeight+1,Yd=Id.nativeBarWidth;if(Kd){this.vert.style.display="block",this.vert.style.bottom=jd?Yd+"px":"0";var Zd=Id.viewHeight-(jd?Yd:0);this.vert.firstChild.style.height=Math.max(0,Id.scrollHeight-Id.clientHeight+Zd)+"px"}else this.vert.scrollTop=0,this.vert.style.display="",this.vert.firstChild.style.height="0";if(jd){this.horiz.style.display="block",this.horiz.style.right=Kd?Yd+"px":"0",this.horiz.style.left=Id.barLeft+"px";var Sf=Id.viewWidth-Id.barLeft-(Kd?Yd:0);this.horiz.firstChild.style.width=Math.max(0,Id.scrollWidth-Id.clientWidth+Sf)+"px"}else this.horiz.style.display="",this.horiz.firstChild.style.width="0";return!this.checkedZeroWidth&&Id.clientHeight>0&&(Yd==0&&this.zeroWidthHack(),this.checkedZeroWidth=!0),{right:Kd?Yd:0,bottom:jd?Yd:0}},Ag.prototype.setScrollLeft=function(Id){this.horiz.scrollLeft!=Id&&(this.horiz.scrollLeft=Id),this.disableHoriz&&this.enableZeroWidthBar(this.horiz,this.disableHoriz,"horiz")},Ag.prototype.setScrollTop=function(Id){this.vert.scrollTop!=Id&&(this.vert.scrollTop=Id),this.disableVert&&this.enableZeroWidthBar(this.vert,this.disableVert,"vert")},Ag.prototype.zeroWidthHack=function(){var Id=Fd&&!Bd?"12px":"18px";this.horiz.style.height=this.vert.style.width=Id,this.horiz.style.visibility=this.vert.style.visibility="hidden",this.disableHoriz=new Kf,this.disableVert=new Kf},Ag.prototype.enableZeroWidthBar=function(Id,jd,Kd){Id.style.visibility="";function Yd(){var Zd=Id.getBoundingClientRect(),Sf=Kd=="vert"?document.elementFromPoint(Zd.right-1,(Zd.top+Zd.bottom)/2):document.elementFromPoint((Zd.right+Zd.left)/2,Zd.bottom-1);Sf!=Id?Id.style.visibility="hidden":jd.set(1e3,Yd)}jd.set(1e3,Yd)},Ag.prototype.clear=function(){var Id=this.horiz.parentNode;Id.removeChild(this.horiz),Id.removeChild(this.vert)};var g0=function(){};g0.prototype.update=function(){return{bottom:0,right:0}},g0.prototype.setScrollLeft=function(){},g0.prototype.setScrollTop=function(){},g0.prototype.clear=function(){};function r0(Id,jd){jd||(jd=ib(Id));var Kd=Id.display.barWidth,Yd=Id.display.barHeight;ov(Id,jd);for(var Zd=0;Zd<4&&Kd!=Id.display.barWidth||Yd!=Id.display.barHeight;Zd++)Kd!=Id.display.barWidth&&Id.options.lineWrapping&&Fv(Id),ov(Id,ib(Id)),Kd=Id.display.barWidth,Yd=Id.display.barHeight}function ov(Id,jd){var Kd=Id.display,Yd=Kd.scrollbars.update(jd);Kd.sizer.style.paddingRight=(Kd.barWidth=Yd.right)+"px",Kd.sizer.style.paddingBottom=(Kd.barHeight=Yd.bottom)+"px",Kd.heightForcer.style.borderBottom=Yd.bottom+"px solid transparent",Yd.right&&Yd.bottom?(Kd.scrollbarFiller.style.display="block",Kd.scrollbarFiller.style.height=Yd.bottom+"px",Kd.scrollbarFiller.style.width=Yd.right+"px"):Kd.scrollbarFiller.style.display="",Yd.bottom&&Id.options.coverGutterNextToScrollbar&&Id.options.fixedGutter?(Kd.gutterFiller.style.display="block",Kd.gutterFiller.style.height=Yd.bottom+"px",Kd.gutterFiller.style.width=jd.gutterWidth+"px"):Kd.gutterFiller.style.display=""}var ab={native:Ag,null:g0};function HE(Id){Id.display.scrollbars&&(Id.display.scrollbars.clear(),Id.display.scrollbars.addClass&&df(Id.display.wrapper,Id.display.scrollbars.addClass)),Id.display.scrollbars=new ab[Id.options.scrollbarStyle](function(jd){Id.display.wrapper.insertBefore(jd,Id.display.scrollbarFiller),um(jd,"mousedown",function(){Id.state.focused&&setTimeout(function(){return Id.display.input.focus()},0)}),jd.setAttribute("cm-not-content","true")},function(jd,Kd){Kd=="horizontal"?jg(Id,jd):sv(Id,jd)},Id),Id.display.scrollbars.addClass&&Yf(Id.display.wrapper,Id.display.scrollbars.addClass)}var U2=0;function sb(Id){Id.curOp={cm:Id,viewChanged:!1,startHeight:Id.doc.height,forceUpdate:!1,updateInput:0,typing:!1,changeObjs:null,cursorActivityHandlers:null,cursorActivityCalled:0,selectionChanged:!1,updateMaxLine:!1,scrollLeft:null,scrollTop:null,scrollToPos:null,focus:!1,id:++U2,markArrays:null},Ov(Id.curOp)}function ob(Id){var jd=Id.curOp;jd&&Hd(jd,function(Kd){for(var Yd=0;Yd=Kd.viewTo)||Kd.maxLineChanged&&jd.options.lineWrapping,Id.update=Id.mustUpdate&&new n0(jd,Id.mustUpdate&&{top:Id.scrollTop,ensure:Id.scrollToPos},Id.forceUpdate)}function lv(Id){Id.updatedDisplay=Id.mustUpdate&&nE(Id.cm,Id.update)}function VE(Id){var jd=Id.cm,Kd=jd.display;Id.updatedDisplay&&Fv(jd),Id.barMeasure=ib(jd),Kd.maxLineChanged&&!jd.options.lineWrapping&&(Id.adjustWidthTo=kv(jd,Kd.maxLine,Kd.maxLine.text.length).left+3,jd.display.sizerWidth=Id.adjustWidthTo,Id.barMeasure.scrollWidth=Math.max(Kd.scroller.clientWidth,Kd.sizer.offsetLeft+Id.adjustWidthTo+e0(jd)+jd.display.barWidth),Id.maxScrollLeft=Math.max(0,Kd.sizer.offsetLeft+Id.adjustWidthTo-J0(jd))),(Id.updatedDisplay||Id.selectionChanged)&&(Id.preparedSelection=Kd.input.prepareSelection())}function mh(Id){var jd=Id.cm;Id.adjustWidthTo!=null&&(jd.display.sizer.style.minWidth=Id.adjustWidthTo+"px",Id.maxScrollLeft=Id.display.viewTo)){var Kd=+new Date+Id.options.workTime,Yd=E1(Id,jd.highlightFrontier),Zd=[];jd.iter(Yd.line,Math.min(jd.first+jd.size,Id.display.viewTo+500),function(Sf){if(Yd.line>=Id.display.viewFrom){var Mf=Sf.styles,Wf=Sf.text.length>Id.options.maxHighlightLength?Mm(jd.mode,Yd.state):null,eh=ng(Id,Sf,Yd,!0);Wf&&(Yd.state=Wf),Sf.styles=eh.styles;var sh=Sf.styleClasses,Th=eh.classes;Th?Sf.styleClasses=Th:sh&&(Sf.styleClasses=null);for(var kh=!Mf||Mf.length!=Sf.styles.length||sh!=Th&&(!sh||!Th||sh.bgClass!=Th.bgClass||sh.textClass!=Th.textClass),Yh=0;!kh&&YhKd)return cv(Id,Id.options.workDelay),!0}),jd.highlightFrontier=Yd.line,jd.modeFrontier=Math.max(jd.modeFrontier,Yd.line),Zd.length&&G1(Id,function(){for(var Sf=0;Sf=Kd.viewFrom&&jd.visible.to<=Kd.viewTo&&(Kd.updateLineNumbers==null||Kd.updateLineNumbers>=Kd.viewTo)&&Kd.renderedView==Kd.view&&Xy(Id)==0)return!1;WE(Id)&&(Pg(Id),jd.dims=Db(Id));var Zd=Yd.first+Yd.size,Sf=Math.max(jd.visible.from-Id.options.viewportMargin,Yd.first),Mf=Math.min(Zd,jd.visible.to+Id.options.viewportMargin);Kd.viewFromMf&&Kd.viewTo-Mf<20&&(Mf=Math.min(Zd,Kd.viewTo)),u1&&(Sf=Q_(Id.doc,Sf),Mf=sg(Id.doc,Mf));var Wf=Sf!=Kd.viewFrom||Mf!=Kd.viewTo||Kd.lastWrapHeight!=jd.wrapperHeight||Kd.lastWrapWidth!=jd.wrapperWidth;Bv(Id,Sf,Mf),Kd.viewOffset=og(Rm(Id.doc,Kd.viewFrom)),Id.display.mover.style.top=Kd.viewOffset+"px";var eh=Xy(Id);if(!Wf&&eh==0&&!jd.force&&Kd.renderedView==Kd.view&&(Kd.updateLineNumbers==null||Kd.updateLineNumbers>=Kd.viewTo))return!1;var sh=G2(Id);return eh>4&&(Kd.lineDiv.style.display="none"),W2(Id,Kd.updateLineNumbers,jd.dims),eh>4&&(Kd.lineDiv.style.display=""),Kd.renderedView=Kd.view,z2(sh),mf(Kd.cursorDiv),mf(Kd.selectionDiv),Kd.gutters.style.height=Kd.sizer.style.minHeight=0,Wf&&(Kd.lastWrapHeight=jd.wrapperHeight,Kd.lastWrapWidth=jd.wrapperWidth,cv(Id,400)),Kd.updateLineNumbers=null,!0}function GE(Id,jd){for(var Kd=jd.viewport,Yd=!0;;Yd=!1){if(!Yd||!Id.options.lineWrapping||jd.oldDisplayWidth==J0(Id)){if(Kd&&Kd.top!=null&&(Kd={top:Math.min(Id.doc.height+Q0(Id.display)-zy(Id),Kd.top)}),jd.visible=nb(Id.display,Id.doc,Kd),jd.visible.from>=Id.display.viewFrom&&jd.visible.to<=Id.display.viewTo)break}else Yd&&(jd.visible=nb(Id.display,Id.doc,Kd));if(!nE(Id,jd))break;Fv(Id);var Zd=ib(Id);D0(Id),r0(Id,Zd),aE(Id,Zd),jd.force=!1}jd.signal(Id,"update",Id),(Id.display.viewFrom!=Id.display.reportedViewFrom||Id.display.viewTo!=Id.display.reportedViewTo)&&(jd.signal(Id,"viewportChange",Id,Id.display.viewFrom,Id.display.viewTo),Id.display.reportedViewFrom=Id.display.viewFrom,Id.display.reportedViewTo=Id.display.viewTo)}function Ug(Id,jd){var Kd=new n0(Id,jd);if(nE(Id,Kd)){Fv(Id),GE(Id,Kd);var Yd=ib(Id);D0(Id),r0(Id,Yd),aE(Id,Yd),Kd.finish()}}function W2(Id,jd,Kd){var Yd=Id.display,Zd=Id.options.lineNumbers,Sf=Yd.lineDiv,Mf=Sf.firstChild;function Wf(mm){var Dm=mm.nextSibling;return _d&&Fd&&Id.display.currentWheelTarget==mm?mm.style.display="none":mm.parentNode.removeChild(mm),Dm}for(var eh=Yd.view,sh=Yd.viewFrom,Th=0;Th-1&&(Wh=!1),Rf(Id,kh,sh,Kd)),Wh&&(mf(kh.lineNumber),kh.lineNumber.appendChild(document.createTextNode(rg(Id.options,sh)))),Mf=kh.node.nextSibling}sh+=kh.size}for(;Mf;)Mf=Wf(Mf)}function iE(Id){var jd=Id.gutters.offsetWidth;Id.sizer.style.marginLeft=jd+"px",Wd(Id,"gutterChanged",Id)}function aE(Id,jd){Id.display.sizer.style.minHeight=jd.docHeight+"px",Id.display.heightForcer.style.top=jd.docHeight+"px",Id.display.gutters.style.height=jd.docHeight+Id.display.barHeight+e0(Id)+"px"}function zE(Id){var jd=Id.display,Kd=jd.view;if(!(!jd.alignWidgets&&(!jd.gutters.firstChild||!Id.options.fixedGutter))){for(var Yd=X_(jd)-jd.scroller.scrollLeft+Id.doc.scrollLeft,Zd=jd.gutters.offsetWidth,Sf=Yd+"px",Mf=0;Mf=105&&(Zd.wrapper.style.clipPath="inset(0px)"),Zd.wrapper.setAttribute("translate","no"),cd&&ud<8&&(Zd.gutters.style.zIndex=-1,Zd.scroller.style.paddingRight=0),!_d&&!(rd&&Pd)&&(Zd.scroller.draggable=!0),Id&&(Id.appendChild?Id.appendChild(Zd.wrapper):Id(Zd.wrapper)),Zd.viewFrom=Zd.viewTo=jd.first,Zd.reportedViewFrom=Zd.reportedViewTo=jd.first,Zd.view=[],Zd.renderedView=null,Zd.externalMeasured=null,Zd.viewOffset=0,Zd.lastWrapHeight=Zd.lastWrapWidth=0,Zd.updateLineNumbers=null,Zd.nativeBarWidth=Zd.barHeight=Zd.barWidth=0,Zd.scrollbarsClipped=!1,Zd.lineNumWidth=Zd.lineNumInnerWidth=Zd.lineNumChars=null,Zd.alignWidgets=!1,Zd.cachedCharWidth=Zd.cachedTextHeight=Zd.cachedPaddingH=null,Zd.maxLine=null,Zd.maxLineLength=0,Zd.maxLineChanged=!1,Zd.wheelDX=Zd.wheelDY=Zd.wheelStartX=Zd.wheelStartY=null,Zd.shift=!1,Zd.selForContextMenu=null,Zd.activeTouch=null,Zd.gutterSpecs=qg(Yd.gutters,Yd.lineNumbers),YE(Zd),Kd.init(Zd)}var Hv=0,b0=null;cd?b0=-.53:rd?b0=15:Ad?b0=-.7:Rd&&(b0=-1/3);function I0(Id){var jd=Id.wheelDeltaX,Kd=Id.wheelDeltaY;return jd==null&&Id.detail&&Id.axis==Id.HORIZONTAL_AXIS&&(jd=Id.detail),Kd==null&&Id.detail&&Id.axis==Id.VERTICAL_AXIS?Kd=Id.detail:Kd==null&&(Kd=Id.wheelDelta),{x:jd,y:Kd}}function K2(Id){var jd=I0(Id);return jd.x*=b0,jd.y*=b0,jd}function KE(Id,jd){Ad&&Td==102&&(Id.display.chromeScrollHack==null?Id.display.sizer.style.pointerEvents="none":clearTimeout(Id.display.chromeScrollHack),Id.display.chromeScrollHack=setTimeout(function(){Id.display.chromeScrollHack=null,Id.display.sizer.style.pointerEvents=""},100));var Kd=I0(jd),Yd=Kd.x,Zd=Kd.y,Sf=b0;jd.deltaMode===0&&(Yd=jd.deltaX,Zd=jd.deltaY,Sf=1);var Mf=Id.display,Wf=Mf.scroller,eh=Wf.scrollWidth>Wf.clientWidth,sh=Wf.scrollHeight>Wf.clientHeight;if(Yd&&eh||Zd&&sh){if(Zd&&Fd&&_d){e:for(var Th=jd.target,kh=Mf.view;Th!=Wf;Th=Th.parentNode)for(var Yh=0;Yh=0&&f_(Id,Yd.to())<=0)return Kd}return-1};var W_=function(Id,jd){this.anchor=Id,this.head=jd};W_.prototype.from=function(){return j1(this.anchor,this.head)},W_.prototype.to=function(){return l1(this.anchor,this.head)},W_.prototype.empty=function(){return this.head.line==this.anchor.line&&this.head.ch==this.anchor.ch};function Hg(Id,jd,Kd){var Yd=Id&&Id.options.selectionsMayTouch,Zd=jd[Kd];jd.sort(function(Yh,Wh){return f_(Yh.from(),Wh.from())}),Kd=nh(jd,Zd);for(var Sf=1;Sf0:eh>=0){var sh=j1(Wf.from(),Mf.from()),Th=l1(Wf.to(),Mf.to()),kh=Wf.empty()?Mf.from()==Mf.head:Wf.from()==Wf.head;Sf<=Kd&&--Kd,jd.splice(--Sf,2,new W_(kh?Th:sh,kh?sh:Th))}}return new p1(jd,Kd)}function O0(Id,jd){return new p1([new W_(Id,jd||Id)],0)}function k0(Id){return Id.text?Sm(Id.from.line+Id.text.length-1,dh(Id.text).length+(Id.text.length==1?Id.from.ch:0)):Id.to}function XE(Id,jd){if(f_(Id,jd.from)<0)return Id;if(f_(Id,jd.to)<=0)return k0(jd);var Kd=Id.line+jd.text.length-(jd.to.line-jd.from.line)-1,Yd=Id.ch;return Id.line==jd.to.line&&(Yd+=k0(jd).ch-jd.to.ch),Sm(Kd,Yd)}function Vv(Id,jd){for(var Kd=[],Yd=0;Yd1&&Id.remove(Wf.line+1,mm-1),Id.insert(Wf.line+1,Km)}Wd(Id,"change",Id,jd)}function $0(Id,jd,Kd){function Yd(Zd,Sf,Mf){if(Zd.linked)for(var Wf=0;Wf1&&!Id.done[Id.done.length-2].ranges)return Id.done.pop(),dh(Id.done)}function uE(Id,jd,Kd,Yd){var Zd=Id.history;Zd.undone.length=0;var Sf=+new Date,Mf,Wf;if((Zd.lastOp==Yd||Zd.lastOrigin==jd.origin&&jd.origin&&(jd.origin.charAt(0)=="+"&&Zd.lastModTime>Sf-(Id.cm?Id.cm.options.historyEventDelay:500)||jd.origin.charAt(0)=="*"))&&(Mf=Q2(Zd,Zd.lastOp==Yd)))Wf=dh(Mf.changes),f_(jd.from,jd.to)==0&&f_(jd.from,Wf.to)==0?Wf.to=k0(jd):Mf.changes.push(y0(Id,jd));else{var eh=dh(Zd.done);for((!eh||!eh.ranges)&&Vg(Id.sel,Zd.done),Mf={changes:[y0(Id,jd)],generation:Zd.generation},Zd.done.push(Mf);Zd.done.length>Zd.undoDepth;)Zd.done.shift(),Zd.done[0].ranges||Zd.done.shift()}Zd.done.push(Kd),Zd.generation=++Zd.maxGeneration,Zd.lastModTime=Zd.lastSelTime=Sf,Zd.lastOp=Zd.lastSelOp=Yd,Zd.lastOrigin=Zd.lastSelOrigin=jd.origin,Wf||Lm(Id,"historyAdded")}function JE(Id,jd,Kd,Yd){var Zd=jd.charAt(0);return Zd=="*"||Zd=="+"&&Kd.ranges.length==Yd.ranges.length&&Kd.somethingSelected()==Yd.somethingSelected()&&new Date-Id.history.lastSelTime<=(Id.cm?Id.cm.options.historyEventDelay:500)}function dv(Id,jd,Kd,Yd){var Zd=Id.history,Sf=Yd&&Yd.origin;Kd==Zd.lastSelOp||Sf&&Zd.lastSelOrigin==Sf&&(Zd.lastModTime==Zd.lastSelTime&&Zd.lastOrigin==Sf||JE(Id,Sf,dh(Zd.done),jd))?Zd.done[Zd.done.length-1]=jd:Vg(jd,Zd.done),Zd.lastSelTime=+new Date,Zd.lastSelOrigin=Sf,Zd.lastSelOp=Kd,Yd&&Yd.clearRedo!==!1&&_g(Zd.undone)}function Vg(Id,jd){var Kd=dh(jd);Kd&&Kd.ranges&&Kd.equals(Id)||jd.push(Id)}function dE(Id,jd,Kd,Yd){var Zd=jd["spans_"+Id.id],Sf=0;Id.iter(Math.max(Id.first,Kd),Math.min(Id.first+Id.size,Yd),function(Mf){Mf.markedSpans&&((Zd||(Zd=jd["spans_"+Id.id]={}))[Sf]=Mf.markedSpans),++Sf})}function J2(Id){if(!Id)return null;for(var jd,Kd=0;Kd-1&&(dh(Wf)[kh]=sh[kh],delete sh[kh])}}return Yd}function $1(Id,jd,Kd,Yd){if(Yd){var Zd=Id.anchor;if(Kd){var Sf=f_(jd,Zd)<0;Sf!=f_(Kd,Zd)<0?(Zd=jd,jd=Kd):Sf!=f_(jd,Kd)<0&&(jd=Kd)}return new W_(Zd,jd)}else return new W_(Kd||jd,jd)}function Bb(Id,jd,Kd,Yd,Zd){Zd==null&&(Zd=Id.cm&&(Id.cm.display.shift||Id.extend)),q1(Id,new p1([$1(Id.sel.primary(),jd,Kd,Zd)],0),Yd)}function pE(Id,jd,Kd){for(var Yd=[],Zd=Id.cm&&(Id.cm.display.shift||Id.extend),Sf=0;Sf=jd.ch:Wf.to>jd.ch))){if(Zd&&(Lm(eh,"beforeCursorEnter"),eh.explicitlyCleared))if(Sf.markedSpans){--Mf;continue}else break;if(!eh.atomic)continue;if(Kd){var kh=eh.find(Yd<0?1:-1),Yh=void 0;if((Yd<0?Th:sh)&&(kh=r2(Id,kh,-Yd,kh&&kh.line==jd.line?Sf:null)),kh&&kh.line==jd.line&&(Yh=f_(kh,Kd))&&(Yd<0?Yh<0:Yh>0))return Pb(Id,kh,jd,Yd,Zd)}var Wh=eh.find(Yd<0?-1:1);return(Yd<0?sh:Th)&&(Wh=r2(Id,Wh,Yd,Wh.line==jd.line?Sf:null)),Wh?Pb(Id,Wh,jd,Yd,Zd):null}}return jd}function Fb(Id,jd,Kd,Yd,Zd){var Sf=Yd||1,Mf=Pb(Id,jd,Kd,Sf,Zd)||!Zd&&Pb(Id,jd,Kd,Sf,!0)||Pb(Id,jd,Kd,-Sf,Zd)||!Zd&&Pb(Id,jd,Kd,-Sf,!0);return Mf||(Id.cantEdit=!0,Sm(Id.first,0))}function r2(Id,jd,Kd,Yd){return Kd<0&&jd.ch==0?jd.line>Id.first?h_(Id,Sm(jd.line-1)):null:Kd>0&&jd.ch==(Yd||Rm(Id,jd.line)).text.length?jd.line=0;--Zd)n2(Id,{from:Yd[Zd].from,to:Yd[Zd].to,text:Zd?[""]:jd.text,origin:jd.origin});else n2(Id,jd)}}function n2(Id,jd){if(!(jd.text.length==1&&jd.text[0]==""&&f_(jd.from,jd.to)==0)){var Kd=Vv(Id,jd);uE(Id,jd,Kd,Id.cm?Id.cm.curOp.id:NaN),pv(Id,jd,Kd,G0(Id,jd));var Yd=[];$0(Id,function(Zd,Sf){!Sf&&nh(Yd,Zd.history)==-1&&(o2(Zd.history,jd),Yd.push(Zd.history)),pv(Zd,jd,null,G0(Zd,jd))})}}function L0(Id,jd,Kd){var Yd=Id.cm&&Id.cm.state.suppressEdits;if(!(Yd&&!Kd)){for(var Zd=Id.history,Sf,Mf=Id.sel,Wf=jd=="undo"?Zd.done:Zd.undone,eh=jd=="undo"?Zd.undone:Zd.done,sh=0;sh=0;--Wh){var mm=Yh(Wh);if(mm)return mm.v}}}}function i2(Id,jd){if(jd!=0&&(Id.first+=jd,Id.sel=new p1(bh(Id.sel.ranges,function(Zd){return new W_(Sm(Zd.anchor.line+jd,Zd.anchor.ch),Sm(Zd.head.line+jd,Zd.head.ch))}),Id.sel.primIndex),Id.cm)){J1(Id.cm,Id.first,Id.first-jd,jd);for(var Kd=Id.cm.display,Yd=Kd.viewFrom;YdId.lastLine())){if(jd.from.lineSf&&(jd={from:jd.from,to:Sm(Sf,Rm(Id,Sf).text.length),text:[jd.text[0]],origin:jd.origin}),jd.removed=T_(Id,jd.from,jd.to),Kd||(Kd=Vv(Id,jd)),Id.cm?hE(Id.cm,jd,Yd):Mb(Id,jd,Yd),H1(Id,Kd,th),Id.cantEdit&&Fb(Id,Sm(Id.firstLine(),0))&&(Id.cantEdit=!1)}}function hE(Id,jd,Kd){var Yd=Id.doc,Zd=Id.display,Sf=jd.from,Mf=jd.to,Wf=!1,eh=Sf.line;Id.options.lineWrapping||(eh=Vm(G_(Rm(Yd,Sf.line))),Yd.iter(eh,Mf.line+1,function(Wh){if(Wh==Zd.maxLine)return Wf=!0,!0})),Yd.sel.contains(jd.from,jd.to)>-1&&F_(Id),Mb(Yd,jd,Kd,jE(Id)),Id.options.lineWrapping||(Yd.iter(eh,Sf.line+jd.text.length,function(Wh){var mm=Zg(Wh);mm>Zd.maxLineLength&&(Zd.maxLine=Wh,Zd.maxLineLength=mm,Zd.maxLineChanged=!0,Wf=!1)}),Wf&&(Id.curOp.updateMaxLine=!0)),Sg(Yd,Sf.line),cv(Id,400);var sh=jd.text.length-(Mf.line-Sf.line)-1;jd.full?J1(Id):Sf.line==Mf.line&&jd.text.length==1&&!$b(Id.doc,jd)?N0(Id,Sf.line,"text"):J1(Id,Sf.line,Mf.line+1,sh);var Th=R_(Id,"changes"),kh=R_(Id,"change");if(kh||Th){var Yh={from:Sf,to:Mf,text:jd.text,removed:jd.removed,origin:jd.origin};kh&&Wd(Id,"change",Id,Yh),Th&&(Id.curOp.changeObjs||(Id.curOp.changeObjs=[])).push(Yh)}Id.display.selForContextMenu=null}function ub(Id,jd,Kd,Yd,Zd){var Sf;Yd||(Yd=Kd),f_(Yd,Kd)<0&&(Sf=[Yd,Kd],Kd=Sf[0],Yd=Sf[1]),typeof jd=="string"&&(jd=Id.splitLines(jd)),Ub(Id,{from:Kd,to:Yd,text:jd,origin:Zd})}function a2(Id,jd,Kd,Yd){Kd1||!(this.children[0]instanceof db))){var Wf=[];this.collapse(Wf),this.children=[new db(Wf)],this.children[0].parent=this}},collapse:function(Id){for(var jd=0;jd50){for(var Mf=Zd.lines.length%25+25,Wf=Mf;Wf10);Id.parent.maybeSpill()}},iterN:function(Id,jd,Kd){for(var Yd=0;YdId.display.maxLineLength&&(Id.display.maxLine=sh,Id.display.maxLineLength=Th,Id.display.maxLineChanged=!0)}Yd!=null&&Id&&this.collapsed&&J1(Id,Yd,Zd+1),this.lines.length=0,this.explicitlyCleared=!0,this.atomic&&this.doc.cantEdit&&(this.doc.cantEdit=!1,Id&&e2(Id.doc)),Id&&Wd(Id,"markerCleared",Id,this,Yd,Zd),jd&&ob(Id),this.parent&&this.parent.clear()}},zg.prototype.find=function(Id,jd){Id==null&&this.type=="bookmark"&&(Id=1);for(var Kd,Yd,Zd=0;Zd0||Mf==0&&Sf.clearWhenEmpty!==!1)return Sf;if(Sf.replacedWith&&(Sf.collapsed=!0,Sf.widgetNode=gf("span",[Sf.replacedWith],"CodeMirror-widget"),Yd.handleMouseEvents||Sf.widgetNode.setAttribute("cm-ignore-events","true"),Yd.insertLeft&&(Sf.widgetNode.insertLeft=!0)),Sf.collapsed){if(Y0(Id,jd.line,jd,Kd,Sf)||jd.line!=Kd.line&&Y0(Id,Kd.line,jd,Kd,Sf))throw new Error("Inserting collapsed marker partially overlapping an existing one");dg()}Sf.addToHistory&&uE(Id,{from:jd,to:Kd,origin:"markText"},Id.sel,NaN);var Wf=jd.line,eh=Id.cm,sh;if(Id.iter(Wf,Kd.line+1,function(kh){eh&&Sf.collapsed&&!eh.options.lineWrapping&&G_(kh)==eh.display.maxLine&&(sh=!0),Sf.collapsed&&Wf!=jd.line&&l_(kh,0),V0(kh,new ag(Sf,Wf==jd.line?jd.ch:null,Wf==Kd.line?Kd.ch:null),Id.cm&&Id.cm.curOp),++Wf}),Sf.collapsed&&Id.iter(jd.line,Kd.line+1,function(kh){s1(Id,kh)&&l_(kh,0)}),Sf.clearOnEnter&&um(Sf,"beforeCursorEnter",function(){return Sf.clear()}),Sf.readOnly&&(W1(),(Id.history.done.length||Id.history.undone.length)&&Id.clearHistory()),Sf.collapsed&&(Sf.id=++_E,Sf.atomic=!0),eh){if(sh&&(eh.curOp.updateMaxLine=!0),Sf.collapsed)J1(eh,jd.line,Kd.line+1);else if(Sf.className||Sf.startStyle||Sf.endStyle||Sf.css||Sf.attributes||Sf.title)for(var Th=jd.line;Th<=Kd.line;Th++)N0(eh,Th,"text");Sf.atomic&&e2(eh.doc),Wd(eh,"markerAdded",eh,Sf)}return Sf}var Vb=function(Id,jd){this.markers=Id,this.primary=jd;for(var Kd=0;Kd=0;eh--)Ub(this,Yd[eh]);Wf?M0(this,Wf):this.cm&&o1(this.cm)}),undo:k1(function(){L0(this,"undo")}),redo:k1(function(){L0(this,"redo")}),undoSelection:k1(function(){L0(this,"undo",!0)}),redoSelection:k1(function(){L0(this,"redo",!0)}),setExtending:function(Id){this.extend=Id},getExtending:function(){return this.extend},historySize:function(){for(var Id=this.history,jd=0,Kd=0,Yd=0;Yd=Id.ch)&&jd.push(Zd.marker.parent||Zd.marker)}return jd},findMarks:function(Id,jd,Kd){Id=h_(this,Id),jd=h_(this,jd);var Yd=[],Zd=Id.line;return this.iter(Id.line,jd.line+1,function(Sf){var Mf=Sf.markedSpans;if(Mf)for(var Wf=0;Wf=eh.to||eh.from==null&&Zd!=Id.line||eh.from!=null&&Zd==jd.line&&eh.from>=jd.ch)&&(!Kd||Kd(eh.marker))&&Yd.push(eh.marker.parent||eh.marker)}++Zd}),Yd},getAllMarks:function(){var Id=[];return this.iter(function(jd){var Kd=jd.markedSpans;if(Kd)for(var Yd=0;YdId)return jd=Id,!0;Id-=Sf,++Kd}),h_(this,Sm(Kd,jd))},indexFromPos:function(Id){Id=h_(this,Id);var jd=Id.ch;if(Id.linejd&&(jd=Id.from),Id.to!=null&&Id.to-1){jd.state.draggingText(Id),setTimeout(function(){return jd.display.input.focus()},20);return}try{var Th=Id.dataTransfer.getData("Text");if(Th){var kh;if(jd.state.draggingText&&!jd.state.draggingText.copy&&(kh=jd.listSelections()),H1(jd.doc,O0(Kd,Kd)),kh)for(var Yh=0;Yh=0;Wf--)ub(Id.doc,"",Yd[Wf].from,Yd[Wf].to,"+delete");o1(Id)})}function Zv(Id,jd,Kd){var Yd=kf(Id.text,jd+Kd,Kd);return Yd<0||Yd>Id.text.length?null:Yd}function gv(Id,jd,Kd){var Yd=Zv(Id,jd.ch,Kd);return Yd==null?null:new Sm(jd.line,Yd,Kd<0?"after":"before")}function bv(Id,jd,Kd,Yd,Zd){if(Id){jd.doc.direction=="rtl"&&(Zd=-Zd);var Sf=om(Kd,jd.doc.direction);if(Sf){var Mf=Zd<0?dh(Sf):Sf[0],Wf=Zd<0==(Mf.level==1),eh=Wf?"after":"before",sh;if(Mf.level>0||jd.doc.direction=="rtl"){var Th=hg(jd,Kd);sh=Zd<0?Kd.text.length-1:0;var kh=mg(jd,Th,sh).top;sh=If(function(Yh){return mg(jd,Th,Yh).top==kh},Zd<0==(Mf.level==1)?Mf.from:Mf.to-1,sh),eh=="before"&&(sh=Zv(Kd,sh,1))}else sh=Zd<0?Mf.to:Mf.from;return new Sm(Yd,sh,eh)}}return new Sm(Yd,Zd<0?Kd.text.length:0,Zd<0?"before":"after")}function P0(Id,jd,Kd,Yd){var Zd=om(jd,Id.doc.direction);if(!Zd)return gv(jd,Kd,Yd);Kd.ch>=jd.text.length?(Kd.ch=jd.text.length,Kd.sticky="before"):Kd.ch<=0&&(Kd.ch=0,Kd.sticky="after");var Sf=wh(Zd,Kd.ch,Kd.sticky),Mf=Zd[Sf];if(Id.doc.direction=="ltr"&&Mf.level%2==0&&(Yd>0?Mf.to>Kd.ch:Mf.from=Mf.from&&Yh>=Th.begin)){var Wh=kh?"before":"after";return new Sm(Kd.line,Yh,Wh)}}var mm=function(Km,Xm,Ym){for(var Zm=function(e1,v1){return v1?new Sm(Kd.line,Wf(e1,1),"before"):new Sm(Kd.line,e1,"after")};Km>=0&&Km0==(c_.level!=1),A_=o_?Ym.begin:Wf(Ym.end,-1);if(c_.from<=A_&&A_0?Th.end:Wf(Th.begin,-1);return Um!=null&&!(Yd>0&&Um==jd.text.length)&&(Dm=mm(Yd>0?0:Zd.length-1,Yd,sh(Um)),Dm)?Dm:null}var vv={selectAll:jb,singleSelection:function(Id){return Id.setSelection(Id.getCursor("anchor"),Id.getCursor("head"),th)},killLine:function(Id){return B0(Id,function(jd){if(jd.empty()){var Kd=Rm(Id.doc,jd.head.line).text.length;return jd.head.ch==Kd&&jd.head.line0)Zd=new Sm(Zd.line,Zd.ch+1),Id.replaceRange(Sf.charAt(Zd.ch-1)+Sf.charAt(Zd.ch-2),Sm(Zd.line,Zd.ch-2),Zd,"+transpose");else if(Zd.line>Id.doc.first){var Mf=Rm(Id.doc,Zd.line-1).text;Mf&&(Zd=new Sm(Zd.line,1),Id.replaceRange(Sf.charAt(0)+Id.doc.lineSeparator()+Mf.charAt(Mf.length-1),Sm(Zd.line-1,Mf.length-1),Zd,"+transpose"))}}Kd.push(new W_(Zd,Zd))}Id.setSelections(Kd)})},newlineAndIndent:function(Id){return G1(Id,function(){for(var jd=Id.listSelections(),Kd=jd.length-1;Kd>=0;Kd--)Id.replaceRange(Id.doc.lineSeparator(),jd[Kd].anchor,jd[Kd].head,"+input");jd=Id.listSelections();for(var Yd=0;YdId&&f_(jd,this.pos)==0&&Kd==this.button};var a0,Yb;function b2(Id,jd){var Kd=+new Date;return Yb&&Yb.compare(Kd,Id,jd)?(a0=Yb=null,"triple"):a0&&a0.compare(Kd,Id,jd)?(Yb=new Wb(Kd,Id,jd),a0=null,"double"):(a0=new Wb(Kd,Id,jd),Yb=null,"single")}function v2(Id){var jd=this,Kd=jd.display;if(!(e_(jd,Id)||Kd.activeTouch&&Kd.input.supportsTouch())){if(Kd.input.ensurePolled(),Kd.shift=Id.shiftKey,z_(Kd,Id)){_d||(Kd.scroller.draggable=!1,setTimeout(function(){return Kd.scroller.draggable=!0},100));return}if(!Xb(jd,Id)){var Yd=t0(jd,Id),Zd=j_(Id),Sf=Yd?b2(Yd,Zd):"single";Qf(jd).focus(),Zd==1&&jd.state.selectingText&&jd.state.selectingText(Id),!(Yd&&y2(jd,Zd,Yd,Sf,Id))&&(Zd==1?Yd?Ev(jd,Yd,Sf,Id):t1(Id)==Kd.scroller&&Qm(Id):Zd==2?(Yd&&Bb(jd.doc,Yd),setTimeout(function(){return Kd.input.focus()},20)):Zd==3&&(zd?jd.display.input.onContextMenu(Id):Rb(jd)))}}}function y2(Id,jd,Kd,Yd,Zd){var Sf="Click";return Yd=="double"?Sf="Double"+Sf:Yd=="triple"&&(Sf="Triple"+Sf),Sf=(jd==1?"Left":jd==2?"Middle":"Right")+Sf,yv(Id,yE(Sf,Zd),Zd,function(Mf){if(typeof Mf=="string"&&(Mf=vv[Mf]),!Mf)return!1;var Wf=!1;try{Id.isReadOnly()&&(Id.state.suppressEdits=!0),Wf=Mf(Id,Kd)!=$f}finally{Id.state.suppressEdits=!1}return Wf})}function Kb(Id,jd,Kd){var Yd=Id.getOption("configureMouse"),Zd=Yd?Yd(Id,jd,Kd):{};if(Zd.unit==null){var Sf=Ud?Kd.shiftKey&&Kd.metaKey:Kd.altKey;Zd.unit=Sf?"rectangle":jd=="single"?"char":jd=="double"?"word":"line"}return(Zd.extend==null||Id.doc.extend)&&(Zd.extend=Id.doc.extend||Kd.shiftKey),Zd.addNew==null&&(Zd.addNew=Fd?Kd.metaKey:Kd.ctrlKey),Zd.moveOnDrag==null&&(Zd.moveOnDrag=!(Fd?Kd.altKey:Kd.ctrlKey)),Zd}function Ev(Id,jd,Kd,Yd){cd?setTimeout(Ef(R0,Id),0):Id.curOp.focus=Pf(wf(Id));var Zd=Kb(Id,Kd,Yd),Sf=Id.doc.sel,Mf;Id.options.dragDrop&&$_&&!Id.isReadOnly()&&Kd=="single"&&(Mf=Sf.contains(jd))>-1&&(f_((Mf=Sf.ranges[Mf]).from(),jd)<0||jd.xRel>0)&&(f_(Mf.to(),jd)>0||jd.xRel<0)?oT(Id,Yd,jd,Zd):E2(Id,Yd,jd,Zd)}function oT(Id,jd,Kd,Yd){var Zd=Id.display,Sf=!1,Mf=J_(Id,function(sh){_d&&(Zd.scroller.draggable=!1),Id.state.draggingText=!1,Id.state.delayingBlurEvent&&(Id.hasFocus()?Id.state.delayingBlurEvent=!1:Rb(Id)),Fm(Zd.wrapper.ownerDocument,"mouseup",Mf),Fm(Zd.wrapper.ownerDocument,"mousemove",Wf),Fm(Zd.scroller,"dragstart",eh),Fm(Zd.scroller,"drop",Mf),Sf||(Qm(sh),Yd.addNew||Bb(Id.doc,Kd,null,null,Yd.extend),_d&&!Rd||cd&&ud==9?setTimeout(function(){Zd.wrapper.ownerDocument.body.focus({preventScroll:!0}),Zd.input.focus()},20):Zd.input.focus())}),Wf=function(sh){Sf=Sf||Math.abs(jd.clientX-sh.clientX)+Math.abs(jd.clientY-sh.clientY)>=10},eh=function(){return Sf=!0};_d&&(Zd.scroller.draggable=!0),Id.state.draggingText=Mf,Mf.copy=!Yd.moveOnDrag,um(Zd.wrapper.ownerDocument,"mouseup",Mf),um(Zd.wrapper.ownerDocument,"mousemove",Wf),um(Zd.scroller,"dragstart",eh),um(Zd.scroller,"drop",Mf),Id.state.delayingBlurEvent=!0,setTimeout(function(){return Zd.input.focus()},20),Zd.scroller.dragDrop&&Zd.scroller.dragDrop()}function wE(Id,jd,Kd){if(Kd=="char")return new W_(jd,jd);if(Kd=="word")return Id.findWordAt(jd);if(Kd=="line")return new W_(Sm(jd.line,0),h_(Id.doc,Sm(jd.line+1,0)));var Yd=Kd(Id,jd);return new W_(Yd.from,Yd.to)}function E2(Id,jd,Kd,Yd){cd&&Rb(Id);var Zd=Id.display,Sf=Id.doc;Qm(jd);var Mf,Wf,eh=Sf.sel,sh=eh.ranges;if(Yd.addNew&&!Yd.extend?(Wf=Sf.sel.contains(Kd),Wf>-1?Mf=sh[Wf]:Mf=new W_(Kd,Kd)):(Mf=Sf.sel.primary(),Wf=Sf.sel.primIndex),Yd.unit=="rectangle")Yd.addNew||(Mf=new W_(Kd,Kd)),Kd=t0(Id,jd,!0,!0),Wf=-1;else{var Th=wE(Id,Kd,Yd.unit);Yd.extend?Mf=$1(Mf,Th.anchor,Th.head,Yd.extend):Mf=Th}Yd.addNew?Wf==-1?(Wf=sh.length,q1(Sf,Hg(Id,sh.concat([Mf]),Wf),{scroll:!1,origin:"*mouse"})):sh.length>1&&sh[Wf].empty()&&Yd.unit=="char"&&!Yd.extend?(q1(Sf,Hg(Id,sh.slice(0,Wf).concat(sh.slice(Wf+1)),0),{scroll:!1,origin:"*mouse"}),eh=Sf.sel):Ng(Sf,Wf,Mf,hh):(Wf=0,q1(Sf,new p1([Mf],0),hh),eh=Sf.sel);var kh=Kd;function Yh(Ym){if(f_(kh,Ym)!=0)if(kh=Ym,Yd.unit=="rectangle"){for(var Zm=[],c_=Id.options.tabSize,o_=Bf(Rm(Sf,Kd.line).text,Kd.ch,c_),A_=Bf(Rm(Sf,Ym.line).text,Ym.ch,c_),e1=Math.min(o_,A_),v1=Math.max(o_,A_),a1=Math.min(Kd.line,Ym.line),f1=Math.min(Id.lastLine(),Math.max(Kd.line,Ym.line));a1<=f1;a1++){var S1=Rm(Sf,a1).text,x1=Df(S1,e1,c_);e1==v1?Zm.push(new W_(Sm(a1,x1),Sm(a1,x1))):S1.length>x1&&Zm.push(new W_(Sm(a1,x1),Sm(a1,Df(S1,v1,c_))))}Zm.length||Zm.push(new W_(Kd,Kd)),q1(Sf,Hg(Id,eh.ranges.slice(0,Wf).concat(Zm),Wf),{origin:"*mouse",scroll:!1}),Id.scrollIntoView(Ym)}else{var K1=Mf,V1=wE(Id,Ym,Yd.unit),D1=K1.anchor,C1;f_(V1.anchor,D1)>0?(C1=V1.head,D1=j1(K1.from(),V1.anchor)):(C1=V1.anchor,D1=l1(K1.to(),V1.head));var h1=eh.ranges.slice(0);h1[Wf]=ty(Id,new W_(h_(Sf,D1),C1)),q1(Sf,Hg(Id,h1,Wf),hh)}}var Wh=Zd.wrapper.getBoundingClientRect(),mm=0;function Dm(Ym){var Zm=++mm,c_=t0(Id,Ym,!0,Yd.unit=="rectangle");if(c_)if(f_(c_,kh)!=0){Id.curOp.focus=Pf(wf(Id)),Yh(c_);var o_=nb(Zd,Sf);(c_.line>=o_.to||c_.lineWh.bottom?20:0;A_&&setTimeout(J_(Id,function(){mm==Zm&&(Zd.scroller.scrollTop+=A_,Dm(Ym))}),50)}}function Um(Ym){Id.state.selectingText=!1,mm=1/0,Ym&&(Qm(Ym),Zd.input.focus()),Fm(Zd.wrapper.ownerDocument,"mousemove",Km),Fm(Zd.wrapper.ownerDocument,"mouseup",Xm),Sf.history.lastSelOrigin=null}var Km=J_(Id,function(Ym){Ym.buttons===0||!j_(Ym)?Um(Ym):Dm(Ym)}),Xm=J_(Id,Um);Id.state.selectingText=Xm,um(Zd.wrapper.ownerDocument,"mousemove",Km),um(Zd.wrapper.ownerDocument,"mouseup",Xm)}function ty(Id,jd){var Kd=jd.anchor,Yd=jd.head,Zd=Rm(Id.doc,Kd.line);if(f_(Kd,Yd)==0&&Kd.sticky==Yd.sticky)return jd;var Sf=om(Zd);if(!Sf)return jd;var Mf=wh(Sf,Kd.ch,Kd.sticky),Wf=Sf[Mf];if(Wf.from!=Kd.ch&&Wf.to!=Kd.ch)return jd;var eh=Mf+(Wf.from==Kd.ch==(Wf.level!=1)?0:1);if(eh==0||eh==Sf.length)return jd;var sh;if(Yd.line!=Kd.line)sh=(Yd.line-Kd.line)*(Id.doc.direction=="ltr"?1:-1)>0;else{var Th=wh(Sf,Yd.ch,Yd.sticky),kh=Th-Mf||(Yd.ch-Kd.ch)*(Wf.level==1?-1:1);Th==eh-1||Th==eh?sh=kh<0:sh=kh>0}var Yh=Sf[eh+(sh?-1:0)],Wh=sh==(Yh.level==1),mm=Wh?Yh.from:Yh.to,Dm=Wh?"after":"before";return Kd.ch==mm&&Kd.sticky==Dm?jd:new W_(new Sm(Kd.line,mm,Dm),Yd)}function AE(Id,jd,Kd,Yd){var Zd,Sf;if(jd.touches)Zd=jd.touches[0].clientX,Sf=jd.touches[0].clientY;else try{Zd=jd.clientX,Sf=jd.clientY}catch{return!1}if(Zd>=Math.floor(Id.display.gutters.getBoundingClientRect().right))return!1;Yd&&Qm(jd);var Mf=Id.display,Wf=Mf.lineDiv.getBoundingClientRect();if(Sf>Wf.bottom||!R_(Id,Kd))return V_(jd);Sf-=Wf.top-Mf.viewOffset;for(var eh=0;eh=Zd){var Th=D_(Id.doc,Sf),kh=Id.display.gutterSpecs[eh];return Lm(Id,Kd,Id,Th,kh.className,jd),V_(jd)}}}function Xb(Id,jd){return AE(Id,jd,"gutterClick",!0)}function ry(Id,jd){z_(Id.display,jd)||ny(Id,jd)||e_(Id,jd,"contextmenu")||zd||Id.display.input.onContextMenu(jd)}function ny(Id,jd){return R_(Id,"gutterContextMenu")?AE(Id,jd,"gutterContextMenu",!1):!1}function T2(Id){Id.display.wrapper.className=Id.display.wrapper.className.replace(/\s*cm-s-\S+/g,"")+Id.options.theme.replace(/(^|\s)\s*/g," cm-s-"),nv(Id)}var mb={toString:function(){return"CodeMirror.Init"}},Tv={},Sv={};function NE(Id){var jd=Id.optionHandlers;function Kd(Yd,Zd,Sf,Mf){Id.defaults[Yd]=Zd,Sf&&(jd[Yd]=Mf?function(Wf,eh,sh){sh!=mb&&Sf(Wf,eh,sh)}:Sf)}Id.defineOption=Kd,Id.Init=mb,Kd("value","",function(Yd,Zd){return Yd.setValue(Zd)},!0),Kd("mode",null,function(Yd,Zd){Yd.doc.modeOption=Zd,oE(Yd)},!0),Kd("indentUnit",2,oE,!0),Kd("indentWithTabs",!1),Kd("smartIndent",!0),Kd("tabSize",4,function(Yd){lb(Yd),nv(Yd),J1(Yd)},!0),Kd("lineSeparator",null,function(Yd,Zd){if(Yd.doc.lineSep=Zd,!!Zd){var Sf=[],Mf=Yd.doc.first;Yd.doc.iter(function(eh){for(var sh=0;;){var Th=eh.text.indexOf(Zd,sh);if(Th==-1)break;sh=Th+Zd.length,Sf.push(Sm(Mf,Th))}Mf++});for(var Wf=Sf.length-1;Wf>=0;Wf--)ub(Yd.doc,Zd,Sf[Wf],Sm(Sf[Wf].line,Sf[Wf].ch+Zd.length))}}),Kd("specialChars",/[\u0000-\u001f\u007f-\u009f\u00ad\u061c\u200b\u200e\u200f\u2028\u2029\u202d\u202e\u2066\u2067\u2069\ufeff\ufff9-\ufffc]/g,function(Yd,Zd,Sf){Yd.state.specialChars=new RegExp(Zd.source+(Zd.test(" ")?"":"| "),"g"),Sf!=mb&&Yd.refresh()}),Kd("specialCharPlaceholder",fg,function(Yd){return Yd.refresh()},!0),Kd("electricChars",!0),Kd("inputStyle",Pd?"contenteditable":"textarea",function(){throw new Error("inputStyle can not (yet) be changed in a running editor")},!0),Kd("spellcheck",!1,function(Yd,Zd){return Yd.getInputField().spellcheck=Zd},!0),Kd("autocorrect",!1,function(Yd,Zd){return Yd.getInputField().autocorrect=Zd},!0),Kd("autocapitalize",!1,function(Yd,Zd){return Yd.getInputField().autocapitalize=Zd},!0),Kd("rtlMoveVisually",!Gd),Kd("wholeLineUpdateBefore",!0),Kd("theme","default",function(Yd){T2(Yd),uv(Yd)},!0),Kd("keyMap","default",function(Yd,Zd,Sf){var Mf=_v(Zd),Wf=Sf!=mb&&_v(Sf);Wf&&Wf.detach&&Wf.detach(Yd,Mf),Mf.attach&&Mf.attach(Yd,Wf||null)}),Kd("extraKeys",null),Kd("configureMouse",null),Kd("lineWrapping",!1,S2,!0),Kd("gutters",[],function(Yd,Zd){Yd.display.gutterSpecs=qg(Zd,Yd.options.lineNumbers),uv(Yd)},!0),Kd("fixedGutter",!0,function(Yd,Zd){Yd.display.gutters.style.left=Zd?X_(Yd.display)+"px":"0",Yd.refresh()},!0),Kd("coverGutterNextToScrollbar",!1,function(Yd){return r0(Yd)},!0),Kd("scrollbarStyle","native",function(Yd){HE(Yd),r0(Yd),Yd.display.scrollbars.setScrollTop(Yd.doc.scrollTop),Yd.display.scrollbars.setScrollLeft(Yd.doc.scrollLeft)},!0),Kd("lineNumbers",!1,function(Yd,Zd){Yd.display.gutterSpecs=qg(Yd.options.gutters,Zd),uv(Yd)},!0),Kd("firstLineNumber",1,uv,!0),Kd("lineNumberFormatter",function(Yd){return Yd},uv,!0),Kd("showCursorWhenSelecting",!1,D0,!0),Kd("resetSelectionOnContextMenu",!0),Kd("lineWiseCopyCut",!0),Kd("pasteLinesPerSelection",!0),Kd("selectionsMayTouch",!1),Kd("readOnly",!1,function(Yd,Zd){Zd=="nocursor"&&(Ib(Yd),Yd.display.input.blur()),Yd.display.input.readOnlyChanged(Zd)}),Kd("screenReaderLabel",null,function(Yd,Zd){Zd=Zd===""?null:Zd,Yd.display.input.screenReaderLabelChanged(Zd)}),Kd("disableInput",!1,function(Yd,Zd){Zd||Yd.display.input.reset()},!0),Kd("dragDrop",!0,lT),Kd("allowDropFileTypes",null),Kd("cursorBlinkRate",530),Kd("cursorScrollMargin",0),Kd("cursorHeight",1,D0,!0),Kd("singleCursorHeightPerLine",!0,D0,!0),Kd("workTime",100),Kd("workDelay",100),Kd("flattenSpans",!0,lb,!0),Kd("addModeClass",!1,lb,!0),Kd("pollInterval",100),Kd("undoDepth",200,function(Yd,Zd){return Yd.doc.history.undoDepth=Zd}),Kd("historyEventDelay",1250),Kd("viewportMargin",10,function(Yd){return Yd.refresh()},!0),Kd("maxHighlightLength",1e4,lb,!0),Kd("moveInputWithCursor",!0,function(Yd,Zd){Zd||Yd.display.input.resetPosition()}),Kd("tabindex",null,function(Yd,Zd){return Yd.display.input.getField().tabIndex=Zd||""}),Kd("autofocus",null),Kd("direction","ltr",function(Yd,Zd){return Yd.doc.setDirection(Zd)},!0),Kd("phrases",null)}function lT(Id,jd,Kd){var Yd=Kd&&Kd!=mb;if(!jd!=!Yd){var Zd=Id.display.dragFunctions,Sf=jd?um:Fm;Sf(Id.display.scroller,"dragstart",Zd.start),Sf(Id.display.scroller,"dragenter",Zd.enter),Sf(Id.display.scroller,"dragover",Zd.over),Sf(Id.display.scroller,"dragleave",Zd.leave),Sf(Id.display.scroller,"drop",Zd.drop)}}function S2(Id){Id.options.lineWrapping?(Yf(Id.display.wrapper,"CodeMirror-wrap"),Id.display.sizer.style.minWidth="",Id.display.sizerWidth=null):(df(Id.display.wrapper,"CodeMirror-wrap"),Cf(Id)),Lv(Id),J1(Id),nv(Id),setTimeout(function(){return r0(Id)},100)}function q_(Id,jd){var Kd=this;if(!(this instanceof q_))return new q_(Id,jd);this.options=jd=jd?bf(jd):{},bf(Tv,jd,!1);var Yd=jd.value;typeof Yd=="string"?Yd=new Y1(Yd,jd.mode,null,jd.lineSeparator,jd.direction):jd.mode&&(Yd.modeOption=jd.mode),this.doc=Yd;var Zd=new q_.inputStyles[jd.inputStyle](this),Sf=this.display=new Y2(Id,Yd,Zd,jd);Sf.wrapper.CodeMirror=this,T2(this),jd.lineWrapping&&(this.display.wrapper.className+=" CodeMirror-wrap"),HE(this),this.state={keyMaps:[],overlays:[],modeGen:0,overwrite:!1,delayingBlurEvent:!1,focused:!1,suppressEdits:!1,pasteIncoming:-1,cutIncoming:-1,selectingText:!1,draggingText:!1,highlight:new Kf,keySeq:null,specialChars:null},jd.autofocus&&!Pd&&Sf.input.focus(),cd&&ud<11&&setTimeout(function(){return Kd.display.input.reset(!0)},20),x2(this),h2(),sb(this),this.curOp.forceUpdate=!0,cE(this,Yd),jd.autofocus&&!Pd||this.hasFocus()?setTimeout(function(){Kd.hasFocus()&&!Kd.state.focused&&Jy(Kd)},20):Ib(this);for(var Mf in Sv)Sv.hasOwnProperty(Mf)&&Sv[Mf](this,jd[Mf],mb);WE(this),jd.finishInit&&jd.finishInit(this);for(var Wf=0;Wf20*20}um(jd.scroller,"touchstart",function(eh){if(!e_(Id,eh)&&!Sf(eh)&&!Xb(Id,eh)){jd.input.ensurePolled(),clearTimeout(Kd);var sh=+new Date;jd.activeTouch={start:sh,moved:!1,prev:sh-Yd.end<=300?Yd:null},eh.touches.length==1&&(jd.activeTouch.left=eh.touches[0].pageX,jd.activeTouch.top=eh.touches[0].pageY)}}),um(jd.scroller,"touchmove",function(){jd.activeTouch&&(jd.activeTouch.moved=!0)}),um(jd.scroller,"touchend",function(eh){var sh=jd.activeTouch;if(sh&&!z_(jd,eh)&&sh.left!=null&&!sh.moved&&new Date-sh.start<300){var Th=Id.coordsChar(jd.activeTouch,"page"),kh;!sh.prev||Mf(sh,sh.prev)?kh=new W_(Th,Th):!sh.prev.prev||Mf(sh,sh.prev.prev)?kh=Id.findWordAt(Th):kh=new W_(Sm(Th.line,0),h_(Id.doc,Sm(Th.line+1,0))),Id.setSelection(kh.anchor,kh.head),Id.focus(),Qm(eh)}Zd()}),um(jd.scroller,"touchcancel",Zd),um(jd.scroller,"scroll",function(){jd.scroller.clientHeight&&(sv(Id,jd.scroller.scrollTop),jg(Id,jd.scroller.scrollLeft,!0),Lm(Id,"scroll",Id))}),um(jd.scroller,"mousewheel",function(eh){return KE(Id,eh)}),um(jd.scroller,"DOMMouseScroll",function(eh){return KE(Id,eh)}),um(jd.wrapper,"scroll",function(){return jd.wrapper.scrollTop=jd.wrapper.scrollLeft=0}),jd.dragFunctions={enter:function(eh){e_(Id,eh)||Z_(eh)},over:function(eh){e_(Id,eh)||(I_(Id,eh),Z_(eh))},start:function(eh){return vE(Id,eh)},drop:J_(Id,eT),leave:function(eh){e_(Id,eh)||p2(Id)}};var Wf=jd.input.getField();um(Wf,"keyup",function(eh){return Wg.call(Id,eh)}),um(Wf,"keydown",J_(Id,CE)),um(Wf,"keypress",J_(Id,g2)),um(Wf,"focus",function(eh){return Jy(Id,eh)}),um(Wf,"blur",function(eh){return Ib(Id,eh)})}var iy=[];q_.defineInitHook=function(Id){return iy.push(Id)};function xv(Id,jd,Kd,Yd){var Zd=Id.doc,Sf;Kd==null&&(Kd="add"),Kd=="smart"&&(Zd.mode.indent?Sf=E1(Id,jd).state:Kd="prev");var Mf=Id.options.tabSize,Wf=Rm(Zd,jd),eh=Bf(Wf.text,null,Mf);Wf.stateAfter&&(Wf.stateAfter=null);var sh=Wf.text.match(/^\s*/)[0],Th;if(!Yd&&!/\S/.test(Wf.text))Th=0,Kd="not";else if(Kd=="smart"&&(Th=Zd.mode.indent(Sf,Wf.text.slice(sh.length),Wf.text),Th==$f||Th>150)){if(!Yd)return;Kd="prev"}Kd=="prev"?jd>Zd.first?Th=Bf(Rm(Zd,jd-1).text,null,Mf):Th=0:Kd=="add"?Th=eh+Id.options.indentUnit:Kd=="subtract"?Th=eh-Id.options.indentUnit:typeof Kd=="number"&&(Th=eh+Kd),Th=Math.max(0,Th);var kh="",Yh=0;if(Id.options.indentWithTabs)for(var Wh=Math.floor(Th/Mf);Wh;--Wh)Yh+=Mf,kh+=" ";if(YhMf,eh=Af(jd),sh=null;if(Wf&&Yd.ranges.length>1)if(Dg&&Dg.text.join(` `)==jd){if(Yd.ranges.length%Dg.text.length==0){sh=[];for(var Th=0;Th=0;Yh--){var Wh=Yd.ranges[Yh],mm=Wh.from(),Dm=Wh.to();Wh.empty()&&(Kd&&Kd>0?mm=Sm(mm.line,mm.ch-Kd):Id.state.overwrite&&!Wf?Dm=Sm(Dm.line,Math.min(Rm(Sf,Dm.line).text.length,Dm.ch+dh(eh).length)):Wf&&Dg&&Dg.lineWise&&Dg.text.join(` `)==eh.join(` `)&&(mm=Dm=Sm(mm.line,0)));var Um={from:mm,to:Dm,text:sh?sh[Yh%sh.length]:eh,origin:Zd||(Wf?"paste":Id.state.cutIncoming>Mf?"cut":"+input")};Ub(Id.doc,Um),Wd(Id,"inputRead",Id,Um)}jd&&!Wf&&sy(Id,jd),o1(Id),Id.curOp.updateInput<2&&(Id.curOp.updateInput=kh),Id.curOp.typing=!0,Id.state.pasteIncoming=Id.state.cutIncoming=-1}function s0(Id,jd){var Kd=Id.clipboardData&&Id.clipboardData.getData("Text");if(Kd)return Id.preventDefault(),!jd.isReadOnly()&&!jd.options.disableInput&&jd.hasFocus()&&G1(jd,function(){return DE(jd,Kd,0,null,"paste")}),!0}function sy(Id,jd){if(!(!Id.options.electricChars||!Id.options.smartIndent))for(var Kd=Id.doc.sel,Yd=Kd.ranges.length-1;Yd>=0;Yd--){var Zd=Kd.ranges[Yd];if(!(Zd.head.ch>100||Yd&&Kd.ranges[Yd-1].head.line==Zd.head.line)){var Sf=Id.getModeAt(Zd.head),Mf=!1;if(Sf.electricChars){for(var Wf=0;Wf-1){Mf=xv(Id,Zd.head.line,"smart");break}}else Sf.electricInput&&Sf.electricInput.test(Rm(Id.doc,Zd.head.line).text.slice(0,Zd.head.ch))&&(Mf=xv(Id,Zd.head.line,"smart"));Mf&&Wd(Id,"electricInput",Id,Zd.head.line)}}}function oy(Id){for(var jd=[],Kd=[],Yd=0;YdSf&&(xv(this,Wf.head.line,Yd,!0),Sf=Wf.head.line,Mf==this.doc.sel.primIndex&&o1(this));else{var eh=Wf.from(),sh=Wf.to(),Th=Math.max(Sf,eh.line);Sf=Math.min(this.lastLine(),sh.line-(sh.ch?0:1))+1;for(var kh=Th;kh0&&Ng(this.doc,Mf,new W_(eh,Yh[Mf].to()),th)}}}),getTokenAt:function(Yd,Zd){return Tg(this,Yd,Zd)},getLineTokens:function(Yd,Zd){return Tg(this,Sm(Yd),Zd,!0)},getTokenTypeAt:function(Yd){Yd=h_(this.doc,Yd);var Zd=Eg(this,Rm(this.doc,Yd.line)),Sf=0,Mf=(Zd.length-1)/2,Wf=Yd.ch,eh;if(Wf==0)eh=Zd[2];else for(;;){var sh=Sf+Mf>>1;if((sh?Zd[sh*2-1]:0)>=Wf)Mf=sh;else if(Zd[sh*2+1]eh&&(Yd=eh,Mf=!0),Wf=Rm(this.doc,Yd)}else Wf=Yd;return m0(this,Wf,{top:0,left:0},Zd||"page",Sf||Mf).top+(Mf?this.doc.height-og(Wf):0)},defaultTextHeight:function(){return Bg(this.display)},defaultCharWidth:function(){return _0(this.display)},getViewport:function(){return{from:this.display.viewFrom,to:this.display.viewTo}},addWidget:function(Yd,Zd,Sf,Mf,Wf){var eh=this.display;Yd=fm(this,h_(this.doc,Yd));var sh=Yd.bottom,Th=Yd.left;if(Zd.style.position="absolute",Zd.setAttribute("cm-ignore-events","true"),this.display.input.setUneditable(Zd),eh.sizer.appendChild(Zd),Mf=="over")sh=Yd.top;else if(Mf=="above"||Mf=="near"){var kh=Math.max(eh.wrapper.clientHeight,this.doc.height),Yh=Math.max(eh.sizer.clientWidth,eh.lineSpace.clientWidth);(Mf=="above"||Yd.bottom+Zd.offsetHeight>kh)&&Yd.top>Zd.offsetHeight?sh=Yd.top-Zd.offsetHeight:Yd.bottom+Zd.offsetHeight<=kh&&(sh=Yd.bottom),Th+Zd.offsetWidth>Yh&&(Th=Yh-Zd.offsetWidth)}Zd.style.top=sh+"px",Zd.style.left=Zd.style.right="",Wf=="right"?(Th=eh.sizer.clientWidth-Zd.offsetWidth,Zd.style.right="0px"):(Wf=="left"?Th=0:Wf=="middle"&&(Th=(eh.sizer.clientWidth-Zd.offsetWidth)/2),Zd.style.left=Th+"px"),Sf&&qE(this,{left:Th,top:sh,right:Th+Zd.offsetWidth,bottom:sh+Zd.offsetHeight})},triggerOnKeyDown:b_(CE),triggerOnKeyPress:b_(g2),triggerOnKeyUp:Wg,triggerOnMouseDown:b_(v2),execCommand:function(Yd){if(vv.hasOwnProperty(Yd))return vv[Yd].call(null,this)},triggerElectric:b_(function(Yd){sy(this,Yd)}),findPosH:function(Yd,Zd,Sf,Mf){var Wf=1;Zd<0&&(Wf=-1,Zd=-Zd);for(var eh=h_(this.doc,Yd),sh=0;sh0&&Th(Sf.charAt(Mf-1));)--Mf;for(;Wf.5||this.options.lineWrapping)&&Lv(this),Lm(this,"refresh",this)}),swapDoc:b_(function(Yd){var Zd=this.doc;return Zd.cm=null,this.state.selectingText&&this.state.selectingText(),cE(this,Yd),nv(this),this.display.input.reset(),N1(this,Yd.scrollLeft,Yd.scrollTop),this.curOp.forceScroll=!0,Wd(this,"swapDoc",this,Zd),Zd}),phrase:function(Yd){var Zd=this.options.phrases;return Zd&&Object.prototype.hasOwnProperty.call(Zd,Yd)?Zd[Yd]:Yd},getInputField:function(){return this.display.input.getField()},getWrapperElement:function(){return this.display.wrapper},getScrollerElement:function(){return this.display.scroller},getGutterElement:function(){return this.display.gutters}},w_(Id),Id.registerHelper=function(Yd,Zd,Sf){Kd.hasOwnProperty(Yd)||(Kd[Yd]=Id[Yd]={_global:[]}),Kd[Yd][Zd]=Sf},Id.registerGlobalHelper=function(Yd,Zd,Sf,Mf){Id.registerHelper(Yd,Zd,Mf),Kd[Yd]._global.push({pred:Sf,val:Mf})}}function __(Id,jd,Kd,Yd,Zd){var Sf=jd,Mf=Kd,Wf=Rm(Id,jd.line),eh=Zd&&Id.direction=="rtl"?-Kd:Kd;function sh(){var Xm=jd.line+eh;return Xm=Id.first+Id.size?!1:(jd=new Sm(Xm,jd.ch,jd.sticky),Wf=Rm(Id,Xm))}function Th(Xm){var Ym;if(Yd=="codepoint"){var Zm=Wf.text.charCodeAt(jd.ch+(Kd>0?0:-1));if(isNaN(Zm))Ym=null;else{var c_=Kd>0?Zm>=55296&&Zm<56320:Zm>=56320&&Zm<57343;Ym=new Sm(jd.line,Math.max(0,Math.min(Wf.text.length,jd.ch+Kd*(c_?2:1))),-Kd)}}else Zd?Ym=P0(Id.cm,Wf,jd,Kd):Ym=gv(Wf,jd,Kd);if(Ym==null)if(!Xm&&sh())jd=bv(Zd,Id.cm,Wf,jd.line,eh);else return!1;else jd=Ym;return!0}if(Yd=="char"||Yd=="codepoint")Th();else if(Yd=="column")Th(!0);else if(Yd=="word"||Yd=="group")for(var kh=null,Yh=Yd=="group",Wh=Id.cm&&Id.cm.getHelper(jd,"wordChars"),mm=!0;!(Kd<0&&!Th(!mm));mm=!1){var Dm=Wf.text.charAt(jd.ch)||` `,Um=qh(Dm,Wh)?"w":Yh&&Dm==` `?"n":!Yh||/\s/.test(Dm)?null:"p";if(Yh&&!mm&&!Um&&(Um="s"),kh&&kh!=Um){Kd<0&&(Kd=1,Th(),jd.sticky="after");break}if(Um&&(kh=Um),Kd>0&&!Th(!mm))break}var Km=Fb(Id,jd,Sf,Mf,!0);return I1(Sf,Km)&&(Km.hitSide=!0),Km}function Nv(Id,jd,Kd,Yd){var Zd=Id.doc,Sf=jd.left,Mf;if(Yd=="page"){var Wf=Math.min(Id.display.wrapper.clientHeight,Qf(Id).innerHeight||Zd(Id).documentElement.clientHeight),eh=Math.max(Wf-.5*Bg(Id.display),3);Mf=(Kd>0?jd.bottom:jd.top)+Kd*eh}else Yd=="line"&&(Mf=Kd>0?jd.bottom+3:jd.top-3);for(var sh;sh=U_(Id,Sf,Mf),!!sh.outside;){if(Kd<0?Mf<=0:Mf>=Zd.height){sh.hitSide=!0;break}Mf+=Kd*5}return sh}var Y_=function(Id){this.cm=Id,this.lastAnchorNode=this.lastAnchorOffset=this.lastFocusNode=this.lastFocusOffset=null,this.polling=new Kf,this.composing=null,this.gracePeriod=!1,this.readDOMTimeout=null};Y_.prototype.init=function(Id){var jd=this,Kd=this,Yd=Kd.cm,Zd=Kd.div=Id.lineDiv;Zd.contentEditable=!0,Cv(Zd,Yd.options.spellcheck,Yd.options.autocorrect,Yd.options.autocapitalize);function Sf(Wf){for(var eh=Wf.target;eh;eh=eh.parentNode){if(eh==Zd)return!0;if(/\bCodeMirror-(?:line)?widget\b/.test(eh.className))break}return!1}um(Zd,"paste",function(Wf){!Sf(Wf)||e_(Yd,Wf)||s0(Wf,Yd)||ud<=11&&setTimeout(J_(Yd,function(){return jd.updateFromDOM()}),20)}),um(Zd,"compositionstart",function(Wf){jd.composing={data:Wf.data,done:!1}}),um(Zd,"compositionupdate",function(Wf){jd.composing||(jd.composing={data:Wf.data,done:!1})}),um(Zd,"compositionend",function(Wf){jd.composing&&(Wf.data!=jd.composing.data&&jd.readFromDOMSoon(),jd.composing.done=!0)}),um(Zd,"touchstart",function(){return Kd.forceCompositionEnd()}),um(Zd,"input",function(){jd.composing||jd.readFromDOMSoon()});function Mf(Wf){if(!(!Sf(Wf)||e_(Yd,Wf))){if(Yd.somethingSelected())ay({lineWise:!1,text:Yd.getSelections()}),Wf.type=="cut"&&Yd.replaceSelection("",null,"cut");else if(Yd.options.lineWiseCopyCut){var eh=oy(Yd);ay({lineWise:!0,text:eh.text}),Wf.type=="cut"&&Yd.operation(function(){Yd.setSelections(eh.ranges,0,th),Yd.replaceSelection("",null,"cut")})}else return;if(Wf.clipboardData){Wf.clipboardData.clearData();var sh=Dg.text.join(` `);if(Wf.clipboardData.setData("Text",sh),Wf.clipboardData.getData("Text")==sh){Wf.preventDefault();return}}var Th=Av(),kh=Th.firstChild;Cv(kh),Yd.display.lineSpace.insertBefore(Th,Yd.display.lineSpace.firstChild),kh.value=Dg.text.join(` `);var Yh=Pf(Jf(Zd));Lf(kh),setTimeout(function(){Yd.display.lineSpace.removeChild(Th),Yh.focus(),Yh==Zd&&Kd.showPrimarySelection()},50)}}um(Zd,"copy",Mf),um(Zd,"cut",Mf)},Y_.prototype.screenReaderLabelChanged=function(Id){Id?this.div.setAttribute("aria-label",Id):this.div.removeAttribute("aria-label")},Y_.prototype.prepareSelection=function(){var Id=Qy(this.cm,!1);return Id.focus=Pf(Jf(this.div))==this.div,Id},Y_.prototype.showSelection=function(Id,jd){!Id||!this.cm.display.view.length||((Id.focus||jd)&&this.showPrimarySelection(),this.showMultipleSelections(Id))},Y_.prototype.getSelection=function(){return this.cm.display.wrapper.ownerDocument.getSelection()},Y_.prototype.showPrimarySelection=function(){var Id=this.getSelection(),jd=this.cm,Kd=jd.doc.sel.primary(),Yd=Kd.from(),Zd=Kd.to();if(jd.display.viewTo==jd.display.viewFrom||Yd.line>=jd.display.viewTo||Zd.line=jd.display.viewFrom&&F0(jd,Yd)||{node:Wf[0].measure.map[2],offset:0},sh=Zd.lineId.firstLine()&&(Yd=Sm(Yd.line-1,Rm(Id.doc,Yd.line-1).length)),Zd.ch==Rm(Id.doc,Zd.line).text.length&&Zd.linejd.viewTo-1)return!1;var Sf,Mf,Wf;Yd.line==jd.viewFrom||(Sf=eb(Id,Yd.line))==0?(Mf=Vm(jd.view[0].line),Wf=jd.view[0].node):(Mf=Vm(jd.view[Sf].line),Wf=jd.view[Sf-1].node.nextSibling);var eh=eb(Id,Zd.line),sh,Th;if(eh==jd.view.length-1?(sh=jd.viewTo-1,Th=jd.lineDiv.lastChild):(sh=Vm(jd.view[eh+1].line)-1,Th=jd.view[eh+1].node.previousSibling),!Wf)return!1;for(var kh=Id.doc.splitLines(C2(Id,Wf,Th,Mf,sh)),Yh=T_(Id.doc,Sm(Mf,0),Sm(sh,Rm(Id.doc,sh).text.length));kh.length>1&&Yh.length>1;)if(dh(kh)==dh(Yh))kh.pop(),Yh.pop(),sh--;else if(kh[0]==Yh[0])kh.shift(),Yh.shift(),Mf++;else break;for(var Wh=0,mm=0,Dm=kh[0],Um=Yh[0],Km=Math.min(Dm.length,Um.length);WhYd.ch&&Xm.charCodeAt(Xm.length-mm-1)==Ym.charCodeAt(Ym.length-mm-1);)Wh--,mm++;kh[kh.length-1]=Xm.slice(0,Xm.length-mm).replace(/^\u200b+/,""),kh[0]=kh[0].slice(Wh).replace(/\u200b+$/,"");var c_=Sm(Mf,Wh),o_=Sm(sh,Yh.length?dh(Yh).length-mm:0);if(kh.length>1||kh[0]||f_(c_,o_))return ub(Id.doc,kh,c_,o_,"+input"),!0},Y_.prototype.ensurePolled=function(){this.forceCompositionEnd()},Y_.prototype.reset=function(){this.forceCompositionEnd()},Y_.prototype.forceCompositionEnd=function(){this.composing&&(clearTimeout(this.readDOMTimeout),this.composing=null,this.updateFromDOM(),this.div.blur(),this.div.focus())},Y_.prototype.readFromDOMSoon=function(){var Id=this;this.readDOMTimeout==null&&(this.readDOMTimeout=setTimeout(function(){if(Id.readDOMTimeout=null,Id.composing)if(Id.composing.done)Id.composing=null;else return;Id.updateFromDOM()},80))},Y_.prototype.updateFromDOM=function(){var Id=this;(this.cm.isReadOnly()||!this.pollContent())&&G1(this.cm,function(){return J1(Id.cm)})},Y_.prototype.setUneditable=function(Id){Id.contentEditable="false"},Y_.prototype.onKeyPress=function(Id){Id.charCode==0||this.composing||(Id.preventDefault(),this.cm.isReadOnly()||J_(this.cm,DE)(this.cm,String.fromCharCode(Id.charCode==null?Id.keyCode:Id.charCode),0))},Y_.prototype.readOnlyChanged=function(Id){this.div.contentEditable=String(Id!="nocursor")},Y_.prototype.onContextMenu=function(){},Y_.prototype.resetPosition=function(){},Y_.prototype.needsContentAttribute=!0;function F0(Id,jd){var Kd=$v(Id,jd.line);if(!Kd||Kd.hidden)return null;var Yd=Rm(Id.doc,jd.line),Zd=$E(Kd,Yd,jd.line),Sf=om(Yd,Id.doc.direction),Mf="left";if(Sf){var Wf=wh(Sf,jd.ch);Mf=Wf%2?"right":"left"}var eh=LE(Zd.map,jd.ch,Mf);return eh.offset=eh.collapse=="right"?eh.end:eh.start,eh}function cT(Id){for(var jd=Id;jd;jd=jd.parentNode)if(/CodeMirror-gutter-wrapper/.test(jd.className))return!0;return!1}function j0(Id,jd){return jd&&(Id.bad=!0),Id}function C2(Id,jd,Kd,Yd,Zd){var Sf="",Mf=!1,Wf=Id.doc.lineSeparator(),eh=!1;function sh(Wh){return function(mm){return mm.id==Wh}}function Th(){Mf&&(Sf+=Wf,eh&&(Sf+=Wf),Mf=eh=!1)}function kh(Wh){Wh&&(Th(),Sf+=Wh)}function Yh(Wh){if(Wh.nodeType==1){var mm=Wh.getAttribute("cm-text");if(mm){kh(mm);return}var Dm=Wh.getAttribute("cm-marker"),Um;if(Dm){var Km=Id.findMarks(Sm(Yd,0),Sm(Zd+1,0),sh(+Dm));Km.length&&(Um=Km[0].find(0))&&kh(T_(Id.doc,Um.from,Um.to).join(Wf));return}if(Wh.getAttribute("contenteditable")=="false")return;var Xm=/^(pre|div|p|li|table|br)$/i.test(Wh.nodeName);if(!/^br$/i.test(Wh.nodeName)&&Wh.textContent.length==0)return;Xm&&Th();for(var Ym=0;Ym=9&&jd.hasSelection&&(jd.hasSelection=null),Kd.poll()}),um(Zd,"paste",function(Mf){e_(Yd,Mf)||s0(Mf,Yd)||(Yd.state.pasteIncoming=+new Date,Kd.fastPoll())});function Sf(Mf){if(!e_(Yd,Mf)){if(Yd.somethingSelected())ay({lineWise:!1,text:Yd.getSelections()});else if(Yd.options.lineWiseCopyCut){var Wf=oy(Yd);ay({lineWise:!0,text:Wf.text}),Mf.type=="cut"?Yd.setSelections(Wf.ranges,null,th):(Kd.prevInput="",Zd.value=Wf.text.join(` `),Lf(Zd))}else return;Mf.type=="cut"&&(Yd.state.cutIncoming=+new Date)}}um(Zd,"cut",Sf),um(Zd,"copy",Sf),um(Id.scroller,"paste",function(Mf){if(!(z_(Id,Mf)||e_(Yd,Mf))){if(!Zd.dispatchEvent){Yd.state.pasteIncoming=+new Date,Kd.focus();return}var Wf=new Event("paste");Wf.clipboardData=Mf.clipboardData,Zd.dispatchEvent(Wf)}}),um(Id.lineSpace,"selectstart",function(Mf){z_(Id,Mf)||Qm(Mf)}),um(Zd,"compositionstart",function(){var Mf=Yd.getCursor("from");Kd.composing&&Kd.composing.range.clear(),Kd.composing={start:Mf,range:Yd.markText(Mf,Yd.getCursor("to"),{className:"CodeMirror-composing"})}}),um(Zd,"compositionend",function(){Kd.composing&&(Kd.poll(),Kd.composing.range.clear(),Kd.composing=null)})},b1.prototype.createField=function(Id){this.wrapper=Av(),this.textarea=this.wrapper.firstChild;var jd=this.cm.options;Cv(this.textarea,jd.spellcheck,jd.autocorrect,jd.autocapitalize)},b1.prototype.screenReaderLabelChanged=function(Id){Id?this.textarea.setAttribute("aria-label",Id):this.textarea.removeAttribute("aria-label")},b1.prototype.prepareSelection=function(){var Id=this.cm,jd=Id.display,Kd=Id.doc,Yd=Qy(Id);if(Id.options.moveInputWithCursor){var Zd=fm(Id,Kd.sel.primary().head,"div"),Sf=jd.wrapper.getBoundingClientRect(),Mf=jd.lineDiv.getBoundingClientRect();Yd.teTop=Math.max(0,Math.min(jd.wrapper.clientHeight-10,Zd.top+Mf.top-Sf.top)),Yd.teLeft=Math.max(0,Math.min(jd.wrapper.clientWidth-10,Zd.left+Mf.left-Sf.left))}return Yd},b1.prototype.showSelection=function(Id){var jd=this.cm,Kd=jd.display;_f(Kd.cursorDiv,Id.cursors),_f(Kd.selectionDiv,Id.selection),Id.teTop!=null&&(this.wrapper.style.top=Id.teTop+"px",this.wrapper.style.left=Id.teLeft+"px")},b1.prototype.reset=function(Id){if(!(this.contextMenuPending||this.composing&&Id)){var jd=this.cm;if(this.resetting=!0,jd.somethingSelected()){this.prevInput="";var Kd=jd.getSelection();this.textarea.value=Kd,jd.state.focused&&Lf(this.textarea),cd&&ud>=9&&(this.hasSelection=Kd)}else Id||(this.prevInput=this.textarea.value="",cd&&ud>=9&&(this.hasSelection=null));this.resetting=!1}},b1.prototype.getField=function(){return this.textarea},b1.prototype.supportsTouch=function(){return!1},b1.prototype.focus=function(){if(this.cm.options.readOnly!="nocursor"&&(!Pd||Pf(Jf(this.textarea))!=this.textarea))try{this.textarea.focus()}catch{}},b1.prototype.blur=function(){this.textarea.blur()},b1.prototype.resetPosition=function(){this.wrapper.style.top=this.wrapper.style.left=0},b1.prototype.receivedFocus=function(){this.slowPoll()},b1.prototype.slowPoll=function(){var Id=this;this.pollingFast||this.polling.set(this.cm.options.pollInterval,function(){Id.poll(),Id.cm.state.focused&&Id.slowPoll()})},b1.prototype.fastPoll=function(){var Id=!1,jd=this;jd.pollingFast=!0;function Kd(){var Yd=jd.poll();!Yd&&!Id?(Id=!0,jd.polling.set(60,Kd)):(jd.pollingFast=!1,jd.slowPoll())}jd.polling.set(20,Kd)},b1.prototype.poll=function(){var Id=this,jd=this.cm,Kd=this.textarea,Yd=this.prevInput;if(this.contextMenuPending||this.resetting||!jd.state.focused||ph(Kd)&&!Yd&&!this.composing||jd.isReadOnly()||jd.options.disableInput||jd.state.keySeq)return!1;var Zd=Kd.value;if(Zd==Yd&&!jd.somethingSelected())return!1;if(cd&&ud>=9&&this.hasSelection===Zd||Fd&&/[\uf700-\uf7ff]/.test(Zd))return jd.display.input.reset(),!1;if(jd.doc.sel==jd.display.selForContextMenu){var Sf=Zd.charCodeAt(0);if(Sf==8203&&!Yd&&(Yd="​"),Sf==8666)return this.reset(),this.cm.execCommand("undo")}for(var Mf=0,Wf=Math.min(Yd.length,Zd.length);Mf1e3||Zd.indexOf(` `)>-1?Kd.value=Id.prevInput="":Id.prevInput=Zd,Id.composing&&(Id.composing.range.clear(),Id.composing.range=jd.markText(Id.composing.start,jd.getCursor("to"),{className:"CodeMirror-composing"}))}),!0},b1.prototype.ensurePolled=function(){this.pollingFast&&this.poll()&&(this.pollingFast=!1)},b1.prototype.onKeyPress=function(){cd&&ud>=9&&(this.hasSelection=null),this.fastPoll()},b1.prototype.onContextMenu=function(Id){var jd=this,Kd=jd.cm,Yd=Kd.display,Zd=jd.textarea;jd.contextMenuPending&&jd.contextMenuPending();var Sf=t0(Kd,Id),Mf=Yd.scroller.scrollTop;if(!Sf||Nd)return;var Wf=Kd.options.resetSelectionOnContextMenu;Wf&&Kd.doc.sel.contains(Sf)==-1&&J_(Kd,q1)(Kd.doc,O0(Sf),th);var eh=Zd.style.cssText,sh=jd.wrapper.style.cssText,Th=jd.wrapper.offsetParent.getBoundingClientRect();jd.wrapper.style.cssText="position: static",Zd.style.cssText=`position: absolute; width: 30px; height: 30px; top: `+(Id.clientY-Th.top-5)+"px; left: "+(Id.clientX-Th.left-5)+`px; z-index: 1000; background: `+(cd?"rgba(255, 255, 255, .05)":"transparent")+`; outline: none; border-width: 0; outline: none; overflow: hidden; opacity: .05; filter: alpha(opacity=5);`;var kh;_d&&(kh=Zd.ownerDocument.defaultView.scrollY),Yd.input.focus(),_d&&Zd.ownerDocument.defaultView.scrollTo(null,kh),Yd.input.reset(),Kd.somethingSelected()||(Zd.value=jd.prevInput=" "),jd.contextMenuPending=Wh,Yd.selForContextMenu=Kd.doc.sel,clearTimeout(Yd.detectingSelectAll);function Yh(){if(Zd.selectionStart!=null){var Dm=Kd.somethingSelected(),Um="​"+(Dm?Zd.value:"");Zd.value="⇚",Zd.value=Um,jd.prevInput=Dm?"":"​",Zd.selectionStart=1,Zd.selectionEnd=Um.length,Yd.selForContextMenu=Kd.doc.sel}}function Wh(){if(jd.contextMenuPending==Wh&&(jd.contextMenuPending=!1,jd.wrapper.style.cssText=sh,Zd.style.cssText=eh,cd&&ud<9&&Yd.scrollbars.setScrollTop(Yd.scroller.scrollTop=Mf),Zd.selectionStart!=null)){(!cd||cd&&ud<9)&&Yh();var Dm=0,Um=function(){Yd.selForContextMenu==Kd.doc.sel&&Zd.selectionStart==0&&Zd.selectionEnd>0&&jd.prevInput=="​"?J_(Kd,jb)(Kd):Dm++<10?Yd.detectingSelectAll=setTimeout(Um,500):(Yd.selForContextMenu=null,Yd.input.reset())};Yd.detectingSelectAll=setTimeout(Um,200)}}if(cd&&ud>=9&&Yh(),zd){Z_(Id);var mm=function(){Fm(window,"mouseup",mm),setTimeout(Wh,20)};um(window,"mouseup",mm)}else setTimeout(Wh,50)},b1.prototype.readOnlyChanged=function(Id){Id||this.reset(),this.textarea.disabled=Id=="nocursor",this.textarea.readOnly=!!Id},b1.prototype.setUneditable=function(){},b1.prototype.needsContentAttribute=!1;function w2(Id,jd){if(jd=jd?bf(jd):{},jd.value=Id.value,!jd.tabindex&&Id.tabIndex&&(jd.tabindex=Id.tabIndex),!jd.placeholder&&Id.placeholder&&(jd.placeholder=Id.placeholder),jd.autofocus==null){var Kd=Pf(Jf(Id));jd.autofocus=Kd==Id||Id.getAttribute("autofocus")!=null&&Kd==document.body}function Yd(){Id.value=Wf.getValue()}var Zd;if(Id.form&&(um(Id.form,"submit",Yd),!jd.leaveSubmitMethodAlone)){var Sf=Id.form;Zd=Sf.submit;try{var Mf=Sf.submit=function(){Yd(),Sf.submit=Zd,Sf.submit(),Sf.submit=Mf}}catch{}}jd.finishInit=function(eh){eh.save=Yd,eh.getTextArea=function(){return Id},eh.toTextArea=function(){eh.toTextArea=isNaN,Yd(),Id.parentNode.removeChild(eh.getWrapperElement()),Id.style.display="",Id.form&&(Fm(Id.form,"submit",Yd),!jd.leaveSubmitMethodAlone&&typeof Id.form.submit=="function"&&(Id.form.submit=Zd))}},Id.style.display="none";var Wf=q_(function(eh){return Id.parentNode.insertBefore(eh,Id.nextSibling)},jd);return Wf}function dT(Id){Id.off=Fm,Id.on=um,Id.wheelEventPixels=K2,Id.Doc=Y1,Id.splitLines=Af,Id.countColumn=Bf,Id.findColumn=Df,Id.isWordChar=Xh,Id.Pass=$f,Id.signal=Lm,Id.Line=Ff,Id.changeEnd=k0,Id.scrollbarModel=ab,Id.Pos=Sm,Id.cmpPos=f_,Id.modes=Ch,Id.mimeModes=Bh,Id.resolveMode=dm,Id.getMode=cm,Id.modeExtensions=_m,Id.extendMode=Gf,Id.copyState=Mm,Id.startState=m_,Id.innerMode=g_,Id.commands=vv,Id.keyMap=i0,Id.keyName=mv,Id.isModifierKey=Xv,Id.lookupKey=vg,Id.normalizeKeyMap=bg,Id.StringStream=s_,Id.SharedTextMarker=Vb,Id.TextMarker=zg,Id.LineWidget=pb,Id.e_preventDefault=Qm,Id.e_stopPropagation=P_,Id.e_stop=Z_,Id.addClass=Yf,Id.contains=Nf,Id.rmClass=df,Id.keyNames=M1}NE(q_),Qb(q_);var A2="iter insert remove copy getEditor constructor".split(" ");for(var uy in Y1.prototype)Y1.prototype.hasOwnProperty(uy)&&nh(A2,uy)<0&&(q_.prototype[uy]=function(Id){return function(){return Id.apply(this.doc,arguments)}}(Y1.prototype[uy]));return w_(Y1),q_.inputStyles={textarea:b1,contenteditable:Y_},q_.defineMode=function(Id){!q_.defaults.mode&&Id!="null"&&(q_.defaults.mode=Id),Rh.apply(this,arguments)},q_.defineMIME=gh,q_.defineMode("null",function(){return{token:function(Id){return Id.skipToEnd()}}}),q_.defineMIME("text/plain","null"),q_.defineExtension=function(Id,jd){q_.prototype[Id]=jd},q_.defineDocExtension=function(Id,jd){Y1.prototype[Id]=jd},q_.fromTextArea=w2,dT(q_),q_.version="5.65.17",q_})}(codemirror)),codemirror.exports}var codemirrorExports=requireCodemirror();const CodeMirror=getDefaultExportFromCjs(codemirrorExports);var cryptoJs={exports:{}};function commonjsRequire(Ra){throw new Error('Could not dynamically require "'+Ra+'". Please configure the dynamicRequireTargets or/and ignoreDynamicRequires option of @rollup/plugin-commonjs appropriately for this require call to work.')}var core$2={exports:{}};const __viteBrowserExternal={},http=Object.freeze(Object.defineProperty({__proto__:null,default:__viteBrowserExternal},Symbol.toStringTag,{value:"Module"})),require$$0$6=getAugmentedNamespace(http);var hasRequiredCore;function requireCore(){return hasRequiredCore||(hasRequiredCore=1,function(Ra,qa){(function(ed,td){Ra.exports=td()})(commonjsGlobal,function(){var ed=ed||function(td,rd){var sd;if(typeof window<"u"&&window.crypto&&(sd=window.crypto),typeof self<"u"&&self.crypto&&(sd=self.crypto),typeof globalThis<"u"&&globalThis.crypto&&(sd=globalThis.crypto),!sd&&typeof window<"u"&&window.msCrypto&&(sd=window.msCrypto),!sd&&typeof commonjsGlobal<"u"&&commonjsGlobal.crypto&&(sd=commonjsGlobal.crypto),!sd&&typeof commonjsRequire=="function")try{sd=require$$0$6}catch{}var od=function(){if(sd){if(typeof sd.getRandomValues=="function")try{return sd.getRandomValues(new Uint32Array(1))[0]}catch{}if(typeof sd.randomBytes=="function")try{return sd.randomBytes(4).readInt32LE()}catch{}}throw new Error("Native crypto module could not be used to get secure random number.")},ld=Object.create||function(){function Od(){}return function(Md){var Pd;return Od.prototype=Md,Pd=new Od,Od.prototype=null,Pd}}(),cd={},ud=cd.lib={},_d=ud.Base=function(){return{extend:function(Od){var Md=ld(this);return Od&&Md.mixIn(Od),(!Md.hasOwnProperty("init")||this.init===Md.init)&&(Md.init=function(){Md.$super.init.apply(this,arguments)}),Md.init.prototype=Md,Md.$super=this,Md},create:function(){var Od=this.extend();return Od.init.apply(Od,arguments),Od},init:function(){},mixIn:function(Od){for(var Md in Od)Od.hasOwnProperty(Md)&&(this[Md]=Od[Md]);Od.hasOwnProperty("toString")&&(this.toString=Od.toString)},clone:function(){return this.init.prototype.extend(this)}}}(),Ed=ud.WordArray=_d.extend({init:function(Od,Md){Od=this.words=Od||[],Md!=rd?this.sigBytes=Md:this.sigBytes=Od.length*4},toString:function(Od){return(Od||Td).stringify(this)},concat:function(Od){var Md=this.words,Pd=Od.words,Fd=this.sigBytes,Ud=Od.sigBytes;if(this.clamp(),Fd%4)for(var Gd=0;Gd>>2]>>>24-Gd%4*8&255;Md[Fd+Gd>>>2]|=Qd<<24-(Fd+Gd)%4*8}else for(var Vd=0;Vd>>2]=Pd[Vd>>>2];return this.sigBytes+=Ud,this},clamp:function(){var Od=this.words,Md=this.sigBytes;Od[Md>>>2]&=4294967295<<32-Md%4*8,Od.length=td.ceil(Md/4)},clone:function(){var Od=_d.clone.call(this);return Od.words=this.words.slice(0),Od},random:function(Od){for(var Md=[],Pd=0;Pd>>2]>>>24-Ud%4*8&255;Fd.push((Gd>>>4).toString(16)),Fd.push((Gd&15).toString(16))}return Fd.join("")},parse:function(Od){for(var Md=Od.length,Pd=[],Fd=0;Fd>>3]|=parseInt(Od.substr(Fd,2),16)<<24-Fd%8*4;return new Ed.init(Pd,Md/2)}},Nd=Ad.Latin1={stringify:function(Od){for(var Md=Od.words,Pd=Od.sigBytes,Fd=[],Ud=0;Ud>>2]>>>24-Ud%4*8&255;Fd.push(String.fromCharCode(Gd))}return Fd.join("")},parse:function(Od){for(var Md=Od.length,Pd=[],Fd=0;Fd>>2]|=(Od.charCodeAt(Fd)&255)<<24-Fd%4*8;return new Ed.init(Pd,Md)}},Rd=Ad.Utf8={stringify:function(Od){try{return decodeURIComponent(escape(Nd.stringify(Od)))}catch{throw new Error("Malformed UTF-8 data")}},parse:function(Od){return Nd.parse(unescape(encodeURIComponent(Od)))}},Bd=ud.BufferedBlockAlgorithm=_d.extend({reset:function(){this._data=new Ed.init,this._nDataBytes=0},_append:function(Od){typeof Od=="string"&&(Od=Rd.parse(Od)),this._data.concat(Od),this._nDataBytes+=Od.sigBytes},_process:function(Od){var Md,Pd=this._data,Fd=Pd.words,Ud=Pd.sigBytes,Gd=this.blockSize,Qd=Gd*4,Vd=Ud/Qd;Od?Vd=td.ceil(Vd):Vd=td.max((Vd|0)-this._minBufferSize,0);var zd=Vd*Gd,Xd=td.min(zd*4,Ud);if(zd){for(var df=0;df>>2]|=cd[Ed]<<24-Ed%4*8;od.call(this,_d,ud)}else od.apply(this,arguments)};ld.prototype=sd}}(),ed.lib.WordArray})}(libTypedarrays)),libTypedarrays.exports}var encUtf16={exports:{}},hasRequiredEncUtf16;function requireEncUtf16(){return hasRequiredEncUtf16||(hasRequiredEncUtf16=1,function(Ra,qa){(function(ed,td){Ra.exports=td(requireCore())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.WordArray,od=td.enc;od.Utf16=od.Utf16BE={stringify:function(cd){for(var ud=cd.words,_d=cd.sigBytes,Ed=[],Ad=0;Ad<_d;Ad+=2){var Td=ud[Ad>>>2]>>>16-Ad%4*8&65535;Ed.push(String.fromCharCode(Td))}return Ed.join("")},parse:function(cd){for(var ud=cd.length,_d=[],Ed=0;Ed>>1]|=cd.charCodeAt(Ed)<<16-Ed%2*16;return sd.create(_d,ud*2)}},od.Utf16LE={stringify:function(cd){for(var ud=cd.words,_d=cd.sigBytes,Ed=[],Ad=0;Ad<_d;Ad+=2){var Td=ld(ud[Ad>>>2]>>>16-Ad%4*8&65535);Ed.push(String.fromCharCode(Td))}return Ed.join("")},parse:function(cd){for(var ud=cd.length,_d=[],Ed=0;Ed>>1]|=ld(cd.charCodeAt(Ed)<<16-Ed%2*16);return sd.create(_d,ud*2)}};function ld(cd){return cd<<8&4278255360|cd>>>8&16711935}}(),ed.enc.Utf16})}(encUtf16)),encUtf16.exports}var encBase64={exports:{}},hasRequiredEncBase64;function requireEncBase64(){return hasRequiredEncBase64||(hasRequiredEncBase64=1,function(Ra,qa){(function(ed,td){Ra.exports=td(requireCore())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.WordArray,od=td.enc;od.Base64={stringify:function(cd){var ud=cd.words,_d=cd.sigBytes,Ed=this._map;cd.clamp();for(var Ad=[],Td=0;Td<_d;Td+=3)for(var Nd=ud[Td>>>2]>>>24-Td%4*8&255,Rd=ud[Td+1>>>2]>>>24-(Td+1)%4*8&255,Bd=ud[Td+2>>>2]>>>24-(Td+2)%4*8&255,kd=Nd<<16|Rd<<8|Bd,Od=0;Od<4&&Td+Od*.75<_d;Od++)Ad.push(Ed.charAt(kd>>>6*(3-Od)&63));var Md=Ed.charAt(64);if(Md)for(;Ad.length%4;)Ad.push(Md);return Ad.join("")},parse:function(cd){var ud=cd.length,_d=this._map,Ed=this._reverseMap;if(!Ed){Ed=this._reverseMap=[];for(var Ad=0;Ad<_d.length;Ad++)Ed[_d.charCodeAt(Ad)]=Ad}var Td=_d.charAt(64);if(Td){var Nd=cd.indexOf(Td);Nd!==-1&&(ud=Nd)}return ld(cd,ud,Ed)},_map:"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/="};function ld(cd,ud,_d){for(var Ed=[],Ad=0,Td=0;Td>>6-Td%4*2,Bd=Nd|Rd;Ed[Ad>>>2]|=Bd<<24-Ad%4*8,Ad++}return sd.create(Ed,Ad)}}(),ed.enc.Base64})}(encBase64)),encBase64.exports}var encBase64url={exports:{}},hasRequiredEncBase64url;function requireEncBase64url(){return hasRequiredEncBase64url||(hasRequiredEncBase64url=1,function(Ra,qa){(function(ed,td){Ra.exports=td(requireCore())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.WordArray,od=td.enc;od.Base64url={stringify:function(cd,ud){ud===void 0&&(ud=!0);var _d=cd.words,Ed=cd.sigBytes,Ad=ud?this._safe_map:this._map;cd.clamp();for(var Td=[],Nd=0;Nd>>2]>>>24-Nd%4*8&255,Bd=_d[Nd+1>>>2]>>>24-(Nd+1)%4*8&255,kd=_d[Nd+2>>>2]>>>24-(Nd+2)%4*8&255,Od=Rd<<16|Bd<<8|kd,Md=0;Md<4&&Nd+Md*.75>>6*(3-Md)&63));var Pd=Ad.charAt(64);if(Pd)for(;Td.length%4;)Td.push(Pd);return Td.join("")},parse:function(cd,ud){ud===void 0&&(ud=!0);var _d=cd.length,Ed=ud?this._safe_map:this._map,Ad=this._reverseMap;if(!Ad){Ad=this._reverseMap=[];for(var Td=0;Td>>6-Td%4*2,Bd=Nd|Rd;Ed[Ad>>>2]|=Bd<<24-Ad%4*8,Ad++}return sd.create(Ed,Ad)}}(),ed.enc.Base64url})}(encBase64url)),encBase64url.exports}var md5$1={exports:{}},hasRequiredMd5$1;function requireMd5$1(){return hasRequiredMd5$1||(hasRequiredMd5$1=1,function(Ra,qa){(function(ed,td){Ra.exports=td(requireCore())})(commonjsGlobal,function(ed){return function(td){var rd=ed,sd=rd.lib,od=sd.WordArray,ld=sd.Hasher,cd=rd.algo,ud=[];(function(){for(var Rd=0;Rd<64;Rd++)ud[Rd]=td.abs(td.sin(Rd+1))*4294967296|0})();var _d=cd.MD5=ld.extend({_doReset:function(){this._hash=new od.init([1732584193,4023233417,2562383102,271733878])},_doProcessBlock:function(Rd,Bd){for(var kd=0;kd<16;kd++){var Od=Bd+kd,Md=Rd[Od];Rd[Od]=(Md<<8|Md>>>24)&16711935|(Md<<24|Md>>>8)&4278255360}var Pd=this._hash.words,Fd=Rd[Bd+0],Ud=Rd[Bd+1],Gd=Rd[Bd+2],Qd=Rd[Bd+3],Vd=Rd[Bd+4],zd=Rd[Bd+5],Xd=Rd[Bd+6],df=Rd[Bd+7],mf=Rd[Bd+8],_f=Rd[Bd+9],hf=Rd[Bd+10],gf=Rd[Bd+11],yf=Rd[Bd+12],Nf=Rd[Bd+13],Pf=Rd[Bd+14],Yf=Rd[Bd+15],Uf=Pd[0],Lf=Pd[1],xf=Pd[2],wf=Pd[3];Uf=Ed(Uf,Lf,xf,wf,Fd,7,ud[0]),wf=Ed(wf,Uf,Lf,xf,Ud,12,ud[1]),xf=Ed(xf,wf,Uf,Lf,Gd,17,ud[2]),Lf=Ed(Lf,xf,wf,Uf,Qd,22,ud[3]),Uf=Ed(Uf,Lf,xf,wf,Vd,7,ud[4]),wf=Ed(wf,Uf,Lf,xf,zd,12,ud[5]),xf=Ed(xf,wf,Uf,Lf,Xd,17,ud[6]),Lf=Ed(Lf,xf,wf,Uf,df,22,ud[7]),Uf=Ed(Uf,Lf,xf,wf,mf,7,ud[8]),wf=Ed(wf,Uf,Lf,xf,_f,12,ud[9]),xf=Ed(xf,wf,Uf,Lf,hf,17,ud[10]),Lf=Ed(Lf,xf,wf,Uf,gf,22,ud[11]),Uf=Ed(Uf,Lf,xf,wf,yf,7,ud[12]),wf=Ed(wf,Uf,Lf,xf,Nf,12,ud[13]),xf=Ed(xf,wf,Uf,Lf,Pf,17,ud[14]),Lf=Ed(Lf,xf,wf,Uf,Yf,22,ud[15]),Uf=Ad(Uf,Lf,xf,wf,Ud,5,ud[16]),wf=Ad(wf,Uf,Lf,xf,Xd,9,ud[17]),xf=Ad(xf,wf,Uf,Lf,gf,14,ud[18]),Lf=Ad(Lf,xf,wf,Uf,Fd,20,ud[19]),Uf=Ad(Uf,Lf,xf,wf,zd,5,ud[20]),wf=Ad(wf,Uf,Lf,xf,hf,9,ud[21]),xf=Ad(xf,wf,Uf,Lf,Yf,14,ud[22]),Lf=Ad(Lf,xf,wf,Uf,Vd,20,ud[23]),Uf=Ad(Uf,Lf,xf,wf,_f,5,ud[24]),wf=Ad(wf,Uf,Lf,xf,Pf,9,ud[25]),xf=Ad(xf,wf,Uf,Lf,Qd,14,ud[26]),Lf=Ad(Lf,xf,wf,Uf,mf,20,ud[27]),Uf=Ad(Uf,Lf,xf,wf,Nf,5,ud[28]),wf=Ad(wf,Uf,Lf,xf,Gd,9,ud[29]),xf=Ad(xf,wf,Uf,Lf,df,14,ud[30]),Lf=Ad(Lf,xf,wf,Uf,yf,20,ud[31]),Uf=Td(Uf,Lf,xf,wf,zd,4,ud[32]),wf=Td(wf,Uf,Lf,xf,mf,11,ud[33]),xf=Td(xf,wf,Uf,Lf,gf,16,ud[34]),Lf=Td(Lf,xf,wf,Uf,Pf,23,ud[35]),Uf=Td(Uf,Lf,xf,wf,Ud,4,ud[36]),wf=Td(wf,Uf,Lf,xf,Vd,11,ud[37]),xf=Td(xf,wf,Uf,Lf,df,16,ud[38]),Lf=Td(Lf,xf,wf,Uf,hf,23,ud[39]),Uf=Td(Uf,Lf,xf,wf,Nf,4,ud[40]),wf=Td(wf,Uf,Lf,xf,Fd,11,ud[41]),xf=Td(xf,wf,Uf,Lf,Qd,16,ud[42]),Lf=Td(Lf,xf,wf,Uf,Xd,23,ud[43]),Uf=Td(Uf,Lf,xf,wf,_f,4,ud[44]),wf=Td(wf,Uf,Lf,xf,yf,11,ud[45]),xf=Td(xf,wf,Uf,Lf,Yf,16,ud[46]),Lf=Td(Lf,xf,wf,Uf,Gd,23,ud[47]),Uf=Nd(Uf,Lf,xf,wf,Fd,6,ud[48]),wf=Nd(wf,Uf,Lf,xf,df,10,ud[49]),xf=Nd(xf,wf,Uf,Lf,Pf,15,ud[50]),Lf=Nd(Lf,xf,wf,Uf,zd,21,ud[51]),Uf=Nd(Uf,Lf,xf,wf,yf,6,ud[52]),wf=Nd(wf,Uf,Lf,xf,Qd,10,ud[53]),xf=Nd(xf,wf,Uf,Lf,hf,15,ud[54]),Lf=Nd(Lf,xf,wf,Uf,Ud,21,ud[55]),Uf=Nd(Uf,Lf,xf,wf,mf,6,ud[56]),wf=Nd(wf,Uf,Lf,xf,Yf,10,ud[57]),xf=Nd(xf,wf,Uf,Lf,Xd,15,ud[58]),Lf=Nd(Lf,xf,wf,Uf,Nf,21,ud[59]),Uf=Nd(Uf,Lf,xf,wf,Vd,6,ud[60]),wf=Nd(wf,Uf,Lf,xf,gf,10,ud[61]),xf=Nd(xf,wf,Uf,Lf,Gd,15,ud[62]),Lf=Nd(Lf,xf,wf,Uf,_f,21,ud[63]),Pd[0]=Pd[0]+Uf|0,Pd[1]=Pd[1]+Lf|0,Pd[2]=Pd[2]+xf|0,Pd[3]=Pd[3]+wf|0},_doFinalize:function(){var Rd=this._data,Bd=Rd.words,kd=this._nDataBytes*8,Od=Rd.sigBytes*8;Bd[Od>>>5]|=128<<24-Od%32;var Md=td.floor(kd/4294967296),Pd=kd;Bd[(Od+64>>>9<<4)+15]=(Md<<8|Md>>>24)&16711935|(Md<<24|Md>>>8)&4278255360,Bd[(Od+64>>>9<<4)+14]=(Pd<<8|Pd>>>24)&16711935|(Pd<<24|Pd>>>8)&4278255360,Rd.sigBytes=(Bd.length+1)*4,this._process();for(var Fd=this._hash,Ud=Fd.words,Gd=0;Gd<4;Gd++){var Qd=Ud[Gd];Ud[Gd]=(Qd<<8|Qd>>>24)&16711935|(Qd<<24|Qd>>>8)&4278255360}return Fd},clone:function(){var Rd=ld.clone.call(this);return Rd._hash=this._hash.clone(),Rd}});function Ed(Rd,Bd,kd,Od,Md,Pd,Fd){var Ud=Rd+(Bd&kd|~Bd&Od)+Md+Fd;return(Ud<>>32-Pd)+Bd}function Ad(Rd,Bd,kd,Od,Md,Pd,Fd){var Ud=Rd+(Bd&Od|kd&~Od)+Md+Fd;return(Ud<>>32-Pd)+Bd}function Td(Rd,Bd,kd,Od,Md,Pd,Fd){var Ud=Rd+(Bd^kd^Od)+Md+Fd;return(Ud<>>32-Pd)+Bd}function Nd(Rd,Bd,kd,Od,Md,Pd,Fd){var Ud=Rd+(kd^(Bd|~Od))+Md+Fd;return(Ud<>>32-Pd)+Bd}rd.MD5=ld._createHelper(_d),rd.HmacMD5=ld._createHmacHelper(_d)}(Math),ed.MD5})}(md5$1)),md5$1.exports}var sha1={exports:{}},hasRequiredSha1;function requireSha1(){return hasRequiredSha1||(hasRequiredSha1=1,function(Ra,qa){(function(ed,td){Ra.exports=td(requireCore())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.WordArray,od=rd.Hasher,ld=td.algo,cd=[],ud=ld.SHA1=od.extend({_doReset:function(){this._hash=new sd.init([1732584193,4023233417,2562383102,271733878,3285377520])},_doProcessBlock:function(_d,Ed){for(var Ad=this._hash.words,Td=Ad[0],Nd=Ad[1],Rd=Ad[2],Bd=Ad[3],kd=Ad[4],Od=0;Od<80;Od++){if(Od<16)cd[Od]=_d[Ed+Od]|0;else{var Md=cd[Od-3]^cd[Od-8]^cd[Od-14]^cd[Od-16];cd[Od]=Md<<1|Md>>>31}var Pd=(Td<<5|Td>>>27)+kd+cd[Od];Od<20?Pd+=(Nd&Rd|~Nd&Bd)+1518500249:Od<40?Pd+=(Nd^Rd^Bd)+1859775393:Od<60?Pd+=(Nd&Rd|Nd&Bd|Rd&Bd)-1894007588:Pd+=(Nd^Rd^Bd)-899497514,kd=Bd,Bd=Rd,Rd=Nd<<30|Nd>>>2,Nd=Td,Td=Pd}Ad[0]=Ad[0]+Td|0,Ad[1]=Ad[1]+Nd|0,Ad[2]=Ad[2]+Rd|0,Ad[3]=Ad[3]+Bd|0,Ad[4]=Ad[4]+kd|0},_doFinalize:function(){var _d=this._data,Ed=_d.words,Ad=this._nDataBytes*8,Td=_d.sigBytes*8;return Ed[Td>>>5]|=128<<24-Td%32,Ed[(Td+64>>>9<<4)+14]=Math.floor(Ad/4294967296),Ed[(Td+64>>>9<<4)+15]=Ad,_d.sigBytes=Ed.length*4,this._process(),this._hash},clone:function(){var _d=od.clone.call(this);return _d._hash=this._hash.clone(),_d}});td.SHA1=od._createHelper(ud),td.HmacSHA1=od._createHmacHelper(ud)}(),ed.SHA1})}(sha1)),sha1.exports}var sha256={exports:{}},hasRequiredSha256;function requireSha256(){return hasRequiredSha256||(hasRequiredSha256=1,function(Ra,qa){(function(ed,td){Ra.exports=td(requireCore())})(commonjsGlobal,function(ed){return function(td){var rd=ed,sd=rd.lib,od=sd.WordArray,ld=sd.Hasher,cd=rd.algo,ud=[],_d=[];(function(){function Td(kd){for(var Od=td.sqrt(kd),Md=2;Md<=Od;Md++)if(!(kd%Md))return!1;return!0}function Nd(kd){return(kd-(kd|0))*4294967296|0}for(var Rd=2,Bd=0;Bd<64;)Td(Rd)&&(Bd<8&&(ud[Bd]=Nd(td.pow(Rd,1/2))),_d[Bd]=Nd(td.pow(Rd,1/3)),Bd++),Rd++})();var Ed=[],Ad=cd.SHA256=ld.extend({_doReset:function(){this._hash=new od.init(ud.slice(0))},_doProcessBlock:function(Td,Nd){for(var Rd=this._hash.words,Bd=Rd[0],kd=Rd[1],Od=Rd[2],Md=Rd[3],Pd=Rd[4],Fd=Rd[5],Ud=Rd[6],Gd=Rd[7],Qd=0;Qd<64;Qd++){if(Qd<16)Ed[Qd]=Td[Nd+Qd]|0;else{var Vd=Ed[Qd-15],zd=(Vd<<25|Vd>>>7)^(Vd<<14|Vd>>>18)^Vd>>>3,Xd=Ed[Qd-2],df=(Xd<<15|Xd>>>17)^(Xd<<13|Xd>>>19)^Xd>>>10;Ed[Qd]=zd+Ed[Qd-7]+df+Ed[Qd-16]}var mf=Pd&Fd^~Pd&Ud,_f=Bd&kd^Bd&Od^kd&Od,hf=(Bd<<30|Bd>>>2)^(Bd<<19|Bd>>>13)^(Bd<<10|Bd>>>22),gf=(Pd<<26|Pd>>>6)^(Pd<<21|Pd>>>11)^(Pd<<7|Pd>>>25),yf=Gd+gf+mf+_d[Qd]+Ed[Qd],Nf=hf+_f;Gd=Ud,Ud=Fd,Fd=Pd,Pd=Md+yf|0,Md=Od,Od=kd,kd=Bd,Bd=yf+Nf|0}Rd[0]=Rd[0]+Bd|0,Rd[1]=Rd[1]+kd|0,Rd[2]=Rd[2]+Od|0,Rd[3]=Rd[3]+Md|0,Rd[4]=Rd[4]+Pd|0,Rd[5]=Rd[5]+Fd|0,Rd[6]=Rd[6]+Ud|0,Rd[7]=Rd[7]+Gd|0},_doFinalize:function(){var Td=this._data,Nd=Td.words,Rd=this._nDataBytes*8,Bd=Td.sigBytes*8;return Nd[Bd>>>5]|=128<<24-Bd%32,Nd[(Bd+64>>>9<<4)+14]=td.floor(Rd/4294967296),Nd[(Bd+64>>>9<<4)+15]=Rd,Td.sigBytes=Nd.length*4,this._process(),this._hash},clone:function(){var Td=ld.clone.call(this);return Td._hash=this._hash.clone(),Td}});rd.SHA256=ld._createHelper(Ad),rd.HmacSHA256=ld._createHmacHelper(Ad)}(Math),ed.SHA256})}(sha256)),sha256.exports}var sha224={exports:{}},hasRequiredSha224;function requireSha224(){return hasRequiredSha224||(hasRequiredSha224=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireSha256())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.WordArray,od=td.algo,ld=od.SHA256,cd=od.SHA224=ld.extend({_doReset:function(){this._hash=new sd.init([3238371032,914150663,812702999,4144912697,4290775857,1750603025,1694076839,3204075428])},_doFinalize:function(){var ud=ld._doFinalize.call(this);return ud.sigBytes-=4,ud}});td.SHA224=ld._createHelper(cd),td.HmacSHA224=ld._createHmacHelper(cd)}(),ed.SHA224})}(sha224)),sha224.exports}var sha512={exports:{}},hasRequiredSha512;function requireSha512(){return hasRequiredSha512||(hasRequiredSha512=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireX64Core())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.Hasher,od=td.x64,ld=od.Word,cd=od.WordArray,ud=td.algo;function _d(){return ld.create.apply(ld,arguments)}var Ed=[_d(1116352408,3609767458),_d(1899447441,602891725),_d(3049323471,3964484399),_d(3921009573,2173295548),_d(961987163,4081628472),_d(1508970993,3053834265),_d(2453635748,2937671579),_d(2870763221,3664609560),_d(3624381080,2734883394),_d(310598401,1164996542),_d(607225278,1323610764),_d(1426881987,3590304994),_d(1925078388,4068182383),_d(2162078206,991336113),_d(2614888103,633803317),_d(3248222580,3479774868),_d(3835390401,2666613458),_d(4022224774,944711139),_d(264347078,2341262773),_d(604807628,2007800933),_d(770255983,1495990901),_d(1249150122,1856431235),_d(1555081692,3175218132),_d(1996064986,2198950837),_d(2554220882,3999719339),_d(2821834349,766784016),_d(2952996808,2566594879),_d(3210313671,3203337956),_d(3336571891,1034457026),_d(3584528711,2466948901),_d(113926993,3758326383),_d(338241895,168717936),_d(666307205,1188179964),_d(773529912,1546045734),_d(1294757372,1522805485),_d(1396182291,2643833823),_d(1695183700,2343527390),_d(1986661051,1014477480),_d(2177026350,1206759142),_d(2456956037,344077627),_d(2730485921,1290863460),_d(2820302411,3158454273),_d(3259730800,3505952657),_d(3345764771,106217008),_d(3516065817,3606008344),_d(3600352804,1432725776),_d(4094571909,1467031594),_d(275423344,851169720),_d(430227734,3100823752),_d(506948616,1363258195),_d(659060556,3750685593),_d(883997877,3785050280),_d(958139571,3318307427),_d(1322822218,3812723403),_d(1537002063,2003034995),_d(1747873779,3602036899),_d(1955562222,1575990012),_d(2024104815,1125592928),_d(2227730452,2716904306),_d(2361852424,442776044),_d(2428436474,593698344),_d(2756734187,3733110249),_d(3204031479,2999351573),_d(3329325298,3815920427),_d(3391569614,3928383900),_d(3515267271,566280711),_d(3940187606,3454069534),_d(4118630271,4000239992),_d(116418474,1914138554),_d(174292421,2731055270),_d(289380356,3203993006),_d(460393269,320620315),_d(685471733,587496836),_d(852142971,1086792851),_d(1017036298,365543100),_d(1126000580,2618297676),_d(1288033470,3409855158),_d(1501505948,4234509866),_d(1607167915,987167468),_d(1816402316,1246189591)],Ad=[];(function(){for(var Nd=0;Nd<80;Nd++)Ad[Nd]=_d()})();var Td=ud.SHA512=sd.extend({_doReset:function(){this._hash=new cd.init([new ld.init(1779033703,4089235720),new ld.init(3144134277,2227873595),new ld.init(1013904242,4271175723),new ld.init(2773480762,1595750129),new ld.init(1359893119,2917565137),new ld.init(2600822924,725511199),new ld.init(528734635,4215389547),new ld.init(1541459225,327033209)])},_doProcessBlock:function(Nd,Rd){for(var Bd=this._hash.words,kd=Bd[0],Od=Bd[1],Md=Bd[2],Pd=Bd[3],Fd=Bd[4],Ud=Bd[5],Gd=Bd[6],Qd=Bd[7],Vd=kd.high,zd=kd.low,Xd=Od.high,df=Od.low,mf=Md.high,_f=Md.low,hf=Pd.high,gf=Pd.low,yf=Fd.high,Nf=Fd.low,Pf=Ud.high,Yf=Ud.low,Uf=Gd.high,Lf=Gd.low,xf=Qd.high,wf=Qd.low,Jf=Vd,Qf=zd,Ef=Xd,bf=df,Bf=mf,Kf=_f,nh=hf,zf=gf,$f=yf,th=Nf,hh=Pf,Xf=Yf,Df=Uf,Of=Lf,Vf=xf,dh=wf,bh=0;bh<80;bh++){var oh,Ih,_h=Ad[bh];if(bh<16)Ih=_h.high=Nd[Rd+bh*2]|0,oh=_h.low=Nd[Rd+bh*2+1]|0;else{var Dh=Ad[bh-15],Xh=Dh.high,qh=Dh.low,sm=(Xh>>>1|qh<<31)^(Xh>>>8|qh<<24)^Xh>>>7,hm=(qh>>>1|Xh<<31)^(qh>>>8|Xh<<24)^(qh>>>7|Xh<<25),ah=Ad[bh-2],kf=ah.high,If=ah.low,rh=(kf>>>19|If<<13)^(kf<<3|If>>>29)^kf>>>6,ch=(If>>>19|kf<<13)^(If<<3|kf>>>29)^(If>>>6|kf<<26),wh=Ad[bh-7],$h=wh.high,om=wh.low,Tm=Ad[bh-16],um=Tm.high,Wm=Tm.low;oh=hm+om,Ih=sm+$h+(oh>>>0>>0?1:0),oh=oh+ch,Ih=Ih+rh+(oh>>>0>>0?1:0),oh=oh+Wm,Ih=Ih+um+(oh>>>0>>0?1:0),_h.high=Ih,_h.low=oh}var Fm=$f&hh^~$f&Df,Lm=th&Xf^~th&Of,e_=Jf&Ef^Jf&Bf^Ef&Bf,F_=Qf&bf^Qf&Kf^bf&Kf,R_=(Jf>>>28|Qf<<4)^(Jf<<30|Qf>>>2)^(Jf<<25|Qf>>>7),w_=(Qf>>>28|Jf<<4)^(Qf<<30|Jf>>>2)^(Qf<<25|Jf>>>7),Qm=($f>>>14|th<<18)^($f>>>18|th<<14)^($f<<23|th>>>9),P_=(th>>>14|$f<<18)^(th>>>18|$f<<14)^(th<<23|$f>>>9),V_=Ed[bh],Z_=V_.high,t1=V_.low,j_=dh+P_,$_=Vf+Qm+(j_>>>0>>0?1:0),j_=j_+Lm,$_=$_+Fm+(j_>>>0>>0?1:0),j_=j_+t1,$_=$_+Z_+(j_>>>0>>0?1:0),j_=j_+oh,$_=$_+Ih+(j_>>>0>>0?1:0),Hm=w_+F_,v_=R_+e_+(Hm>>>0>>0?1:0);Vf=Df,dh=Of,Df=hh,Of=Xf,hh=$f,Xf=th,th=zf+j_|0,$f=nh+$_+(th>>>0>>0?1:0)|0,nh=Bf,zf=Kf,Bf=Ef,Kf=bf,Ef=Jf,bf=Qf,Qf=j_+Hm|0,Jf=$_+v_+(Qf>>>0>>0?1:0)|0}zd=kd.low=zd+Qf,kd.high=Vd+Jf+(zd>>>0>>0?1:0),df=Od.low=df+bf,Od.high=Xd+Ef+(df>>>0>>0?1:0),_f=Md.low=_f+Kf,Md.high=mf+Bf+(_f>>>0>>0?1:0),gf=Pd.low=gf+zf,Pd.high=hf+nh+(gf>>>0>>0?1:0),Nf=Fd.low=Nf+th,Fd.high=yf+$f+(Nf>>>0>>0?1:0),Yf=Ud.low=Yf+Xf,Ud.high=Pf+hh+(Yf>>>0>>0?1:0),Lf=Gd.low=Lf+Of,Gd.high=Uf+Df+(Lf>>>0>>0?1:0),wf=Qd.low=wf+dh,Qd.high=xf+Vf+(wf>>>0>>0?1:0)},_doFinalize:function(){var Nd=this._data,Rd=Nd.words,Bd=this._nDataBytes*8,kd=Nd.sigBytes*8;Rd[kd>>>5]|=128<<24-kd%32,Rd[(kd+128>>>10<<5)+30]=Math.floor(Bd/4294967296),Rd[(kd+128>>>10<<5)+31]=Bd,Nd.sigBytes=Rd.length*4,this._process();var Od=this._hash.toX32();return Od},clone:function(){var Nd=sd.clone.call(this);return Nd._hash=this._hash.clone(),Nd},blockSize:1024/32});td.SHA512=sd._createHelper(Td),td.HmacSHA512=sd._createHmacHelper(Td)}(),ed.SHA512})}(sha512)),sha512.exports}var sha384={exports:{}},hasRequiredSha384;function requireSha384(){return hasRequiredSha384||(hasRequiredSha384=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireX64Core(),requireSha512())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.x64,sd=rd.Word,od=rd.WordArray,ld=td.algo,cd=ld.SHA512,ud=ld.SHA384=cd.extend({_doReset:function(){this._hash=new od.init([new sd.init(3418070365,3238371032),new sd.init(1654270250,914150663),new sd.init(2438529370,812702999),new sd.init(355462360,4144912697),new sd.init(1731405415,4290775857),new sd.init(2394180231,1750603025),new sd.init(3675008525,1694076839),new sd.init(1203062813,3204075428)])},_doFinalize:function(){var _d=cd._doFinalize.call(this);return _d.sigBytes-=16,_d}});td.SHA384=cd._createHelper(ud),td.HmacSHA384=cd._createHmacHelper(ud)}(),ed.SHA384})}(sha384)),sha384.exports}var sha3={exports:{}},hasRequiredSha3;function requireSha3(){return hasRequiredSha3||(hasRequiredSha3=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireX64Core())})(commonjsGlobal,function(ed){return function(td){var rd=ed,sd=rd.lib,od=sd.WordArray,ld=sd.Hasher,cd=rd.x64,ud=cd.Word,_d=rd.algo,Ed=[],Ad=[],Td=[];(function(){for(var Bd=1,kd=0,Od=0;Od<24;Od++){Ed[Bd+5*kd]=(Od+1)*(Od+2)/2%64;var Md=kd%5,Pd=(2*Bd+3*kd)%5;Bd=Md,kd=Pd}for(var Bd=0;Bd<5;Bd++)for(var kd=0;kd<5;kd++)Ad[Bd+5*kd]=kd+(2*Bd+3*kd)%5*5;for(var Fd=1,Ud=0;Ud<24;Ud++){for(var Gd=0,Qd=0,Vd=0;Vd<7;Vd++){if(Fd&1){var zd=(1<>>24)&16711935|(Fd<<24|Fd>>>8)&4278255360,Ud=(Ud<<8|Ud>>>24)&16711935|(Ud<<24|Ud>>>8)&4278255360;var Gd=Od[Pd];Gd.high^=Ud,Gd.low^=Fd}for(var Qd=0;Qd<24;Qd++){for(var Vd=0;Vd<5;Vd++){for(var zd=0,Xd=0,df=0;df<5;df++){var Gd=Od[Vd+5*df];zd^=Gd.high,Xd^=Gd.low}var mf=Nd[Vd];mf.high=zd,mf.low=Xd}for(var Vd=0;Vd<5;Vd++)for(var _f=Nd[(Vd+4)%5],hf=Nd[(Vd+1)%5],gf=hf.high,yf=hf.low,zd=_f.high^(gf<<1|yf>>>31),Xd=_f.low^(yf<<1|gf>>>31),df=0;df<5;df++){var Gd=Od[Vd+5*df];Gd.high^=zd,Gd.low^=Xd}for(var Nf=1;Nf<25;Nf++){var zd,Xd,Gd=Od[Nf],Pf=Gd.high,Yf=Gd.low,Uf=Ed[Nf];Uf<32?(zd=Pf<>>32-Uf,Xd=Yf<>>32-Uf):(zd=Yf<>>64-Uf,Xd=Pf<>>64-Uf);var Lf=Nd[Ad[Nf]];Lf.high=zd,Lf.low=Xd}var xf=Nd[0],wf=Od[0];xf.high=wf.high,xf.low=wf.low;for(var Vd=0;Vd<5;Vd++)for(var df=0;df<5;df++){var Nf=Vd+5*df,Gd=Od[Nf],Jf=Nd[Nf],Qf=Nd[(Vd+1)%5+5*df],Ef=Nd[(Vd+2)%5+5*df];Gd.high=Jf.high^~Qf.high&Ef.high,Gd.low=Jf.low^~Qf.low&Ef.low}var Gd=Od[0],bf=Td[Qd];Gd.high^=bf.high,Gd.low^=bf.low}},_doFinalize:function(){var Bd=this._data,kd=Bd.words;this._nDataBytes*8;var Od=Bd.sigBytes*8,Md=this.blockSize*32;kd[Od>>>5]|=1<<24-Od%32,kd[(td.ceil((Od+1)/Md)*Md>>>5)-1]|=128,Bd.sigBytes=kd.length*4,this._process();for(var Pd=this._state,Fd=this.cfg.outputLength/8,Ud=Fd/8,Gd=[],Qd=0;Qd>>24)&16711935|(zd<<24|zd>>>8)&4278255360,Xd=(Xd<<8|Xd>>>24)&16711935|(Xd<<24|Xd>>>8)&4278255360,Gd.push(Xd),Gd.push(zd)}return new od.init(Gd,Fd)},clone:function(){for(var Bd=ld.clone.call(this),kd=Bd._state=this._state.slice(0),Od=0;Od<25;Od++)kd[Od]=kd[Od].clone();return Bd}});rd.SHA3=ld._createHelper(Rd),rd.HmacSHA3=ld._createHmacHelper(Rd)}(Math),ed.SHA3})}(sha3)),sha3.exports}var ripemd160={exports:{}},hasRequiredRipemd160;function requireRipemd160(){return hasRequiredRipemd160||(hasRequiredRipemd160=1,function(Ra,qa){(function(ed,td){Ra.exports=td(requireCore())})(commonjsGlobal,function(ed){/** @preserve (c) 2012 by Cédric Mesnil. All rights reserved. Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: - Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. - Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */return function(td){var rd=ed,sd=rd.lib,od=sd.WordArray,ld=sd.Hasher,cd=rd.algo,ud=od.create([0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,7,4,13,1,10,6,15,3,12,0,9,5,2,14,11,8,3,10,14,4,9,15,8,1,2,7,0,6,13,11,5,12,1,9,11,10,0,8,12,4,13,3,7,15,14,5,6,2,4,0,5,9,7,12,2,10,14,1,3,8,11,6,15,13]),_d=od.create([5,14,7,0,9,2,11,4,13,6,15,8,1,10,3,12,6,11,3,7,0,13,5,10,14,15,8,12,4,9,1,2,15,5,1,3,7,14,6,9,11,8,12,2,10,0,4,13,8,6,4,1,3,11,15,0,5,12,2,13,9,7,10,14,12,15,10,4,1,5,8,7,6,2,13,14,0,3,9,11]),Ed=od.create([11,14,15,12,5,8,7,9,11,13,14,15,6,7,9,8,7,6,8,13,11,9,7,15,7,12,15,9,11,7,13,12,11,13,6,7,14,9,13,15,14,8,13,6,5,12,7,5,11,12,14,15,14,15,9,8,9,14,5,6,8,6,5,12,9,15,5,11,6,8,13,12,5,12,13,14,11,8,5,6]),Ad=od.create([8,9,9,11,13,15,15,5,7,7,8,11,14,14,12,6,9,13,15,7,12,8,9,11,7,7,12,7,6,15,13,11,9,7,15,11,8,6,6,14,12,13,5,14,13,13,7,5,15,5,8,11,14,14,6,14,6,9,12,9,12,5,15,8,8,5,12,9,12,5,14,6,8,13,6,5,15,13,11,11]),Td=od.create([0,1518500249,1859775393,2400959708,2840853838]),Nd=od.create([1352829926,1548603684,1836072691,2053994217,0]),Rd=cd.RIPEMD160=ld.extend({_doReset:function(){this._hash=od.create([1732584193,4023233417,2562383102,271733878,3285377520])},_doProcessBlock:function(Ud,Gd){for(var Qd=0;Qd<16;Qd++){var Vd=Gd+Qd,zd=Ud[Vd];Ud[Vd]=(zd<<8|zd>>>24)&16711935|(zd<<24|zd>>>8)&4278255360}var Xd=this._hash.words,df=Td.words,mf=Nd.words,_f=ud.words,hf=_d.words,gf=Ed.words,yf=Ad.words,Nf,Pf,Yf,Uf,Lf,xf,wf,Jf,Qf,Ef;xf=Nf=Xd[0],wf=Pf=Xd[1],Jf=Yf=Xd[2],Qf=Uf=Xd[3],Ef=Lf=Xd[4];for(var bf,Qd=0;Qd<80;Qd+=1)bf=Nf+Ud[Gd+_f[Qd]]|0,Qd<16?bf+=Bd(Pf,Yf,Uf)+df[0]:Qd<32?bf+=kd(Pf,Yf,Uf)+df[1]:Qd<48?bf+=Od(Pf,Yf,Uf)+df[2]:Qd<64?bf+=Md(Pf,Yf,Uf)+df[3]:bf+=Pd(Pf,Yf,Uf)+df[4],bf=bf|0,bf=Fd(bf,gf[Qd]),bf=bf+Lf|0,Nf=Lf,Lf=Uf,Uf=Fd(Yf,10),Yf=Pf,Pf=bf,bf=xf+Ud[Gd+hf[Qd]]|0,Qd<16?bf+=Pd(wf,Jf,Qf)+mf[0]:Qd<32?bf+=Md(wf,Jf,Qf)+mf[1]:Qd<48?bf+=Od(wf,Jf,Qf)+mf[2]:Qd<64?bf+=kd(wf,Jf,Qf)+mf[3]:bf+=Bd(wf,Jf,Qf)+mf[4],bf=bf|0,bf=Fd(bf,yf[Qd]),bf=bf+Ef|0,xf=Ef,Ef=Qf,Qf=Fd(Jf,10),Jf=wf,wf=bf;bf=Xd[1]+Yf+Qf|0,Xd[1]=Xd[2]+Uf+Ef|0,Xd[2]=Xd[3]+Lf+xf|0,Xd[3]=Xd[4]+Nf+wf|0,Xd[4]=Xd[0]+Pf+Jf|0,Xd[0]=bf},_doFinalize:function(){var Ud=this._data,Gd=Ud.words,Qd=this._nDataBytes*8,Vd=Ud.sigBytes*8;Gd[Vd>>>5]|=128<<24-Vd%32,Gd[(Vd+64>>>9<<4)+14]=(Qd<<8|Qd>>>24)&16711935|(Qd<<24|Qd>>>8)&4278255360,Ud.sigBytes=(Gd.length+1)*4,this._process();for(var zd=this._hash,Xd=zd.words,df=0;df<5;df++){var mf=Xd[df];Xd[df]=(mf<<8|mf>>>24)&16711935|(mf<<24|mf>>>8)&4278255360}return zd},clone:function(){var Ud=ld.clone.call(this);return Ud._hash=this._hash.clone(),Ud}});function Bd(Ud,Gd,Qd){return Ud^Gd^Qd}function kd(Ud,Gd,Qd){return Ud&Gd|~Ud&Qd}function Od(Ud,Gd,Qd){return(Ud|~Gd)^Qd}function Md(Ud,Gd,Qd){return Ud&Qd|Gd&~Qd}function Pd(Ud,Gd,Qd){return Ud^(Gd|~Qd)}function Fd(Ud,Gd){return Ud<>>32-Gd}rd.RIPEMD160=ld._createHelper(Rd),rd.HmacRIPEMD160=ld._createHmacHelper(Rd)}(),ed.RIPEMD160})}(ripemd160)),ripemd160.exports}var hmac={exports:{}},hasRequiredHmac;function requireHmac(){return hasRequiredHmac||(hasRequiredHmac=1,function(Ra,qa){(function(ed,td){Ra.exports=td(requireCore())})(commonjsGlobal,function(ed){(function(){var td=ed,rd=td.lib,sd=rd.Base,od=td.enc,ld=od.Utf8,cd=td.algo;cd.HMAC=sd.extend({init:function(ud,_d){ud=this._hasher=new ud.init,typeof _d=="string"&&(_d=ld.parse(_d));var Ed=ud.blockSize,Ad=Ed*4;_d.sigBytes>Ad&&(_d=ud.finalize(_d)),_d.clamp();for(var Td=this._oKey=_d.clone(),Nd=this._iKey=_d.clone(),Rd=Td.words,Bd=Nd.words,kd=0;kd>>2]&255;zd.sigBytes-=Xd}};sd.BlockCipher=Td.extend({cfg:Td.cfg.extend({mode:Bd,padding:Od}),reset:function(){var zd;Td.reset.call(this);var Xd=this.cfg,df=Xd.iv,mf=Xd.mode;this._xformMode==this._ENC_XFORM_MODE?zd=mf.createEncryptor:(zd=mf.createDecryptor,this._minBufferSize=1),this._mode&&this._mode.__creator==zd?this._mode.init(this,df&&df.words):(this._mode=zd.call(mf,this,df&&df.words),this._mode.__creator=zd)},_doProcessBlock:function(zd,Xd){this._mode.processBlock(zd,Xd)},_doFinalize:function(){var zd,Xd=this.cfg.padding;return this._xformMode==this._ENC_XFORM_MODE?(Xd.pad(this._data,this.blockSize),zd=this._process(!0)):(zd=this._process(!0),Xd.unpad(zd)),zd},blockSize:128/32});var Md=sd.CipherParams=od.extend({init:function(zd){this.mixIn(zd)},toString:function(zd){return(zd||this.formatter).stringify(this)}}),Pd=rd.format={},Fd=Pd.OpenSSL={stringify:function(zd){var Xd,df=zd.ciphertext,mf=zd.salt;return mf?Xd=ld.create([1398893684,1701076831]).concat(mf).concat(df):Xd=df,Xd.toString(_d)},parse:function(zd){var Xd,df=_d.parse(zd),mf=df.words;return mf[0]==1398893684&&mf[1]==1701076831&&(Xd=ld.create(mf.slice(2,4)),mf.splice(0,4),df.sigBytes-=16),Md.create({ciphertext:df,salt:Xd})}},Ud=sd.SerializableCipher=od.extend({cfg:od.extend({format:Fd}),encrypt:function(zd,Xd,df,mf){mf=this.cfg.extend(mf);var _f=zd.createEncryptor(df,mf),hf=_f.finalize(Xd),gf=_f.cfg;return Md.create({ciphertext:hf,key:df,iv:gf.iv,algorithm:zd,mode:gf.mode,padding:gf.padding,blockSize:zd.blockSize,formatter:mf.format})},decrypt:function(zd,Xd,df,mf){mf=this.cfg.extend(mf),Xd=this._parse(Xd,mf.format);var _f=zd.createDecryptor(df,mf).finalize(Xd.ciphertext);return _f},_parse:function(zd,Xd){return typeof zd=="string"?Xd.parse(zd,this):zd}}),Gd=rd.kdf={},Qd=Gd.OpenSSL={execute:function(zd,Xd,df,mf,_f){if(mf||(mf=ld.random(64/8)),_f)var hf=Ad.create({keySize:Xd+df,hasher:_f}).compute(zd,mf);else var hf=Ad.create({keySize:Xd+df}).compute(zd,mf);var gf=ld.create(hf.words.slice(Xd),df*4);return hf.sigBytes=Xd*4,Md.create({key:hf,iv:gf,salt:mf})}},Vd=sd.PasswordBasedCipher=Ud.extend({cfg:Ud.cfg.extend({kdf:Qd}),encrypt:function(zd,Xd,df,mf){mf=this.cfg.extend(mf);var _f=mf.kdf.execute(df,zd.keySize,zd.ivSize,mf.salt,mf.hasher);mf.iv=_f.iv;var hf=Ud.encrypt.call(this,zd,Xd,_f.key,mf);return hf.mixIn(_f),hf},decrypt:function(zd,Xd,df,mf){mf=this.cfg.extend(mf),Xd=this._parse(Xd,mf.format);var _f=mf.kdf.execute(df,zd.keySize,zd.ivSize,Xd.salt,mf.hasher);mf.iv=_f.iv;var hf=Ud.decrypt.call(this,zd,Xd,_f.key,mf);return hf}})}()})}(cipherCore)),cipherCore.exports}var modeCfb={exports:{}},hasRequiredModeCfb;function requireModeCfb(){return hasRequiredModeCfb||(hasRequiredModeCfb=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireCipherCore())})(commonjsGlobal,function(ed){return ed.mode.CFB=function(){var td=ed.lib.BlockCipherMode.extend();td.Encryptor=td.extend({processBlock:function(sd,od){var ld=this._cipher,cd=ld.blockSize;rd.call(this,sd,od,cd,ld),this._prevBlock=sd.slice(od,od+cd)}}),td.Decryptor=td.extend({processBlock:function(sd,od){var ld=this._cipher,cd=ld.blockSize,ud=sd.slice(od,od+cd);rd.call(this,sd,od,cd,ld),this._prevBlock=ud}});function rd(sd,od,ld,cd){var ud,_d=this._iv;_d?(ud=_d.slice(0),this._iv=void 0):ud=this._prevBlock,cd.encryptBlock(ud,0);for(var Ed=0;Ed>24&255)===255){var cd=ld>>16&255,ud=ld>>8&255,_d=ld&255;cd===255?(cd=0,ud===255?(ud=0,_d===255?_d=0:++_d):++ud):++cd,ld=0,ld+=cd<<16,ld+=ud<<8,ld+=_d}else ld+=1<<24;return ld}function sd(ld){return(ld[0]=rd(ld[0]))===0&&(ld[1]=rd(ld[1])),ld}var od=td.Encryptor=td.extend({processBlock:function(ld,cd){var ud=this._cipher,_d=ud.blockSize,Ed=this._iv,Ad=this._counter;Ed&&(Ad=this._counter=Ed.slice(0),this._iv=void 0),sd(Ad);var Td=Ad.slice(0);ud.encryptBlock(Td,0);for(var Nd=0;Nd<_d;Nd++)ld[cd+Nd]^=Td[Nd]}});return td.Decryptor=od,td}(),ed.mode.CTRGladman})}(modeCtrGladman)),modeCtrGladman.exports}var modeOfb={exports:{}},hasRequiredModeOfb;function requireModeOfb(){return hasRequiredModeOfb||(hasRequiredModeOfb=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireCipherCore())})(commonjsGlobal,function(ed){return ed.mode.OFB=function(){var td=ed.lib.BlockCipherMode.extend(),rd=td.Encryptor=td.extend({processBlock:function(sd,od){var ld=this._cipher,cd=ld.blockSize,ud=this._iv,_d=this._keystream;ud&&(_d=this._keystream=ud.slice(0),this._iv=void 0),ld.encryptBlock(_d,0);for(var Ed=0;Ed>>2]|=ld<<24-cd%4*8,td.sigBytes+=ld},unpad:function(td){var rd=td.words[td.sigBytes-1>>>2]&255;td.sigBytes-=rd}},ed.pad.Ansix923})}(padAnsix923)),padAnsix923.exports}var padIso10126={exports:{}},hasRequiredPadIso10126;function requirePadIso10126(){return hasRequiredPadIso10126||(hasRequiredPadIso10126=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireCipherCore())})(commonjsGlobal,function(ed){return ed.pad.Iso10126={pad:function(td,rd){var sd=rd*4,od=sd-td.sigBytes%sd;td.concat(ed.lib.WordArray.random(od-1)).concat(ed.lib.WordArray.create([od<<24],1))},unpad:function(td){var rd=td.words[td.sigBytes-1>>>2]&255;td.sigBytes-=rd}},ed.pad.Iso10126})}(padIso10126)),padIso10126.exports}var padIso97971={exports:{}},hasRequiredPadIso97971;function requirePadIso97971(){return hasRequiredPadIso97971||(hasRequiredPadIso97971=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireCipherCore())})(commonjsGlobal,function(ed){return ed.pad.Iso97971={pad:function(td,rd){td.concat(ed.lib.WordArray.create([2147483648],1)),ed.pad.ZeroPadding.pad(td,rd)},unpad:function(td){ed.pad.ZeroPadding.unpad(td),td.sigBytes--}},ed.pad.Iso97971})}(padIso97971)),padIso97971.exports}var padZeropadding={exports:{}},hasRequiredPadZeropadding;function requirePadZeropadding(){return hasRequiredPadZeropadding||(hasRequiredPadZeropadding=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireCipherCore())})(commonjsGlobal,function(ed){return ed.pad.ZeroPadding={pad:function(td,rd){var sd=rd*4;td.clamp(),td.sigBytes+=sd-(td.sigBytes%sd||sd)},unpad:function(td){for(var rd=td.words,sd=td.sigBytes-1,sd=td.sigBytes-1;sd>=0;sd--)if(rd[sd>>>2]>>>24-sd%4*8&255){td.sigBytes=sd+1;break}}},ed.pad.ZeroPadding})}(padZeropadding)),padZeropadding.exports}var padNopadding={exports:{}},hasRequiredPadNopadding;function requirePadNopadding(){return hasRequiredPadNopadding||(hasRequiredPadNopadding=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireCipherCore())})(commonjsGlobal,function(ed){return ed.pad.NoPadding={pad:function(){},unpad:function(){}},ed.pad.NoPadding})}(padNopadding)),padNopadding.exports}var formatHex={exports:{}},hasRequiredFormatHex;function requireFormatHex(){return hasRequiredFormatHex||(hasRequiredFormatHex=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireCipherCore())})(commonjsGlobal,function(ed){return function(td){var rd=ed,sd=rd.lib,od=sd.CipherParams,ld=rd.enc,cd=ld.Hex,ud=rd.format;ud.Hex={stringify:function(_d){return _d.ciphertext.toString(cd)},parse:function(_d){var Ed=cd.parse(_d);return od.create({ciphertext:Ed})}}}(),ed.format.Hex})}(formatHex)),formatHex.exports}var aes={exports:{}},hasRequiredAes;function requireAes(){return hasRequiredAes||(hasRequiredAes=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.BlockCipher,od=td.algo,ld=[],cd=[],ud=[],_d=[],Ed=[],Ad=[],Td=[],Nd=[],Rd=[],Bd=[];(function(){for(var Md=[],Pd=0;Pd<256;Pd++)Pd<128?Md[Pd]=Pd<<1:Md[Pd]=Pd<<1^283;for(var Fd=0,Ud=0,Pd=0;Pd<256;Pd++){var Gd=Ud^Ud<<1^Ud<<2^Ud<<3^Ud<<4;Gd=Gd>>>8^Gd&255^99,ld[Fd]=Gd,cd[Gd]=Fd;var Qd=Md[Fd],Vd=Md[Qd],zd=Md[Vd],Xd=Md[Gd]*257^Gd*16843008;ud[Fd]=Xd<<24|Xd>>>8,_d[Fd]=Xd<<16|Xd>>>16,Ed[Fd]=Xd<<8|Xd>>>24,Ad[Fd]=Xd;var Xd=zd*16843009^Vd*65537^Qd*257^Fd*16843008;Td[Gd]=Xd<<24|Xd>>>8,Nd[Gd]=Xd<<16|Xd>>>16,Rd[Gd]=Xd<<8|Xd>>>24,Bd[Gd]=Xd,Fd?(Fd=Qd^Md[Md[Md[zd^Qd]]],Ud^=Md[Md[Ud]]):Fd=Ud=1}})();var kd=[0,1,2,4,8,16,32,64,128,27,54],Od=od.AES=sd.extend({_doReset:function(){var Md;if(!(this._nRounds&&this._keyPriorReset===this._key)){for(var Pd=this._keyPriorReset=this._key,Fd=Pd.words,Ud=Pd.sigBytes/4,Gd=this._nRounds=Ud+6,Qd=(Gd+1)*4,Vd=this._keySchedule=[],zd=0;zd6&&zd%Ud==4&&(Md=ld[Md>>>24]<<24|ld[Md>>>16&255]<<16|ld[Md>>>8&255]<<8|ld[Md&255]):(Md=Md<<8|Md>>>24,Md=ld[Md>>>24]<<24|ld[Md>>>16&255]<<16|ld[Md>>>8&255]<<8|ld[Md&255],Md^=kd[zd/Ud|0]<<24),Vd[zd]=Vd[zd-Ud]^Md);for(var Xd=this._invKeySchedule=[],df=0;df>>24]]^Nd[ld[Md>>>16&255]]^Rd[ld[Md>>>8&255]]^Bd[ld[Md&255]]}}},encryptBlock:function(Md,Pd){this._doCryptBlock(Md,Pd,this._keySchedule,ud,_d,Ed,Ad,ld)},decryptBlock:function(Md,Pd){var Fd=Md[Pd+1];Md[Pd+1]=Md[Pd+3],Md[Pd+3]=Fd,this._doCryptBlock(Md,Pd,this._invKeySchedule,Td,Nd,Rd,Bd,cd);var Fd=Md[Pd+1];Md[Pd+1]=Md[Pd+3],Md[Pd+3]=Fd},_doCryptBlock:function(Md,Pd,Fd,Ud,Gd,Qd,Vd,zd){for(var Xd=this._nRounds,df=Md[Pd]^Fd[0],mf=Md[Pd+1]^Fd[1],_f=Md[Pd+2]^Fd[2],hf=Md[Pd+3]^Fd[3],gf=4,yf=1;yf>>24]^Gd[mf>>>16&255]^Qd[_f>>>8&255]^Vd[hf&255]^Fd[gf++],Pf=Ud[mf>>>24]^Gd[_f>>>16&255]^Qd[hf>>>8&255]^Vd[df&255]^Fd[gf++],Yf=Ud[_f>>>24]^Gd[hf>>>16&255]^Qd[df>>>8&255]^Vd[mf&255]^Fd[gf++],Uf=Ud[hf>>>24]^Gd[df>>>16&255]^Qd[mf>>>8&255]^Vd[_f&255]^Fd[gf++];df=Nf,mf=Pf,_f=Yf,hf=Uf}var Nf=(zd[df>>>24]<<24|zd[mf>>>16&255]<<16|zd[_f>>>8&255]<<8|zd[hf&255])^Fd[gf++],Pf=(zd[mf>>>24]<<24|zd[_f>>>16&255]<<16|zd[hf>>>8&255]<<8|zd[df&255])^Fd[gf++],Yf=(zd[_f>>>24]<<24|zd[hf>>>16&255]<<16|zd[df>>>8&255]<<8|zd[mf&255])^Fd[gf++],Uf=(zd[hf>>>24]<<24|zd[df>>>16&255]<<16|zd[mf>>>8&255]<<8|zd[_f&255])^Fd[gf++];Md[Pd]=Nf,Md[Pd+1]=Pf,Md[Pd+2]=Yf,Md[Pd+3]=Uf},keySize:256/32});td.AES=sd._createHelper(Od)}(),ed.AES})}(aes)),aes.exports}var tripledes={exports:{}},hasRequiredTripledes;function requireTripledes(){return hasRequiredTripledes||(hasRequiredTripledes=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.WordArray,od=rd.BlockCipher,ld=td.algo,cd=[57,49,41,33,25,17,9,1,58,50,42,34,26,18,10,2,59,51,43,35,27,19,11,3,60,52,44,36,63,55,47,39,31,23,15,7,62,54,46,38,30,22,14,6,61,53,45,37,29,21,13,5,28,20,12,4],ud=[14,17,11,24,1,5,3,28,15,6,21,10,23,19,12,4,26,8,16,7,27,20,13,2,41,52,31,37,47,55,30,40,51,45,33,48,44,49,39,56,34,53,46,42,50,36,29,32],_d=[1,2,4,6,8,10,12,14,15,17,19,21,23,25,27,28],Ed=[{0:8421888,268435456:32768,536870912:8421378,805306368:2,1073741824:512,1342177280:8421890,1610612736:8389122,1879048192:8388608,2147483648:514,2415919104:8389120,2684354560:33280,2952790016:8421376,3221225472:32770,3489660928:8388610,3758096384:0,4026531840:33282,134217728:0,402653184:8421890,671088640:33282,939524096:32768,1207959552:8421888,1476395008:512,1744830464:8421378,2013265920:2,2281701376:8389120,2550136832:33280,2818572288:8421376,3087007744:8389122,3355443200:8388610,3623878656:32770,3892314112:514,4160749568:8388608,1:32768,268435457:2,536870913:8421888,805306369:8388608,1073741825:8421378,1342177281:33280,1610612737:512,1879048193:8389122,2147483649:8421890,2415919105:8421376,2684354561:8388610,2952790017:33282,3221225473:514,3489660929:8389120,3758096385:32770,4026531841:0,134217729:8421890,402653185:8421376,671088641:8388608,939524097:512,1207959553:32768,1476395009:8388610,1744830465:2,2013265921:33282,2281701377:32770,2550136833:8389122,2818572289:514,3087007745:8421888,3355443201:8389120,3623878657:0,3892314113:33280,4160749569:8421378},{0:1074282512,16777216:16384,33554432:524288,50331648:1074266128,67108864:1073741840,83886080:1074282496,100663296:1073758208,117440512:16,134217728:540672,150994944:1073758224,167772160:1073741824,184549376:540688,201326592:524304,218103808:0,234881024:16400,251658240:1074266112,8388608:1073758208,25165824:540688,41943040:16,58720256:1073758224,75497472:1074282512,92274688:1073741824,109051904:524288,125829120:1074266128,142606336:524304,159383552:0,176160768:16384,192937984:1074266112,209715200:1073741840,226492416:540672,243269632:1074282496,260046848:16400,268435456:0,285212672:1074266128,301989888:1073758224,318767104:1074282496,335544320:1074266112,352321536:16,369098752:540688,385875968:16384,402653184:16400,419430400:524288,436207616:524304,452984832:1073741840,469762048:540672,486539264:1073758208,503316480:1073741824,520093696:1074282512,276824064:540688,293601280:524288,310378496:1074266112,327155712:16384,343932928:1073758208,360710144:1074282512,377487360:16,394264576:1073741824,411041792:1074282496,427819008:1073741840,444596224:1073758224,461373440:524304,478150656:0,494927872:16400,511705088:1074266128,528482304:540672},{0:260,1048576:0,2097152:67109120,3145728:65796,4194304:65540,5242880:67108868,6291456:67174660,7340032:67174400,8388608:67108864,9437184:67174656,10485760:65792,11534336:67174404,12582912:67109124,13631488:65536,14680064:4,15728640:256,524288:67174656,1572864:67174404,2621440:0,3670016:67109120,4718592:67108868,5767168:65536,6815744:65540,7864320:260,8912896:4,9961472:256,11010048:67174400,12058624:65796,13107200:65792,14155776:67109124,15204352:67174660,16252928:67108864,16777216:67174656,17825792:65540,18874368:65536,19922944:67109120,20971520:256,22020096:67174660,23068672:67108868,24117248:0,25165824:67109124,26214400:67108864,27262976:4,28311552:65792,29360128:67174400,30408704:260,31457280:65796,32505856:67174404,17301504:67108864,18350080:260,19398656:67174656,20447232:0,21495808:65540,22544384:67109120,23592960:256,24641536:67174404,25690112:65536,26738688:67174660,27787264:65796,28835840:67108868,29884416:67109124,30932992:67174400,31981568:4,33030144:65792},{0:2151682048,65536:2147487808,131072:4198464,196608:2151677952,262144:0,327680:4198400,393216:2147483712,458752:4194368,524288:2147483648,589824:4194304,655360:64,720896:2147487744,786432:2151678016,851968:4160,917504:4096,983040:2151682112,32768:2147487808,98304:64,163840:2151678016,229376:2147487744,294912:4198400,360448:2151682112,425984:0,491520:2151677952,557056:4096,622592:2151682048,688128:4194304,753664:4160,819200:2147483648,884736:4194368,950272:4198464,1015808:2147483712,1048576:4194368,1114112:4198400,1179648:2147483712,1245184:0,1310720:4160,1376256:2151678016,1441792:2151682048,1507328:2147487808,1572864:2151682112,1638400:2147483648,1703936:2151677952,1769472:4198464,1835008:2147487744,1900544:4194304,1966080:64,2031616:4096,1081344:2151677952,1146880:2151682112,1212416:0,1277952:4198400,1343488:4194368,1409024:2147483648,1474560:2147487808,1540096:64,1605632:2147483712,1671168:4096,1736704:2147487744,1802240:2151678016,1867776:4160,1933312:2151682048,1998848:4194304,2064384:4198464},{0:128,4096:17039360,8192:262144,12288:536870912,16384:537133184,20480:16777344,24576:553648256,28672:262272,32768:16777216,36864:537133056,40960:536871040,45056:553910400,49152:553910272,53248:0,57344:17039488,61440:553648128,2048:17039488,6144:553648256,10240:128,14336:17039360,18432:262144,22528:537133184,26624:553910272,30720:536870912,34816:537133056,38912:0,43008:553910400,47104:16777344,51200:536871040,55296:553648128,59392:16777216,63488:262272,65536:262144,69632:128,73728:536870912,77824:553648256,81920:16777344,86016:553910272,90112:537133184,94208:16777216,98304:553910400,102400:553648128,106496:17039360,110592:537133056,114688:262272,118784:536871040,122880:0,126976:17039488,67584:553648256,71680:16777216,75776:17039360,79872:537133184,83968:536870912,88064:17039488,92160:128,96256:553910272,100352:262272,104448:553910400,108544:0,112640:553648128,116736:16777344,120832:262144,124928:537133056,129024:536871040},{0:268435464,256:8192,512:270532608,768:270540808,1024:268443648,1280:2097152,1536:2097160,1792:268435456,2048:0,2304:268443656,2560:2105344,2816:8,3072:270532616,3328:2105352,3584:8200,3840:270540800,128:270532608,384:270540808,640:8,896:2097152,1152:2105352,1408:268435464,1664:268443648,1920:8200,2176:2097160,2432:8192,2688:268443656,2944:270532616,3200:0,3456:270540800,3712:2105344,3968:268435456,4096:268443648,4352:270532616,4608:270540808,4864:8200,5120:2097152,5376:268435456,5632:268435464,5888:2105344,6144:2105352,6400:0,6656:8,6912:270532608,7168:8192,7424:268443656,7680:270540800,7936:2097160,4224:8,4480:2105344,4736:2097152,4992:268435464,5248:268443648,5504:8200,5760:270540808,6016:270532608,6272:270540800,6528:270532616,6784:8192,7040:2105352,7296:2097160,7552:0,7808:268435456,8064:268443656},{0:1048576,16:33555457,32:1024,48:1049601,64:34604033,80:0,96:1,112:34603009,128:33555456,144:1048577,160:33554433,176:34604032,192:34603008,208:1025,224:1049600,240:33554432,8:34603009,24:0,40:33555457,56:34604032,72:1048576,88:33554433,104:33554432,120:1025,136:1049601,152:33555456,168:34603008,184:1048577,200:1024,216:34604033,232:1,248:1049600,256:33554432,272:1048576,288:33555457,304:34603009,320:1048577,336:33555456,352:34604032,368:1049601,384:1025,400:34604033,416:1049600,432:1,448:0,464:34603008,480:33554433,496:1024,264:1049600,280:33555457,296:34603009,312:1,328:33554432,344:1048576,360:1025,376:34604032,392:33554433,408:34603008,424:0,440:34604033,456:1049601,472:1024,488:33555456,504:1048577},{0:134219808,1:131072,2:134217728,3:32,4:131104,5:134350880,6:134350848,7:2048,8:134348800,9:134219776,10:133120,11:134348832,12:2080,13:0,14:134217760,15:133152,2147483648:2048,2147483649:134350880,2147483650:134219808,2147483651:134217728,2147483652:134348800,2147483653:133120,2147483654:133152,2147483655:32,2147483656:134217760,2147483657:2080,2147483658:131104,2147483659:134350848,2147483660:0,2147483661:134348832,2147483662:134219776,2147483663:131072,16:133152,17:134350848,18:32,19:2048,20:134219776,21:134217760,22:134348832,23:131072,24:0,25:131104,26:134348800,27:134219808,28:134350880,29:133120,30:2080,31:134217728,2147483664:131072,2147483665:2048,2147483666:134348832,2147483667:133152,2147483668:32,2147483669:134348800,2147483670:134217728,2147483671:134219808,2147483672:134350880,2147483673:134217760,2147483674:134219776,2147483675:0,2147483676:133120,2147483677:2080,2147483678:131104,2147483679:134350848}],Ad=[4160749569,528482304,33030144,2064384,129024,8064,504,2147483679],Td=ld.DES=od.extend({_doReset:function(){for(var kd=this._key,Od=kd.words,Md=[],Pd=0;Pd<56;Pd++){var Fd=cd[Pd]-1;Md[Pd]=Od[Fd>>>5]>>>31-Fd%32&1}for(var Ud=this._subKeys=[],Gd=0;Gd<16;Gd++){for(var Qd=Ud[Gd]=[],Vd=_d[Gd],Pd=0;Pd<24;Pd++)Qd[Pd/6|0]|=Md[(ud[Pd]-1+Vd)%28]<<31-Pd%6,Qd[4+(Pd/6|0)]|=Md[28+(ud[Pd+24]-1+Vd)%28]<<31-Pd%6;Qd[0]=Qd[0]<<1|Qd[0]>>>31;for(var Pd=1;Pd<7;Pd++)Qd[Pd]=Qd[Pd]>>>(Pd-1)*4+3;Qd[7]=Qd[7]<<5|Qd[7]>>>27}for(var zd=this._invSubKeys=[],Pd=0;Pd<16;Pd++)zd[Pd]=Ud[15-Pd]},encryptBlock:function(kd,Od){this._doCryptBlock(kd,Od,this._subKeys)},decryptBlock:function(kd,Od){this._doCryptBlock(kd,Od,this._invSubKeys)},_doCryptBlock:function(kd,Od,Md){this._lBlock=kd[Od],this._rBlock=kd[Od+1],Nd.call(this,4,252645135),Nd.call(this,16,65535),Rd.call(this,2,858993459),Rd.call(this,8,16711935),Nd.call(this,1,1431655765);for(var Pd=0;Pd<16;Pd++){for(var Fd=Md[Pd],Ud=this._lBlock,Gd=this._rBlock,Qd=0,Vd=0;Vd<8;Vd++)Qd|=Ed[Vd][((Gd^Fd[Vd])&Ad[Vd])>>>0];this._lBlock=Gd,this._rBlock=Ud^Qd}var zd=this._lBlock;this._lBlock=this._rBlock,this._rBlock=zd,Nd.call(this,1,1431655765),Rd.call(this,8,16711935),Rd.call(this,2,858993459),Nd.call(this,16,65535),Nd.call(this,4,252645135),kd[Od]=this._lBlock,kd[Od+1]=this._rBlock},keySize:64/32,ivSize:64/32,blockSize:64/32});function Nd(kd,Od){var Md=(this._lBlock>>>kd^this._rBlock)&Od;this._rBlock^=Md,this._lBlock^=Md<>>kd^this._lBlock)&Od;this._lBlock^=Md,this._rBlock^=Md<192.");var Md=Od.slice(0,2),Pd=Od.length<4?Od.slice(0,2):Od.slice(2,4),Fd=Od.length<6?Od.slice(0,2):Od.slice(4,6);this._des1=Td.createEncryptor(sd.create(Md)),this._des2=Td.createEncryptor(sd.create(Pd)),this._des3=Td.createEncryptor(sd.create(Fd))},encryptBlock:function(kd,Od){this._des1.encryptBlock(kd,Od),this._des2.decryptBlock(kd,Od),this._des3.encryptBlock(kd,Od)},decryptBlock:function(kd,Od){this._des3.decryptBlock(kd,Od),this._des2.encryptBlock(kd,Od),this._des1.decryptBlock(kd,Od)},keySize:192/32,ivSize:64/32,blockSize:64/32});td.TripleDES=od._createHelper(Bd)}(),ed.TripleDES})}(tripledes)),tripledes.exports}var rc4={exports:{}},hasRequiredRc4;function requireRc4(){return hasRequiredRc4||(hasRequiredRc4=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.StreamCipher,od=td.algo,ld=od.RC4=sd.extend({_doReset:function(){for(var _d=this._key,Ed=_d.words,Ad=_d.sigBytes,Td=this._S=[],Nd=0;Nd<256;Nd++)Td[Nd]=Nd;for(var Nd=0,Rd=0;Nd<256;Nd++){var Bd=Nd%Ad,kd=Ed[Bd>>>2]>>>24-Bd%4*8&255;Rd=(Rd+Td[Nd]+kd)%256;var Od=Td[Nd];Td[Nd]=Td[Rd],Td[Rd]=Od}this._i=this._j=0},_doProcessBlock:function(_d,Ed){_d[Ed]^=cd.call(this)},keySize:256/32,ivSize:0});function cd(){for(var _d=this._S,Ed=this._i,Ad=this._j,Td=0,Nd=0;Nd<4;Nd++){Ed=(Ed+1)%256,Ad=(Ad+_d[Ed])%256;var Rd=_d[Ed];_d[Ed]=_d[Ad],_d[Ad]=Rd,Td|=_d[(_d[Ed]+_d[Ad])%256]<<24-Nd*8}return this._i=Ed,this._j=Ad,Td}td.RC4=sd._createHelper(ld);var ud=od.RC4Drop=ld.extend({cfg:ld.cfg.extend({drop:192}),_doReset:function(){ld._doReset.call(this);for(var _d=this.cfg.drop;_d>0;_d--)cd.call(this)}});td.RC4Drop=sd._createHelper(ud)}(),ed.RC4})}(rc4)),rc4.exports}var rabbit={exports:{}},hasRequiredRabbit;function requireRabbit(){return hasRequiredRabbit||(hasRequiredRabbit=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.StreamCipher,od=td.algo,ld=[],cd=[],ud=[],_d=od.Rabbit=sd.extend({_doReset:function(){for(var Ad=this._key.words,Td=this.cfg.iv,Nd=0;Nd<4;Nd++)Ad[Nd]=(Ad[Nd]<<8|Ad[Nd]>>>24)&16711935|(Ad[Nd]<<24|Ad[Nd]>>>8)&4278255360;var Rd=this._X=[Ad[0],Ad[3]<<16|Ad[2]>>>16,Ad[1],Ad[0]<<16|Ad[3]>>>16,Ad[2],Ad[1]<<16|Ad[0]>>>16,Ad[3],Ad[2]<<16|Ad[1]>>>16],Bd=this._C=[Ad[2]<<16|Ad[2]>>>16,Ad[0]&4294901760|Ad[1]&65535,Ad[3]<<16|Ad[3]>>>16,Ad[1]&4294901760|Ad[2]&65535,Ad[0]<<16|Ad[0]>>>16,Ad[2]&4294901760|Ad[3]&65535,Ad[1]<<16|Ad[1]>>>16,Ad[3]&4294901760|Ad[0]&65535];this._b=0;for(var Nd=0;Nd<4;Nd++)Ed.call(this);for(var Nd=0;Nd<8;Nd++)Bd[Nd]^=Rd[Nd+4&7];if(Td){var kd=Td.words,Od=kd[0],Md=kd[1],Pd=(Od<<8|Od>>>24)&16711935|(Od<<24|Od>>>8)&4278255360,Fd=(Md<<8|Md>>>24)&16711935|(Md<<24|Md>>>8)&4278255360,Ud=Pd>>>16|Fd&4294901760,Gd=Fd<<16|Pd&65535;Bd[0]^=Pd,Bd[1]^=Ud,Bd[2]^=Fd,Bd[3]^=Gd,Bd[4]^=Pd,Bd[5]^=Ud,Bd[6]^=Fd,Bd[7]^=Gd;for(var Nd=0;Nd<4;Nd++)Ed.call(this)}},_doProcessBlock:function(Ad,Td){var Nd=this._X;Ed.call(this),ld[0]=Nd[0]^Nd[5]>>>16^Nd[3]<<16,ld[1]=Nd[2]^Nd[7]>>>16^Nd[5]<<16,ld[2]=Nd[4]^Nd[1]>>>16^Nd[7]<<16,ld[3]=Nd[6]^Nd[3]>>>16^Nd[1]<<16;for(var Rd=0;Rd<4;Rd++)ld[Rd]=(ld[Rd]<<8|ld[Rd]>>>24)&16711935|(ld[Rd]<<24|ld[Rd]>>>8)&4278255360,Ad[Td+Rd]^=ld[Rd]},blockSize:128/32,ivSize:64/32});function Ed(){for(var Ad=this._X,Td=this._C,Nd=0;Nd<8;Nd++)cd[Nd]=Td[Nd];Td[0]=Td[0]+1295307597+this._b|0,Td[1]=Td[1]+3545052371+(Td[0]>>>0>>0?1:0)|0,Td[2]=Td[2]+886263092+(Td[1]>>>0>>0?1:0)|0,Td[3]=Td[3]+1295307597+(Td[2]>>>0>>0?1:0)|0,Td[4]=Td[4]+3545052371+(Td[3]>>>0>>0?1:0)|0,Td[5]=Td[5]+886263092+(Td[4]>>>0>>0?1:0)|0,Td[6]=Td[6]+1295307597+(Td[5]>>>0>>0?1:0)|0,Td[7]=Td[7]+3545052371+(Td[6]>>>0>>0?1:0)|0,this._b=Td[7]>>>0>>0?1:0;for(var Nd=0;Nd<8;Nd++){var Rd=Ad[Nd]+Td[Nd],Bd=Rd&65535,kd=Rd>>>16,Od=((Bd*Bd>>>17)+Bd*kd>>>15)+kd*kd,Md=((Rd&4294901760)*Rd|0)+((Rd&65535)*Rd|0);ud[Nd]=Od^Md}Ad[0]=ud[0]+(ud[7]<<16|ud[7]>>>16)+(ud[6]<<16|ud[6]>>>16)|0,Ad[1]=ud[1]+(ud[0]<<8|ud[0]>>>24)+ud[7]|0,Ad[2]=ud[2]+(ud[1]<<16|ud[1]>>>16)+(ud[0]<<16|ud[0]>>>16)|0,Ad[3]=ud[3]+(ud[2]<<8|ud[2]>>>24)+ud[1]|0,Ad[4]=ud[4]+(ud[3]<<16|ud[3]>>>16)+(ud[2]<<16|ud[2]>>>16)|0,Ad[5]=ud[5]+(ud[4]<<8|ud[4]>>>24)+ud[3]|0,Ad[6]=ud[6]+(ud[5]<<16|ud[5]>>>16)+(ud[4]<<16|ud[4]>>>16)|0,Ad[7]=ud[7]+(ud[6]<<8|ud[6]>>>24)+ud[5]|0}td.Rabbit=sd._createHelper(_d)}(),ed.Rabbit})}(rabbit)),rabbit.exports}var rabbitLegacy={exports:{}},hasRequiredRabbitLegacy;function requireRabbitLegacy(){return hasRequiredRabbitLegacy||(hasRequiredRabbitLegacy=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.StreamCipher,od=td.algo,ld=[],cd=[],ud=[],_d=od.RabbitLegacy=sd.extend({_doReset:function(){var Ad=this._key.words,Td=this.cfg.iv,Nd=this._X=[Ad[0],Ad[3]<<16|Ad[2]>>>16,Ad[1],Ad[0]<<16|Ad[3]>>>16,Ad[2],Ad[1]<<16|Ad[0]>>>16,Ad[3],Ad[2]<<16|Ad[1]>>>16],Rd=this._C=[Ad[2]<<16|Ad[2]>>>16,Ad[0]&4294901760|Ad[1]&65535,Ad[3]<<16|Ad[3]>>>16,Ad[1]&4294901760|Ad[2]&65535,Ad[0]<<16|Ad[0]>>>16,Ad[2]&4294901760|Ad[3]&65535,Ad[1]<<16|Ad[1]>>>16,Ad[3]&4294901760|Ad[0]&65535];this._b=0;for(var Bd=0;Bd<4;Bd++)Ed.call(this);for(var Bd=0;Bd<8;Bd++)Rd[Bd]^=Nd[Bd+4&7];if(Td){var kd=Td.words,Od=kd[0],Md=kd[1],Pd=(Od<<8|Od>>>24)&16711935|(Od<<24|Od>>>8)&4278255360,Fd=(Md<<8|Md>>>24)&16711935|(Md<<24|Md>>>8)&4278255360,Ud=Pd>>>16|Fd&4294901760,Gd=Fd<<16|Pd&65535;Rd[0]^=Pd,Rd[1]^=Ud,Rd[2]^=Fd,Rd[3]^=Gd,Rd[4]^=Pd,Rd[5]^=Ud,Rd[6]^=Fd,Rd[7]^=Gd;for(var Bd=0;Bd<4;Bd++)Ed.call(this)}},_doProcessBlock:function(Ad,Td){var Nd=this._X;Ed.call(this),ld[0]=Nd[0]^Nd[5]>>>16^Nd[3]<<16,ld[1]=Nd[2]^Nd[7]>>>16^Nd[5]<<16,ld[2]=Nd[4]^Nd[1]>>>16^Nd[7]<<16,ld[3]=Nd[6]^Nd[3]>>>16^Nd[1]<<16;for(var Rd=0;Rd<4;Rd++)ld[Rd]=(ld[Rd]<<8|ld[Rd]>>>24)&16711935|(ld[Rd]<<24|ld[Rd]>>>8)&4278255360,Ad[Td+Rd]^=ld[Rd]},blockSize:128/32,ivSize:64/32});function Ed(){for(var Ad=this._X,Td=this._C,Nd=0;Nd<8;Nd++)cd[Nd]=Td[Nd];Td[0]=Td[0]+1295307597+this._b|0,Td[1]=Td[1]+3545052371+(Td[0]>>>0>>0?1:0)|0,Td[2]=Td[2]+886263092+(Td[1]>>>0>>0?1:0)|0,Td[3]=Td[3]+1295307597+(Td[2]>>>0>>0?1:0)|0,Td[4]=Td[4]+3545052371+(Td[3]>>>0>>0?1:0)|0,Td[5]=Td[5]+886263092+(Td[4]>>>0>>0?1:0)|0,Td[6]=Td[6]+1295307597+(Td[5]>>>0>>0?1:0)|0,Td[7]=Td[7]+3545052371+(Td[6]>>>0>>0?1:0)|0,this._b=Td[7]>>>0>>0?1:0;for(var Nd=0;Nd<8;Nd++){var Rd=Ad[Nd]+Td[Nd],Bd=Rd&65535,kd=Rd>>>16,Od=((Bd*Bd>>>17)+Bd*kd>>>15)+kd*kd,Md=((Rd&4294901760)*Rd|0)+((Rd&65535)*Rd|0);ud[Nd]=Od^Md}Ad[0]=ud[0]+(ud[7]<<16|ud[7]>>>16)+(ud[6]<<16|ud[6]>>>16)|0,Ad[1]=ud[1]+(ud[0]<<8|ud[0]>>>24)+ud[7]|0,Ad[2]=ud[2]+(ud[1]<<16|ud[1]>>>16)+(ud[0]<<16|ud[0]>>>16)|0,Ad[3]=ud[3]+(ud[2]<<8|ud[2]>>>24)+ud[1]|0,Ad[4]=ud[4]+(ud[3]<<16|ud[3]>>>16)+(ud[2]<<16|ud[2]>>>16)|0,Ad[5]=ud[5]+(ud[4]<<8|ud[4]>>>24)+ud[3]|0,Ad[6]=ud[6]+(ud[5]<<16|ud[5]>>>16)+(ud[4]<<16|ud[4]>>>16)|0,Ad[7]=ud[7]+(ud[6]<<8|ud[6]>>>24)+ud[5]|0}td.RabbitLegacy=sd._createHelper(_d)}(),ed.RabbitLegacy})}(rabbitLegacy)),rabbitLegacy.exports}var blowfish={exports:{}},hasRequiredBlowfish;function requireBlowfish(){return hasRequiredBlowfish||(hasRequiredBlowfish=1,function(Ra,qa){(function(ed,td,rd){Ra.exports=td(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(ed){return function(){var td=ed,rd=td.lib,sd=rd.BlockCipher,od=td.algo;const ld=16,cd=[608135816,2242054355,320440878,57701188,2752067618,698298832,137296536,3964562569,1160258022,953160567,3193202383,887688300,3232508343,3380367581,1065670069,3041331479,2450970073,2306472731],ud=[[3509652390,2564797868,805139163,3491422135,3101798381,1780907670,3128725573,4046225305,614570311,3012652279,134345442,2240740374,1667834072,1901547113,2757295779,4103290238,227898511,1921955416,1904987480,2182433518,2069144605,3260701109,2620446009,720527379,3318853667,677414384,3393288472,3101374703,2390351024,1614419982,1822297739,2954791486,3608508353,3174124327,2024746970,1432378464,3864339955,2857741204,1464375394,1676153920,1439316330,715854006,3033291828,289532110,2706671279,2087905683,3018724369,1668267050,732546397,1947742710,3462151702,2609353502,2950085171,1814351708,2050118529,680887927,999245976,1800124847,3300911131,1713906067,1641548236,4213287313,1216130144,1575780402,4018429277,3917837745,3693486850,3949271944,596196993,3549867205,258830323,2213823033,772490370,2760122372,1774776394,2652871518,566650946,4142492826,1728879713,2882767088,1783734482,3629395816,2517608232,2874225571,1861159788,326777828,3124490320,2130389656,2716951837,967770486,1724537150,2185432712,2364442137,1164943284,2105845187,998989502,3765401048,2244026483,1075463327,1455516326,1322494562,910128902,469688178,1117454909,936433444,3490320968,3675253459,1240580251,122909385,2157517691,634681816,4142456567,3825094682,3061402683,2540495037,79693498,3249098678,1084186820,1583128258,426386531,1761308591,1047286709,322548459,995290223,1845252383,2603652396,3431023940,2942221577,3202600964,3727903485,1712269319,422464435,3234572375,1170764815,3523960633,3117677531,1434042557,442511882,3600875718,1076654713,1738483198,4213154764,2393238008,3677496056,1014306527,4251020053,793779912,2902807211,842905082,4246964064,1395751752,1040244610,2656851899,3396308128,445077038,3742853595,3577915638,679411651,2892444358,2354009459,1767581616,3150600392,3791627101,3102740896,284835224,4246832056,1258075500,768725851,2589189241,3069724005,3532540348,1274779536,3789419226,2764799539,1660621633,3471099624,4011903706,913787905,3497959166,737222580,2514213453,2928710040,3937242737,1804850592,3499020752,2949064160,2386320175,2390070455,2415321851,4061277028,2290661394,2416832540,1336762016,1754252060,3520065937,3014181293,791618072,3188594551,3933548030,2332172193,3852520463,3043980520,413987798,3465142937,3030929376,4245938359,2093235073,3534596313,375366246,2157278981,2479649556,555357303,3870105701,2008414854,3344188149,4221384143,3956125452,2067696032,3594591187,2921233993,2428461,544322398,577241275,1471733935,610547355,4027169054,1432588573,1507829418,2025931657,3646575487,545086370,48609733,2200306550,1653985193,298326376,1316178497,3007786442,2064951626,458293330,2589141269,3591329599,3164325604,727753846,2179363840,146436021,1461446943,4069977195,705550613,3059967265,3887724982,4281599278,3313849956,1404054877,2845806497,146425753,1854211946],[1266315497,3048417604,3681880366,3289982499,290971e4,1235738493,2632868024,2414719590,3970600049,1771706367,1449415276,3266420449,422970021,1963543593,2690192192,3826793022,1062508698,1531092325,1804592342,2583117782,2714934279,4024971509,1294809318,4028980673,1289560198,2221992742,1669523910,35572830,157838143,1052438473,1016535060,1802137761,1753167236,1386275462,3080475397,2857371447,1040679964,2145300060,2390574316,1461121720,2956646967,4031777805,4028374788,33600511,2920084762,1018524850,629373528,3691585981,3515945977,2091462646,2486323059,586499841,988145025,935516892,3367335476,2599673255,2839830854,265290510,3972581182,2759138881,3795373465,1005194799,847297441,406762289,1314163512,1332590856,1866599683,4127851711,750260880,613907577,1450815602,3165620655,3734664991,3650291728,3012275730,3704569646,1427272223,778793252,1343938022,2676280711,2052605720,1946737175,3164576444,3914038668,3967478842,3682934266,1661551462,3294938066,4011595847,840292616,3712170807,616741398,312560963,711312465,1351876610,322626781,1910503582,271666773,2175563734,1594956187,70604529,3617834859,1007753275,1495573769,4069517037,2549218298,2663038764,504708206,2263041392,3941167025,2249088522,1514023603,1998579484,1312622330,694541497,2582060303,2151582166,1382467621,776784248,2618340202,3323268794,2497899128,2784771155,503983604,4076293799,907881277,423175695,432175456,1378068232,4145222326,3954048622,3938656102,3820766613,2793130115,2977904593,26017576,3274890735,3194772133,1700274565,1756076034,4006520079,3677328699,720338349,1533947780,354530856,688349552,3973924725,1637815568,332179504,3949051286,53804574,2852348879,3044236432,1282449977,3583942155,3416972820,4006381244,1617046695,2628476075,3002303598,1686838959,431878346,2686675385,1700445008,1080580658,1009431731,832498133,3223435511,2605976345,2271191193,2516031870,1648197032,4164389018,2548247927,300782431,375919233,238389289,3353747414,2531188641,2019080857,1475708069,455242339,2609103871,448939670,3451063019,1395535956,2413381860,1841049896,1491858159,885456874,4264095073,4001119347,1565136089,3898914787,1108368660,540939232,1173283510,2745871338,3681308437,4207628240,3343053890,4016749493,1699691293,1103962373,3625875870,2256883143,3830138730,1031889488,3479347698,1535977030,4236805024,3251091107,2132092099,1774941330,1199868427,1452454533,157007616,2904115357,342012276,595725824,1480756522,206960106,497939518,591360097,863170706,2375253569,3596610801,1814182875,2094937945,3421402208,1082520231,3463918190,2785509508,435703966,3908032597,1641649973,2842273706,3305899714,1510255612,2148256476,2655287854,3276092548,4258621189,236887753,3681803219,274041037,1734335097,3815195456,3317970021,1899903192,1026095262,4050517792,356393447,2410691914,3873677099,3682840055],[3913112168,2491498743,4132185628,2489919796,1091903735,1979897079,3170134830,3567386728,3557303409,857797738,1136121015,1342202287,507115054,2535736646,337727348,3213592640,1301675037,2528481711,1895095763,1721773893,3216771564,62756741,2142006736,835421444,2531993523,1442658625,3659876326,2882144922,676362277,1392781812,170690266,3921047035,1759253602,3611846912,1745797284,664899054,1329594018,3901205900,3045908486,2062866102,2865634940,3543621612,3464012697,1080764994,553557557,3656615353,3996768171,991055499,499776247,1265440854,648242737,3940784050,980351604,3713745714,1749149687,3396870395,4211799374,3640570775,1161844396,3125318951,1431517754,545492359,4268468663,3499529547,1437099964,2702547544,3433638243,2581715763,2787789398,1060185593,1593081372,2418618748,4260947970,69676912,2159744348,86519011,2512459080,3838209314,1220612927,3339683548,133810670,1090789135,1078426020,1569222167,845107691,3583754449,4072456591,1091646820,628848692,1613405280,3757631651,526609435,236106946,48312990,2942717905,3402727701,1797494240,859738849,992217954,4005476642,2243076622,3870952857,3732016268,765654824,3490871365,2511836413,1685915746,3888969200,1414112111,2273134842,3281911079,4080962846,172450625,2569994100,980381355,4109958455,2819808352,2716589560,2568741196,3681446669,3329971472,1835478071,660984891,3704678404,4045999559,3422617507,3040415634,1762651403,1719377915,3470491036,2693910283,3642056355,3138596744,1364962596,2073328063,1983633131,926494387,3423689081,2150032023,4096667949,1749200295,3328846651,309677260,2016342300,1779581495,3079819751,111262694,1274766160,443224088,298511866,1025883608,3806446537,1145181785,168956806,3641502830,3584813610,1689216846,3666258015,3200248200,1692713982,2646376535,4042768518,1618508792,1610833997,3523052358,4130873264,2001055236,3610705100,2202168115,4028541809,2961195399,1006657119,2006996926,3186142756,1430667929,3210227297,1314452623,4074634658,4101304120,2273951170,1399257539,3367210612,3027628629,1190975929,2062231137,2333990788,2221543033,2438960610,1181637006,548689776,2362791313,3372408396,3104550113,3145860560,296247880,1970579870,3078560182,3769228297,1714227617,3291629107,3898220290,166772364,1251581989,493813264,448347421,195405023,2709975567,677966185,3703036547,1463355134,2715995803,1338867538,1343315457,2802222074,2684532164,233230375,2599980071,2000651841,3277868038,1638401717,4028070440,3237316320,6314154,819756386,300326615,590932579,1405279636,3267499572,3150704214,2428286686,3959192993,3461946742,1862657033,1266418056,963775037,2089974820,2263052895,1917689273,448879540,3550394620,3981727096,150775221,3627908307,1303187396,508620638,2975983352,2726630617,1817252668,1876281319,1457606340,908771278,3720792119,3617206836,2455994898,1729034894,1080033504],[976866871,3556439503,2881648439,1522871579,1555064734,1336096578,3548522304,2579274686,3574697629,3205460757,3593280638,3338716283,3079412587,564236357,2993598910,1781952180,1464380207,3163844217,3332601554,1699332808,1393555694,1183702653,3581086237,1288719814,691649499,2847557200,2895455976,3193889540,2717570544,1781354906,1676643554,2592534050,3230253752,1126444790,2770207658,2633158820,2210423226,2615765581,2414155088,3127139286,673620729,2805611233,1269405062,4015350505,3341807571,4149409754,1057255273,2012875353,2162469141,2276492801,2601117357,993977747,3918593370,2654263191,753973209,36408145,2530585658,25011837,3520020182,2088578344,530523599,2918365339,1524020338,1518925132,3760827505,3759777254,1202760957,3985898139,3906192525,674977740,4174734889,2031300136,2019492241,3983892565,4153806404,3822280332,352677332,2297720250,60907813,90501309,3286998549,1016092578,2535922412,2839152426,457141659,509813237,4120667899,652014361,1966332200,2975202805,55981186,2327461051,676427537,3255491064,2882294119,3433927263,1307055953,942726286,933058658,2468411793,3933900994,4215176142,1361170020,2001714738,2830558078,3274259782,1222529897,1679025792,2729314320,3714953764,1770335741,151462246,3013232138,1682292957,1483529935,471910574,1539241949,458788160,3436315007,1807016891,3718408830,978976581,1043663428,3165965781,1927990952,4200891579,2372276910,3208408903,3533431907,1412390302,2931980059,4132332400,1947078029,3881505623,4168226417,2941484381,1077988104,1320477388,886195818,18198404,3786409e3,2509781533,112762804,3463356488,1866414978,891333506,18488651,661792760,1628790961,3885187036,3141171499,876946877,2693282273,1372485963,791857591,2686433993,3759982718,3167212022,3472953795,2716379847,445679433,3561995674,3504004811,3574258232,54117162,3331405415,2381918588,3769707343,4154350007,1140177722,4074052095,668550556,3214352940,367459370,261225585,2610173221,4209349473,3468074219,3265815641,314222801,3066103646,3808782860,282218597,3406013506,3773591054,379116347,1285071038,846784868,2669647154,3771962079,3550491691,2305946142,453669953,1268987020,3317592352,3279303384,3744833421,2610507566,3859509063,266596637,3847019092,517658769,3462560207,3443424879,370717030,4247526661,2224018117,4143653529,4112773975,2788324899,2477274417,1456262402,2901442914,1517677493,1846949527,2295493580,3734397586,2176403920,1280348187,1908823572,3871786941,846861322,1172426758,3287448474,3383383037,1655181056,3139813346,901632758,1897031941,2986607138,3066810236,3447102507,1393639104,373351379,950779232,625454576,3124240540,4148612726,2007998917,544563296,2244738638,2330496472,2058025392,1291430526,424198748,50039436,29584100,3605783033,2429876329,2791104160,1057563949,3255363231,3075367218,3463963227,1469046755,985887462]];var _d={pbox:[],sbox:[]};function Ed(Bd,kd){let Od=kd>>24&255,Md=kd>>16&255,Pd=kd>>8&255,Fd=kd&255,Ud=Bd.sbox[0][Od]+Bd.sbox[1][Md];return Ud=Ud^Bd.sbox[2][Pd],Ud=Ud+Bd.sbox[3][Fd],Ud}function Ad(Bd,kd,Od){let Md=kd,Pd=Od,Fd;for(let Ud=0;Ud1;--Ud)Md=Md^Bd.pbox[Ud],Pd=Ed(Bd,Md)^Pd,Fd=Md,Md=Pd,Pd=Fd;return Fd=Md,Md=Pd,Pd=Fd,Pd=Pd^Bd.pbox[1],Md=Md^Bd.pbox[0],{left:Md,right:Pd}}function Nd(Bd,kd,Od){for(let Gd=0;Gd<4;Gd++){Bd.sbox[Gd]=[];for(let Qd=0;Qd<256;Qd++)Bd.sbox[Gd][Qd]=ud[Gd][Qd]}let Md=0;for(let Gd=0;Gd=Od&&(Md=0);let Pd=0,Fd=0,Ud=0;for(let Gd=0;Gd=200&&Od.status<300)rd(Od.response,Od);else{var Pd=new Error("the request is error");sd(Pd)}},Od.open(Nd,ed,cd),Object.keys(Ad).forEach(function(Pd){Od.setRequestHeader(Pd,Ad[Pd])});try{Od.send(_d)}catch(Pd){sd(Pd)}})}}(ajax)),ajax}var utils$5={},md5={exports:{}},crypt={exports:{}},hasRequiredCrypt;function requireCrypt(){return hasRequiredCrypt||(hasRequiredCrypt=1,function(){var Ra="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",qa={rotl:function(ed,td){return ed<>>32-td},rotr:function(ed,td){return ed<<32-td|ed>>>td},endian:function(ed){if(ed.constructor==Number)return qa.rotl(ed,8)&16711935|qa.rotl(ed,24)&4278255360;for(var td=0;td0;ed--)td.push(Math.floor(Math.random()*256));return td},bytesToWords:function(ed){for(var td=[],rd=0,sd=0;rd>>5]|=ed[rd]<<24-sd%32;return td},wordsToBytes:function(ed){for(var td=[],rd=0;rd>>5]>>>24-rd%32&255);return td},bytesToHex:function(ed){for(var td=[],rd=0;rd>>4).toString(16)),td.push((ed[rd]&15).toString(16));return td.join("")},hexToBytes:function(ed){for(var td=[],rd=0;rd>>6*(3-od)&63)):td.push("=");return td.join("")},base64ToBytes:function(ed){ed=ed.replace(/[^A-Z0-9+\/]/ig,"");for(var td=[],rd=0,sd=0;rd>>6-sd*2);return td}};crypt.exports=qa}()),crypt.exports}var charenc_1,hasRequiredCharenc;function requireCharenc(){if(hasRequiredCharenc)return charenc_1;hasRequiredCharenc=1;var Ra={utf8:{stringToBytes:function(qa){return Ra.bin.stringToBytes(unescape(encodeURIComponent(qa)))},bytesToString:function(qa){return decodeURIComponent(escape(Ra.bin.bytesToString(qa)))}},bin:{stringToBytes:function(qa){for(var ed=[],td=0;td * @license MIT */var isBuffer_1,hasRequiredIsBuffer;function requireIsBuffer(){if(hasRequiredIsBuffer)return isBuffer_1;hasRequiredIsBuffer=1,isBuffer_1=function(ed){return ed!=null&&(Ra(ed)||qa(ed)||!!ed._isBuffer)};function Ra(ed){return!!ed.constructor&&typeof ed.constructor.isBuffer=="function"&&ed.constructor.isBuffer(ed)}function qa(ed){return typeof ed.readFloatLE=="function"&&typeof ed.slice=="function"&&Ra(ed.slice(0,0))}return isBuffer_1}var hasRequiredMd5;function requireMd5(){return hasRequiredMd5||(hasRequiredMd5=1,function(){var Ra=requireCrypt(),qa=requireCharenc().utf8,ed=requireIsBuffer(),td=requireCharenc().bin,rd=function(sd,od){sd.constructor==String?od&&od.encoding==="binary"?sd=td.stringToBytes(sd):sd=qa.stringToBytes(sd):ed(sd)?sd=Array.prototype.slice.call(sd,0):!Array.isArray(sd)&&sd.constructor!==Uint8Array&&(sd=sd.toString());for(var ld=Ra.bytesToWords(sd),cd=sd.length*8,ud=1732584193,_d=-271733879,Ed=-1732584194,Ad=271733878,Td=0;Td>>24)&16711935|(ld[Td]<<24|ld[Td]>>>8)&4278255360;ld[cd>>>5]|=128<>>9<<4)+14]=cd;for(var Nd=rd._ff,Rd=rd._gg,Bd=rd._hh,kd=rd._ii,Td=0;Td>>0,_d=_d+Md>>>0,Ed=Ed+Pd>>>0,Ad=Ad+Fd>>>0}return Ra.endian([ud,_d,Ed,Ad])};rd._ff=function(sd,od,ld,cd,ud,_d,Ed){var Ad=sd+(od&ld|~od&cd)+(ud>>>0)+Ed;return(Ad<<_d|Ad>>>32-_d)+od},rd._gg=function(sd,od,ld,cd,ud,_d,Ed){var Ad=sd+(od&cd|ld&~cd)+(ud>>>0)+Ed;return(Ad<<_d|Ad>>>32-_d)+od},rd._hh=function(sd,od,ld,cd,ud,_d,Ed){var Ad=sd+(od^ld^cd)+(ud>>>0)+Ed;return(Ad<<_d|Ad>>>32-_d)+od},rd._ii=function(sd,od,ld,cd,ud,_d,Ed){var Ad=sd+(ld^(od|~cd))+(ud>>>0)+Ed;return(Ad<<_d|Ad>>>32-_d)+od},rd._blocksize=16,rd._digestsize=16,md5.exports=function(sd,od){if(sd==null)throw new Error("Illegal argument "+sd);var ld=Ra.wordsToBytes(rd(sd,od));return od&&od.asBytes?ld:od&&od.asString?td.bytesToString(ld):Ra.bytesToHex(ld)}}()),md5.exports}var base64Js$1={},hasRequiredBase64Js;function requireBase64Js(){if(hasRequiredBase64Js)return base64Js$1;hasRequiredBase64Js=1,base64Js$1.byteLength=ld,base64Js$1.toByteArray=ud,base64Js$1.fromByteArray=Ad;for(var Ra=[],qa=[],ed=typeof Uint8Array<"u"?Uint8Array:Array,td="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",rd=0,sd=td.length;rd0)throw new Error("Invalid string. Length must be a multiple of 4");var Rd=Td.indexOf("=");Rd===-1&&(Rd=Nd);var Bd=Rd===Nd?0:4-Rd%4;return[Rd,Bd]}function ld(Td){var Nd=od(Td),Rd=Nd[0],Bd=Nd[1];return(Rd+Bd)*3/4-Bd}function cd(Td,Nd,Rd){return(Nd+Rd)*3/4-Rd}function ud(Td){var Nd,Rd=od(Td),Bd=Rd[0],kd=Rd[1],Od=new ed(cd(Td,Bd,kd)),Md=0,Pd=kd>0?Bd-4:Bd,Fd;for(Fd=0;Fd>16&255,Od[Md++]=Nd>>8&255,Od[Md++]=Nd&255;return kd===2&&(Nd=qa[Td.charCodeAt(Fd)]<<2|qa[Td.charCodeAt(Fd+1)]>>4,Od[Md++]=Nd&255),kd===1&&(Nd=qa[Td.charCodeAt(Fd)]<<10|qa[Td.charCodeAt(Fd+1)]<<4|qa[Td.charCodeAt(Fd+2)]>>2,Od[Md++]=Nd>>8&255,Od[Md++]=Nd&255),Od}function _d(Td){return Ra[Td>>18&63]+Ra[Td>>12&63]+Ra[Td>>6&63]+Ra[Td&63]}function Ed(Td,Nd,Rd){for(var Bd,kd=[],Od=Nd;OdPd?Pd:Md+Od));return Bd===1?(Nd=Td[Rd-1],kd.push(Ra[Nd>>2]+Ra[Nd<<4&63]+"==")):Bd===2&&(Nd=(Td[Rd-2]<<8)+Td[Rd-1],kd.push(Ra[Nd>>10]+Ra[Nd>>4&63]+Ra[Nd<<2&63]+"=")),kd.join("")}return base64Js$1}var digest={exports:{}};/*! ***** BEGIN LICENSE BLOCK ***** *! *! Copyright 2011-2012, 2014 Jean-Christophe Sirot *! *! This file is part of digest.js *! *! digest.js is free software: you can redistribute it and/or modify it under *! the terms of the GNU General Public License as published by the Free Software *! Foundation, either version 3 of the License, or (at your option) any later *! version. *! *! digest.js is distributed in the hope that it will be useful, but *! WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY *! or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for *! more details. *! *! You should have received a copy of the GNU General Public License along with *! digest.js. If not, see http://www.gnu.org/licenses/. *! *! ***** END LICENSE BLOCK ***** */var hasRequiredDigest;function requireDigest(){return hasRequiredDigest||(hasRequiredDigest=1,function(Ra,qa){(function(){ArrayBuffer.prototype.slice||(ArrayBuffer.prototype.slice=function(ed,td){var rd,sd=new Uint8Array(this);td===void 0&&(td=sd.length);var od=new ArrayBuffer(td-ed),ld=new Uint8Array(od);for(rd=0;rd>>31);for(Od=0;Od<80;Od++)kd=(Ed<<5|Ed>>>27)+Rd+Bd[Od],Od<20?kd+=(Ad&Td|~Ad&Nd)+1518500249|0:Od<40?kd+=(Ad^Td^Nd)+1859775393|0:Od<60?kd+=(Ad&Td|Ad&Nd|Td&Nd)+2400959708|0:kd+=(Ad^Td^Nd)+3395469782|0,Rd=Nd,Nd=Td,Td=Ad<<30|Ad>>>2,Ad=Ed,Ed=kd;this.current[0]+=Ed,this.current[1]+=Ad,this.current[2]+=Td,this.current[3]+=Nd,this.current[4]+=Rd,this.currentLen+=64},td.prototype.doPadding=function(){var _d=(this.inLen+this.currentLen)*8,Ed=0,Ad=_d&4294967295,Td=this.inLen<=55?55-this.inLen:119-this.inLen,Nd=new Uint8Array(new ArrayBuffer(Td+1+8));return Nd[0]=128,Nd[Nd.length-1]=Ad&255,Nd[Nd.length-2]=Ad>>>8&255,Nd[Nd.length-3]=Ad>>>16&255,Nd[Nd.length-4]=Ad>>>24&255,Nd[Nd.length-5]=Ed&255,Nd[Nd.length-6]=Ed>>>8&255,Nd[Nd.length-7]=Ed>>>16&255,Nd[Nd.length-8]=Ed>>>24&255,Nd},td.prototype.getDigest=function(){var _d=new Uint8Array(new ArrayBuffer(20));return _d[3]=this.current[0]&255,_d[2]=this.current[0]>>>8&255,_d[1]=this.current[0]>>>16&255,_d[0]=this.current[0]>>>24&255,_d[7]=this.current[1]&255,_d[6]=this.current[1]>>>8&255,_d[5]=this.current[1]>>>16&255,_d[4]=this.current[1]>>>24&255,_d[11]=this.current[2]&255,_d[10]=this.current[2]>>>8&255,_d[9]=this.current[2]>>>16&255,_d[8]=this.current[2]>>>24&255,_d[15]=this.current[3]&255,_d[14]=this.current[3]>>>8&255,_d[13]=this.current[3]>>>16&255,_d[12]=this.current[3]>>>24&255,_d[19]=this.current[4]&255,_d[18]=this.current[4]>>>8&255,_d[17]=this.current[4]>>>16&255,_d[16]=this.current[4]>>>24&255,_d.buffer},td.prototype.reset=function(){this.currentLen=0,this.inLen=0,this.current=new Uint32Array(new ArrayBuffer(20)),this.current[0]=1732584193,this.current[1]=4023233417,this.current[2]=2562383102,this.current[3]=271733878,this.current[4]=3285377520},td.prototype.blockLen=64,td.prototype.digestLen=20;var rd=function(_d){var Ed=new ArrayBuffer(_d.length),Ad=new Uint8Array(Ed),Td;for(Td=0;Td<_d.length;Td++)Ad[Td]=_d.charCodeAt(Td);return Ad},sd=function(_d){var Ed=new ArrayBuffer(1),Ad=new Uint8Array(Ed);return Ad[0]=_d,Ad},od=function(_d){if(_d.constructor===Uint8Array)return _d;if(_d.constructor===ArrayBuffer)return new Uint8Array(_d);if(_d.constructor===String)return rd(_d);if(_d.constructor===Number){if(_d>255)throw"For more than one byte, use an array buffer";if(_d<0)throw"Input value must be positive";return sd(_d)}else throw"Unsupported type"},ld=function(_d){var Ed=function(Nd){for(var Rd=Nd.length,Bd=0;Rd>0;){var kd=this.blockLen-this.inLen;kd>Rd&&(kd=Rd);var Od=Nd.subarray(Bd,Bd+kd);this.inbuf.set(Od,this.inLen),Bd+=kd,Rd-=kd,this.inLen+=kd,this.inLen===this.blockLen&&(this.processBlock(this.inbuf),this.inLen=0)}},Ad=function(){var Nd=this.doPadding();this.update(Nd);var Rd=this.getDigest();return this.reset(),Rd},Td=function(){if(!_d)throw"Unsupported algorithm: "+_d.toString();_d.prototype.update=Ed,_d.prototype.finalize=Ad;var Nd=new _d;return Nd.inbuf=new Uint8Array(new ArrayBuffer(Nd.blockLen)),Nd.reset(),Nd}();return{update:function(Nd){Td.update(od(Nd))},finalize:function(){return Td.finalize()},digest:function(Nd){return Td.update(od(Nd)),Td.finalize()},reset:function(){Td.reset()},digestLength:function(){return Td.digestLen}}},cd=function(_d){var Ed=!1,Ad,Td,Nd,Rd=function(){var Md,Pd;if(!Ed){if(Ad===void 0)throw"MAC key is not defined";for(Ad.byteLength>64?Pd=new Uint8Array(_d.digest(Ad)):Pd=new Uint8Array(Ad),Td=new Uint8Array(new ArrayBuffer(64)),Md=0;MdGd[0]?1:Ud[0]0)throw new Error("Invalid string. Length must be a multiple of 4");var ed=Ra.indexOf("=");ed===-1&&(ed=qa);var td=ed===qa?0:4-ed%4;return[ed,td]}function byteLength(Ra){var qa=getLens(Ra),ed=qa[0],td=qa[1];return(ed+td)*3/4-td}function _byteLength(Ra,qa,ed){return(qa+ed)*3/4-ed}function toByteArray(Ra){var qa,ed=getLens(Ra),td=ed[0],rd=ed[1],sd=new Arr(_byteLength(Ra,td,rd)),od=0,ld=rd>0?td-4:td,cd;for(cd=0;cd>16&255,sd[od++]=qa>>8&255,sd[od++]=qa&255;return rd===2&&(qa=revLookup[Ra.charCodeAt(cd)]<<2|revLookup[Ra.charCodeAt(cd+1)]>>4,sd[od++]=qa&255),rd===1&&(qa=revLookup[Ra.charCodeAt(cd)]<<10|revLookup[Ra.charCodeAt(cd+1)]<<4|revLookup[Ra.charCodeAt(cd+2)]>>2,sd[od++]=qa>>8&255,sd[od++]=qa&255),sd}function tripletToBase64(Ra){return lookup[Ra>>18&63]+lookup[Ra>>12&63]+lookup[Ra>>6&63]+lookup[Ra&63]}function encodeChunk(Ra,qa,ed){for(var td,rd=[],sd=qa;sdld?ld:od+sd));return td===1?(qa=Ra[ed-1],rd.push(lookup[qa>>2]+lookup[qa<<4&63]+"==")):td===2&&(qa=(Ra[ed-2]<<8)+Ra[ed-1],rd.push(lookup[qa>>10]+lookup[qa>>4&63]+lookup[qa<<2&63]+"=")),rd.join("")}var ieee754$1={};/*! ieee754. BSD-3-Clause License. Feross Aboukhadijeh */ieee754$1.read=function(Ra,qa,ed,td,rd){var sd,od,ld=rd*8-td-1,cd=(1<>1,_d=-7,Ed=ed?rd-1:0,Ad=ed?-1:1,Td=Ra[qa+Ed];for(Ed+=Ad,sd=Td&(1<<-_d)-1,Td>>=-_d,_d+=ld;_d>0;sd=sd*256+Ra[qa+Ed],Ed+=Ad,_d-=8);for(od=sd&(1<<-_d)-1,sd>>=-_d,_d+=td;_d>0;od=od*256+Ra[qa+Ed],Ed+=Ad,_d-=8);if(sd===0)sd=1-ud;else{if(sd===cd)return od?NaN:(Td?-1:1)*(1/0);od=od+Math.pow(2,td),sd=sd-ud}return(Td?-1:1)*od*Math.pow(2,sd-td)};ieee754$1.write=function(Ra,qa,ed,td,rd,sd){var od,ld,cd,ud=sd*8-rd-1,_d=(1<>1,Ad=rd===23?Math.pow(2,-24)-Math.pow(2,-77):0,Td=td?0:sd-1,Nd=td?1:-1,Rd=qa<0||qa===0&&1/qa<0?1:0;for(qa=Math.abs(qa),isNaN(qa)||qa===1/0?(ld=isNaN(qa)?1:0,od=_d):(od=Math.floor(Math.log(qa)/Math.LN2),qa*(cd=Math.pow(2,-od))<1&&(od--,cd*=2),od+Ed>=1?qa+=Ad/cd:qa+=Ad*Math.pow(2,1-Ed),qa*cd>=2&&(od++,cd/=2),od+Ed>=_d?(ld=0,od=_d):od+Ed>=1?(ld=(qa*cd-1)*Math.pow(2,rd),od=od+Ed):(ld=qa*Math.pow(2,Ed-1)*Math.pow(2,rd),od=0));rd>=8;Ra[ed+Td]=ld&255,Td+=Nd,ld/=256,rd-=8);for(od=od<0;Ra[ed+Td]=od&255,Td+=Nd,od/=256,ud-=8);Ra[ed+Td-Nd]|=Rd*128};/*! * The buffer module from node.js, for the browser. * * @author Feross Aboukhadijeh * @license MIT */(function(Ra){const qa=base64Js,ed=ieee754$1,td=typeof Symbol=="function"&&typeof Symbol.for=="function"?Symbol.for("nodejs.util.inspect.custom"):null;Ra.Buffer=_d,Ra.SlowBuffer=Fd,Ra.INSPECT_MAX_BYTES=50;const rd=2147483647;Ra.kMaxLength=rd;const{Uint8Array:sd,ArrayBuffer:od,SharedArrayBuffer:ld}=globalThis;_d.TYPED_ARRAY_SUPPORT=cd(),!_d.TYPED_ARRAY_SUPPORT&&typeof console<"u"&&typeof console.error=="function"&&console.error("This browser lacks typed array (Uint8Array) support which is required by `buffer` v5.x. Use `buffer` v4.x if you require old browser support.");function cd(){try{const ah=new sd(1),kf={foo:function(){return 42}};return Object.setPrototypeOf(kf,sd.prototype),Object.setPrototypeOf(ah,kf),ah.foo()===42}catch{return!1}}Object.defineProperty(_d.prototype,"parent",{enumerable:!0,get:function(){if(_d.isBuffer(this))return this.buffer}}),Object.defineProperty(_d.prototype,"offset",{enumerable:!0,get:function(){if(_d.isBuffer(this))return this.byteOffset}});function ud(ah){if(ah>rd)throw new RangeError('The value "'+ah+'" is invalid for option "size"');const kf=new sd(ah);return Object.setPrototypeOf(kf,_d.prototype),kf}function _d(ah,kf,If){if(typeof ah=="number"){if(typeof kf=="string")throw new TypeError('The "string" argument must be of type string. Received type number');return Nd(ah)}return Ed(ah,kf,If)}_d.poolSize=8192;function Ed(ah,kf,If){if(typeof ah=="string")return Rd(ah,kf);if(od.isView(ah))return kd(ah);if(ah==null)throw new TypeError("The first argument must be one of type string, Buffer, ArrayBuffer, Array, or Array-like Object. Received type "+typeof ah);if(Dh(ah,od)||ah&&Dh(ah.buffer,od)||typeof ld<"u"&&(Dh(ah,ld)||ah&&Dh(ah.buffer,ld)))return Od(ah,kf,If);if(typeof ah=="number")throw new TypeError('The "value" argument must not be of type number. Received type number');const rh=ah.valueOf&&ah.valueOf();if(rh!=null&&rh!==ah)return _d.from(rh,kf,If);const ch=Md(ah);if(ch)return ch;if(typeof Symbol<"u"&&Symbol.toPrimitive!=null&&typeof ah[Symbol.toPrimitive]=="function")return _d.from(ah[Symbol.toPrimitive]("string"),kf,If);throw new TypeError("The first argument must be one of type string, Buffer, ArrayBuffer, Array, or Array-like Object. Received type "+typeof ah)}_d.from=function(ah,kf,If){return Ed(ah,kf,If)},Object.setPrototypeOf(_d.prototype,sd.prototype),Object.setPrototypeOf(_d,sd);function Ad(ah){if(typeof ah!="number")throw new TypeError('"size" argument must be of type number');if(ah<0)throw new RangeError('The value "'+ah+'" is invalid for option "size"')}function Td(ah,kf,If){return Ad(ah),ah<=0?ud(ah):kf!==void 0?typeof If=="string"?ud(ah).fill(kf,If):ud(ah).fill(kf):ud(ah)}_d.alloc=function(ah,kf,If){return Td(ah,kf,If)};function Nd(ah){return Ad(ah),ud(ah<0?0:Pd(ah)|0)}_d.allocUnsafe=function(ah){return Nd(ah)},_d.allocUnsafeSlow=function(ah){return Nd(ah)};function Rd(ah,kf){if((typeof kf!="string"||kf==="")&&(kf="utf8"),!_d.isEncoding(kf))throw new TypeError("Unknown encoding: "+kf);const If=Ud(ah,kf)|0;let rh=ud(If);const ch=rh.write(ah,kf);return ch!==If&&(rh=rh.slice(0,ch)),rh}function Bd(ah){const kf=ah.length<0?0:Pd(ah.length)|0,If=ud(kf);for(let rh=0;rh=rd)throw new RangeError("Attempt to allocate Buffer larger than maximum size: 0x"+rd.toString(16)+" bytes");return ah|0}function Fd(ah){return+ah!=ah&&(ah=0),_d.alloc(+ah)}_d.isBuffer=function(kf){return kf!=null&&kf._isBuffer===!0&&kf!==_d.prototype},_d.compare=function(kf,If){if(Dh(kf,sd)&&(kf=_d.from(kf,kf.offset,kf.byteLength)),Dh(If,sd)&&(If=_d.from(If,If.offset,If.byteLength)),!_d.isBuffer(kf)||!_d.isBuffer(If))throw new TypeError('The "buf1", "buf2" arguments must be one of type Buffer or Uint8Array');if(kf===If)return 0;let rh=kf.length,ch=If.length;for(let wh=0,$h=Math.min(rh,ch);wh<$h;++wh)if(kf[wh]!==If[wh]){rh=kf[wh],ch=If[wh];break}return rhch.length?(_d.isBuffer($h)||($h=_d.from($h)),$h.copy(ch,wh)):sd.prototype.set.call(ch,$h,wh);else if(_d.isBuffer($h))$h.copy(ch,wh);else throw new TypeError('"list" argument must be an Array of Buffers');wh+=$h.length}return ch};function Ud(ah,kf){if(_d.isBuffer(ah))return ah.length;if(od.isView(ah)||Dh(ah,od))return ah.byteLength;if(typeof ah!="string")throw new TypeError('The "string" argument must be one of type string, Buffer, or ArrayBuffer. Received type '+typeof ah);const If=ah.length,rh=arguments.length>2&&arguments[2]===!0;if(!rh&&If===0)return 0;let ch=!1;for(;;)switch(kf){case"ascii":case"latin1":case"binary":return If;case"utf8":case"utf-8":return dh(ah).length;case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return If*2;case"hex":return If>>>1;case"base64":return Ih(ah).length;default:if(ch)return rh?-1:dh(ah).length;kf=(""+kf).toLowerCase(),ch=!0}}_d.byteLength=Ud;function Gd(ah,kf,If){let rh=!1;if((kf===void 0||kf<0)&&(kf=0),kf>this.length||((If===void 0||If>this.length)&&(If=this.length),If<=0)||(If>>>=0,kf>>>=0,If<=kf))return"";for(ah||(ah="utf8");;)switch(ah){case"hex":return Lf(this,kf,If);case"utf8":case"utf-8":return yf(this,kf,If);case"ascii":return Yf(this,kf,If);case"latin1":case"binary":return Uf(this,kf,If);case"base64":return gf(this,kf,If);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return xf(this,kf,If);default:if(rh)throw new TypeError("Unknown encoding: "+ah);ah=(ah+"").toLowerCase(),rh=!0}}_d.prototype._isBuffer=!0;function Qd(ah,kf,If){const rh=ah[kf];ah[kf]=ah[If],ah[If]=rh}_d.prototype.swap16=function(){const kf=this.length;if(kf%2!==0)throw new RangeError("Buffer size must be a multiple of 16-bits");for(let If=0;IfIf&&(kf+=" ... "),""},td&&(_d.prototype[td]=_d.prototype.inspect),_d.prototype.compare=function(kf,If,rh,ch,wh){if(Dh(kf,sd)&&(kf=_d.from(kf,kf.offset,kf.byteLength)),!_d.isBuffer(kf))throw new TypeError('The "target" argument must be one of type Buffer or Uint8Array. Received type '+typeof kf);if(If===void 0&&(If=0),rh===void 0&&(rh=kf?kf.length:0),ch===void 0&&(ch=0),wh===void 0&&(wh=this.length),If<0||rh>kf.length||ch<0||wh>this.length)throw new RangeError("out of range index");if(ch>=wh&&If>=rh)return 0;if(ch>=wh)return-1;if(If>=rh)return 1;if(If>>>=0,rh>>>=0,ch>>>=0,wh>>>=0,this===kf)return 0;let $h=wh-ch,om=rh-If;const Tm=Math.min($h,om),um=this.slice(ch,wh),Wm=kf.slice(If,rh);for(let Fm=0;Fm2147483647?If=2147483647:If<-2147483648&&(If=-2147483648),If=+If,Xh(If)&&(If=ch?0:ah.length-1),If<0&&(If=ah.length+If),If>=ah.length){if(ch)return-1;If=ah.length-1}else if(If<0)if(ch)If=0;else return-1;if(typeof kf=="string"&&(kf=_d.from(kf,rh)),_d.isBuffer(kf))return kf.length===0?-1:zd(ah,kf,If,rh,ch);if(typeof kf=="number")return kf=kf&255,typeof sd.prototype.indexOf=="function"?ch?sd.prototype.indexOf.call(ah,kf,If):sd.prototype.lastIndexOf.call(ah,kf,If):zd(ah,[kf],If,rh,ch);throw new TypeError("val must be string, number or Buffer")}function zd(ah,kf,If,rh,ch){let wh=1,$h=ah.length,om=kf.length;if(rh!==void 0&&(rh=String(rh).toLowerCase(),rh==="ucs2"||rh==="ucs-2"||rh==="utf16le"||rh==="utf-16le")){if(ah.length<2||kf.length<2)return-1;wh=2,$h/=2,om/=2,If/=2}function Tm(Wm,Fm){return wh===1?Wm[Fm]:Wm.readUInt16BE(Fm*wh)}let um;if(ch){let Wm=-1;for(um=If;um<$h;um++)if(Tm(ah,um)===Tm(kf,Wm===-1?0:um-Wm)){if(Wm===-1&&(Wm=um),um-Wm+1===om)return Wm*wh}else Wm!==-1&&(um-=um-Wm),Wm=-1}else for(If+om>$h&&(If=$h-om),um=If;um>=0;um--){let Wm=!0;for(let Fm=0;Fmch&&(rh=ch)):rh=ch;const wh=kf.length;rh>wh/2&&(rh=wh/2);let $h;for($h=0;$h>>0,isFinite(rh)?(rh=rh>>>0,ch===void 0&&(ch="utf8")):(ch=rh,rh=void 0);else throw new Error("Buffer.write(string, encoding, offset[, length]) is no longer supported");const wh=this.length-If;if((rh===void 0||rh>wh)&&(rh=wh),kf.length>0&&(rh<0||If<0)||If>this.length)throw new RangeError("Attempt to write outside buffer bounds");ch||(ch="utf8");let $h=!1;for(;;)switch(ch){case"hex":return Xd(this,kf,If,rh);case"utf8":case"utf-8":return df(this,kf,If,rh);case"ascii":case"latin1":case"binary":return mf(this,kf,If,rh);case"base64":return _f(this,kf,If,rh);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return hf(this,kf,If,rh);default:if($h)throw new TypeError("Unknown encoding: "+ch);ch=(""+ch).toLowerCase(),$h=!0}},_d.prototype.toJSON=function(){return{type:"Buffer",data:Array.prototype.slice.call(this._arr||this,0)}};function gf(ah,kf,If){return kf===0&&If===ah.length?qa.fromByteArray(ah):qa.fromByteArray(ah.slice(kf,If))}function yf(ah,kf,If){If=Math.min(ah.length,If);const rh=[];let ch=kf;for(;ch239?4:wh>223?3:wh>191?2:1;if(ch+om<=If){let Tm,um,Wm,Fm;switch(om){case 1:wh<128&&($h=wh);break;case 2:Tm=ah[ch+1],(Tm&192)===128&&(Fm=(wh&31)<<6|Tm&63,Fm>127&&($h=Fm));break;case 3:Tm=ah[ch+1],um=ah[ch+2],(Tm&192)===128&&(um&192)===128&&(Fm=(wh&15)<<12|(Tm&63)<<6|um&63,Fm>2047&&(Fm<55296||Fm>57343)&&($h=Fm));break;case 4:Tm=ah[ch+1],um=ah[ch+2],Wm=ah[ch+3],(Tm&192)===128&&(um&192)===128&&(Wm&192)===128&&(Fm=(wh&15)<<18|(Tm&63)<<12|(um&63)<<6|Wm&63,Fm>65535&&Fm<1114112&&($h=Fm))}}$h===null?($h=65533,om=1):$h>65535&&($h-=65536,rh.push($h>>>10&1023|55296),$h=56320|$h&1023),rh.push($h),ch+=om}return Pf(rh)}const Nf=4096;function Pf(ah){const kf=ah.length;if(kf<=Nf)return String.fromCharCode.apply(String,ah);let If="",rh=0;for(;rhrh)&&(If=rh);let ch="";for(let wh=kf;whrh&&(kf=rh),If<0?(If+=rh,If<0&&(If=0)):If>rh&&(If=rh),IfIf)throw new RangeError("Trying to access beyond buffer length")}_d.prototype.readUintLE=_d.prototype.readUIntLE=function(kf,If,rh){kf=kf>>>0,If=If>>>0,rh||wf(kf,If,this.length);let ch=this[kf],wh=1,$h=0;for(;++$h>>0,If=If>>>0,rh||wf(kf,If,this.length);let ch=this[kf+--If],wh=1;for(;If>0&&(wh*=256);)ch+=this[kf+--If]*wh;return ch},_d.prototype.readUint8=_d.prototype.readUInt8=function(kf,If){return kf=kf>>>0,If||wf(kf,1,this.length),this[kf]},_d.prototype.readUint16LE=_d.prototype.readUInt16LE=function(kf,If){return kf=kf>>>0,If||wf(kf,2,this.length),this[kf]|this[kf+1]<<8},_d.prototype.readUint16BE=_d.prototype.readUInt16BE=function(kf,If){return kf=kf>>>0,If||wf(kf,2,this.length),this[kf]<<8|this[kf+1]},_d.prototype.readUint32LE=_d.prototype.readUInt32LE=function(kf,If){return kf=kf>>>0,If||wf(kf,4,this.length),(this[kf]|this[kf+1]<<8|this[kf+2]<<16)+this[kf+3]*16777216},_d.prototype.readUint32BE=_d.prototype.readUInt32BE=function(kf,If){return kf=kf>>>0,If||wf(kf,4,this.length),this[kf]*16777216+(this[kf+1]<<16|this[kf+2]<<8|this[kf+3])},_d.prototype.readBigUInt64LE=sm(function(kf){kf=kf>>>0,Xf(kf,"offset");const If=this[kf],rh=this[kf+7];(If===void 0||rh===void 0)&&Df(kf,this.length-8);const ch=If+this[++kf]*2**8+this[++kf]*2**16+this[++kf]*2**24,wh=this[++kf]+this[++kf]*2**8+this[++kf]*2**16+rh*2**24;return BigInt(ch)+(BigInt(wh)<>>0,Xf(kf,"offset");const If=this[kf],rh=this[kf+7];(If===void 0||rh===void 0)&&Df(kf,this.length-8);const ch=If*2**24+this[++kf]*2**16+this[++kf]*2**8+this[++kf],wh=this[++kf]*2**24+this[++kf]*2**16+this[++kf]*2**8+rh;return(BigInt(ch)<>>0,If=If>>>0,rh||wf(kf,If,this.length);let ch=this[kf],wh=1,$h=0;for(;++$h=wh&&(ch-=Math.pow(2,8*If)),ch},_d.prototype.readIntBE=function(kf,If,rh){kf=kf>>>0,If=If>>>0,rh||wf(kf,If,this.length);let ch=If,wh=1,$h=this[kf+--ch];for(;ch>0&&(wh*=256);)$h+=this[kf+--ch]*wh;return wh*=128,$h>=wh&&($h-=Math.pow(2,8*If)),$h},_d.prototype.readInt8=function(kf,If){return kf=kf>>>0,If||wf(kf,1,this.length),this[kf]&128?(255-this[kf]+1)*-1:this[kf]},_d.prototype.readInt16LE=function(kf,If){kf=kf>>>0,If||wf(kf,2,this.length);const rh=this[kf]|this[kf+1]<<8;return rh&32768?rh|4294901760:rh},_d.prototype.readInt16BE=function(kf,If){kf=kf>>>0,If||wf(kf,2,this.length);const rh=this[kf+1]|this[kf]<<8;return rh&32768?rh|4294901760:rh},_d.prototype.readInt32LE=function(kf,If){return kf=kf>>>0,If||wf(kf,4,this.length),this[kf]|this[kf+1]<<8|this[kf+2]<<16|this[kf+3]<<24},_d.prototype.readInt32BE=function(kf,If){return kf=kf>>>0,If||wf(kf,4,this.length),this[kf]<<24|this[kf+1]<<16|this[kf+2]<<8|this[kf+3]},_d.prototype.readBigInt64LE=sm(function(kf){kf=kf>>>0,Xf(kf,"offset");const If=this[kf],rh=this[kf+7];(If===void 0||rh===void 0)&&Df(kf,this.length-8);const ch=this[kf+4]+this[kf+5]*2**8+this[kf+6]*2**16+(rh<<24);return(BigInt(ch)<>>0,Xf(kf,"offset");const If=this[kf],rh=this[kf+7];(If===void 0||rh===void 0)&&Df(kf,this.length-8);const ch=(If<<24)+this[++kf]*2**16+this[++kf]*2**8+this[++kf];return(BigInt(ch)<>>0,If||wf(kf,4,this.length),ed.read(this,kf,!0,23,4)},_d.prototype.readFloatBE=function(kf,If){return kf=kf>>>0,If||wf(kf,4,this.length),ed.read(this,kf,!1,23,4)},_d.prototype.readDoubleLE=function(kf,If){return kf=kf>>>0,If||wf(kf,8,this.length),ed.read(this,kf,!0,52,8)},_d.prototype.readDoubleBE=function(kf,If){return kf=kf>>>0,If||wf(kf,8,this.length),ed.read(this,kf,!1,52,8)};function Jf(ah,kf,If,rh,ch,wh){if(!_d.isBuffer(ah))throw new TypeError('"buffer" argument must be a Buffer instance');if(kf>ch||kfah.length)throw new RangeError("Index out of range")}_d.prototype.writeUintLE=_d.prototype.writeUIntLE=function(kf,If,rh,ch){if(kf=+kf,If=If>>>0,rh=rh>>>0,!ch){const om=Math.pow(2,8*rh)-1;Jf(this,kf,If,rh,om,0)}let wh=1,$h=0;for(this[If]=kf&255;++$h>>0,rh=rh>>>0,!ch){const om=Math.pow(2,8*rh)-1;Jf(this,kf,If,rh,om,0)}let wh=rh-1,$h=1;for(this[If+wh]=kf&255;--wh>=0&&($h*=256);)this[If+wh]=kf/$h&255;return If+rh},_d.prototype.writeUint8=_d.prototype.writeUInt8=function(kf,If,rh){return kf=+kf,If=If>>>0,rh||Jf(this,kf,If,1,255,0),this[If]=kf&255,If+1},_d.prototype.writeUint16LE=_d.prototype.writeUInt16LE=function(kf,If,rh){return kf=+kf,If=If>>>0,rh||Jf(this,kf,If,2,65535,0),this[If]=kf&255,this[If+1]=kf>>>8,If+2},_d.prototype.writeUint16BE=_d.prototype.writeUInt16BE=function(kf,If,rh){return kf=+kf,If=If>>>0,rh||Jf(this,kf,If,2,65535,0),this[If]=kf>>>8,this[If+1]=kf&255,If+2},_d.prototype.writeUint32LE=_d.prototype.writeUInt32LE=function(kf,If,rh){return kf=+kf,If=If>>>0,rh||Jf(this,kf,If,4,4294967295,0),this[If+3]=kf>>>24,this[If+2]=kf>>>16,this[If+1]=kf>>>8,this[If]=kf&255,If+4},_d.prototype.writeUint32BE=_d.prototype.writeUInt32BE=function(kf,If,rh){return kf=+kf,If=If>>>0,rh||Jf(this,kf,If,4,4294967295,0),this[If]=kf>>>24,this[If+1]=kf>>>16,this[If+2]=kf>>>8,this[If+3]=kf&255,If+4};function Qf(ah,kf,If,rh,ch){hh(kf,rh,ch,ah,If,7);let wh=Number(kf&BigInt(4294967295));ah[If++]=wh,wh=wh>>8,ah[If++]=wh,wh=wh>>8,ah[If++]=wh,wh=wh>>8,ah[If++]=wh;let $h=Number(kf>>BigInt(32)&BigInt(4294967295));return ah[If++]=$h,$h=$h>>8,ah[If++]=$h,$h=$h>>8,ah[If++]=$h,$h=$h>>8,ah[If++]=$h,If}function Ef(ah,kf,If,rh,ch){hh(kf,rh,ch,ah,If,7);let wh=Number(kf&BigInt(4294967295));ah[If+7]=wh,wh=wh>>8,ah[If+6]=wh,wh=wh>>8,ah[If+5]=wh,wh=wh>>8,ah[If+4]=wh;let $h=Number(kf>>BigInt(32)&BigInt(4294967295));return ah[If+3]=$h,$h=$h>>8,ah[If+2]=$h,$h=$h>>8,ah[If+1]=$h,$h=$h>>8,ah[If]=$h,If+8}_d.prototype.writeBigUInt64LE=sm(function(kf,If=0){return Qf(this,kf,If,BigInt(0),BigInt("0xffffffffffffffff"))}),_d.prototype.writeBigUInt64BE=sm(function(kf,If=0){return Ef(this,kf,If,BigInt(0),BigInt("0xffffffffffffffff"))}),_d.prototype.writeIntLE=function(kf,If,rh,ch){if(kf=+kf,If=If>>>0,!ch){const Tm=Math.pow(2,8*rh-1);Jf(this,kf,If,rh,Tm-1,-Tm)}let wh=0,$h=1,om=0;for(this[If]=kf&255;++wh>0)-om&255;return If+rh},_d.prototype.writeIntBE=function(kf,If,rh,ch){if(kf=+kf,If=If>>>0,!ch){const Tm=Math.pow(2,8*rh-1);Jf(this,kf,If,rh,Tm-1,-Tm)}let wh=rh-1,$h=1,om=0;for(this[If+wh]=kf&255;--wh>=0&&($h*=256);)kf<0&&om===0&&this[If+wh+1]!==0&&(om=1),this[If+wh]=(kf/$h>>0)-om&255;return If+rh},_d.prototype.writeInt8=function(kf,If,rh){return kf=+kf,If=If>>>0,rh||Jf(this,kf,If,1,127,-128),kf<0&&(kf=255+kf+1),this[If]=kf&255,If+1},_d.prototype.writeInt16LE=function(kf,If,rh){return kf=+kf,If=If>>>0,rh||Jf(this,kf,If,2,32767,-32768),this[If]=kf&255,this[If+1]=kf>>>8,If+2},_d.prototype.writeInt16BE=function(kf,If,rh){return kf=+kf,If=If>>>0,rh||Jf(this,kf,If,2,32767,-32768),this[If]=kf>>>8,this[If+1]=kf&255,If+2},_d.prototype.writeInt32LE=function(kf,If,rh){return kf=+kf,If=If>>>0,rh||Jf(this,kf,If,4,2147483647,-2147483648),this[If]=kf&255,this[If+1]=kf>>>8,this[If+2]=kf>>>16,this[If+3]=kf>>>24,If+4},_d.prototype.writeInt32BE=function(kf,If,rh){return kf=+kf,If=If>>>0,rh||Jf(this,kf,If,4,2147483647,-2147483648),kf<0&&(kf=4294967295+kf+1),this[If]=kf>>>24,this[If+1]=kf>>>16,this[If+2]=kf>>>8,this[If+3]=kf&255,If+4},_d.prototype.writeBigInt64LE=sm(function(kf,If=0){return Qf(this,kf,If,-BigInt("0x8000000000000000"),BigInt("0x7fffffffffffffff"))}),_d.prototype.writeBigInt64BE=sm(function(kf,If=0){return Ef(this,kf,If,-BigInt("0x8000000000000000"),BigInt("0x7fffffffffffffff"))});function bf(ah,kf,If,rh,ch,wh){if(If+rh>ah.length)throw new RangeError("Index out of range");if(If<0)throw new RangeError("Index out of range")}function Bf(ah,kf,If,rh,ch){return kf=+kf,If=If>>>0,ch||bf(ah,kf,If,4),ed.write(ah,kf,If,rh,23,4),If+4}_d.prototype.writeFloatLE=function(kf,If,rh){return Bf(this,kf,If,!0,rh)},_d.prototype.writeFloatBE=function(kf,If,rh){return Bf(this,kf,If,!1,rh)};function Kf(ah,kf,If,rh,ch){return kf=+kf,If=If>>>0,ch||bf(ah,kf,If,8),ed.write(ah,kf,If,rh,52,8),If+8}_d.prototype.writeDoubleLE=function(kf,If,rh){return Kf(this,kf,If,!0,rh)},_d.prototype.writeDoubleBE=function(kf,If,rh){return Kf(this,kf,If,!1,rh)},_d.prototype.copy=function(kf,If,rh,ch){if(!_d.isBuffer(kf))throw new TypeError("argument should be a Buffer");if(rh||(rh=0),!ch&&ch!==0&&(ch=this.length),If>=kf.length&&(If=kf.length),If||(If=0),ch>0&&ch=this.length)throw new RangeError("Index out of range");if(ch<0)throw new RangeError("sourceEnd out of bounds");ch>this.length&&(ch=this.length),kf.length-If>>0,rh=rh===void 0?this.length:rh>>>0,kf||(kf=0);let wh;if(typeof kf=="number")for(wh=If;wh2**32?ch=$f(String(If)):typeof If=="bigint"&&(ch=String(If),(If>BigInt(2)**BigInt(32)||If<-(BigInt(2)**BigInt(32)))&&(ch=$f(ch)),ch+="n"),rh+=` It must be ${kf}. Received ${ch}`,rh},RangeError);function $f(ah){let kf="",If=ah.length;const rh=ah[0]==="-"?1:0;for(;If>=rh+4;If-=3)kf=`_${ah.slice(If-3,If)}${kf}`;return`${ah.slice(0,If)}${kf}`}function th(ah,kf,If){Xf(kf,"offset"),(ah[kf]===void 0||ah[kf+If]===void 0)&&Df(kf,ah.length-(If+1))}function hh(ah,kf,If,rh,ch,wh){if(ah>If||ah= 0${$h} and < 2${$h} ** ${(wh+1)*8}${$h}`:om=`>= -(2${$h} ** ${(wh+1)*8-1}${$h}) and < 2 ** ${(wh+1)*8-1}${$h}`,new nh.ERR_OUT_OF_RANGE("value",om,ah)}th(rh,ch,wh)}function Xf(ah,kf){if(typeof ah!="number")throw new nh.ERR_INVALID_ARG_TYPE(kf,"number",ah)}function Df(ah,kf,If){throw Math.floor(ah)!==ah?(Xf(ah,If),new nh.ERR_OUT_OF_RANGE("offset","an integer",ah)):kf<0?new nh.ERR_BUFFER_OUT_OF_BOUNDS:new nh.ERR_OUT_OF_RANGE("offset",`>= 0 and <= ${kf}`,ah)}const Of=/[^+/0-9A-Za-z-_]/g;function Vf(ah){if(ah=ah.split("=")[0],ah=ah.trim().replace(Of,""),ah.length<2)return"";for(;ah.length%4!==0;)ah=ah+"=";return ah}function dh(ah,kf){kf=kf||1/0;let If;const rh=ah.length;let ch=null;const wh=[];for(let $h=0;$h55295&&If<57344){if(!ch){if(If>56319){(kf-=3)>-1&&wh.push(239,191,189);continue}else if($h+1===rh){(kf-=3)>-1&&wh.push(239,191,189);continue}ch=If;continue}if(If<56320){(kf-=3)>-1&&wh.push(239,191,189),ch=If;continue}If=(ch-55296<<10|If-56320)+65536}else ch&&(kf-=3)>-1&&wh.push(239,191,189);if(ch=null,If<128){if((kf-=1)<0)break;wh.push(If)}else if(If<2048){if((kf-=2)<0)break;wh.push(If>>6|192,If&63|128)}else if(If<65536){if((kf-=3)<0)break;wh.push(If>>12|224,If>>6&63|128,If&63|128)}else if(If<1114112){if((kf-=4)<0)break;wh.push(If>>18|240,If>>12&63|128,If>>6&63|128,If&63|128)}else throw new Error("Invalid code point")}return wh}function bh(ah){const kf=[];for(let If=0;If>8,ch=If%256,wh.push(ch),wh.push(rh);return wh}function Ih(ah){return qa.toByteArray(Vf(ah))}function _h(ah,kf,If,rh){let ch;for(ch=0;ch=kf.length||ch>=ah.length);++ch)kf[ch+If]=ah[ch];return ch}function Dh(ah,kf){return ah instanceof kf||ah!=null&&ah.constructor!=null&&ah.constructor.name!=null&&ah.constructor.name===kf.name}function Xh(ah){return ah!==ah}const qh=function(){const ah="0123456789abcdef",kf=new Array(256);for(let If=0;If<16;++If){const rh=If*16;for(let ch=0;ch<16;++ch)kf[rh+ch]=ah[If]+ah[ch]}return kf}();function sm(ah){return typeof BigInt>"u"?hm:ah}function hm(){throw new Error("BigInt not supported")}})(buffer$1);const Buffer$2=buffer$1.Buffer;var define_process_default$8={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};function assertPath(Ra){if(typeof Ra!="string")throw new TypeError("Path must be a string. Received "+JSON.stringify(Ra))}function normalizeStringPosix(Ra,qa){for(var ed="",td=0,rd=-1,sd=0,od,ld=0;ld<=Ra.length;++ld){if(ld2){var cd=ed.lastIndexOf("/");if(cd!==ed.length-1){cd===-1?(ed="",td=0):(ed=ed.slice(0,cd),td=ed.length-1-ed.lastIndexOf("/")),rd=ld,sd=0;continue}}else if(ed.length===2||ed.length===1){ed="",td=0,rd=ld,sd=0;continue}}qa&&(ed.length>0?ed+="/..":ed="..",td=2)}else ed.length>0?ed+="/"+Ra.slice(rd+1,ld):ed=Ra.slice(rd+1,ld),td=ld-rd-1;rd=ld,sd=0}else od===46&&sd!==-1?++sd:sd=-1}return ed}function _format(Ra,qa){var ed=qa.dir||qa.root,td=qa.base||(qa.name||"")+(qa.ext||"");return ed?ed===qa.root?ed+td:ed+Ra+td:td}var posix={resolve:function(){for(var qa="",ed=!1,td,rd=arguments.length-1;rd>=-1&&!ed;rd--){var sd;rd>=0?sd=arguments[rd]:(td===void 0&&(td=define_process_default$8.cwd()),sd=td),assertPath(sd),sd.length!==0&&(qa=sd+"/"+qa,ed=sd.charCodeAt(0)===47)}return qa=normalizeStringPosix(qa,!ed),ed?qa.length>0?"/"+qa:"/":qa.length>0?qa:"."},normalize:function(qa){if(assertPath(qa),qa.length===0)return".";var ed=qa.charCodeAt(0)===47,td=qa.charCodeAt(qa.length-1)===47;return qa=normalizeStringPosix(qa,!ed),qa.length===0&&!ed&&(qa="."),qa.length>0&&td&&(qa+="/"),ed?"/"+qa:qa},isAbsolute:function(qa){return assertPath(qa),qa.length>0&&qa.charCodeAt(0)===47},join:function(){if(arguments.length===0)return".";for(var qa,ed=0;ed0&&(qa===void 0?qa=td:qa+="/"+td)}return qa===void 0?".":posix.normalize(qa)},relative:function(qa,ed){if(assertPath(qa),assertPath(ed),qa===ed||(qa=posix.resolve(qa),ed=posix.resolve(ed),qa===ed))return"";for(var td=1;tdud){if(ed.charCodeAt(od+Ed)===47)return ed.slice(od+Ed+1);if(Ed===0)return ed.slice(od+Ed)}else sd>ud&&(qa.charCodeAt(td+Ed)===47?_d=Ed:Ed===0&&(_d=0));break}var Ad=qa.charCodeAt(td+Ed),Td=ed.charCodeAt(od+Ed);if(Ad!==Td)break;Ad===47&&(_d=Ed)}var Nd="";for(Ed=td+_d+1;Ed<=rd;++Ed)(Ed===rd||qa.charCodeAt(Ed)===47)&&(Nd.length===0?Nd+="..":Nd+="/..");return Nd.length>0?Nd+ed.slice(od+_d):(od+=_d,ed.charCodeAt(od)===47&&++od,ed.slice(od))},_makeLong:function(qa){return qa},dirname:function(qa){if(assertPath(qa),qa.length===0)return".";for(var ed=qa.charCodeAt(0),td=ed===47,rd=-1,sd=!0,od=qa.length-1;od>=1;--od)if(ed=qa.charCodeAt(od),ed===47){if(!sd){rd=od;break}}else sd=!1;return rd===-1?td?"/":".":td&&rd===1?"//":qa.slice(0,rd)},basename:function(qa,ed){if(ed!==void 0&&typeof ed!="string")throw new TypeError('"ext" argument must be a string');assertPath(qa);var td=0,rd=-1,sd=!0,od;if(ed!==void 0&&ed.length>0&&ed.length<=qa.length){if(ed.length===qa.length&&ed===qa)return"";var ld=ed.length-1,cd=-1;for(od=qa.length-1;od>=0;--od){var ud=qa.charCodeAt(od);if(ud===47){if(!sd){td=od+1;break}}else cd===-1&&(sd=!1,cd=od+1),ld>=0&&(ud===ed.charCodeAt(ld)?--ld===-1&&(rd=od):(ld=-1,rd=cd))}return td===rd?rd=cd:rd===-1&&(rd=qa.length),qa.slice(td,rd)}else{for(od=qa.length-1;od>=0;--od)if(qa.charCodeAt(od)===47){if(!sd){td=od+1;break}}else rd===-1&&(sd=!1,rd=od+1);return rd===-1?"":qa.slice(td,rd)}},extname:function(qa){assertPath(qa);for(var ed=-1,td=0,rd=-1,sd=!0,od=0,ld=qa.length-1;ld>=0;--ld){var cd=qa.charCodeAt(ld);if(cd===47){if(!sd){td=ld+1;break}continue}rd===-1&&(sd=!1,rd=ld+1),cd===46?ed===-1?ed=ld:od!==1&&(od=1):ed!==-1&&(od=-1)}return ed===-1||rd===-1||od===0||od===1&&ed===rd-1&&ed===td+1?"":qa.slice(ed,rd)},format:function(qa){if(qa===null||typeof qa!="object")throw new TypeError('The "pathObject" argument must be of type Object. Received type '+typeof qa);return _format("/",qa)},parse:function(qa){assertPath(qa);var ed={root:"",dir:"",base:"",ext:"",name:""};if(qa.length===0)return ed;var td=qa.charCodeAt(0),rd=td===47,sd;rd?(ed.root="/",sd=1):sd=0;for(var od=-1,ld=0,cd=-1,ud=!0,_d=qa.length-1,Ed=0;_d>=sd;--_d){if(td=qa.charCodeAt(_d),td===47){if(!ud){ld=_d+1;break}continue}cd===-1&&(ud=!1,cd=_d+1),td===46?od===-1?od=_d:Ed!==1&&(Ed=1):od!==-1&&(Ed=-1)}return od===-1||cd===-1||Ed===0||Ed===1&&od===cd-1&&od===ld+1?cd!==-1&&(ld===0&&rd?ed.base=ed.name=qa.slice(1,cd):ed.base=ed.name=qa.slice(ld,cd)):(ld===0&&rd?(ed.name=qa.slice(1,od),ed.base=qa.slice(1,cd)):(ed.name=qa.slice(ld,od),ed.base=qa.slice(ld,cd)),ed.ext=qa.slice(od,cd)),ld>0?ed.dir=qa.slice(0,ld-1):rd&&(ed.dir="/"),ed},sep:"/",delimiter:":",win32:null,posix:null};posix.posix=posix;var pathBrowserify=posix,events={exports:{}},R$3=typeof Reflect=="object"?Reflect:null,ReflectApply=R$3&&typeof R$3.apply=="function"?R$3.apply:function(qa,ed,td){return Function.prototype.apply.call(qa,ed,td)},ReflectOwnKeys;R$3&&typeof R$3.ownKeys=="function"?ReflectOwnKeys=R$3.ownKeys:Object.getOwnPropertySymbols?ReflectOwnKeys=function(qa){return Object.getOwnPropertyNames(qa).concat(Object.getOwnPropertySymbols(qa))}:ReflectOwnKeys=function(qa){return Object.getOwnPropertyNames(qa)};function ProcessEmitWarning(Ra){console&&console.warn&&console.warn(Ra)}var NumberIsNaN=Number.isNaN||function(qa){return qa!==qa};function EventEmitter(){EventEmitter.init.call(this)}events.exports=EventEmitter;events.exports.once=once$3;EventEmitter.EventEmitter=EventEmitter;EventEmitter.prototype._events=void 0;EventEmitter.prototype._eventsCount=0;EventEmitter.prototype._maxListeners=void 0;var defaultMaxListeners=10;function checkListener(Ra){if(typeof Ra!="function")throw new TypeError('The "listener" argument must be of type Function. Received type '+typeof Ra)}Object.defineProperty(EventEmitter,"defaultMaxListeners",{enumerable:!0,get:function(){return defaultMaxListeners},set:function(Ra){if(typeof Ra!="number"||Ra<0||NumberIsNaN(Ra))throw new RangeError('The value of "defaultMaxListeners" is out of range. It must be a non-negative number. Received '+Ra+".");defaultMaxListeners=Ra}});EventEmitter.init=function(){(this._events===void 0||this._events===Object.getPrototypeOf(this)._events)&&(this._events=Object.create(null),this._eventsCount=0),this._maxListeners=this._maxListeners||void 0};EventEmitter.prototype.setMaxListeners=function(qa){if(typeof qa!="number"||qa<0||NumberIsNaN(qa))throw new RangeError('The value of "n" is out of range. It must be a non-negative number. Received '+qa+".");return this._maxListeners=qa,this};function _getMaxListeners(Ra){return Ra._maxListeners===void 0?EventEmitter.defaultMaxListeners:Ra._maxListeners}EventEmitter.prototype.getMaxListeners=function(){return _getMaxListeners(this)};EventEmitter.prototype.emit=function(qa){for(var ed=[],td=1;td0&&(od=ed[0]),od instanceof Error)throw od;var ld=new Error("Unhandled error."+(od?" ("+od.message+")":""));throw ld.context=od,ld}var cd=sd[qa];if(cd===void 0)return!1;if(typeof cd=="function")ReflectApply(cd,this,ed);else for(var ud=cd.length,_d=arrayClone(cd,ud),td=0;td0&&od.length>rd&&!od.warned){od.warned=!0;var ld=new Error("Possible EventEmitter memory leak detected. "+od.length+" "+String(qa)+" listeners added. Use emitter.setMaxListeners() to increase limit");ld.name="MaxListenersExceededWarning",ld.emitter=Ra,ld.type=qa,ld.count=od.length,ProcessEmitWarning(ld)}return Ra}EventEmitter.prototype.addListener=function(qa,ed){return _addListener(this,qa,ed,!1)};EventEmitter.prototype.on=EventEmitter.prototype.addListener;EventEmitter.prototype.prependListener=function(qa,ed){return _addListener(this,qa,ed,!0)};function onceWrapper(){if(!this.fired)return this.target.removeListener(this.type,this.wrapFn),this.fired=!0,arguments.length===0?this.listener.call(this.target):this.listener.apply(this.target,arguments)}function _onceWrap(Ra,qa,ed){var td={fired:!1,wrapFn:void 0,target:Ra,type:qa,listener:ed},rd=onceWrapper.bind(td);return rd.listener=ed,td.wrapFn=rd,rd}EventEmitter.prototype.once=function(qa,ed){return checkListener(ed),this.on(qa,_onceWrap(this,qa,ed)),this};EventEmitter.prototype.prependOnceListener=function(qa,ed){return checkListener(ed),this.prependListener(qa,_onceWrap(this,qa,ed)),this};EventEmitter.prototype.removeListener=function(qa,ed){var td,rd,sd,od,ld;if(checkListener(ed),rd=this._events,rd===void 0)return this;if(td=rd[qa],td===void 0)return this;if(td===ed||td.listener===ed)--this._eventsCount===0?this._events=Object.create(null):(delete rd[qa],rd.removeListener&&this.emit("removeListener",qa,td.listener||ed));else if(typeof td!="function"){for(sd=-1,od=td.length-1;od>=0;od--)if(td[od]===ed||td[od].listener===ed){ld=td[od].listener,sd=od;break}if(sd<0)return this;sd===0?td.shift():spliceOne(td,sd),td.length===1&&(rd[qa]=td[0]),rd.removeListener!==void 0&&this.emit("removeListener",qa,ld||ed)}return this};EventEmitter.prototype.off=EventEmitter.prototype.removeListener;EventEmitter.prototype.removeAllListeners=function(qa){var ed,td,rd;if(td=this._events,td===void 0)return this;if(td.removeListener===void 0)return arguments.length===0?(this._events=Object.create(null),this._eventsCount=0):td[qa]!==void 0&&(--this._eventsCount===0?this._events=Object.create(null):delete td[qa]),this;if(arguments.length===0){var sd=Object.keys(td),od;for(rd=0;rd=0;rd--)this.removeListener(qa,ed[rd]);return this};function _listeners(Ra,qa,ed){var td=Ra._events;if(td===void 0)return[];var rd=td[qa];return rd===void 0?[]:typeof rd=="function"?ed?[rd.listener||rd]:[rd]:ed?unwrapListeners(rd):arrayClone(rd,rd.length)}EventEmitter.prototype.listeners=function(qa){return _listeners(this,qa,!0)};EventEmitter.prototype.rawListeners=function(qa){return _listeners(this,qa,!1)};EventEmitter.listenerCount=function(Ra,qa){return typeof Ra.listenerCount=="function"?Ra.listenerCount(qa):listenerCount.call(Ra,qa)};EventEmitter.prototype.listenerCount=listenerCount;function listenerCount(Ra){var qa=this._events;if(qa!==void 0){var ed=qa[Ra];if(typeof ed=="function")return 1;if(ed!==void 0)return ed.length}return 0}EventEmitter.prototype.eventNames=function(){return this._eventsCount>0?ReflectOwnKeys(this._events):[]};function arrayClone(Ra,qa){for(var ed=new Array(qa),td=0;td */ieee754.read=function(Ra,qa,ed,td,rd){var sd,od,ld=rd*8-td-1,cd=(1<>1,_d=-7,Ed=ed?rd-1:0,Ad=ed?-1:1,Td=Ra[qa+Ed];for(Ed+=Ad,sd=Td&(1<<-_d)-1,Td>>=-_d,_d+=ld;_d>0;sd=sd*256+Ra[qa+Ed],Ed+=Ad,_d-=8);for(od=sd&(1<<-_d)-1,sd>>=-_d,_d+=td;_d>0;od=od*256+Ra[qa+Ed],Ed+=Ad,_d-=8);if(sd===0)sd=1-ud;else{if(sd===cd)return od?NaN:(Td?-1:1)*(1/0);od=od+Math.pow(2,td),sd=sd-ud}return(Td?-1:1)*od*Math.pow(2,sd-td)};ieee754.write=function(Ra,qa,ed,td,rd,sd){var od,ld,cd,ud=sd*8-rd-1,_d=(1<>1,Ad=rd===23?Math.pow(2,-24)-Math.pow(2,-77):0,Td=td?0:sd-1,Nd=td?1:-1,Rd=qa<0||qa===0&&1/qa<0?1:0;for(qa=Math.abs(qa),isNaN(qa)||qa===1/0?(ld=isNaN(qa)?1:0,od=_d):(od=Math.floor(Math.log(qa)/Math.LN2),qa*(cd=Math.pow(2,-od))<1&&(od--,cd*=2),od+Ed>=1?qa+=Ad/cd:qa+=Ad*Math.pow(2,1-Ed),qa*cd>=2&&(od++,cd/=2),od+Ed>=_d?(ld=0,od=_d):od+Ed>=1?(ld=(qa*cd-1)*Math.pow(2,rd),od=od+Ed):(ld=qa*Math.pow(2,Ed-1)*Math.pow(2,rd),od=0));rd>=8;Ra[ed+Td]=ld&255,Td+=Nd,ld/=256,rd-=8);for(od=od<0;Ra[ed+Td]=od&255,Td+=Nd,od/=256,ud-=8);Ra[ed+Td-Nd]|=Rd*128};/*! * The buffer module from node.js, for the browser. * * @author Feross Aboukhadijeh * @license MIT */(function(Ra){var qa=requireBase64Js(),ed=ieee754,td=typeof Symbol=="function"&&typeof Symbol.for=="function"?Symbol.for("nodejs.util.inspect.custom"):null;Ra.Buffer=ld,Ra.SlowBuffer=Od,Ra.INSPECT_MAX_BYTES=50;var rd=2147483647;Ra.kMaxLength=rd,ld.TYPED_ARRAY_SUPPORT=sd(),!ld.TYPED_ARRAY_SUPPORT&&typeof console<"u"&&typeof console.error=="function"&&console.error("This browser lacks typed array (Uint8Array) support which is required by `buffer` v5.x. Use `buffer` v4.x if you require old browser support.");function sd(){try{var Xf=new Uint8Array(1),Df={foo:function(){return 42}};return Object.setPrototypeOf(Df,Uint8Array.prototype),Object.setPrototypeOf(Xf,Df),Xf.foo()===42}catch{return!1}}Object.defineProperty(ld.prototype,"parent",{enumerable:!0,get:function(){if(ld.isBuffer(this))return this.buffer}}),Object.defineProperty(ld.prototype,"offset",{enumerable:!0,get:function(){if(ld.isBuffer(this))return this.byteOffset}});function od(Xf){if(Xf>rd)throw new RangeError('The value "'+Xf+'" is invalid for option "size"');var Df=new Uint8Array(Xf);return Object.setPrototypeOf(Df,ld.prototype),Df}function ld(Xf,Df,Of){if(typeof Xf=="number"){if(typeof Df=="string")throw new TypeError('The "string" argument must be of type string. Received type number');return Ed(Xf)}return cd(Xf,Df,Of)}ld.poolSize=8192;function cd(Xf,Df,Of){if(typeof Xf=="string")return Ad(Xf,Df);if(ArrayBuffer.isView(Xf))return Nd(Xf);if(Xf==null)throw new TypeError("The first argument must be one of type string, Buffer, ArrayBuffer, Array, or Array-like Object. Received type "+typeof Xf);if($f(Xf,ArrayBuffer)||Xf&&$f(Xf.buffer,ArrayBuffer)||typeof SharedArrayBuffer<"u"&&($f(Xf,SharedArrayBuffer)||Xf&&$f(Xf.buffer,SharedArrayBuffer)))return Rd(Xf,Df,Of);if(typeof Xf=="number")throw new TypeError('The "value" argument must not be of type number. Received type number');var Vf=Xf.valueOf&&Xf.valueOf();if(Vf!=null&&Vf!==Xf)return ld.from(Vf,Df,Of);var dh=Bd(Xf);if(dh)return dh;if(typeof Symbol<"u"&&Symbol.toPrimitive!=null&&typeof Xf[Symbol.toPrimitive]=="function")return ld.from(Xf[Symbol.toPrimitive]("string"),Df,Of);throw new TypeError("The first argument must be one of type string, Buffer, ArrayBuffer, Array, or Array-like Object. Received type "+typeof Xf)}ld.from=function(Xf,Df,Of){return cd(Xf,Df,Of)},Object.setPrototypeOf(ld.prototype,Uint8Array.prototype),Object.setPrototypeOf(ld,Uint8Array);function ud(Xf){if(typeof Xf!="number")throw new TypeError('"size" argument must be of type number');if(Xf<0)throw new RangeError('The value "'+Xf+'" is invalid for option "size"')}function _d(Xf,Df,Of){return ud(Xf),Xf<=0?od(Xf):Df!==void 0?typeof Of=="string"?od(Xf).fill(Df,Of):od(Xf).fill(Df):od(Xf)}ld.alloc=function(Xf,Df,Of){return _d(Xf,Df,Of)};function Ed(Xf){return ud(Xf),od(Xf<0?0:kd(Xf)|0)}ld.allocUnsafe=function(Xf){return Ed(Xf)},ld.allocUnsafeSlow=function(Xf){return Ed(Xf)};function Ad(Xf,Df){if((typeof Df!="string"||Df==="")&&(Df="utf8"),!ld.isEncoding(Df))throw new TypeError("Unknown encoding: "+Df);var Of=Md(Xf,Df)|0,Vf=od(Of),dh=Vf.write(Xf,Df);return dh!==Of&&(Vf=Vf.slice(0,dh)),Vf}function Td(Xf){for(var Df=Xf.length<0?0:kd(Xf.length)|0,Of=od(Df),Vf=0;Vf=rd)throw new RangeError("Attempt to allocate Buffer larger than maximum size: 0x"+rd.toString(16)+" bytes");return Xf|0}function Od(Xf){return+Xf!=Xf&&(Xf=0),ld.alloc(+Xf)}ld.isBuffer=function(Df){return Df!=null&&Df._isBuffer===!0&&Df!==ld.prototype},ld.compare=function(Df,Of){if($f(Df,Uint8Array)&&(Df=ld.from(Df,Df.offset,Df.byteLength)),$f(Of,Uint8Array)&&(Of=ld.from(Of,Of.offset,Of.byteLength)),!ld.isBuffer(Df)||!ld.isBuffer(Of))throw new TypeError('The "buf1", "buf2" arguments must be one of type Buffer or Uint8Array');if(Df===Of)return 0;for(var Vf=Df.length,dh=Of.length,bh=0,oh=Math.min(Vf,dh);bhdh.length?ld.from(oh).copy(dh,bh):Uint8Array.prototype.set.call(dh,oh,bh);else if(ld.isBuffer(oh))oh.copy(dh,bh);else throw new TypeError('"list" argument must be an Array of Buffers');bh+=oh.length}return dh};function Md(Xf,Df){if(ld.isBuffer(Xf))return Xf.length;if(ArrayBuffer.isView(Xf)||$f(Xf,ArrayBuffer))return Xf.byteLength;if(typeof Xf!="string")throw new TypeError('The "string" argument must be one of type string, Buffer, or ArrayBuffer. Received type '+typeof Xf);var Of=Xf.length,Vf=arguments.length>2&&arguments[2]===!0;if(!Vf&&Of===0)return 0;for(var dh=!1;;)switch(Df){case"ascii":case"latin1":case"binary":return Of;case"utf8":case"utf-8":return bf(Xf).length;case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return Of*2;case"hex":return Of>>>1;case"base64":return nh(Xf).length;default:if(dh)return Vf?-1:bf(Xf).length;Df=(""+Df).toLowerCase(),dh=!0}}ld.byteLength=Md;function Pd(Xf,Df,Of){var Vf=!1;if((Df===void 0||Df<0)&&(Df=0),Df>this.length||((Of===void 0||Of>this.length)&&(Of=this.length),Of<=0)||(Of>>>=0,Df>>>=0,Of<=Df))return"";for(Xf||(Xf="utf8");;)switch(Xf){case"hex":return Pf(this,Df,Of);case"utf8":case"utf-8":return _f(this,Df,Of);case"ascii":return yf(this,Df,Of);case"latin1":case"binary":return Nf(this,Df,Of);case"base64":return mf(this,Df,Of);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return Yf(this,Df,Of);default:if(Vf)throw new TypeError("Unknown encoding: "+Xf);Xf=(Xf+"").toLowerCase(),Vf=!0}}ld.prototype._isBuffer=!0;function Fd(Xf,Df,Of){var Vf=Xf[Df];Xf[Df]=Xf[Of],Xf[Of]=Vf}ld.prototype.swap16=function(){var Df=this.length;if(Df%2!==0)throw new RangeError("Buffer size must be a multiple of 16-bits");for(var Of=0;OfOf&&(Df+=" ... "),""},td&&(ld.prototype[td]=ld.prototype.inspect),ld.prototype.compare=function(Df,Of,Vf,dh,bh){if($f(Df,Uint8Array)&&(Df=ld.from(Df,Df.offset,Df.byteLength)),!ld.isBuffer(Df))throw new TypeError('The "target" argument must be one of type Buffer or Uint8Array. Received type '+typeof Df);if(Of===void 0&&(Of=0),Vf===void 0&&(Vf=Df?Df.length:0),dh===void 0&&(dh=0),bh===void 0&&(bh=this.length),Of<0||Vf>Df.length||dh<0||bh>this.length)throw new RangeError("out of range index");if(dh>=bh&&Of>=Vf)return 0;if(dh>=bh)return-1;if(Of>=Vf)return 1;if(Of>>>=0,Vf>>>=0,dh>>>=0,bh>>>=0,this===Df)return 0;for(var oh=bh-dh,Ih=Vf-Of,_h=Math.min(oh,Ih),Dh=this.slice(dh,bh),Xh=Df.slice(Of,Vf),qh=0;qh<_h;++qh)if(Dh[qh]!==Xh[qh]){oh=Dh[qh],Ih=Xh[qh];break}return oh2147483647?Of=2147483647:Of<-2147483648&&(Of=-2147483648),Of=+Of,th(Of)&&(Of=dh?0:Xf.length-1),Of<0&&(Of=Xf.length+Of),Of>=Xf.length){if(dh)return-1;Of=Xf.length-1}else if(Of<0)if(dh)Of=0;else return-1;if(typeof Df=="string"&&(Df=ld.from(Df,Vf)),ld.isBuffer(Df))return Df.length===0?-1:Gd(Xf,Df,Of,Vf,dh);if(typeof Df=="number")return Df=Df&255,typeof Uint8Array.prototype.indexOf=="function"?dh?Uint8Array.prototype.indexOf.call(Xf,Df,Of):Uint8Array.prototype.lastIndexOf.call(Xf,Df,Of):Gd(Xf,[Df],Of,Vf,dh);throw new TypeError("val must be string, number or Buffer")}function Gd(Xf,Df,Of,Vf,dh){var bh=1,oh=Xf.length,Ih=Df.length;if(Vf!==void 0&&(Vf=String(Vf).toLowerCase(),Vf==="ucs2"||Vf==="ucs-2"||Vf==="utf16le"||Vf==="utf-16le")){if(Xf.length<2||Df.length<2)return-1;bh=2,oh/=2,Ih/=2,Of/=2}function _h(hm,ah){return bh===1?hm[ah]:hm.readUInt16BE(ah*bh)}var Dh;if(dh){var Xh=-1;for(Dh=Of;Dhoh&&(Of=oh-Ih),Dh=Of;Dh>=0;Dh--){for(var qh=!0,sm=0;smdh&&(Vf=dh)):Vf=dh;var bh=Df.length;Vf>bh/2&&(Vf=bh/2);for(var oh=0;oh>>0,isFinite(Vf)?(Vf=Vf>>>0,dh===void 0&&(dh="utf8")):(dh=Vf,Vf=void 0);else throw new Error("Buffer.write(string, encoding, offset[, length]) is no longer supported");var bh=this.length-Of;if((Vf===void 0||Vf>bh)&&(Vf=bh),Df.length>0&&(Vf<0||Of<0)||Of>this.length)throw new RangeError("Attempt to write outside buffer bounds");dh||(dh="utf8");for(var oh=!1;;)switch(dh){case"hex":return Qd(this,Df,Of,Vf);case"utf8":case"utf-8":return Vd(this,Df,Of,Vf);case"ascii":case"latin1":case"binary":return zd(this,Df,Of,Vf);case"base64":return Xd(this,Df,Of,Vf);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return df(this,Df,Of,Vf);default:if(oh)throw new TypeError("Unknown encoding: "+dh);dh=(""+dh).toLowerCase(),oh=!0}},ld.prototype.toJSON=function(){return{type:"Buffer",data:Array.prototype.slice.call(this._arr||this,0)}};function mf(Xf,Df,Of){return Df===0&&Of===Xf.length?qa.fromByteArray(Xf):qa.fromByteArray(Xf.slice(Df,Of))}function _f(Xf,Df,Of){Of=Math.min(Xf.length,Of);for(var Vf=[],dh=Df;dh239?4:bh>223?3:bh>191?2:1;if(dh+Ih<=Of){var _h,Dh,Xh,qh;switch(Ih){case 1:bh<128&&(oh=bh);break;case 2:_h=Xf[dh+1],(_h&192)===128&&(qh=(bh&31)<<6|_h&63,qh>127&&(oh=qh));break;case 3:_h=Xf[dh+1],Dh=Xf[dh+2],(_h&192)===128&&(Dh&192)===128&&(qh=(bh&15)<<12|(_h&63)<<6|Dh&63,qh>2047&&(qh<55296||qh>57343)&&(oh=qh));break;case 4:_h=Xf[dh+1],Dh=Xf[dh+2],Xh=Xf[dh+3],(_h&192)===128&&(Dh&192)===128&&(Xh&192)===128&&(qh=(bh&15)<<18|(_h&63)<<12|(Dh&63)<<6|Xh&63,qh>65535&&qh<1114112&&(oh=qh))}}oh===null?(oh=65533,Ih=1):oh>65535&&(oh-=65536,Vf.push(oh>>>10&1023|55296),oh=56320|oh&1023),Vf.push(oh),dh+=Ih}return gf(Vf)}var hf=4096;function gf(Xf){var Df=Xf.length;if(Df<=hf)return String.fromCharCode.apply(String,Xf);for(var Of="",Vf=0;VfVf)&&(Of=Vf);for(var dh="",bh=Df;bhVf&&(Df=Vf),Of<0?(Of+=Vf,Of<0&&(Of=0)):Of>Vf&&(Of=Vf),OfOf)throw new RangeError("Trying to access beyond buffer length")}ld.prototype.readUintLE=ld.prototype.readUIntLE=function(Df,Of,Vf){Df=Df>>>0,Of=Of>>>0,Vf||Uf(Df,Of,this.length);for(var dh=this[Df],bh=1,oh=0;++oh>>0,Of=Of>>>0,Vf||Uf(Df,Of,this.length);for(var dh=this[Df+--Of],bh=1;Of>0&&(bh*=256);)dh+=this[Df+--Of]*bh;return dh},ld.prototype.readUint8=ld.prototype.readUInt8=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,1,this.length),this[Df]},ld.prototype.readUint16LE=ld.prototype.readUInt16LE=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,2,this.length),this[Df]|this[Df+1]<<8},ld.prototype.readUint16BE=ld.prototype.readUInt16BE=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,2,this.length),this[Df]<<8|this[Df+1]},ld.prototype.readUint32LE=ld.prototype.readUInt32LE=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,4,this.length),(this[Df]|this[Df+1]<<8|this[Df+2]<<16)+this[Df+3]*16777216},ld.prototype.readUint32BE=ld.prototype.readUInt32BE=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,4,this.length),this[Df]*16777216+(this[Df+1]<<16|this[Df+2]<<8|this[Df+3])},ld.prototype.readIntLE=function(Df,Of,Vf){Df=Df>>>0,Of=Of>>>0,Vf||Uf(Df,Of,this.length);for(var dh=this[Df],bh=1,oh=0;++oh=bh&&(dh-=Math.pow(2,8*Of)),dh},ld.prototype.readIntBE=function(Df,Of,Vf){Df=Df>>>0,Of=Of>>>0,Vf||Uf(Df,Of,this.length);for(var dh=Of,bh=1,oh=this[Df+--dh];dh>0&&(bh*=256);)oh+=this[Df+--dh]*bh;return bh*=128,oh>=bh&&(oh-=Math.pow(2,8*Of)),oh},ld.prototype.readInt8=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,1,this.length),this[Df]&128?(255-this[Df]+1)*-1:this[Df]},ld.prototype.readInt16LE=function(Df,Of){Df=Df>>>0,Of||Uf(Df,2,this.length);var Vf=this[Df]|this[Df+1]<<8;return Vf&32768?Vf|4294901760:Vf},ld.prototype.readInt16BE=function(Df,Of){Df=Df>>>0,Of||Uf(Df,2,this.length);var Vf=this[Df+1]|this[Df]<<8;return Vf&32768?Vf|4294901760:Vf},ld.prototype.readInt32LE=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,4,this.length),this[Df]|this[Df+1]<<8|this[Df+2]<<16|this[Df+3]<<24},ld.prototype.readInt32BE=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,4,this.length),this[Df]<<24|this[Df+1]<<16|this[Df+2]<<8|this[Df+3]},ld.prototype.readFloatLE=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,4,this.length),ed.read(this,Df,!0,23,4)},ld.prototype.readFloatBE=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,4,this.length),ed.read(this,Df,!1,23,4)},ld.prototype.readDoubleLE=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,8,this.length),ed.read(this,Df,!0,52,8)},ld.prototype.readDoubleBE=function(Df,Of){return Df=Df>>>0,Of||Uf(Df,8,this.length),ed.read(this,Df,!1,52,8)};function Lf(Xf,Df,Of,Vf,dh,bh){if(!ld.isBuffer(Xf))throw new TypeError('"buffer" argument must be a Buffer instance');if(Df>dh||DfXf.length)throw new RangeError("Index out of range")}ld.prototype.writeUintLE=ld.prototype.writeUIntLE=function(Df,Of,Vf,dh){if(Df=+Df,Of=Of>>>0,Vf=Vf>>>0,!dh){var bh=Math.pow(2,8*Vf)-1;Lf(this,Df,Of,Vf,bh,0)}var oh=1,Ih=0;for(this[Of]=Df&255;++Ih>>0,Vf=Vf>>>0,!dh){var bh=Math.pow(2,8*Vf)-1;Lf(this,Df,Of,Vf,bh,0)}var oh=Vf-1,Ih=1;for(this[Of+oh]=Df&255;--oh>=0&&(Ih*=256);)this[Of+oh]=Df/Ih&255;return Of+Vf},ld.prototype.writeUint8=ld.prototype.writeUInt8=function(Df,Of,Vf){return Df=+Df,Of=Of>>>0,Vf||Lf(this,Df,Of,1,255,0),this[Of]=Df&255,Of+1},ld.prototype.writeUint16LE=ld.prototype.writeUInt16LE=function(Df,Of,Vf){return Df=+Df,Of=Of>>>0,Vf||Lf(this,Df,Of,2,65535,0),this[Of]=Df&255,this[Of+1]=Df>>>8,Of+2},ld.prototype.writeUint16BE=ld.prototype.writeUInt16BE=function(Df,Of,Vf){return Df=+Df,Of=Of>>>0,Vf||Lf(this,Df,Of,2,65535,0),this[Of]=Df>>>8,this[Of+1]=Df&255,Of+2},ld.prototype.writeUint32LE=ld.prototype.writeUInt32LE=function(Df,Of,Vf){return Df=+Df,Of=Of>>>0,Vf||Lf(this,Df,Of,4,4294967295,0),this[Of+3]=Df>>>24,this[Of+2]=Df>>>16,this[Of+1]=Df>>>8,this[Of]=Df&255,Of+4},ld.prototype.writeUint32BE=ld.prototype.writeUInt32BE=function(Df,Of,Vf){return Df=+Df,Of=Of>>>0,Vf||Lf(this,Df,Of,4,4294967295,0),this[Of]=Df>>>24,this[Of+1]=Df>>>16,this[Of+2]=Df>>>8,this[Of+3]=Df&255,Of+4},ld.prototype.writeIntLE=function(Df,Of,Vf,dh){if(Df=+Df,Of=Of>>>0,!dh){var bh=Math.pow(2,8*Vf-1);Lf(this,Df,Of,Vf,bh-1,-bh)}var oh=0,Ih=1,_h=0;for(this[Of]=Df&255;++oh>0)-_h&255;return Of+Vf},ld.prototype.writeIntBE=function(Df,Of,Vf,dh){if(Df=+Df,Of=Of>>>0,!dh){var bh=Math.pow(2,8*Vf-1);Lf(this,Df,Of,Vf,bh-1,-bh)}var oh=Vf-1,Ih=1,_h=0;for(this[Of+oh]=Df&255;--oh>=0&&(Ih*=256);)Df<0&&_h===0&&this[Of+oh+1]!==0&&(_h=1),this[Of+oh]=(Df/Ih>>0)-_h&255;return Of+Vf},ld.prototype.writeInt8=function(Df,Of,Vf){return Df=+Df,Of=Of>>>0,Vf||Lf(this,Df,Of,1,127,-128),Df<0&&(Df=255+Df+1),this[Of]=Df&255,Of+1},ld.prototype.writeInt16LE=function(Df,Of,Vf){return Df=+Df,Of=Of>>>0,Vf||Lf(this,Df,Of,2,32767,-32768),this[Of]=Df&255,this[Of+1]=Df>>>8,Of+2},ld.prototype.writeInt16BE=function(Df,Of,Vf){return Df=+Df,Of=Of>>>0,Vf||Lf(this,Df,Of,2,32767,-32768),this[Of]=Df>>>8,this[Of+1]=Df&255,Of+2},ld.prototype.writeInt32LE=function(Df,Of,Vf){return Df=+Df,Of=Of>>>0,Vf||Lf(this,Df,Of,4,2147483647,-2147483648),this[Of]=Df&255,this[Of+1]=Df>>>8,this[Of+2]=Df>>>16,this[Of+3]=Df>>>24,Of+4},ld.prototype.writeInt32BE=function(Df,Of,Vf){return Df=+Df,Of=Of>>>0,Vf||Lf(this,Df,Of,4,2147483647,-2147483648),Df<0&&(Df=4294967295+Df+1),this[Of]=Df>>>24,this[Of+1]=Df>>>16,this[Of+2]=Df>>>8,this[Of+3]=Df&255,Of+4};function xf(Xf,Df,Of,Vf,dh,bh){if(Of+Vf>Xf.length)throw new RangeError("Index out of range");if(Of<0)throw new RangeError("Index out of range")}function wf(Xf,Df,Of,Vf,dh){return Df=+Df,Of=Of>>>0,dh||xf(Xf,Df,Of,4),ed.write(Xf,Df,Of,Vf,23,4),Of+4}ld.prototype.writeFloatLE=function(Df,Of,Vf){return wf(this,Df,Of,!0,Vf)},ld.prototype.writeFloatBE=function(Df,Of,Vf){return wf(this,Df,Of,!1,Vf)};function Jf(Xf,Df,Of,Vf,dh){return Df=+Df,Of=Of>>>0,dh||xf(Xf,Df,Of,8),ed.write(Xf,Df,Of,Vf,52,8),Of+8}ld.prototype.writeDoubleLE=function(Df,Of,Vf){return Jf(this,Df,Of,!0,Vf)},ld.prototype.writeDoubleBE=function(Df,Of,Vf){return Jf(this,Df,Of,!1,Vf)},ld.prototype.copy=function(Df,Of,Vf,dh){if(!ld.isBuffer(Df))throw new TypeError("argument should be a Buffer");if(Vf||(Vf=0),!dh&&dh!==0&&(dh=this.length),Of>=Df.length&&(Of=Df.length),Of||(Of=0),dh>0&&dh=this.length)throw new RangeError("Index out of range");if(dh<0)throw new RangeError("sourceEnd out of bounds");dh>this.length&&(dh=this.length),Df.length-Of>>0,Vf=Vf===void 0?this.length:Vf>>>0,Df||(Df=0);var oh;if(typeof Df=="number")for(oh=Of;oh55295&&Of<57344){if(!dh){if(Of>56319){(Df-=3)>-1&&bh.push(239,191,189);continue}else if(oh+1===Vf){(Df-=3)>-1&&bh.push(239,191,189);continue}dh=Of;continue}if(Of<56320){(Df-=3)>-1&&bh.push(239,191,189),dh=Of;continue}Of=(dh-55296<<10|Of-56320)+65536}else dh&&(Df-=3)>-1&&bh.push(239,191,189);if(dh=null,Of<128){if((Df-=1)<0)break;bh.push(Of)}else if(Of<2048){if((Df-=2)<0)break;bh.push(Of>>6|192,Of&63|128)}else if(Of<65536){if((Df-=3)<0)break;bh.push(Of>>12|224,Of>>6&63|128,Of&63|128)}else if(Of<1114112){if((Df-=4)<0)break;bh.push(Of>>18|240,Of>>12&63|128,Of>>6&63|128,Of&63|128)}else throw new Error("Invalid code point")}return bh}function Bf(Xf){for(var Df=[],Of=0;Of>8,dh=Of%256,bh.push(dh),bh.push(Vf);return bh}function nh(Xf){return qa.toByteArray(Ef(Xf))}function zf(Xf,Df,Of,Vf){for(var dh=0;dh=Df.length||dh>=Xf.length);++dh)Df[dh+Of]=Xf[dh];return dh}function $f(Xf,Df){return Xf instanceof Df||Xf!=null&&Xf.constructor!=null&&Xf.constructor.name!=null&&Xf.constructor.name===Df.name}function th(Xf){return Xf!==Xf}var hh=function(){for(var Xf="0123456789abcdef",Df=new Array(256),Of=0;Of<16;++Of)for(var Vf=Of*16,dh=0;dh<16;++dh)Df[Vf+dh]=Xf[Of]+Xf[dh];return Df}()})(buffer);var util$5={},types$1={},shams$1=function(){if(typeof Symbol!="function"||typeof Object.getOwnPropertySymbols!="function")return!1;if(typeof Symbol.iterator=="symbol")return!0;var qa={},ed=Symbol("test"),td=Object(ed);if(typeof ed=="string"||Object.prototype.toString.call(ed)!=="[object Symbol]"||Object.prototype.toString.call(td)!=="[object Symbol]")return!1;var rd=42;qa[ed]=rd;for(ed in qa)return!1;if(typeof Object.keys=="function"&&Object.keys(qa).length!==0||typeof Object.getOwnPropertyNames=="function"&&Object.getOwnPropertyNames(qa).length!==0)return!1;var sd=Object.getOwnPropertySymbols(qa);if(sd.length!==1||sd[0]!==ed||!Object.prototype.propertyIsEnumerable.call(qa,ed))return!1;if(typeof Object.getOwnPropertyDescriptor=="function"){var od=Object.getOwnPropertyDescriptor(qa,ed);if(od.value!==rd||od.enumerable!==!0)return!1}return!0},hasSymbols$2=shams$1,shams=function(){return hasSymbols$2()&&!!Symbol.toStringTag},esErrors=Error,_eval=EvalError,range$3=RangeError,ref=ReferenceError,syntax=SyntaxError,type$1=TypeError,uri=URIError,origSymbol=typeof Symbol<"u"&&Symbol,hasSymbolSham=shams$1,hasSymbols$1=function(){return typeof origSymbol!="function"||typeof Symbol!="function"||typeof origSymbol("foo")!="symbol"||typeof Symbol("bar")!="symbol"?!1:hasSymbolSham()},test$1={__proto__:null,foo:{}},$Object=Object,hasProto$1=function(){return{__proto__:test$1}.foo===test$1.foo&&!(test$1 instanceof $Object)},ERROR_MESSAGE="Function.prototype.bind called on incompatible ",toStr$3=Object.prototype.toString,max$1=Math.max,funcType="[object Function]",concatty=function(qa,ed){for(var td=[],rd=0;rd"u"||!getProto$1?undefined$1:getProto$1(Uint8Array),INTRINSICS={__proto__:null,"%AggregateError%":typeof AggregateError>"u"?undefined$1:AggregateError,"%Array%":Array,"%ArrayBuffer%":typeof ArrayBuffer>"u"?undefined$1:ArrayBuffer,"%ArrayIteratorPrototype%":hasSymbols&&getProto$1?getProto$1([][Symbol.iterator]()):undefined$1,"%AsyncFromSyncIteratorPrototype%":undefined$1,"%AsyncFunction%":needsEval,"%AsyncGenerator%":needsEval,"%AsyncGeneratorFunction%":needsEval,"%AsyncIteratorPrototype%":needsEval,"%Atomics%":typeof Atomics>"u"?undefined$1:Atomics,"%BigInt%":typeof BigInt>"u"?undefined$1:BigInt,"%BigInt64Array%":typeof BigInt64Array>"u"?undefined$1:BigInt64Array,"%BigUint64Array%":typeof BigUint64Array>"u"?undefined$1:BigUint64Array,"%Boolean%":Boolean,"%DataView%":typeof DataView>"u"?undefined$1:DataView,"%Date%":Date,"%decodeURI%":decodeURI,"%decodeURIComponent%":decodeURIComponent,"%encodeURI%":encodeURI,"%encodeURIComponent%":encodeURIComponent,"%Error%":$Error,"%eval%":eval,"%EvalError%":$EvalError,"%Float32Array%":typeof Float32Array>"u"?undefined$1:Float32Array,"%Float64Array%":typeof Float64Array>"u"?undefined$1:Float64Array,"%FinalizationRegistry%":typeof FinalizationRegistry>"u"?undefined$1:FinalizationRegistry,"%Function%":$Function,"%GeneratorFunction%":needsEval,"%Int8Array%":typeof Int8Array>"u"?undefined$1:Int8Array,"%Int16Array%":typeof Int16Array>"u"?undefined$1:Int16Array,"%Int32Array%":typeof Int32Array>"u"?undefined$1:Int32Array,"%isFinite%":isFinite,"%isNaN%":isNaN,"%IteratorPrototype%":hasSymbols&&getProto$1?getProto$1(getProto$1([][Symbol.iterator]())):undefined$1,"%JSON%":typeof JSON=="object"?JSON:undefined$1,"%Map%":typeof Map>"u"?undefined$1:Map,"%MapIteratorPrototype%":typeof Map>"u"||!hasSymbols||!getProto$1?undefined$1:getProto$1(new Map()[Symbol.iterator]()),"%Math%":Math,"%Number%":Number,"%Object%":Object,"%parseFloat%":parseFloat,"%parseInt%":parseInt,"%Promise%":typeof Promise>"u"?undefined$1:Promise,"%Proxy%":typeof Proxy>"u"?undefined$1:Proxy,"%RangeError%":$RangeError,"%ReferenceError%":$ReferenceError,"%Reflect%":typeof Reflect>"u"?undefined$1:Reflect,"%RegExp%":RegExp,"%Set%":typeof Set>"u"?undefined$1:Set,"%SetIteratorPrototype%":typeof Set>"u"||!hasSymbols||!getProto$1?undefined$1:getProto$1(new Set()[Symbol.iterator]()),"%SharedArrayBuffer%":typeof SharedArrayBuffer>"u"?undefined$1:SharedArrayBuffer,"%String%":String,"%StringIteratorPrototype%":hasSymbols&&getProto$1?getProto$1(""[Symbol.iterator]()):undefined$1,"%Symbol%":hasSymbols?Symbol:undefined$1,"%SyntaxError%":$SyntaxError$1,"%ThrowTypeError%":ThrowTypeError,"%TypedArray%":TypedArray,"%TypeError%":$TypeError$2,"%Uint8Array%":typeof Uint8Array>"u"?undefined$1:Uint8Array,"%Uint8ClampedArray%":typeof Uint8ClampedArray>"u"?undefined$1:Uint8ClampedArray,"%Uint16Array%":typeof Uint16Array>"u"?undefined$1:Uint16Array,"%Uint32Array%":typeof Uint32Array>"u"?undefined$1:Uint32Array,"%URIError%":$URIError,"%WeakMap%":typeof WeakMap>"u"?undefined$1:WeakMap,"%WeakRef%":typeof WeakRef>"u"?undefined$1:WeakRef,"%WeakSet%":typeof WeakSet>"u"?undefined$1:WeakSet};if(getProto$1)try{null.error}catch(Ra){var errorProto=getProto$1(getProto$1(Ra));INTRINSICS["%Error.prototype%"]=errorProto}var doEval=function Ra(qa){var ed;if(qa==="%AsyncFunction%")ed=getEvalledConstructor("async function () {}");else if(qa==="%GeneratorFunction%")ed=getEvalledConstructor("function* () {}");else if(qa==="%AsyncGeneratorFunction%")ed=getEvalledConstructor("async function* () {}");else if(qa==="%AsyncGenerator%"){var td=Ra("%AsyncGeneratorFunction%");td&&(ed=td.prototype)}else if(qa==="%AsyncIteratorPrototype%"){var rd=Ra("%AsyncGenerator%");rd&&getProto$1&&(ed=getProto$1(rd.prototype))}return INTRINSICS[qa]=ed,ed},LEGACY_ALIASES={__proto__:null,"%ArrayBufferPrototype%":["ArrayBuffer","prototype"],"%ArrayPrototype%":["Array","prototype"],"%ArrayProto_entries%":["Array","prototype","entries"],"%ArrayProto_forEach%":["Array","prototype","forEach"],"%ArrayProto_keys%":["Array","prototype","keys"],"%ArrayProto_values%":["Array","prototype","values"],"%AsyncFunctionPrototype%":["AsyncFunction","prototype"],"%AsyncGenerator%":["AsyncGeneratorFunction","prototype"],"%AsyncGeneratorPrototype%":["AsyncGeneratorFunction","prototype","prototype"],"%BooleanPrototype%":["Boolean","prototype"],"%DataViewPrototype%":["DataView","prototype"],"%DatePrototype%":["Date","prototype"],"%ErrorPrototype%":["Error","prototype"],"%EvalErrorPrototype%":["EvalError","prototype"],"%Float32ArrayPrototype%":["Float32Array","prototype"],"%Float64ArrayPrototype%":["Float64Array","prototype"],"%FunctionPrototype%":["Function","prototype"],"%Generator%":["GeneratorFunction","prototype"],"%GeneratorPrototype%":["GeneratorFunction","prototype","prototype"],"%Int8ArrayPrototype%":["Int8Array","prototype"],"%Int16ArrayPrototype%":["Int16Array","prototype"],"%Int32ArrayPrototype%":["Int32Array","prototype"],"%JSONParse%":["JSON","parse"],"%JSONStringify%":["JSON","stringify"],"%MapPrototype%":["Map","prototype"],"%NumberPrototype%":["Number","prototype"],"%ObjectPrototype%":["Object","prototype"],"%ObjProto_toString%":["Object","prototype","toString"],"%ObjProto_valueOf%":["Object","prototype","valueOf"],"%PromisePrototype%":["Promise","prototype"],"%PromiseProto_then%":["Promise","prototype","then"],"%Promise_all%":["Promise","all"],"%Promise_reject%":["Promise","reject"],"%Promise_resolve%":["Promise","resolve"],"%RangeErrorPrototype%":["RangeError","prototype"],"%ReferenceErrorPrototype%":["ReferenceError","prototype"],"%RegExpPrototype%":["RegExp","prototype"],"%SetPrototype%":["Set","prototype"],"%SharedArrayBufferPrototype%":["SharedArrayBuffer","prototype"],"%StringPrototype%":["String","prototype"],"%SymbolPrototype%":["Symbol","prototype"],"%SyntaxErrorPrototype%":["SyntaxError","prototype"],"%TypedArrayPrototype%":["TypedArray","prototype"],"%TypeErrorPrototype%":["TypeError","prototype"],"%Uint8ArrayPrototype%":["Uint8Array","prototype"],"%Uint8ClampedArrayPrototype%":["Uint8ClampedArray","prototype"],"%Uint16ArrayPrototype%":["Uint16Array","prototype"],"%Uint32ArrayPrototype%":["Uint32Array","prototype"],"%URIErrorPrototype%":["URIError","prototype"],"%WeakMapPrototype%":["WeakMap","prototype"],"%WeakSetPrototype%":["WeakSet","prototype"]},bind$1=functionBind,hasOwn=hasown,$concat=bind$1.call(Function.call,Array.prototype.concat),$spliceApply=bind$1.call(Function.apply,Array.prototype.splice),$replace=bind$1.call(Function.call,String.prototype.replace),$strSlice=bind$1.call(Function.call,String.prototype.slice),$exec=bind$1.call(Function.call,RegExp.prototype.exec),rePropName=/[^%.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\\]|\\.)*?)\2)\]|(?=(?:\.|\[\])(?:\.|\[\]|%$))/g,reEscapeChar=/\\(\\)?/g,stringToPath=function(qa){var ed=$strSlice(qa,0,1),td=$strSlice(qa,-1);if(ed==="%"&&td!=="%")throw new $SyntaxError$1("invalid intrinsic syntax, expected closing `%`");if(td==="%"&&ed!=="%")throw new $SyntaxError$1("invalid intrinsic syntax, expected opening `%`");var rd=[];return $replace(qa,rePropName,function(sd,od,ld,cd){rd[rd.length]=ld?$replace(cd,reEscapeChar,"$1"):od||sd}),rd},getBaseIntrinsic=function(qa,ed){var td=qa,rd;if(hasOwn(LEGACY_ALIASES,td)&&(rd=LEGACY_ALIASES[td],td="%"+rd[0]+"%"),hasOwn(INTRINSICS,td)){var sd=INTRINSICS[td];if(sd===needsEval&&(sd=doEval(td)),typeof sd>"u"&&!ed)throw new $TypeError$2("intrinsic "+qa+" exists, but is not available. Please file an issue!");return{alias:rd,name:td,value:sd}}throw new $SyntaxError$1("intrinsic "+qa+" does not exist!")},getIntrinsic=function(qa,ed){if(typeof qa!="string"||qa.length===0)throw new $TypeError$2("intrinsic name must be a non-empty string");if(arguments.length>1&&typeof ed!="boolean")throw new $TypeError$2('"allowMissing" argument must be a boolean');if($exec(/^%?[^%]*%?$/,qa)===null)throw new $SyntaxError$1("`%` may not be present anywhere but at the beginning and end of the intrinsic name");var td=stringToPath(qa),rd=td.length>0?td[0]:"",sd=getBaseIntrinsic("%"+rd+"%",ed),od=sd.name,ld=sd.value,cd=!1,ud=sd.alias;ud&&(rd=ud[0],$spliceApply(td,$concat([0,1],ud)));for(var _d=1,Ed=!0;_d=td.length){var Rd=$gOPD$1(ld,Ad);Ed=!!Rd,Ed&&"get"in Rd&&!("originalValue"in Rd.get)?ld=Rd.get:ld=ld[Ad]}else Ed=hasOwn(ld,Ad),ld=ld[Ad];Ed&&!cd&&(INTRINSICS[od]=ld)}}return ld},callBind$2={exports:{}},esDefineProperty,hasRequiredEsDefineProperty;function requireEsDefineProperty(){if(hasRequiredEsDefineProperty)return esDefineProperty;hasRequiredEsDefineProperty=1;var Ra=getIntrinsic,qa=Ra("%Object.defineProperty%",!0)||!1;if(qa)try{qa({},"a",{value:1})}catch{qa=!1}return esDefineProperty=qa,esDefineProperty}var GetIntrinsic$2=getIntrinsic,$gOPD=GetIntrinsic$2("%Object.getOwnPropertyDescriptor%",!0);if($gOPD)try{$gOPD([],"length")}catch{$gOPD=null}var gopd$1=$gOPD,$defineProperty$1=requireEsDefineProperty(),$SyntaxError=syntax,$TypeError$1=type$1,gopd=gopd$1,defineDataProperty=function(qa,ed,td){if(!qa||typeof qa!="object"&&typeof qa!="function")throw new $TypeError$1("`obj` must be an object or a function`");if(typeof ed!="string"&&typeof ed!="symbol")throw new $TypeError$1("`property` must be a string or a symbol`");if(arguments.length>3&&typeof arguments[3]!="boolean"&&arguments[3]!==null)throw new $TypeError$1("`nonEnumerable`, if provided, must be a boolean or null");if(arguments.length>4&&typeof arguments[4]!="boolean"&&arguments[4]!==null)throw new $TypeError$1("`nonWritable`, if provided, must be a boolean or null");if(arguments.length>5&&typeof arguments[5]!="boolean"&&arguments[5]!==null)throw new $TypeError$1("`nonConfigurable`, if provided, must be a boolean or null");if(arguments.length>6&&typeof arguments[6]!="boolean")throw new $TypeError$1("`loose`, if provided, must be a boolean");var rd=arguments.length>3?arguments[3]:null,sd=arguments.length>4?arguments[4]:null,od=arguments.length>5?arguments[5]:null,ld=arguments.length>6?arguments[6]:!1,cd=!!gopd&&gopd(qa,ed);if($defineProperty$1)$defineProperty$1(qa,ed,{configurable:od===null&&cd?cd.configurable:!od,enumerable:rd===null&&cd?cd.enumerable:!rd,value:td,writable:sd===null&&cd?cd.writable:!sd});else if(ld||!rd&&!sd&&!od)qa[ed]=td;else throw new $SyntaxError("This environment does not support defining a property as non-configurable, non-writable, or non-enumerable.")},$defineProperty=requireEsDefineProperty(),hasPropertyDescriptors=function(){return!!$defineProperty};hasPropertyDescriptors.hasArrayLengthDefineBug=function(){if(!$defineProperty)return null;try{return $defineProperty([],"length",{value:1}).length!==1}catch{return!0}};var hasPropertyDescriptors_1=hasPropertyDescriptors,GetIntrinsic$1=getIntrinsic,define$1=defineDataProperty,hasDescriptors=hasPropertyDescriptors_1(),gOPD$1=gopd$1,$TypeError=type$1,$floor=GetIntrinsic$1("%Math.floor%"),setFunctionLength=function(qa,ed){if(typeof qa!="function")throw new $TypeError("`fn` is not a function");if(typeof ed!="number"||ed<0||ed>4294967295||$floor(ed)!==ed)throw new $TypeError("`length` must be a positive 32-bit integer");var td=arguments.length>2&&!!arguments[2],rd=!0,sd=!0;if("length"in qa&&gOPD$1){var od=gOPD$1(qa,"length");od&&!od.configurable&&(rd=!1),od&&!od.writable&&(sd=!1)}return(rd||sd||!td)&&(hasDescriptors?define$1(qa,"length",ed,!0,!0):define$1(qa,"length",ed)),qa};(function(Ra){var qa=functionBind,ed=getIntrinsic,td=setFunctionLength,rd=type$1,sd=ed("%Function.prototype.apply%"),od=ed("%Function.prototype.call%"),ld=ed("%Reflect.apply%",!0)||qa.call(od,sd),cd=requireEsDefineProperty(),ud=ed("%Math.max%");Ra.exports=function(Ad){if(typeof Ad!="function")throw new rd("a function is required");var Td=ld(qa,od,arguments);return td(Td,1+ud(0,Ad.length-(arguments.length-1)),!0)};var _d=function(){return ld(qa,sd,arguments)};cd?cd(Ra.exports,"apply",{value:_d}):Ra.exports.apply=_d})(callBind$2);var callBindExports=callBind$2.exports,GetIntrinsic=getIntrinsic,callBind$1=callBindExports,$indexOf$1=callBind$1(GetIntrinsic("String.prototype.indexOf")),callBound$2=function(qa,ed){var td=GetIntrinsic(qa,!!ed);return typeof td=="function"&&$indexOf$1(qa,".prototype.")>-1?callBind$1(td):td},hasToStringTag$3=shams(),callBound$1=callBound$2,$toString$1=callBound$1("Object.prototype.toString"),isStandardArguments=function(qa){return hasToStringTag$3&&qa&&typeof qa=="object"&&Symbol.toStringTag in qa?!1:$toString$1(qa)==="[object Arguments]"},isLegacyArguments=function(qa){return isStandardArguments(qa)?!0:qa!==null&&typeof qa=="object"&&typeof qa.length=="number"&&qa.length>=0&&$toString$1(qa)!=="[object Array]"&&$toString$1(qa.callee)==="[object Function]"},supportsStandardArguments=function(){return isStandardArguments(arguments)}();isStandardArguments.isLegacyArguments=isLegacyArguments;var isArguments=supportsStandardArguments?isStandardArguments:isLegacyArguments,toStr$2=Object.prototype.toString,fnToStr$1=Function.prototype.toString,isFnRegex=/^\s*(?:function)?\*/,hasToStringTag$2=shams(),getProto=Object.getPrototypeOf,getGeneratorFunc=function(){if(!hasToStringTag$2)return!1;try{return Function("return function*() {}")()}catch{}},GeneratorFunction,isGeneratorFunction=function(qa){if(typeof qa!="function")return!1;if(isFnRegex.test(fnToStr$1.call(qa)))return!0;if(!hasToStringTag$2){var ed=toStr$2.call(qa);return ed==="[object GeneratorFunction]"}if(!getProto)return!1;if(typeof GeneratorFunction>"u"){var td=getGeneratorFunc();GeneratorFunction=td?getProto(td):!1}return getProto(qa)===GeneratorFunction},fnToStr=Function.prototype.toString,reflectApply=typeof Reflect=="object"&&Reflect!==null&&Reflect.apply,badArrayLike,isCallableMarker;if(typeof reflectApply=="function"&&typeof Object.defineProperty=="function")try{badArrayLike=Object.defineProperty({},"length",{get:function(){throw isCallableMarker}}),isCallableMarker={},reflectApply(function(){throw 42},null,badArrayLike)}catch(Ra){Ra!==isCallableMarker&&(reflectApply=null)}else reflectApply=null;var constructorRegex=/^\s*class\b/,isES6ClassFn=function(qa){try{var ed=fnToStr.call(qa);return constructorRegex.test(ed)}catch{return!1}},tryFunctionObject=function(qa){try{return isES6ClassFn(qa)?!1:(fnToStr.call(qa),!0)}catch{return!1}},toStr$1=Object.prototype.toString,objectClass="[object Object]",fnClass="[object Function]",genClass="[object GeneratorFunction]",ddaClass="[object HTMLAllCollection]",ddaClass2="[object HTML document.all class]",ddaClass3="[object HTMLCollection]",hasToStringTag$1=typeof Symbol=="function"&&!!Symbol.toStringTag,isIE68=!(0 in[,]),isDDA=function(){return!1};if(typeof document=="object"){var all=document.all;toStr$1.call(all)===toStr$1.call(document.all)&&(isDDA=function(qa){if((isIE68||!qa)&&(typeof qa>"u"||typeof qa=="object"))try{var ed=toStr$1.call(qa);return(ed===ddaClass||ed===ddaClass2||ed===ddaClass3||ed===objectClass)&&qa("")==null}catch{}return!1})}var isCallable$1=reflectApply?function(qa){if(isDDA(qa))return!0;if(!qa||typeof qa!="function"&&typeof qa!="object")return!1;try{reflectApply(qa,null,badArrayLike)}catch(ed){if(ed!==isCallableMarker)return!1}return!isES6ClassFn(qa)&&tryFunctionObject(qa)}:function(qa){if(isDDA(qa))return!0;if(!qa||typeof qa!="function"&&typeof qa!="object")return!1;if(hasToStringTag$1)return tryFunctionObject(qa);if(isES6ClassFn(qa))return!1;var ed=toStr$1.call(qa);return ed!==fnClass&&ed!==genClass&&!/^\[object HTML/.test(ed)?!1:tryFunctionObject(qa)},isCallable=isCallable$1,toStr=Object.prototype.toString,hasOwnProperty$2=Object.prototype.hasOwnProperty,forEachArray=function(qa,ed,td){for(var rd=0,sd=qa.length;rd=3&&(rd=td),toStr.call(qa)==="[object Array]"?forEachArray(qa,ed,rd):typeof qa=="string"?forEachString(qa,ed,rd):forEachObject(qa,ed,rd)},forEach_1=forEach$2,possibleTypedArrayNames=["Float32Array","Float64Array","Int8Array","Int16Array","Int32Array","Uint8Array","Uint8ClampedArray","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array"],possibleNames=possibleTypedArrayNames,g$3=typeof globalThis>"u"?commonjsGlobal:globalThis,availableTypedArrays$1=function(){for(var qa=[],ed=0;ed"u"?commonjsGlobal:globalThis,typedArrays=availableTypedArrays(),$slice=callBound("String.prototype.slice"),getPrototypeOf$2=Object.getPrototypeOf,$indexOf=callBound("Array.prototype.indexOf",!0)||function(qa,ed){for(var td=0;td-1?ed:ed!=="Object"?!1:trySlices(qa)}return gOPD?tryTypedArrays(qa):null},whichTypedArray=whichTypedArray$1,isTypedArray$2=function(qa){return!!whichTypedArray(qa)};(function(Ra){var qa=isArguments,ed=isGeneratorFunction,td=whichTypedArray$1,rd=isTypedArray$2;function sd(Vf){return Vf.call.bind(Vf)}var od=typeof BigInt<"u",ld=typeof Symbol<"u",cd=sd(Object.prototype.toString),ud=sd(Number.prototype.valueOf),_d=sd(String.prototype.valueOf),Ed=sd(Boolean.prototype.valueOf);if(od)var Ad=sd(BigInt.prototype.valueOf);if(ld)var Td=sd(Symbol.prototype.valueOf);function Nd(Vf,dh){if(typeof Vf!="object")return!1;try{return dh(Vf),!0}catch{return!1}}Ra.isArgumentsObject=qa,Ra.isGeneratorFunction=ed,Ra.isTypedArray=rd;function Rd(Vf){return typeof Promise<"u"&&Vf instanceof Promise||Vf!==null&&typeof Vf=="object"&&typeof Vf.then=="function"&&typeof Vf.catch=="function"}Ra.isPromise=Rd;function Bd(Vf){return typeof ArrayBuffer<"u"&&ArrayBuffer.isView?ArrayBuffer.isView(Vf):rd(Vf)||xf(Vf)}Ra.isArrayBufferView=Bd;function kd(Vf){return td(Vf)==="Uint8Array"}Ra.isUint8Array=kd;function Od(Vf){return td(Vf)==="Uint8ClampedArray"}Ra.isUint8ClampedArray=Od;function Md(Vf){return td(Vf)==="Uint16Array"}Ra.isUint16Array=Md;function Pd(Vf){return td(Vf)==="Uint32Array"}Ra.isUint32Array=Pd;function Fd(Vf){return td(Vf)==="Int8Array"}Ra.isInt8Array=Fd;function Ud(Vf){return td(Vf)==="Int16Array"}Ra.isInt16Array=Ud;function Gd(Vf){return td(Vf)==="Int32Array"}Ra.isInt32Array=Gd;function Qd(Vf){return td(Vf)==="Float32Array"}Ra.isFloat32Array=Qd;function Vd(Vf){return td(Vf)==="Float64Array"}Ra.isFloat64Array=Vd;function zd(Vf){return td(Vf)==="BigInt64Array"}Ra.isBigInt64Array=zd;function Xd(Vf){return td(Vf)==="BigUint64Array"}Ra.isBigUint64Array=Xd;function df(Vf){return cd(Vf)==="[object Map]"}df.working=typeof Map<"u"&&df(new Map);function mf(Vf){return typeof Map>"u"?!1:df.working?df(Vf):Vf instanceof Map}Ra.isMap=mf;function _f(Vf){return cd(Vf)==="[object Set]"}_f.working=typeof Set<"u"&&_f(new Set);function hf(Vf){return typeof Set>"u"?!1:_f.working?_f(Vf):Vf instanceof Set}Ra.isSet=hf;function gf(Vf){return cd(Vf)==="[object WeakMap]"}gf.working=typeof WeakMap<"u"&&gf(new WeakMap);function yf(Vf){return typeof WeakMap>"u"?!1:gf.working?gf(Vf):Vf instanceof WeakMap}Ra.isWeakMap=yf;function Nf(Vf){return cd(Vf)==="[object WeakSet]"}Nf.working=typeof WeakSet<"u"&&Nf(new WeakSet);function Pf(Vf){return Nf(Vf)}Ra.isWeakSet=Pf;function Yf(Vf){return cd(Vf)==="[object ArrayBuffer]"}Yf.working=typeof ArrayBuffer<"u"&&Yf(new ArrayBuffer);function Uf(Vf){return typeof ArrayBuffer>"u"?!1:Yf.working?Yf(Vf):Vf instanceof ArrayBuffer}Ra.isArrayBuffer=Uf;function Lf(Vf){return cd(Vf)==="[object DataView]"}Lf.working=typeof ArrayBuffer<"u"&&typeof DataView<"u"&&Lf(new DataView(new ArrayBuffer(1),0,1));function xf(Vf){return typeof DataView>"u"?!1:Lf.working?Lf(Vf):Vf instanceof DataView}Ra.isDataView=xf;var wf=typeof SharedArrayBuffer<"u"?SharedArrayBuffer:void 0;function Jf(Vf){return cd(Vf)==="[object SharedArrayBuffer]"}function Qf(Vf){return typeof wf>"u"?!1:(typeof Jf.working>"u"&&(Jf.working=Jf(new wf)),Jf.working?Jf(Vf):Vf instanceof wf)}Ra.isSharedArrayBuffer=Qf;function Ef(Vf){return cd(Vf)==="[object AsyncFunction]"}Ra.isAsyncFunction=Ef;function bf(Vf){return cd(Vf)==="[object Map Iterator]"}Ra.isMapIterator=bf;function Bf(Vf){return cd(Vf)==="[object Set Iterator]"}Ra.isSetIterator=Bf;function Kf(Vf){return cd(Vf)==="[object Generator]"}Ra.isGeneratorObject=Kf;function nh(Vf){return cd(Vf)==="[object WebAssembly.Module]"}Ra.isWebAssemblyCompiledModule=nh;function zf(Vf){return Nd(Vf,ud)}Ra.isNumberObject=zf;function $f(Vf){return Nd(Vf,_d)}Ra.isStringObject=$f;function th(Vf){return Nd(Vf,Ed)}Ra.isBooleanObject=th;function hh(Vf){return od&&Nd(Vf,Ad)}Ra.isBigIntObject=hh;function Xf(Vf){return ld&&Nd(Vf,Td)}Ra.isSymbolObject=Xf;function Df(Vf){return zf(Vf)||$f(Vf)||th(Vf)||hh(Vf)||Xf(Vf)}Ra.isBoxedPrimitive=Df;function Of(Vf){return typeof Uint8Array<"u"&&(Uf(Vf)||Qf(Vf))}Ra.isAnyArrayBuffer=Of,["isProxy","isExternal","isModuleNamespaceObject"].forEach(function(Vf){Object.defineProperty(Ra,Vf,{enumerable:!1,value:function(){throw new Error(Vf+" is not supported in userland")}})})})(types$1);var isBufferBrowser=function(qa){return qa&&typeof qa=="object"&&typeof qa.copy=="function"&&typeof qa.fill=="function"&&typeof qa.readUInt8=="function"};(function(Ra){var qa={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}},ed={},td=Object.getOwnPropertyDescriptors||function(Jf){for(var Qf=Object.keys(Jf),Ef={},bf=0;bf=bf)return nh;switch(nh){case"%s":return String(Ef[Qf++]);case"%d":return Number(Ef[Qf++]);case"%j":try{return JSON.stringify(Ef[Qf++])}catch{return"[Circular]"}default:return nh}}),Kf=Ef[Qf];Qf"u")return function(){return Ra.deprecate(wf,Jf).apply(this,arguments)};var Qf=!1;function Ef(){if(!Qf){if(qa.throwDeprecation)throw new Error(Jf);qa.traceDeprecation?console.trace(Jf):console.error(Jf),Qf=!0}return wf.apply(this,arguments)}return Ef};var sd={},od=/^$/;if(ed.NODE_DEBUG){var ld=ed.NODE_DEBUG;ld=ld.replace(/[|\\{}()[\]^$+?.]/g,"\\$&").replace(/\*/g,".*").replace(/,/g,"$|^").toUpperCase(),od=new RegExp("^"+ld+"$","i")}Ra.debuglog=function(wf){if(wf=wf.toUpperCase(),!sd[wf])if(od.test(wf)){var Jf=qa.pid;sd[wf]=function(){var Qf=Ra.format.apply(Ra,arguments);console.error("%s %d: %s",wf,Jf,Qf)}}else sd[wf]=function(){};return sd[wf]};function cd(wf,Jf){var Qf={seen:[],stylize:_d};return arguments.length>=3&&(Qf.depth=arguments[2]),arguments.length>=4&&(Qf.colors=arguments[3]),Md(Jf)?Qf.showHidden=Jf:Jf&&Ra._extend(Qf,Jf),Vd(Qf.showHidden)&&(Qf.showHidden=!1),Vd(Qf.depth)&&(Qf.depth=2),Vd(Qf.colors)&&(Qf.colors=!1),Vd(Qf.customInspect)&&(Qf.customInspect=!0),Qf.colors&&(Qf.stylize=ud),Ad(Qf,wf,Qf.depth)}Ra.inspect=cd,cd.colors={bold:[1,22],italic:[3,23],underline:[4,24],inverse:[7,27],white:[37,39],grey:[90,39],black:[30,39],blue:[34,39],cyan:[36,39],green:[32,39],magenta:[35,39],red:[31,39],yellow:[33,39]},cd.styles={special:"cyan",number:"yellow",boolean:"yellow",undefined:"grey",null:"bold",string:"green",date:"magenta",regexp:"red"};function ud(wf,Jf){var Qf=cd.styles[Jf];return Qf?"\x1B["+cd.colors[Qf][0]+"m"+wf+"\x1B["+cd.colors[Qf][1]+"m":wf}function _d(wf,Jf){return wf}function Ed(wf){var Jf={};return wf.forEach(function(Qf,Ef){Jf[Qf]=!0}),Jf}function Ad(wf,Jf,Qf){if(wf.customInspect&&Jf&&_f(Jf.inspect)&&Jf.inspect!==Ra.inspect&&!(Jf.constructor&&Jf.constructor.prototype===Jf)){var Ef=Jf.inspect(Qf,wf);return Gd(Ef)||(Ef=Ad(wf,Ef,Qf)),Ef}var bf=Td(wf,Jf);if(bf)return bf;var Bf=Object.keys(Jf),Kf=Ed(Bf);if(wf.showHidden&&(Bf=Object.getOwnPropertyNames(Jf)),mf(Jf)&&(Bf.indexOf("message")>=0||Bf.indexOf("description")>=0))return Nd(Jf);if(Bf.length===0){if(_f(Jf)){var nh=Jf.name?": "+Jf.name:"";return wf.stylize("[Function"+nh+"]","special")}if(zd(Jf))return wf.stylize(RegExp.prototype.toString.call(Jf),"regexp");if(df(Jf))return wf.stylize(Date.prototype.toString.call(Jf),"date");if(mf(Jf))return Nd(Jf)}var zf="",$f=!1,th=["{","}"];if(Od(Jf)&&($f=!0,th=["[","]"]),_f(Jf)){var hh=Jf.name?": "+Jf.name:"";zf=" [Function"+hh+"]"}if(zd(Jf)&&(zf=" "+RegExp.prototype.toString.call(Jf)),df(Jf)&&(zf=" "+Date.prototype.toUTCString.call(Jf)),mf(Jf)&&(zf=" "+Nd(Jf)),Bf.length===0&&(!$f||Jf.length==0))return th[0]+zf+th[1];if(Qf<0)return zd(Jf)?wf.stylize(RegExp.prototype.toString.call(Jf),"regexp"):wf.stylize("[Object]","special");wf.seen.push(Jf);var Xf;return $f?Xf=Rd(wf,Jf,Qf,Kf,Bf):Xf=Bf.map(function(Df){return Bd(wf,Jf,Qf,Kf,Df,$f)}),wf.seen.pop(),kd(Xf,zf,th)}function Td(wf,Jf){if(Vd(Jf))return wf.stylize("undefined","undefined");if(Gd(Jf)){var Qf="'"+JSON.stringify(Jf).replace(/^"|"$/g,"").replace(/'/g,"\\'").replace(/\\"/g,'"')+"'";return wf.stylize(Qf,"string")}if(Ud(Jf))return wf.stylize(""+Jf,"number");if(Md(Jf))return wf.stylize(""+Jf,"boolean");if(Pd(Jf))return wf.stylize("null","null")}function Nd(wf){return"["+Error.prototype.toString.call(wf)+"]"}function Rd(wf,Jf,Qf,Ef,bf){for(var Bf=[],Kf=0,nh=Jf.length;Kf-1&&(Bf?nh=nh.split(` `).map(function($f){return" "+$f}).join(` `).slice(2):nh=` `+nh.split(` `).map(function($f){return" "+$f}).join(` `))):nh=wf.stylize("[Circular]","special")),Vd(Kf)){if(Bf&&bf.match(/^\d+$/))return nh;Kf=JSON.stringify(""+bf),Kf.match(/^"([a-zA-Z_][a-zA-Z_0-9]*)"$/)?(Kf=Kf.slice(1,-1),Kf=wf.stylize(Kf,"name")):(Kf=Kf.replace(/'/g,"\\'").replace(/\\"/g,'"').replace(/(^"|"$)/g,"'"),Kf=wf.stylize(Kf,"string"))}return Kf+": "+nh}function kd(wf,Jf,Qf){var Ef=wf.reduce(function(bf,Bf){return Bf.indexOf(` `)>=0,bf+Bf.replace(/\u001b\[\d\d?m/g,"").length+1},0);return Ef>60?Qf[0]+(Jf===""?"":Jf+` `)+" "+wf.join(`, `)+" "+Qf[1]:Qf[0]+Jf+" "+wf.join(", ")+" "+Qf[1]}Ra.types=types$1;function Od(wf){return Array.isArray(wf)}Ra.isArray=Od;function Md(wf){return typeof wf=="boolean"}Ra.isBoolean=Md;function Pd(wf){return wf===null}Ra.isNull=Pd;function Fd(wf){return wf==null}Ra.isNullOrUndefined=Fd;function Ud(wf){return typeof wf=="number"}Ra.isNumber=Ud;function Gd(wf){return typeof wf=="string"}Ra.isString=Gd;function Qd(wf){return typeof wf=="symbol"}Ra.isSymbol=Qd;function Vd(wf){return wf===void 0}Ra.isUndefined=Vd;function zd(wf){return Xd(wf)&&gf(wf)==="[object RegExp]"}Ra.isRegExp=zd,Ra.types.isRegExp=zd;function Xd(wf){return typeof wf=="object"&&wf!==null}Ra.isObject=Xd;function df(wf){return Xd(wf)&&gf(wf)==="[object Date]"}Ra.isDate=df,Ra.types.isDate=df;function mf(wf){return Xd(wf)&&(gf(wf)==="[object Error]"||wf instanceof Error)}Ra.isError=mf,Ra.types.isNativeError=mf;function _f(wf){return typeof wf=="function"}Ra.isFunction=_f;function hf(wf){return wf===null||typeof wf=="boolean"||typeof wf=="number"||typeof wf=="string"||typeof wf=="symbol"||typeof wf>"u"}Ra.isPrimitive=hf,Ra.isBuffer=isBufferBrowser;function gf(wf){return Object.prototype.toString.call(wf)}function yf(wf){return wf<10?"0"+wf.toString(10):wf.toString(10)}var Nf=["Jan","Feb","Mar","Apr","May","Jun","Jul","Aug","Sep","Oct","Nov","Dec"];function Pf(){var wf=new Date,Jf=[yf(wf.getHours()),yf(wf.getMinutes()),yf(wf.getSeconds())].join(":");return[wf.getDate(),Nf[wf.getMonth()],Jf].join(" ")}Ra.log=function(){console.log("%s - %s",Pf(),Ra.format.apply(Ra,arguments))},Ra.inherits=inherits_browserExports,Ra._extend=function(wf,Jf){if(!Jf||!Xd(Jf))return wf;for(var Qf=Object.keys(Jf),Ef=Qf.length;Ef--;)wf[Qf[Ef]]=Jf[Qf[Ef]];return wf};function Yf(wf,Jf){return Object.prototype.hasOwnProperty.call(wf,Jf)}var Uf=typeof Symbol<"u"?Symbol("util.promisify.custom"):void 0;Ra.promisify=function(Jf){if(typeof Jf!="function")throw new TypeError('The "original" argument must be of type Function');if(Uf&&Jf[Uf]){var Qf=Jf[Uf];if(typeof Qf!="function")throw new TypeError('The "util.promisify.custom" argument must be of type Function');return Object.defineProperty(Qf,Uf,{value:Qf,enumerable:!1,writable:!1,configurable:!0}),Qf}function Qf(){for(var Ef,bf,Bf=new Promise(function(zf,$f){Ef=zf,bf=$f}),Kf=[],nh=0;nh0?this.tail.next=kd:this.head=kd,this.tail=kd,++this.length}},{key:"unshift",value:function(Bd){var kd={data:Bd,next:this.head};this.length===0&&(this.tail=kd),this.head=kd,++this.length}},{key:"shift",value:function(){if(this.length!==0){var Bd=this.head.data;return this.length===1?this.head=this.tail=null:this.head=this.head.next,--this.length,Bd}}},{key:"clear",value:function(){this.head=this.tail=null,this.length=0}},{key:"join",value:function(Bd){if(this.length===0)return"";for(var kd=this.head,Od=""+kd.data;kd=kd.next;)Od+=Bd+kd.data;return Od}},{key:"concat",value:function(Bd){if(this.length===0)return ud.alloc(0);for(var kd=ud.allocUnsafe(Bd>>>0),Od=this.head,Md=0;Od;)Td(Od.data,kd,Md),Md+=Od.data.length,Od=Od.next;return kd}},{key:"consume",value:function(Bd,kd){var Od;return BdPd.length?Pd.length:Bd;if(Fd===Pd.length?Md+=Pd:Md+=Pd.slice(0,Bd),Bd-=Fd,Bd===0){Fd===Pd.length?(++Od,kd.next?this.head=kd.next:this.head=this.tail=null):(this.head=kd,kd.data=Pd.slice(Fd));break}++Od}return this.length-=Od,Md}},{key:"_getBuffer",value:function(Bd){var kd=ud.allocUnsafe(Bd),Od=this.head,Md=1;for(Od.data.copy(kd),Bd-=Od.data.length;Od=Od.next;){var Pd=Od.data,Fd=Bd>Pd.length?Pd.length:Bd;if(Pd.copy(kd,kd.length-Bd,0,Fd),Bd-=Fd,Bd===0){Fd===Pd.length?(++Md,Od.next?this.head=Od.next:this.head=this.tail=null):(this.head=Od,Od.data=Pd.slice(Fd));break}++Md}return this.length-=Md,kd}},{key:Ad,value:function(Bd,kd){return Ed(this,qa(qa({},kd),{},{depth:0,customInspect:!1}))}}]),Nd}(),buffer_list}var define_process_default$7={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};function destroy(Ra,qa){var ed=this,td=this._readableState&&this._readableState.destroyed,rd=this._writableState&&this._writableState.destroyed;return td||rd?(qa?qa(Ra):Ra&&(this._writableState?this._writableState.errorEmitted||(this._writableState.errorEmitted=!0,define_process_default$7.nextTick(emitErrorNT,this,Ra)):define_process_default$7.nextTick(emitErrorNT,this,Ra)),this):(this._readableState&&(this._readableState.destroyed=!0),this._writableState&&(this._writableState.destroyed=!0),this._destroy(Ra||null,function(sd){!qa&&sd?ed._writableState?ed._writableState.errorEmitted?define_process_default$7.nextTick(emitCloseNT,ed):(ed._writableState.errorEmitted=!0,define_process_default$7.nextTick(emitErrorAndCloseNT,ed,sd)):define_process_default$7.nextTick(emitErrorAndCloseNT,ed,sd):qa?(define_process_default$7.nextTick(emitCloseNT,ed),qa(sd)):define_process_default$7.nextTick(emitCloseNT,ed)}),this)}function emitErrorAndCloseNT(Ra,qa){emitErrorNT(Ra,qa),emitCloseNT(Ra)}function emitCloseNT(Ra){Ra._writableState&&!Ra._writableState.emitClose||Ra._readableState&&!Ra._readableState.emitClose||Ra.emit("close")}function undestroy(){this._readableState&&(this._readableState.destroyed=!1,this._readableState.reading=!1,this._readableState.ended=!1,this._readableState.endEmitted=!1),this._writableState&&(this._writableState.destroyed=!1,this._writableState.ended=!1,this._writableState.ending=!1,this._writableState.finalCalled=!1,this._writableState.prefinished=!1,this._writableState.finished=!1,this._writableState.errorEmitted=!1)}function emitErrorNT(Ra,qa){Ra.emit("error",qa)}function errorOrDestroy(Ra,qa){var ed=Ra._readableState,td=Ra._writableState;ed&&ed.autoDestroy||td&&td.autoDestroy?Ra.destroy(qa):Ra.emit("error",qa)}var destroy_1={destroy,undestroy,errorOrDestroy},errorsBrowser={};function _inheritsLoose(Ra,qa){Ra.prototype=Object.create(qa.prototype),Ra.prototype.constructor=Ra,Ra.__proto__=qa}var codes={};function createErrorType(Ra,qa,ed){ed||(ed=Error);function td(sd,od,ld){return typeof qa=="string"?qa:qa(sd,od,ld)}var rd=function(sd){_inheritsLoose(od,sd);function od(ld,cd,ud){return sd.call(this,td(ld,cd,ud))||this}return od}(ed);rd.prototype.name=ed.name,rd.prototype.code=Ra,codes[Ra]=rd}function oneOf(Ra,qa){if(Array.isArray(Ra)){var ed=Ra.length;return Ra=Ra.map(function(td){return String(td)}),ed>2?"one of ".concat(qa," ").concat(Ra.slice(0,ed-1).join(", "),", or ")+Ra[ed-1]:ed===2?"one of ".concat(qa," ").concat(Ra[0]," or ").concat(Ra[1]):"of ".concat(qa," ").concat(Ra[0])}else return"of ".concat(qa," ").concat(String(Ra))}function startsWith$1(Ra,qa,ed){return Ra.substr(0,qa.length)===qa}function endsWith$1(Ra,qa,ed){return(ed===void 0||ed>Ra.length)&&(ed=Ra.length),Ra.substring(ed-qa.length,ed)===qa}function includes(Ra,qa,ed){return typeof ed!="number"&&(ed=0),ed+qa.length>Ra.length?!1:Ra.indexOf(qa,ed)!==-1}createErrorType("ERR_INVALID_OPT_VALUE",function(Ra,qa){return'The value "'+qa+'" is invalid for option "'+Ra+'"'},TypeError);createErrorType("ERR_INVALID_ARG_TYPE",function(Ra,qa,ed){var td;typeof qa=="string"&&startsWith$1(qa,"not ")?(td="must not be",qa=qa.replace(/^not /,"")):td="must be";var rd;if(endsWith$1(Ra," argument"))rd="The ".concat(Ra," ").concat(td," ").concat(oneOf(qa,"type"));else{var sd=includes(Ra,".")?"property":"argument";rd='The "'.concat(Ra,'" ').concat(sd," ").concat(td," ").concat(oneOf(qa,"type"))}return rd+=". Received type ".concat(typeof ed),rd},TypeError);createErrorType("ERR_STREAM_PUSH_AFTER_EOF","stream.push() after EOF");createErrorType("ERR_METHOD_NOT_IMPLEMENTED",function(Ra){return"The "+Ra+" method is not implemented"});createErrorType("ERR_STREAM_PREMATURE_CLOSE","Premature close");createErrorType("ERR_STREAM_DESTROYED",function(Ra){return"Cannot call "+Ra+" after a stream was destroyed"});createErrorType("ERR_MULTIPLE_CALLBACK","Callback called multiple times");createErrorType("ERR_STREAM_CANNOT_PIPE","Cannot pipe, not readable");createErrorType("ERR_STREAM_WRITE_AFTER_END","write after end");createErrorType("ERR_STREAM_NULL_VALUES","May not write null values to stream",TypeError);createErrorType("ERR_UNKNOWN_ENCODING",function(Ra){return"Unknown encoding: "+Ra},TypeError);createErrorType("ERR_STREAM_UNSHIFT_AFTER_END_EVENT","stream.unshift() after end event");errorsBrowser.codes=codes;var ERR_INVALID_OPT_VALUE=errorsBrowser.codes.ERR_INVALID_OPT_VALUE;function highWaterMarkFrom(Ra,qa,ed){return Ra.highWaterMark!=null?Ra.highWaterMark:qa?Ra[ed]:null}function getHighWaterMark(Ra,qa,ed,td){var rd=highWaterMarkFrom(qa,td,ed);if(rd!=null){if(!(isFinite(rd)&&Math.floor(rd)===rd)||rd<0){var sd=td?ed:"highWaterMark";throw new ERR_INVALID_OPT_VALUE(sd,rd)}return Math.floor(rd)}return Ra.objectMode?16:16*1024}var state$1={getHighWaterMark},browser=deprecate;function deprecate(Ra,qa){if(config$1("noDeprecation"))return Ra;var ed=!1;function td(){if(!ed){if(config$1("throwDeprecation"))throw new Error(qa);config$1("traceDeprecation")?console.trace(qa):console.warn(qa),ed=!0}return Ra.apply(this,arguments)}return td}function config$1(Ra){try{if(!commonjsGlobal.localStorage)return!1}catch{return!1}var qa=commonjsGlobal.localStorage[Ra];return qa==null?!1:String(qa).toLowerCase()==="true"}var _stream_writable,hasRequired_stream_writable;function require_stream_writable(){if(hasRequired_stream_writable)return _stream_writable;hasRequired_stream_writable=1;var Ra={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};_stream_writable=Vd;function qa(Ef){var bf=this;this.next=null,this.entry=null,this.finish=function(){Qf(bf,Ef)}}var ed;Vd.WritableState=Gd;var td={deprecate:browser},rd=streamBrowser,sd=buffer.Buffer,od=(typeof commonjsGlobal<"u"?commonjsGlobal:typeof window<"u"?window:typeof self<"u"?self:{}).Uint8Array||function(){};function ld(Ef){return sd.from(Ef)}function cd(Ef){return sd.isBuffer(Ef)||Ef instanceof od}var ud=destroy_1,_d=state$1,Ed=_d.getHighWaterMark,Ad=errorsBrowser.codes,Td=Ad.ERR_INVALID_ARG_TYPE,Nd=Ad.ERR_METHOD_NOT_IMPLEMENTED,Rd=Ad.ERR_MULTIPLE_CALLBACK,Bd=Ad.ERR_STREAM_CANNOT_PIPE,kd=Ad.ERR_STREAM_DESTROYED,Od=Ad.ERR_STREAM_NULL_VALUES,Md=Ad.ERR_STREAM_WRITE_AFTER_END,Pd=Ad.ERR_UNKNOWN_ENCODING,Fd=ud.errorOrDestroy;inherits_browserExports(Vd,rd);function Ud(){}function Gd(Ef,bf,Bf){ed=ed||require_stream_duplex(),Ef=Ef||{},typeof Bf!="boolean"&&(Bf=bf instanceof ed),this.objectMode=!!Ef.objectMode,Bf&&(this.objectMode=this.objectMode||!!Ef.writableObjectMode),this.highWaterMark=Ed(this,Ef,"writableHighWaterMark",Bf),this.finalCalled=!1,this.needDrain=!1,this.ending=!1,this.ended=!1,this.finished=!1,this.destroyed=!1;var Kf=Ef.decodeStrings===!1;this.decodeStrings=!Kf,this.defaultEncoding=Ef.defaultEncoding||"utf8",this.length=0,this.writing=!1,this.corked=0,this.sync=!0,this.bufferProcessing=!1,this.onwrite=function(nh){yf(bf,nh)},this.writecb=null,this.writelen=0,this.bufferedRequest=null,this.lastBufferedRequest=null,this.pendingcb=0,this.prefinished=!1,this.errorEmitted=!1,this.emitClose=Ef.emitClose!==!1,this.autoDestroy=!!Ef.autoDestroy,this.bufferedRequestCount=0,this.corkedRequestsFree=new qa(this)}Gd.prototype.getBuffer=function(){for(var bf=this.bufferedRequest,Bf=[];bf;)Bf.push(bf),bf=bf.next;return Bf},function(){try{Object.defineProperty(Gd.prototype,"buffer",{get:td.deprecate(function(){return this.getBuffer()},"_writableState.buffer is deprecated. Use _writableState.getBuffer instead.","DEP0003")})}catch{}}();var Qd;typeof Symbol=="function"&&Symbol.hasInstance&&typeof Function.prototype[Symbol.hasInstance]=="function"?(Qd=Function.prototype[Symbol.hasInstance],Object.defineProperty(Vd,Symbol.hasInstance,{value:function(bf){return Qd.call(this,bf)?!0:this!==Vd?!1:bf&&bf._writableState instanceof Gd}})):Qd=function(bf){return bf instanceof this};function Vd(Ef){ed=ed||require_stream_duplex();var bf=this instanceof ed;if(!bf&&!Qd.call(Vd,this))return new Vd(Ef);this._writableState=new Gd(Ef,this,bf),this.writable=!0,Ef&&(typeof Ef.write=="function"&&(this._write=Ef.write),typeof Ef.writev=="function"&&(this._writev=Ef.writev),typeof Ef.destroy=="function"&&(this._destroy=Ef.destroy),typeof Ef.final=="function"&&(this._final=Ef.final)),rd.call(this)}Vd.prototype.pipe=function(){Fd(this,new Bd)};function zd(Ef,bf){var Bf=new Md;Fd(Ef,Bf),Ra.nextTick(bf,Bf)}function Xd(Ef,bf,Bf,Kf){var nh;return Bf===null?nh=new Od:typeof Bf!="string"&&!bf.objectMode&&(nh=new Td("chunk",["string","Buffer"],Bf)),nh?(Fd(Ef,nh),Ra.nextTick(Kf,nh),!1):!0}Vd.prototype.write=function(Ef,bf,Bf){var Kf=this._writableState,nh=!1,zf=!Kf.objectMode&&cd(Ef);return zf&&!sd.isBuffer(Ef)&&(Ef=ld(Ef)),typeof bf=="function"&&(Bf=bf,bf=null),zf?bf="buffer":bf||(bf=Kf.defaultEncoding),typeof Bf!="function"&&(Bf=Ud),Kf.ending?zd(this,Bf):(zf||Xd(this,Kf,Ef,Bf))&&(Kf.pendingcb++,nh=mf(this,Kf,zf,Ef,bf,Bf)),nh},Vd.prototype.cork=function(){this._writableState.corked++},Vd.prototype.uncork=function(){var Ef=this._writableState;Ef.corked&&(Ef.corked--,!Ef.writing&&!Ef.corked&&!Ef.bufferProcessing&&Ef.bufferedRequest&&Yf(this,Ef))},Vd.prototype.setDefaultEncoding=function(bf){if(typeof bf=="string"&&(bf=bf.toLowerCase()),!(["hex","utf8","utf-8","ascii","binary","base64","ucs2","ucs-2","utf16le","utf-16le","raw"].indexOf((bf+"").toLowerCase())>-1))throw new Pd(bf);return this._writableState.defaultEncoding=bf,this},Object.defineProperty(Vd.prototype,"writableBuffer",{enumerable:!1,get:function(){return this._writableState&&this._writableState.getBuffer()}});function df(Ef,bf,Bf){return!Ef.objectMode&&Ef.decodeStrings!==!1&&typeof bf=="string"&&(bf=sd.from(bf,Bf)),bf}Object.defineProperty(Vd.prototype,"writableHighWaterMark",{enumerable:!1,get:function(){return this._writableState.highWaterMark}});function mf(Ef,bf,Bf,Kf,nh,zf){if(!Bf){var $f=df(bf,Kf,nh);Kf!==$f&&(Bf=!0,nh="buffer",Kf=$f)}var th=bf.objectMode?1:Kf.length;bf.length+=th;var hh=bf.length */var hasRequiredSafeBuffer;function requireSafeBuffer(){return hasRequiredSafeBuffer||(hasRequiredSafeBuffer=1,function(Ra,qa){var ed=buffer,td=ed.Buffer;function rd(od,ld){for(var cd in od)ld[cd]=od[cd]}td.from&&td.alloc&&td.allocUnsafe&&td.allocUnsafeSlow?Ra.exports=ed:(rd(ed,qa),qa.Buffer=sd);function sd(od,ld,cd){return td(od,ld,cd)}sd.prototype=Object.create(td.prototype),rd(td,sd),sd.from=function(od,ld,cd){if(typeof od=="number")throw new TypeError("Argument must not be a number");return td(od,ld,cd)},sd.alloc=function(od,ld,cd){if(typeof od!="number")throw new TypeError("Argument must be a number");var ud=td(od);return ld!==void 0?typeof cd=="string"?ud.fill(ld,cd):ud.fill(ld):ud.fill(0),ud},sd.allocUnsafe=function(od){if(typeof od!="number")throw new TypeError("Argument must be a number");return td(od)},sd.allocUnsafeSlow=function(od){if(typeof od!="number")throw new TypeError("Argument must be a number");return ed.SlowBuffer(od)}}(safeBuffer,safeBuffer.exports)),safeBuffer.exports}var hasRequiredString_decoder;function requireString_decoder(){if(hasRequiredString_decoder)return string_decoder;hasRequiredString_decoder=1;var Ra=requireSafeBuffer().Buffer,qa=Ra.isEncoding||function(kd){switch(kd=""+kd,kd&&kd.toLowerCase()){case"hex":case"utf8":case"utf-8":case"ascii":case"binary":case"base64":case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":case"raw":return!0;default:return!1}};function ed(kd){if(!kd)return"utf8";for(var Od;;)switch(kd){case"utf8":case"utf-8":return"utf8";case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return"utf16le";case"latin1":case"binary":return"latin1";case"base64":case"ascii":case"hex":return kd;default:if(Od)return;kd=(""+kd).toLowerCase(),Od=!0}}function td(kd){var Od=ed(kd);if(typeof Od!="string"&&(Ra.isEncoding===qa||!qa(kd)))throw new Error("Unknown encoding: "+kd);return Od||kd}string_decoder.StringDecoder=rd;function rd(kd){this.encoding=td(kd);var Od;switch(this.encoding){case"utf16le":this.text=Ed,this.end=Ad,Od=4;break;case"utf8":this.fillLast=cd,Od=4;break;case"base64":this.text=Td,this.end=Nd,Od=3;break;default:this.write=Rd,this.end=Bd;return}this.lastNeed=0,this.lastTotal=0,this.lastChar=Ra.allocUnsafe(Od)}rd.prototype.write=function(kd){if(kd.length===0)return"";var Od,Md;if(this.lastNeed){if(Od=this.fillLast(kd),Od===void 0)return"";Md=this.lastNeed,this.lastNeed=0}else Md=0;return Md>5===6?2:kd>>4===14?3:kd>>3===30?4:kd>>6===2?-1:-2}function od(kd,Od,Md){var Pd=Od.length-1;if(Pd=0?(Fd>0&&(kd.lastNeed=Fd-1),Fd):--Pd=0?(Fd>0&&(kd.lastNeed=Fd-2),Fd):--Pd=0?(Fd>0&&(Fd===2?Fd=0:kd.lastNeed=Fd-3),Fd):0))}function ld(kd,Od,Md){if((Od[0]&192)!==128)return kd.lastNeed=0,"�";if(kd.lastNeed>1&&Od.length>1){if((Od[1]&192)!==128)return kd.lastNeed=1,"�";if(kd.lastNeed>2&&Od.length>2&&(Od[2]&192)!==128)return kd.lastNeed=2,"�"}}function cd(kd){var Od=this.lastTotal-this.lastNeed,Md=ld(this,kd);if(Md!==void 0)return Md;if(this.lastNeed<=kd.length)return kd.copy(this.lastChar,Od,0,this.lastNeed),this.lastChar.toString(this.encoding,0,this.lastTotal);kd.copy(this.lastChar,Od,0,kd.length),this.lastNeed-=kd.length}function ud(kd,Od){var Md=od(this,kd,Od);if(!this.lastNeed)return kd.toString("utf8",Od);this.lastTotal=Md;var Pd=kd.length-(Md-this.lastNeed);return kd.copy(this.lastChar,0,Pd),kd.toString("utf8",Od,Pd)}function _d(kd){var Od=kd&&kd.length?this.write(kd):"";return this.lastNeed?Od+"�":Od}function Ed(kd,Od){if((kd.length-Od)%2===0){var Md=kd.toString("utf16le",Od);if(Md){var Pd=Md.charCodeAt(Md.length-1);if(Pd>=55296&&Pd<=56319)return this.lastNeed=2,this.lastTotal=4,this.lastChar[0]=kd[kd.length-2],this.lastChar[1]=kd[kd.length-1],Md.slice(0,-1)}return Md}return this.lastNeed=1,this.lastTotal=2,this.lastChar[0]=kd[kd.length-1],kd.toString("utf16le",Od,kd.length-1)}function Ad(kd){var Od=kd&&kd.length?this.write(kd):"";if(this.lastNeed){var Md=this.lastTotal-this.lastNeed;return Od+this.lastChar.toString("utf16le",0,Md)}return Od}function Td(kd,Od){var Md=(kd.length-Od)%3;return Md===0?kd.toString("base64",Od):(this.lastNeed=3-Md,this.lastTotal=3,Md===1?this.lastChar[0]=kd[kd.length-1]:(this.lastChar[0]=kd[kd.length-2],this.lastChar[1]=kd[kd.length-1]),kd.toString("base64",Od,kd.length-Md))}function Nd(kd){var Od=kd&&kd.length?this.write(kd):"";return this.lastNeed?Od+this.lastChar.toString("base64",0,3-this.lastNeed):Od}function Rd(kd){return kd.toString(this.encoding)}function Bd(kd){return kd&&kd.length?this.write(kd):""}return string_decoder}var ERR_STREAM_PREMATURE_CLOSE=errorsBrowser.codes.ERR_STREAM_PREMATURE_CLOSE;function once$2(Ra){var qa=!1;return function(){if(!qa){qa=!0;for(var ed=arguments.length,td=new Array(ed),rd=0;rd0)if(typeof $f!="string"&&!Df.objectMode&&Object.getPrototypeOf($f)!==rd.prototype&&($f=od($f)),hh)Df.endEmitted?Ud(zf,new Od):df(zf,Df,$f,!0);else if(Df.ended)Ud(zf,new Bd);else{if(Df.destroyed)return!1;Df.reading=!1,Df.decoder&&!th?($f=Df.decoder.write($f),Df.objectMode||$f.length!==0?df(zf,Df,$f,!1):Yf(zf,Df)):df(zf,Df,$f,!1)}else hh||(Df.reading=!1,Yf(zf,Df))}return!Df.ended&&(Df.length=_f?zf=_f:(zf--,zf|=zf>>>1,zf|=zf>>>2,zf|=zf>>>4,zf|=zf>>>8,zf|=zf>>>16,zf++),zf}function gf(zf,$f){return zf<=0||$f.length===0&&$f.ended?0:$f.objectMode?1:zf!==zf?$f.flowing&&$f.length?$f.buffer.head.data.length:$f.length:(zf>$f.highWaterMark&&($f.highWaterMark=hf(zf)),zf<=$f.length?zf:$f.ended?$f.length:($f.needReadable=!0,0))}zd.prototype.read=function(zf){ud("read",zf),zf=parseInt(zf,10);var $f=this._readableState,th=zf;if(zf!==0&&($f.emittedReadable=!1),zf===0&&$f.needReadable&&(($f.highWaterMark!==0?$f.length>=$f.highWaterMark:$f.length>0)||$f.ended))return ud("read: emitReadable",$f.length,$f.ended),$f.length===0&&$f.ended?Bf(this):Nf(this),null;if(zf=gf(zf,$f),zf===0&&$f.ended)return $f.length===0&&Bf(this),null;var hh=$f.needReadable;ud("need readable",hh),($f.length===0||$f.length-zf<$f.highWaterMark)&&(hh=!0,ud("length less than watermark",hh)),$f.ended||$f.reading?(hh=!1,ud("reading or ended",hh)):hh&&(ud("do read"),$f.reading=!0,$f.sync=!0,$f.length===0&&($f.needReadable=!0),this._read($f.highWaterMark),$f.sync=!1,$f.reading||(zf=gf(th,$f)));var Xf;return zf>0?Xf=bf(zf,$f):Xf=null,Xf===null?($f.needReadable=$f.length<=$f.highWaterMark,zf=0):($f.length-=zf,$f.awaitDrain=0),$f.length===0&&($f.ended||($f.needReadable=!0),th!==zf&&$f.ended&&Bf(this)),Xf!==null&&this.emit("data",Xf),Xf};function yf(zf,$f){if(ud("onEofChunk"),!$f.ended){if($f.decoder){var th=$f.decoder.end();th&&th.length&&($f.buffer.push(th),$f.length+=$f.objectMode?1:th.length)}$f.ended=!0,$f.sync?Nf(zf):($f.needReadable=!1,$f.emittedReadable||($f.emittedReadable=!0,Pf(zf)))}}function Nf(zf){var $f=zf._readableState;ud("emitReadable",$f.needReadable,$f.emittedReadable),$f.needReadable=!1,$f.emittedReadable||(ud("emitReadable",$f.flowing),$f.emittedReadable=!0,Ra.nextTick(Pf,zf))}function Pf(zf){var $f=zf._readableState;ud("emitReadable_",$f.destroyed,$f.length,$f.ended),!$f.destroyed&&($f.length||$f.ended)&&(zf.emit("readable"),$f.emittedReadable=!1),$f.needReadable=!$f.flowing&&!$f.ended&&$f.length<=$f.highWaterMark,Ef(zf)}function Yf(zf,$f){$f.readingMore||($f.readingMore=!0,Ra.nextTick(Uf,zf,$f))}function Uf(zf,$f){for(;!$f.reading&&!$f.ended&&($f.length<$f.highWaterMark||$f.flowing&&$f.length===0);){var th=$f.length;if(ud("maybeReadMore read 0"),zf.read(0),th===$f.length)break}$f.readingMore=!1}zd.prototype._read=function(zf){Ud(this,new kd("_read()"))},zd.prototype.pipe=function(zf,$f){var th=this,hh=this._readableState;switch(hh.pipesCount){case 0:hh.pipes=zf;break;case 1:hh.pipes=[hh.pipes,zf];break;default:hh.pipes.push(zf);break}hh.pipesCount+=1,ud("pipe count=%d opts=%j",hh.pipesCount,$f);var Xf=(!$f||$f.end!==!1)&&zf!==Ra.stdout&&zf!==Ra.stderr,Df=Xf?Vf:qh;hh.endEmitted?Ra.nextTick(Df):th.once("end",Df),zf.on("unpipe",Of);function Of(sm,hm){ud("onunpipe"),sm===th&&hm&&hm.hasUnpiped===!1&&(hm.hasUnpiped=!0,oh())}function Vf(){ud("onend"),zf.end()}var dh=Lf(th);zf.on("drain",dh);var bh=!1;function oh(){ud("cleanup"),zf.removeListener("close",Dh),zf.removeListener("finish",Xh),zf.removeListener("drain",dh),zf.removeListener("error",_h),zf.removeListener("unpipe",Of),th.removeListener("end",Vf),th.removeListener("end",qh),th.removeListener("data",Ih),bh=!0,hh.awaitDrain&&(!zf._writableState||zf._writableState.needDrain)&&dh()}th.on("data",Ih);function Ih(sm){ud("ondata");var hm=zf.write(sm);ud("dest.write",hm),hm===!1&&((hh.pipesCount===1&&hh.pipes===zf||hh.pipesCount>1&&nh(hh.pipes,zf)!==-1)&&!bh&&(ud("false write response, pause",hh.awaitDrain),hh.awaitDrain++),th.pause())}function _h(sm){ud("onerror",sm),qh(),zf.removeListener("error",_h),ed(zf,"error")===0&&Ud(zf,sm)}Qd(zf,"error",_h);function Dh(){zf.removeListener("finish",Xh),qh()}zf.once("close",Dh);function Xh(){ud("onfinish"),zf.removeListener("close",Dh),qh()}zf.once("finish",Xh);function qh(){ud("unpipe"),th.unpipe(zf)}return zf.emit("pipe",th),hh.flowing||(ud("pipe resume"),th.resume()),zf};function Lf(zf){return function(){var th=zf._readableState;ud("pipeOnDrain",th.awaitDrain),th.awaitDrain&&th.awaitDrain--,th.awaitDrain===0&&ed(zf,"data")&&(th.flowing=!0,Ef(zf))}}zd.prototype.unpipe=function(zf){var $f=this._readableState,th={hasUnpiped:!1};if($f.pipesCount===0)return this;if($f.pipesCount===1)return zf&&zf!==$f.pipes?this:(zf||(zf=$f.pipes),$f.pipes=null,$f.pipesCount=0,$f.flowing=!1,zf&&zf.emit("unpipe",this,th),this);if(!zf){var hh=$f.pipes,Xf=$f.pipesCount;$f.pipes=null,$f.pipesCount=0,$f.flowing=!1;for(var Df=0;Df0,hh.flowing!==!1&&this.resume()):zf==="readable"&&!hh.endEmitted&&!hh.readableListening&&(hh.readableListening=hh.needReadable=!0,hh.flowing=!1,hh.emittedReadable=!1,ud("on readable",hh.length,hh.reading),hh.length?Nf(this):hh.reading||Ra.nextTick(wf,this)),th},zd.prototype.addListener=zd.prototype.on,zd.prototype.removeListener=function(zf,$f){var th=td.prototype.removeListener.call(this,zf,$f);return zf==="readable"&&Ra.nextTick(xf,this),th},zd.prototype.removeAllListeners=function(zf){var $f=td.prototype.removeAllListeners.apply(this,arguments);return(zf==="readable"||zf===void 0)&&Ra.nextTick(xf,this),$f};function xf(zf){var $f=zf._readableState;$f.readableListening=zf.listenerCount("readable")>0,$f.resumeScheduled&&!$f.paused?$f.flowing=!0:zf.listenerCount("data")>0&&zf.resume()}function wf(zf){ud("readable nexttick read 0"),zf.read(0)}zd.prototype.resume=function(){var zf=this._readableState;return zf.flowing||(ud("resume"),zf.flowing=!zf.readableListening,Jf(this,zf)),zf.paused=!1,this};function Jf(zf,$f){$f.resumeScheduled||($f.resumeScheduled=!0,Ra.nextTick(Qf,zf,$f))}function Qf(zf,$f){ud("resume",$f.reading),$f.reading||zf.read(0),$f.resumeScheduled=!1,zf.emit("resume"),Ef(zf),$f.flowing&&!$f.reading&&zf.read(0)}zd.prototype.pause=function(){return ud("call pause flowing=%j",this._readableState.flowing),this._readableState.flowing!==!1&&(ud("pause"),this._readableState.flowing=!1,this.emit("pause")),this._readableState.paused=!0,this};function Ef(zf){var $f=zf._readableState;for(ud("flow",$f.flowing);$f.flowing&&zf.read()!==null;);}zd.prototype.wrap=function(zf){var $f=this,th=this._readableState,hh=!1;zf.on("end",function(){if(ud("wrapped end"),th.decoder&&!th.ended){var Of=th.decoder.end();Of&&Of.length&&$f.push(Of)}$f.push(null)}),zf.on("data",function(Of){if(ud("wrapped data"),th.decoder&&(Of=th.decoder.write(Of)),!(th.objectMode&&Of==null)&&!(!th.objectMode&&(!Of||!Of.length))){var Vf=$f.push(Of);Vf||(hh=!0,zf.pause())}});for(var Xf in zf)this[Xf]===void 0&&typeof zf[Xf]=="function"&&(this[Xf]=function(Vf){return function(){return zf[Vf].apply(zf,arguments)}}(Xf));for(var Df=0;Df=$f.length?($f.decoder?th=$f.buffer.join(""):$f.buffer.length===1?th=$f.buffer.first():th=$f.buffer.concat($f.length),$f.buffer.clear()):th=$f.buffer.consume(zf,$f.decoder),th}function Bf(zf){var $f=zf._readableState;ud("endReadable",$f.endEmitted),$f.endEmitted||($f.ended=!0,Ra.nextTick(Kf,$f,zf))}function Kf(zf,$f){if(ud("endReadableNT",zf.endEmitted,zf.length),!zf.endEmitted&&zf.length===0&&(zf.endEmitted=!0,$f.readable=!1,$f.emit("end"),zf.autoDestroy)){var th=$f._writableState;(!th||th.autoDestroy&&th.finished)&&$f.destroy()}}typeof Symbol=="function"&&(zd.from=function(zf,$f){return Fd===void 0&&(Fd=requireFromBrowser()),Fd(zd,zf,$f)});function nh(zf,$f){for(var th=0,hh=zf.length;th0;return destroyer(od,cd,ud,function(_d){rd||(rd=_d),_d&&sd.forEach(call),!cd&&(sd.forEach(call),td(rd))})});return qa.reduce(pipe)}var pipeline_1=pipeline$1,streamBrowserify=Stream$1,EE=eventsExports.EventEmitter,inherits$2=inherits_browserExports;inherits$2(Stream$1,EE);Stream$1.Readable=require_stream_readable();Stream$1.Writable=require_stream_writable();Stream$1.Duplex=require_stream_duplex();Stream$1.Transform=_stream_transform;Stream$1.PassThrough=_stream_passthrough;Stream$1.finished=endOfStream;Stream$1.pipeline=pipeline_1;Stream$1.Stream=Stream$1;function Stream$1(){EE.call(this)}Stream$1.prototype.pipe=function(Ra,qa){var ed=this;function td(_d){Ra.writable&&Ra.write(_d)===!1&&ed.pause&&ed.pause()}ed.on("data",td);function rd(){ed.readable&&ed.resume&&ed.resume()}Ra.on("drain",rd),!Ra._isStdio&&(!qa||qa.end!==!1)&&(ed.on("end",od),ed.on("close",ld));var sd=!1;function od(){sd||(sd=!0,Ra.end())}function ld(){sd||(sd=!0,typeof Ra.destroy=="function"&&Ra.destroy())}function cd(_d){if(ud(),EE.listenerCount(this,"error")===0)throw _d}ed.on("error",cd),Ra.on("error",cd);function ud(){ed.removeListener("data",td),Ra.removeListener("drain",rd),ed.removeListener("end",od),ed.removeListener("close",ld),ed.removeListener("error",cd),Ra.removeListener("error",cd),ed.removeListener("end",ud),ed.removeListener("close",ud),Ra.removeListener("close",ud)}return ed.on("end",ud),ed.on("close",ud),Ra.on("close",ud),Ra.emit("pipe",ed),Ra};var define_process_default$6={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};function apply$1(Ra,...qa){return(...ed)=>Ra(...qa,...ed)}function initialParams(Ra){return function(...qa){var ed=qa.pop();return Ra.call(this,qa,ed)}}var hasQueueMicrotask=typeof queueMicrotask=="function"&&queueMicrotask,hasSetImmediate=typeof setImmediate=="function"&&setImmediate,hasNextTick=typeof define_process_default$6=="object"&&typeof define_process_default$6.nextTick=="function";function fallback(Ra){setTimeout(Ra,0)}function wrap(Ra){return(qa,...ed)=>Ra(()=>qa(...ed))}var _defer$1;hasQueueMicrotask?_defer$1=queueMicrotask:hasSetImmediate?_defer$1=setImmediate:hasNextTick?_defer$1=define_process_default$6.nextTick:_defer$1=fallback;var setImmediate$1$1=wrap(_defer$1);function asyncify(Ra){return isAsync(Ra)?function(...qa){const ed=qa.pop(),td=Ra.apply(this,qa);return handlePromise(td,ed)}:initialParams(function(qa,ed){var td;try{td=Ra.apply(this,qa)}catch(rd){return ed(rd)}if(td&&typeof td.then=="function")return handlePromise(td,ed);ed(null,td)})}function handlePromise(Ra,qa){return Ra.then(ed=>{invokeCallback(qa,null,ed)},ed=>{invokeCallback(qa,ed&&(ed instanceof Error||ed.message)?ed:new Error(ed))})}function invokeCallback(Ra,qa,ed){try{Ra(qa,ed)}catch(td){setImmediate$1$1(rd=>{throw rd},td)}}function isAsync(Ra){return Ra[Symbol.toStringTag]==="AsyncFunction"}function isAsyncGenerator(Ra){return Ra[Symbol.toStringTag]==="AsyncGenerator"}function isAsyncIterable(Ra){return typeof Ra[Symbol.asyncIterator]=="function"}function wrapAsync(Ra){if(typeof Ra!="function")throw new Error("expected a function");return isAsync(Ra)?asyncify(Ra):Ra}function awaitify(Ra,qa){if(qa||(qa=Ra.length),!qa)throw new Error("arity is undefined");function ed(...td){return typeof td[qa-1]=="function"?Ra.apply(this,td):new Promise((rd,sd)=>{td[qa-1]=(od,...ld)=>{if(od)return sd(od);rd(ld.length>1?ld:ld[0])},Ra.apply(this,td)})}return ed}function applyEach$1(Ra){return function(ed,...td){return awaitify(function(sd){var od=this;return Ra(ed,(ld,cd)=>{wrapAsync(ld).apply(od,td.concat(cd))},sd)})}}function _asyncMap(Ra,qa,ed,td){qa=qa||[];var rd=[],sd=0,od=wrapAsync(ed);return Ra(qa,(ld,cd,ud)=>{var _d=sd++;od(ld,(Ed,Ad)=>{rd[_d]=Ad,ud(Ed)})},ld=>{td(ld,rd)})}function isArrayLike(Ra){return Ra&&typeof Ra.length=="number"&&Ra.length>=0&&Ra.length%1===0}const breakLoop={};function once(Ra){function qa(...ed){if(Ra!==null){var td=Ra;Ra=null,td.apply(this,ed)}}return Object.assign(qa,Ra),qa}function getIterator(Ra){return Ra[Symbol.iterator]&&Ra[Symbol.iterator]()}function createArrayIterator(Ra){var qa=-1,ed=Ra.length;return function(){return++qa=qa||od||rd||(od=!0,Ra.next().then(({value:Ad,done:Td})=>{if(!(sd||rd)){if(od=!1,Td){rd=!0,ld<=0&&td(null);return}ld++,ed(Ad,cd,_d),cd++,ud()}}).catch(Ed))}function _d(Ad,Td){if(ld-=1,!sd){if(Ad)return Ed(Ad);if(Ad===!1){rd=!0,sd=!0;return}if(Td===breakLoop||rd&&ld<=0)return rd=!0,td(null);ud()}}function Ed(Ad){sd||(od=!1,rd=!0,td(Ad))}ud()}var eachOfLimit$2=Ra=>(qa,ed,td)=>{if(td=once(td),Ra<=0)throw new RangeError("concurrency limit cannot be less than 1");if(!qa)return td(null);if(isAsyncGenerator(qa))return asyncEachOfLimit(qa,Ra,ed,td);if(isAsyncIterable(qa))return asyncEachOfLimit(qa[Symbol.asyncIterator](),Ra,ed,td);var rd=createIterator(qa),sd=!1,od=!1,ld=0,cd=!1;function ud(Ed,Ad){if(!od)if(ld-=1,Ed)sd=!0,td(Ed);else if(Ed===!1)sd=!0,od=!0;else{if(Ad===breakLoop||sd&&ld<=0)return sd=!0,td(null);cd||_d()}}function _d(){for(cd=!0;ld1?rd:rd[0])}return ed[PROMISE_SYMBOL]=new Promise((td,rd)=>{Ra=td,qa=rd}),ed}function auto(Ra,qa,ed){typeof qa!="number"&&(ed=qa,qa=null),ed=once(ed||promiseCallback());var td=Object.keys(Ra).length;if(!td)return ed(null);qa||(qa=td);var rd={},sd=0,od=!1,ld=!1,cd=Object.create(null),ud=[],_d=[],Ed={};Object.keys(Ra).forEach(Md=>{var Pd=Ra[Md];if(!Array.isArray(Pd)){Ad(Md,[Pd]),_d.push(Md);return}var Fd=Pd.slice(0,Pd.length-1),Ud=Fd.length;if(Ud===0){Ad(Md,Pd),_d.push(Md);return}Ed[Md]=Ud,Fd.forEach(Gd=>{if(!Ra[Gd])throw new Error("async.auto task `"+Md+"` has a non-existent dependency `"+Gd+"` in "+Fd.join(", "));Nd(Gd,()=>{Ud--,Ud===0&&Ad(Md,Pd)})})}),kd(),Td();function Ad(Md,Pd){ud.push(()=>Bd(Md,Pd))}function Td(){if(!od){if(ud.length===0&&sd===0)return ed(null,rd);for(;ud.length&&sdFd()),Td()}function Bd(Md,Pd){if(!ld){var Fd=onlyOnce((Gd,...Qd)=>{if(sd--,Gd===!1){od=!0;return}if(Qd.length<2&&([Qd]=Qd),Gd){var Vd={};if(Object.keys(rd).forEach(zd=>{Vd[zd]=rd[zd]}),Vd[Md]=Qd,ld=!0,cd=Object.create(null),od)return;ed(Gd,Vd)}else rd[Md]=Qd,Rd(Md)});sd++;var Ud=wrapAsync(Pd[Pd.length-1]);Pd.length>1?Ud(rd,Fd):Ud(Fd)}}function kd(){for(var Md,Pd=0;_d.length;)Md=_d.pop(),Pd++,Od(Md).forEach(Fd=>{--Ed[Fd]===0&&_d.push(Fd)});if(Pd!==td)throw new Error("async.auto cannot execute tasks due to a recursive dependency")}function Od(Md){var Pd=[];return Object.keys(Ra).forEach(Fd=>{const Ud=Ra[Fd];Array.isArray(Ud)&&Ud.indexOf(Md)>=0&&Pd.push(Fd)}),Pd}return ed[PROMISE_SYMBOL]}var FN_ARGS=/^(?:async\s)?(?:function)?\s*(?:\w+\s*)?\(([^)]+)\)(?:\s*{)/,ARROW_FN_ARGS=/^(?:async\s)?\s*(?:\(\s*)?((?:[^)=\s]\s*)*)(?:\)\s*)?=>/,FN_ARG_SPLIT=/,/,FN_ARG=/(=.+)?(\s*)$/;function stripComments(Ra){let qa="",ed=0,td=Ra.indexOf("*/");for(;edrd.replace(FN_ARG,"").trim())}function autoInject(Ra,qa){var ed={};return Object.keys(Ra).forEach(td=>{var rd=Ra[td],sd,od=isAsync(rd),ld=!od&&rd.length===1||od&&rd.length===0;if(Array.isArray(rd))sd=[...rd],rd=sd.pop(),ed[td]=sd.concat(sd.length>0?cd:rd);else if(ld)ed[td]=rd;else{if(sd=parseParams(rd),rd.length===0&&!od&&sd.length===0)throw new Error("autoInject task functions require explicit parameters.");od||sd.pop(),ed[td]=sd.concat(cd)}function cd(ud,_d){var Ed=sd.map(Ad=>ud[Ad]);Ed.push(_d),wrapAsync(rd)(...Ed)}}),auto(ed,qa)}class DLL{constructor(){this.head=this.tail=null,this.length=0}removeLink(qa){return qa.prev?qa.prev.next=qa.next:this.head=qa.next,qa.next?qa.next.prev=qa.prev:this.tail=qa.prev,qa.prev=qa.next=null,this.length-=1,qa}empty(){for(;this.head;)this.shift();return this}insertAfter(qa,ed){ed.prev=qa,ed.next=qa.next,qa.next?qa.next.prev=ed:this.tail=ed,qa.next=ed,this.length+=1}insertBefore(qa,ed){ed.prev=qa.prev,ed.next=qa,qa.prev?qa.prev.next=ed:this.head=ed,qa.prev=ed,this.length+=1}unshift(qa){this.head?this.insertBefore(this.head,qa):setInitial(this,qa)}push(qa){this.tail?this.insertAfter(this.tail,qa):setInitial(this,qa)}shift(){return this.head&&this.removeLink(this.head)}pop(){return this.tail&&this.removeLink(this.tail)}toArray(){return[...this]}*[Symbol.iterator](){for(var qa=this.head;qa;)yield qa.data,qa=qa.next}remove(qa){for(var ed=this.head;ed;){var{next:td}=ed;qa(ed)&&this.removeLink(ed),ed=td}return this}}function setInitial(Ra,qa){Ra.length=1,Ra.head=Ra.tail=qa}function queue$1(Ra,qa,ed){if(qa==null)qa=1;else if(qa===0)throw new RangeError("Concurrency must not be zero");var td=wrapAsync(Ra),rd=0,sd=[];const od={error:[],drain:[],saturated:[],unsaturated:[],empty:[]};function ld(Od,Md){od[Od].push(Md)}function cd(Od,Md){const Pd=(...Fd)=>{ud(Od,Pd),Md(...Fd)};od[Od].push(Pd)}function ud(Od,Md){if(!Od)return Object.keys(od).forEach(Pd=>od[Pd]=[]);if(!Md)return od[Od]=[];od[Od]=od[Od].filter(Pd=>Pd!==Md)}function _d(Od,...Md){od[Od].forEach(Pd=>Pd(...Md))}var Ed=!1;function Ad(Od,Md,Pd,Fd){if(Fd!=null&&typeof Fd!="function")throw new Error("task callback must be a function");kd.started=!0;var Ud,Gd;function Qd(zd,...Xd){if(zd)return Pd?Gd(zd):Ud();if(Xd.length<=1)return Ud(Xd[0]);Ud(Xd)}var Vd=kd._createTaskItem(Od,Pd?Qd:Fd||Qd);if(Md?kd._tasks.unshift(Vd):kd._tasks.push(Vd),Ed||(Ed=!0,setImmediate$1$1(()=>{Ed=!1,kd.process()})),Pd||!Fd)return new Promise((zd,Xd)=>{Ud=zd,Gd=Xd})}function Td(Od){return function(Md,...Pd){rd-=1;for(var Fd=0,Ud=Od.length;Fd0&&sd.splice(Qd,1),Gd.callback(Md,...Pd),Md!=null&&_d("error",Md,Gd.data)}rd<=kd.concurrency-kd.buffer&&_d("unsaturated"),kd.idle()&&_d("drain"),kd.process()}}function Nd(Od){return Od.length===0&&kd.idle()?(setImmediate$1$1(()=>_d("drain")),!0):!1}const Rd=Od=>Md=>{if(!Md)return new Promise((Pd,Fd)=>{cd(Od,(Ud,Gd)=>{if(Ud)return Fd(Ud);Pd(Gd)})});ud(Od),ld(Od,Md)};var Bd=!1,kd={_tasks:new DLL,_createTaskItem(Od,Md){return{data:Od,callback:Md}},*[Symbol.iterator](){yield*kd._tasks[Symbol.iterator]()},concurrency:qa,payload:ed,buffer:qa/4,started:!1,paused:!1,push(Od,Md){return Array.isArray(Od)?Nd(Od)?void 0:Od.map(Pd=>Ad(Pd,!1,!1,Md)):Ad(Od,!1,!1,Md)},pushAsync(Od,Md){return Array.isArray(Od)?Nd(Od)?void 0:Od.map(Pd=>Ad(Pd,!1,!0,Md)):Ad(Od,!1,!0,Md)},kill(){ud(),kd._tasks.empty()},unshift(Od,Md){return Array.isArray(Od)?Nd(Od)?void 0:Od.map(Pd=>Ad(Pd,!0,!1,Md)):Ad(Od,!0,!1,Md)},unshiftAsync(Od,Md){return Array.isArray(Od)?Nd(Od)?void 0:Od.map(Pd=>Ad(Pd,!0,!0,Md)):Ad(Od,!0,!0,Md)},remove(Od){kd._tasks.remove(Od)},process(){if(!Bd){for(Bd=!0;!kd.paused&&rd{rd(qa,sd,(cd,ud)=>{qa=ud,ld(cd)})},sd=>td(sd,qa))}var reduce$1=awaitify(reduce,4);function seq$1(...Ra){var qa=Ra.map(wrapAsync);return function(...ed){var td=this,rd=ed[ed.length-1];return typeof rd=="function"?ed.pop():rd=promiseCallback(),reduce$1(qa,ed,(sd,od,ld)=>{od.apply(td,sd.concat((cd,...ud)=>{ld(cd,ud)}))},(sd,od)=>rd(sd,...od)),rd[PROMISE_SYMBOL]}}function compose(...Ra){return seq$1(...Ra.reverse())}function mapLimit(Ra,qa,ed,td){return _asyncMap(eachOfLimit$2(qa),Ra,ed,td)}var mapLimit$1=awaitify(mapLimit,4);function concatLimit(Ra,qa,ed,td){var rd=wrapAsync(ed);return mapLimit$1(Ra,qa,(sd,od)=>{rd(sd,(ld,...cd)=>ld?od(ld):od(ld,cd))},(sd,od)=>{for(var ld=[],cd=0;cd{var od=!1,ld;const cd=wrapAsync(rd);ed(td,(ud,_d,Ed)=>{cd(ud,(Ad,Td)=>{if(Ad||Ad===!1)return Ed(Ad);if(Ra(Td)&&!ld)return od=!0,ld=qa(!0,ud),Ed(null,breakLoop);Ed()})},ud=>{if(ud)return sd(ud);sd(null,od?ld:qa(!1))})}}function detect(Ra,qa,ed){return _createTester(td=>td,(td,rd)=>rd)(eachOf$1,Ra,qa,ed)}var detect$1=awaitify(detect,3);function detectLimit(Ra,qa,ed,td){return _createTester(rd=>rd,(rd,sd)=>sd)(eachOfLimit$2(qa),Ra,ed,td)}var detectLimit$1=awaitify(detectLimit,4);function detectSeries(Ra,qa,ed){return _createTester(td=>td,(td,rd)=>rd)(eachOfLimit$2(1),Ra,qa,ed)}var detectSeries$1=awaitify(detectSeries,3);function consoleFunc(Ra){return(qa,...ed)=>wrapAsync(qa)(...ed,(td,...rd)=>{typeof console=="object"&&(td?console.error&&console.error(td):console[Ra]&&rd.forEach(sd=>console[Ra](sd)))})}var dir=consoleFunc("dir");function doWhilst(Ra,qa,ed){ed=onlyOnce(ed);var td=wrapAsync(Ra),rd=wrapAsync(qa),sd;function od(cd,...ud){if(cd)return ed(cd);cd!==!1&&(sd=ud,rd(...ud,ld))}function ld(cd,ud){if(cd)return ed(cd);if(cd!==!1){if(!ud)return ed(null,...sd);td(od)}}return ld(null,!0)}var doWhilst$1=awaitify(doWhilst,3);function doUntil(Ra,qa,ed){const td=wrapAsync(qa);return doWhilst$1(Ra,(...rd)=>{const sd=rd.pop();td(...rd,(od,ld)=>sd(od,!ld))},ed)}function _withoutIndex(Ra){return(qa,ed,td)=>Ra(qa,td)}function eachLimit$2(Ra,qa,ed){return eachOf$1(Ra,_withoutIndex(wrapAsync(qa)),ed)}var each=awaitify(eachLimit$2,3);function eachLimit(Ra,qa,ed,td){return eachOfLimit$2(qa)(Ra,_withoutIndex(wrapAsync(ed)),td)}var eachLimit$1=awaitify(eachLimit,4);function eachSeries(Ra,qa,ed){return eachLimit$1(Ra,1,qa,ed)}var eachSeries$1=awaitify(eachSeries,3);function ensureAsync(Ra){return isAsync(Ra)?Ra:function(...qa){var ed=qa.pop(),td=!0;qa.push((...rd)=>{td?setImmediate$1$1(()=>ed(...rd)):ed(...rd)}),Ra.apply(this,qa),td=!1}}function every(Ra,qa,ed){return _createTester(td=>!td,td=>!td)(eachOf$1,Ra,qa,ed)}var every$1=awaitify(every,3);function everyLimit(Ra,qa,ed,td){return _createTester(rd=>!rd,rd=>!rd)(eachOfLimit$2(qa),Ra,ed,td)}var everyLimit$1=awaitify(everyLimit,4);function everySeries(Ra,qa,ed){return _createTester(td=>!td,td=>!td)(eachOfSeries$1,Ra,qa,ed)}var everySeries$1=awaitify(everySeries,3);function filterArray(Ra,qa,ed,td){var rd=new Array(qa.length);Ra(qa,(sd,od,ld)=>{ed(sd,(cd,ud)=>{rd[od]=!!ud,ld(cd)})},sd=>{if(sd)return td(sd);for(var od=[],ld=0;ld{ed(sd,(cd,ud)=>{if(cd)return ld(cd);ud&&rd.push({index:od,value:sd}),ld(cd)})},sd=>{if(sd)return td(sd);td(null,rd.sort((od,ld)=>od.index-ld.index).map(od=>od.value))})}function _filter(Ra,qa,ed,td){var rd=isArrayLike(qa)?filterArray:filterGeneric;return rd(Ra,qa,wrapAsync(ed),td)}function filter$1(Ra,qa,ed){return _filter(eachOf$1,Ra,qa,ed)}var filter$1$1=awaitify(filter$1,3);function filterLimit(Ra,qa,ed,td){return _filter(eachOfLimit$2(qa),Ra,ed,td)}var filterLimit$1=awaitify(filterLimit,4);function filterSeries(Ra,qa,ed){return _filter(eachOfSeries$1,Ra,qa,ed)}var filterSeries$1=awaitify(filterSeries,3);function forever(Ra,qa){var ed=onlyOnce(qa),td=wrapAsync(ensureAsync(Ra));function rd(sd){if(sd)return ed(sd);sd!==!1&&td(rd)}return rd()}var forever$1=awaitify(forever,2);function groupByLimit(Ra,qa,ed,td){var rd=wrapAsync(ed);return mapLimit$1(Ra,qa,(sd,od)=>{rd(sd,(ld,cd)=>ld?od(ld):od(ld,{key:cd,val:sd}))},(sd,od)=>{for(var ld={},{hasOwnProperty:cd}=Object.prototype,ud=0;ud{sd(od,ld,(ud,_d)=>{if(ud)return cd(ud);rd[ld]=_d,cd(ud)})},od=>td(od,rd))}var mapValuesLimit$1=awaitify(mapValuesLimit,4);function mapValues(Ra,qa,ed){return mapValuesLimit$1(Ra,1/0,qa,ed)}function mapValuesSeries(Ra,qa,ed){return mapValuesLimit$1(Ra,1,qa,ed)}function memoize(Ra,qa=ed=>ed){var ed=Object.create(null),td=Object.create(null),rd=wrapAsync(Ra),sd=initialParams((od,ld)=>{var cd=qa(...od);cd in ed?setImmediate$1$1(()=>ld(null,...ed[cd])):cd in td?td[cd].push(ld):(td[cd]=[ld],rd(...od,(ud,..._d)=>{ud||(ed[cd]=_d);var Ed=td[cd];delete td[cd];for(var Ad=0,Td=Ed.length;Ad{var td=isArrayLike(qa)?[]:{};Ra(qa,(rd,sd,od)=>{wrapAsync(rd)((ld,...cd)=>{cd.length<2&&([cd]=cd),td[sd]=cd,od(ld)})},rd=>ed(rd,td))},3);function parallel$2(Ra,qa){return _parallel(eachOf$1,Ra,qa)}function parallelLimit(Ra,qa,ed){return _parallel(eachOfLimit$2(qa),Ra,ed)}function queue(Ra,qa){var ed=wrapAsync(Ra);return queue$1((td,rd)=>{ed(td[0],rd)},qa,1)}class Heap{constructor(){this.heap=[],this.pushCount=Number.MIN_SAFE_INTEGER}get length(){return this.heap.length}empty(){return this.heap=[],this}percUp(qa){let ed;for(;qa>0&&smaller(this.heap[qa],this.heap[ed=parent(qa)]);){let td=this.heap[qa];this.heap[qa]=this.heap[ed],this.heap[ed]=td,qa=ed}}percDown(qa){let ed;for(;(ed=leftChi(qa))=0;td--)this.percDown(td);return this}}function leftChi(Ra){return(Ra<<1)+1}function parent(Ra){return(Ra+1>>1)-1}function smaller(Ra,qa){return Ra.priority!==qa.priority?Ra.priority({data:od,priority:ld,callback:cd});function sd(od,ld){return Array.isArray(od)?od.map(cd=>({data:cd,priority:ld})):{data:od,priority:ld}}return ed.push=function(od,ld=0,cd){return td(sd(od,ld),cd)},ed.pushAsync=function(od,ld=0,cd){return rd(sd(od,ld),cd)},delete ed.unshift,delete ed.unshiftAsync,ed}function race$2(Ra,qa){if(qa=once(qa),!Array.isArray(Ra))return qa(new TypeError("First argument to race must be an array of functions"));if(!Ra.length)return qa();for(var ed=0,td=Ra.length;ed{let ld={};if(sd&&(ld.error=sd),od.length>0){var cd=od;od.length<=1&&([cd]=od),ld.value=cd}rd(null,ld)}),qa.apply(this,td)})}function reflectAll(Ra){var qa;return Array.isArray(Ra)?qa=Ra.map(reflect):(qa={},Object.keys(Ra).forEach(ed=>{qa[ed]=reflect.call(this,Ra[ed])})),qa}function reject$2(Ra,qa,ed,td){const rd=wrapAsync(ed);return _filter(Ra,qa,(sd,od)=>{rd(sd,(ld,cd)=>{od(ld,!cd)})},td)}function reject(Ra,qa,ed){return reject$2(eachOf$1,Ra,qa,ed)}var reject$1=awaitify(reject,3);function rejectLimit(Ra,qa,ed,td){return reject$2(eachOfLimit$2(qa),Ra,ed,td)}var rejectLimit$1=awaitify(rejectLimit,4);function rejectSeries(Ra,qa,ed){return reject$2(eachOfSeries$1,Ra,qa,ed)}var rejectSeries$1=awaitify(rejectSeries,3);function constant$3(Ra){return function(){return Ra}}const DEFAULT_TIMES=5,DEFAULT_INTERVAL=0;function retry(Ra,qa,ed){var td={times:DEFAULT_TIMES,intervalFunc:constant$3(DEFAULT_INTERVAL)};if(arguments.length<3&&typeof Ra=="function"?(ed=qa||promiseCallback(),qa=Ra):(parseTimes(td,Ra),ed=ed||promiseCallback()),typeof qa!="function")throw new Error("Invalid arguments for async.retry");var rd=wrapAsync(qa),sd=1;function od(){rd((ld,...cd)=>{ld!==!1&&(ld&&sd++{(rd.lengthtd)(eachOf$1,Ra,qa,ed)}var some$1=awaitify(some,3);function someLimit(Ra,qa,ed,td){return _createTester(Boolean,rd=>rd)(eachOfLimit$2(qa),Ra,ed,td)}var someLimit$1=awaitify(someLimit,4);function someSeries(Ra,qa,ed){return _createTester(Boolean,td=>td)(eachOfSeries$1,Ra,qa,ed)}var someSeries$1=awaitify(someSeries,3);function sortBy(Ra,qa,ed){var td=wrapAsync(qa);return map$1$1(Ra,(sd,od)=>{td(sd,(ld,cd)=>{if(ld)return od(ld);od(ld,{value:sd,criteria:cd})})},(sd,od)=>{if(sd)return ed(sd);ed(null,od.sort(rd).map(ld=>ld.value))});function rd(sd,od){var ld=sd.criteria,cd=od.criteria;return ldcd?1:0}}var sortBy$1=awaitify(sortBy,3);function timeout$2(Ra,qa,ed){var td=wrapAsync(Ra);return initialParams((rd,sd)=>{var od=!1,ld;function cd(){var ud=Ra.name||"anonymous",_d=new Error('Callback function "'+ud+'" timed out.');_d.code="ETIMEDOUT",ed&&(_d.info=ed),od=!0,sd(_d)}rd.push((...ud)=>{od||(sd(...ud),clearTimeout(ld))}),ld=setTimeout(cd,qa),td(...rd)})}function range$2(Ra){for(var qa=Array(Ra);Ra--;)qa[Ra]=Ra;return qa}function timesLimit(Ra,qa,ed,td){var rd=wrapAsync(ed);return mapLimit$1(range$2(Ra),qa,rd,td)}function times$4(Ra,qa,ed){return timesLimit(Ra,1/0,qa,ed)}function timesSeries(Ra,qa,ed){return timesLimit(Ra,1,qa,ed)}function transform$1(Ra,qa,ed,td){arguments.length<=3&&typeof qa=="function"&&(td=ed,ed=qa,qa=Array.isArray(Ra)?[]:{}),td=once(td||promiseCallback());var rd=wrapAsync(ed);return eachOf$1(Ra,(sd,od,ld)=>{rd(qa,sd,od,ld)},sd=>td(sd,qa)),td[PROMISE_SYMBOL]}function tryEach(Ra,qa){var ed=null,td;return eachSeries$1(Ra,(rd,sd)=>{wrapAsync(rd)((od,...ld)=>{if(od===!1)return sd(od);ld.length<2?[td]=ld:td=ld,ed=od,sd(od?null:{})})},()=>qa(ed,td))}var tryEach$1=awaitify(tryEach);function unmemoize(Ra){return(...qa)=>(Ra.unmemoized||Ra)(...qa)}function whilst(Ra,qa,ed){ed=onlyOnce(ed);var td=wrapAsync(qa),rd=wrapAsync(Ra),sd=[];function od(cd,...ud){if(cd)return ed(cd);sd=ud,cd!==!1&&rd(ld)}function ld(cd,ud){if(cd)return ed(cd);if(cd!==!1){if(!ud)return ed(null,...sd);td(od)}}return rd(ld)}var whilst$1=awaitify(whilst,3);function until(Ra,qa,ed){const td=wrapAsync(Ra);return whilst$1(rd=>td((sd,od)=>rd(sd,!od)),qa,ed)}function waterfall(Ra,qa){if(qa=once(qa),!Array.isArray(Ra))return qa(new Error("First argument to waterfall must be an array of functions"));if(!Ra.length)return qa();var ed=0;function td(sd){var od=wrapAsync(Ra[ed++]);od(...sd,onlyOnce(rd))}function rd(sd,...od){if(sd!==!1){if(sd||ed===Ra.length)return qa(sd,...od);td(od)}}td([])}var waterfall$1=awaitify(waterfall),index={apply:apply$1,applyEach,applyEachSeries,asyncify,auto,autoInject,cargo:cargo$1,cargoQueue:cargo,compose,concat:concat$1$1,concatLimit:concatLimit$1,concatSeries:concatSeries$1,constant:constant$1$1,detect:detect$1,detectLimit:detectLimit$1,detectSeries:detectSeries$1,dir,doUntil,doWhilst:doWhilst$1,each,eachLimit:eachLimit$1,eachOf:eachOf$1,eachOfLimit:eachOfLimit$1,eachOfSeries:eachOfSeries$1,eachSeries:eachSeries$1,ensureAsync,every:every$1,everyLimit:everyLimit$1,everySeries:everySeries$1,filter:filter$1$1,filterLimit:filterLimit$1,filterSeries:filterSeries$1,forever:forever$1,groupBy,groupByLimit:groupByLimit$1,groupBySeries,log:log$1,map:map$1$1,mapLimit:mapLimit$1,mapSeries:mapSeries$1,mapValues,mapValuesLimit:mapValuesLimit$1,mapValuesSeries,memoize,nextTick,parallel:parallel$2,parallelLimit,priorityQueue,queue,race:race$1$1,reduce:reduce$1,reduceRight,reflect,reflectAll,reject:reject$1,rejectLimit:rejectLimit$1,rejectSeries:rejectSeries$1,retry,retryable,seq:seq$1,series,setImmediate:setImmediate$1$1,some:some$1,someLimit:someLimit$1,someSeries:someSeries$1,sortBy:sortBy$1,timeout:timeout$2,times:times$4,timesLimit,timesSeries,transform:transform$1,tryEach:tryEach$1,unmemoize,until,waterfall:waterfall$1,whilst:whilst$1,all:every$1,allLimit:everyLimit$1,allSeries:everySeries$1,any:some$1,anyLimit:someLimit$1,anySeries:someSeries$1,find:detect$1,findLimit:detectLimit$1,findSeries:detectSeries$1,flatMap:concat$1$1,flatMapLimit:concatLimit$1,flatMapSeries:concatSeries$1,forEach:each,forEachSeries:eachSeries$1,forEachLimit:eachLimit$1,forEachOf:eachOf$1,forEachOfSeries:eachOfSeries$1,forEachOfLimit:eachOfLimit$1,inject:reduce$1,foldl:reduce$1,foldr:reduceRight,select:filter$1$1,selectLimit:filterLimit$1,selectSeries:filterSeries$1,wrapSync:asyncify,during:whilst$1,doDuring:doWhilst$1},readableBrowser={exports:{}};(function(Ra,qa){qa=Ra.exports=require_stream_readable(),qa.Stream=qa,qa.Readable=qa,qa.Writable=require_stream_writable(),qa.Duplex=require_stream_duplex(),qa.Transform=_stream_transform,qa.PassThrough=_stream_passthrough,qa.finished=endOfStream,qa.pipeline=pipeline_1})(readableBrowser,readableBrowser.exports);var readableBrowserExports=readableBrowser.exports;const{Transform:Transform$2}=readableBrowserExports;class Block extends Transform$2{constructor(qa,ed={}){super(ed),typeof qa=="object"&&(ed=qa,qa=ed.size),this.size=qa||512;const{nopad:td,zeroPadding:rd=!0}=ed;td?this._zeroPadding=!1:this._zeroPadding=!!rd,this._buffered=[],this._bufferedBytes=0}_transform(qa,ed,td){for(this._bufferedBytes+=qa.length,this._buffered.push(qa);this._bufferedBytes>=this.size;){this._bufferedBytes-=this.size;const rd=[];let sd=0;for(;sd * Copyright OpenJS Foundation and other contributors * Released under MIT license * Based on Underscore.js 1.8.3 * Copyright Jeremy Ashkenas, DocumentCloud and Investigative Reporters & Editors */lodash.exports;(function(Ra,qa){(function(){var ed,td="4.17.21",rd=200,sd="Unsupported core-js use. Try https://npms.io/search?q=ponyfill.",od="Expected a function",ld="Invalid `variable` option passed into `_.template`",cd="__lodash_hash_undefined__",ud=500,_d="__lodash_placeholder__",Ed=1,Ad=2,Td=4,Nd=1,Rd=2,Bd=1,kd=2,Od=4,Md=8,Pd=16,Fd=32,Ud=64,Gd=128,Qd=256,Vd=512,zd=30,Xd="...",df=800,mf=16,_f=1,hf=2,gf=3,yf=1/0,Nf=9007199254740991,Pf=17976931348623157e292,Yf=NaN,Uf=4294967295,Lf=Uf-1,xf=Uf>>>1,wf=[["ary",Gd],["bind",Bd],["bindKey",kd],["curry",Md],["curryRight",Pd],["flip",Vd],["partial",Fd],["partialRight",Ud],["rearg",Qd]],Jf="[object Arguments]",Qf="[object Array]",Ef="[object AsyncFunction]",bf="[object Boolean]",Bf="[object Date]",Kf="[object DOMException]",nh="[object Error]",zf="[object Function]",$f="[object GeneratorFunction]",th="[object Map]",hh="[object Number]",Xf="[object Null]",Df="[object Object]",Of="[object Promise]",Vf="[object Proxy]",dh="[object RegExp]",bh="[object Set]",oh="[object String]",Ih="[object Symbol]",_h="[object Undefined]",Dh="[object WeakMap]",Xh="[object WeakSet]",qh="[object ArrayBuffer]",sm="[object DataView]",hm="[object Float32Array]",ah="[object Float64Array]",kf="[object Int8Array]",If="[object Int16Array]",rh="[object Int32Array]",ch="[object Uint8Array]",wh="[object Uint8ClampedArray]",$h="[object Uint16Array]",om="[object Uint32Array]",Tm=/\b__p \+= '';/g,um=/\b(__p \+=) '' \+/g,Wm=/(__e\(.*?\)|\b__t\)) \+\n'';/g,Fm=/&(?:amp|lt|gt|quot|#39);/g,Lm=/[&<>"']/g,e_=RegExp(Fm.source),F_=RegExp(Lm.source),R_=/<%-([\s\S]+?)%>/g,w_=/<%([\s\S]+?)%>/g,Qm=/<%=([\s\S]+?)%>/g,P_=/\.|\[(?:[^[\]]*|(["'])(?:(?!\1)[^\\]|\\.)*?\1)\]/,V_=/^\w*$/,Z_=/[^.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\\]|\\.)*?)\2)\]|(?=(?:\.|\[\])(?:\.|\[\]|$))/g,t1=/[\\^$.*+?()[\]{}|]/g,j_=RegExp(t1.source),$_=/^\s+/,Hm=/\s/,v_=/\{(?:\n\/\* \[wrapped with .+\] \*\/)?\n?/,m1=/\{\n\/\* \[wrapped with (.+)\] \*/,am=/,? & /,Af=/[^\x00-\x2f\x3a-\x40\x5b-\x60\x7b-\x7f]+/g,ph=/[()=,{}\[\]\/\s]/,ih=/\\(\\)?/g,fh=/\$\{([^\\}]*(?:\\.[^\\}]*)*)\}/g,Ah=/\w*$/,Ch=/^[-+]0x[0-9a-f]+$/i,Bh=/^0b[01]+$/i,Rh=/^\[object .+?Constructor\]$/,gh=/^0o[0-7]+$/i,dm=/^(?:0|[1-9]\d*)$/,cm=/[\xc0-\xd6\xd8-\xf6\xf8-\xff\u0100-\u017f]/g,_m=/($^)/,Gf=/['\n\r\u2028\u2029\\]/g,Mm="\\ud800-\\udfff",g_="\\u0300-\\u036f",m_="\\ufe20-\\ufe2f",s_="\\u20d0-\\u20ff",Rm=g_+m_+s_,T_="\\u2700-\\u27bf",p_="a-z\\xdf-\\xf6\\xf8-\\xff",l_="\\xac\\xb1\\xd7\\xf7",Vm="\\x00-\\x2f\\x3a-\\x40\\x5b-\\x60\\x7b-\\xbf",D_="\\u2000-\\u206f",tg=" \\t\\x0b\\f\\xa0\\ufeff\\n\\r\\u2028\\u2029\\u1680\\u180e\\u2000\\u2001\\u2002\\u2003\\u2004\\u2005\\u2006\\u2007\\u2008\\u2009\\u200a\\u202f\\u205f\\u3000",rg="A-Z\\xc0-\\xd6\\xd8-\\xde",Sm="\\ufe0e\\ufe0f",f_=l_+Vm+D_+tg,I1="['’]",ug="["+Mm+"]",l1="["+f_+"]",j1="["+Rm+"]",_1="\\d+",h_="["+T_+"]",U1="["+p_+"]",L_="[^"+Mm+f_+_1+T_+p_+rg+"]",y1="\\ud83c[\\udffb-\\udfff]",c1="(?:"+j1+"|"+y1+")",ng="[^"+Mm+"]",Eg="(?:\\ud83c[\\udde6-\\uddff]){2}",E1="[\\ud800-\\udbff][\\udc00-\\udfff]",ig="["+rg+"]",d0="\\u200d",Qg="(?:"+U1+"|"+L_+")",a_="(?:"+ig+"|"+L_+")",Tg="(?:"+I1+"(?:d|ll|m|re|s|t|ve))?",g1="(?:"+I1+"(?:D|LL|M|RE|S|T|VE))?",p0=c1+"?",x0="["+Sm+"]?",Sg="(?:"+d0+"(?:"+[ng,Eg,E1].join("|")+")"+x0+p0+")*",kg="\\d*(?:1st|2nd|3rd|(?![123])\\dth)(?=\\b|[A-Z_])",u1="\\d*(?:1ST|2ND|3RD|(?![123])\\dTH)(?=\\b|[a-z_])",W1=x0+p0+Sg,dg="(?:"+[h_,Eg,E1].join("|")+")"+W1,ag="(?:"+[ng+j1+"?",j1,Eg,E1,ug].join("|")+")",Jg=RegExp(I1,"g"),yb=RegExp(j1,"g"),V0=RegExp(y1+"(?="+y1+")|"+ag+W1,"g"),Eb=RegExp([ig+"?"+U1+"+"+Tg+"(?="+[l1,ig,"$"].join("|")+")",a_+"+"+g1+"(?="+[l1,ig+Qg,"$"].join("|")+")",ig+"?"+Qg+"+"+Tg,ig+"+"+g1,u1,kg,_1,dg].join("|"),"g"),tv=RegExp("["+d0+Mm+Rm+Sm+"]"),G0=/[a-z][A-Z]|[A-Z]{2}[a-z]|[0-9][a-zA-Z]|[a-zA-Z][0-9]|[^a-zA-Z0-9 ]/,z0=["Array","Buffer","DataView","Date","Error","Float32Array","Float64Array","Function","Int8Array","Int16Array","Int32Array","Map","Math","Object","Promise","RegExp","Set","String","Symbol","TypeError","Uint8Array","Uint8ClampedArray","Uint16Array","Uint32Array","WeakMap","_","clearTimeout","isFinite","parseInt","setTimeout"],W0=-1,Gm={};Gm[hm]=Gm[ah]=Gm[kf]=Gm[If]=Gm[rh]=Gm[ch]=Gm[wh]=Gm[$h]=Gm[om]=!0,Gm[Jf]=Gm[Qf]=Gm[qh]=Gm[bf]=Gm[sm]=Gm[Bf]=Gm[nh]=Gm[zf]=Gm[th]=Gm[hh]=Gm[Df]=Gm[dh]=Gm[bh]=Gm[oh]=Gm[Dh]=!1;var K_={};K_[Jf]=K_[Qf]=K_[qh]=K_[sm]=K_[bf]=K_[Bf]=K_[hm]=K_[ah]=K_[kf]=K_[If]=K_[rh]=K_[th]=K_[hh]=K_[Df]=K_[dh]=K_[bh]=K_[oh]=K_[Ih]=K_[ch]=K_[wh]=K_[$h]=K_[om]=!0,K_[nh]=K_[zf]=K_[Dh]=!1;var f0={À:"A",Á:"A",Â:"A",Ã:"A",Ä:"A",Å:"A",à:"a",á:"a",â:"a",ã:"a",ä:"a",å:"a",Ç:"C",ç:"c",Ð:"D",ð:"d",È:"E",É:"E",Ê:"E",Ë:"E",è:"e",é:"e",ê:"e",ë:"e",Ì:"I",Í:"I",Î:"I",Ï:"I",ì:"i",í:"i",î:"i",ï:"i",Ñ:"N",ñ:"n",Ò:"O",Ó:"O",Ô:"O",Õ:"O",Ö:"O",Ø:"O",ò:"o",ó:"o",ô:"o",õ:"o",ö:"o",ø:"o",Ù:"U",Ú:"U",Û:"U",Ü:"U",ù:"u",ú:"u",û:"u",ü:"u",Ý:"Y",ý:"y",ÿ:"y",Æ:"Ae",æ:"ae",Þ:"Th",þ:"th",ß:"ss",Ā:"A",Ă:"A",Ą:"A",ā:"a",ă:"a",ą:"a",Ć:"C",Ĉ:"C",Ċ:"C",Č:"C",ć:"c",ĉ:"c",ċ:"c",č:"c",Ď:"D",Đ:"D",ď:"d",đ:"d",Ē:"E",Ĕ:"E",Ė:"E",Ę:"E",Ě:"E",ē:"e",ĕ:"e",ė:"e",ę:"e",ě:"e",Ĝ:"G",Ğ:"G",Ġ:"G",Ģ:"G",ĝ:"g",ğ:"g",ġ:"g",ģ:"g",Ĥ:"H",Ħ:"H",ĥ:"h",ħ:"h",Ĩ:"I",Ī:"I",Ĭ:"I",Į:"I",İ:"I",ĩ:"i",ī:"i",ĭ:"i",į:"i",ı:"i",Ĵ:"J",ĵ:"j",Ķ:"K",ķ:"k",ĸ:"k",Ĺ:"L",Ļ:"L",Ľ:"L",Ŀ:"L",Ł:"L",ĺ:"l",ļ:"l",ľ:"l",ŀ:"l",ł:"l",Ń:"N",Ņ:"N",Ň:"N",Ŋ:"N",ń:"n",ņ:"n",ň:"n",ŋ:"n",Ō:"O",Ŏ:"O",Ő:"O",ō:"o",ŏ:"o",ő:"o",Ŕ:"R",Ŗ:"R",Ř:"R",ŕ:"r",ŗ:"r",ř:"r",Ś:"S",Ŝ:"S",Ş:"S",Š:"S",ś:"s",ŝ:"s",ş:"s",š:"s",Ţ:"T",Ť:"T",Ŧ:"T",ţ:"t",ť:"t",ŧ:"t",Ũ:"U",Ū:"U",Ŭ:"U",Ů:"U",Ű:"U",Ų:"U",ũ:"u",ū:"u",ŭ:"u",ů:"u",ű:"u",ų:"u",Ŵ:"W",ŵ:"w",Ŷ:"Y",ŷ:"y",Ÿ:"Y",Ź:"Z",Ż:"Z",Ž:"Z",ź:"z",ż:"z",ž:"z",IJ:"IJ",ij:"ij",Œ:"Oe",œ:"oe",ʼn:"'n",ſ:"s"},C0={"&":"&","<":"<",">":">",'"':""","'":"'"},w0={"&":"&","<":"<",">":">",""":'"',"'":"'"},Tb={"\\":"\\","'":"'","\n":"n","\r":"r","\u2028":"u2028","\u2029":"u2029"},Sb=parseFloat,xg=parseInt,xb=typeof commonjsGlobal=="object"&&commonjsGlobal&&commonjsGlobal.Object===Object&&commonjsGlobal,Y0=typeof self=="object"&&self&&self.Object===Object&&self,G_=xb||Y0||Function("return this")(),K0=qa&&!qa.nodeType&&qa,$g=K0&&!0&&Ra&&!Ra.nodeType&&Ra,Q_=$g&&$g.exports===K0,sg=Q_&&xb.process,s1=function(){try{var Kh=$g&&$g.require&&$g.require("util").types;return Kh||sg&&sg.binding&&sg.binding("util")}catch{}}(),h0=s1&&s1.isArrayBuffer,og=s1&&s1.isDate,Zg=s1&&s1.isMap,Cf=s1&&s1.isRegExp,Ff=s1&&s1.isSet,jf=s1&&s1.isTypedArray;function Pm(Kh,gm,fm){switch(fm.length){case 0:return Kh.call(gm);case 1:return Kh.call(gm,fm[0]);case 2:return Kh.call(gm,fm[0],fm[1]);case 3:return Kh.call(gm,fm[0],fm[1],fm[2])}return Kh.apply(gm,fm)}function u_(Kh,gm,fm,i_){for(var x_=-1,U_=Kh==null?0:Kh.length;++x_-1}function Cb(Kh,gm,fm){for(var i_=-1,x_=Kh==null?0:Kh.length;++i_-1;);return fm}function O1(Kh,gm){for(var fm=Kh.length;fm--&&Wd(gm,Kh[fm],0)>-1;);return fm}function Q0(Kh,gm){for(var fm=Kh.length,i_=0;fm--;)Kh[fm]===gm&&++i_;return i_}var wb=yh(f0),e0=yh(C0);function J0(Kh){return"\\"+Tb[Kh]}function zy(Kh,gm){return Kh==null?ed:Kh[gm]}function Ab(Kh){return tv.test(Kh)}function $E(Kh){return G0.test(Kh)}function L2(Kh){for(var gm,fm=[];!(gm=Kh.next()).done;)fm.push(gm.value);return fm}function kv(Kh){var gm=-1,fm=Array(Kh.size);return Kh.forEach(function(i_,x_){fm[++gm]=[x_,i_]}),fm}function $v(Kh,gm){return function(fm){return Kh(gm(fm))}}function hg(Kh,gm){for(var fm=-1,i_=Kh.length,x_=0,U_=[];++fm-1}function WE(qd,Jd){var Tf=this.__data__,qf=Mb(Tf,qd);return qf<0?(++this.size,Tf.push([qd,Jd])):Tf[qf][1]=Jd,this}Ug.prototype.clear=W2,Ug.prototype.delete=iE,Ug.prototype.get=aE,Ug.prototype.has=zE,Ug.prototype.set=WE;function qg(qd){var Jd=-1,Tf=qd==null?0:qd.length;for(this.clear();++Jd=Jd?qd:Jd)),qd}function _g(qd,Jd,Tf,qf,lh,vh){var Uh,Gh=Jd&Ed,im=Jd&Ad,Om=Jd&Td;if(Tf&&(Uh=lh?Tf(qd,qf,lh,vh):Tf(qd)),Uh!==ed)return Uh;if(!w1(qd))return qd;var $m=N_(qd);if($m){if(Uh=dT(qd),!Gh)return cg(qd,Uh)}else{var jm=L1(qd),t_=jm==zf||jm==$f;if(ev(qd))return TE(qd,Gh);if(jm==Df||jm==Jf||t_&&!lh){if(Uh=im||t_?{}:A2(qd),!Gh)return im?sT(qd,QE(Uh,qd)):g2(qd,cE(Uh,qd))}else{if(!K_[jm])return lh?qd:{};Uh=uy(qd,jm,Gh)}}vh||(vh=new p1);var d_=vh.get(qd);if(d_)return d_;vh.set(qd,Uh),KT(qd)?qd.forEach(function(E_){Uh.add(_g(E_,Jd,Tf,E_,qd,vh))}):WT(qd)&&qd.forEach(function(E_,B_){Uh.set(B_,_g(E_,Jd,Tf,B_,qd,vh))});var y_=Om?im?oy:sy:im?Ig:Q1,k_=$m?ed:y_(qd);return C_(k_||qd,function(E_,B_){k_&&(B_=E_,E_=qd[B_]),$b(Uh,B_,_g(E_,Jd,Tf,B_,qd,vh))}),Uh}function Q2(qd){var Jd=Q1(qd);return function(Tf){return uE(Tf,qd,Jd)}}function uE(qd,Jd,Tf){var qf=Tf.length;if(qd==null)return!qf;for(qd=r1(qd);qf--;){var lh=Tf[qf],vh=Jd[lh],Uh=qd[lh];if(Uh===ed&&!(lh in qd)||!vh(Uh))return!1}return!0}function JE(qd,Jd,Tf){if(typeof qd!="function")throw new wg(od);return c_(function(){qd.apply(ed,Tf)},Jd)}function dv(qd,Jd,Tf,qf){var lh=-1,vh=X0,Uh=!0,Gh=qd.length,im=[],Om=Jd.length;if(!Gh)return im;Tf&&(Jd=i1(Jd,S_(Tf))),qf?(vh=Cb,Uh=!1):Jd.length>=rd&&(vh=M_,Uh=!1,Jd=new I0(Jd));e:for(;++lhlh?0:lh+Tf),qf=qf===ed||qf>lh?lh:O_(qf),qf<0&&(qf+=lh),qf=Tf>qf?0:QT(qf);Tf0&&Tf(Gh)?Jd>1?$1(Gh,Jd-1,Tf,qf,lh):Mg(lh,Gh):qf||(lh[lh.length]=Gh)}return lh}var Bb=b2(),pE=b2(!0);function Ng(qd,Jd){return qd&&Bb(qd,Jd,Q1)}function zv(qd,Jd){return qd&&pE(qd,Jd,Q1)}function Wv(qd,Jd){return fg(Jd,function(Tf){return _b(qd[Tf])})}function M0(qd,Jd){Jd=P0(Jd,qd);for(var Tf=0,qf=Jd.length;qd!=null&&TfJd}function e2(qd,Jd){return qd!=null&&X_.call(qd,Jd)}function t2(qd,Jd){return qd!=null&&Jd in r1(qd)}function Pb(qd,Jd,Tf){return qd>=N1(Jd,Tf)&&qd=120&&$m.length>=120)?new I0(Uh&&$m):ed}$m=qd[0];var jm=-1,t_=Gh[0];e:for(;++jm-1;)Gh!==qd&&tb.call(Gh,im,1),tb.call(qd,im,1);return qd}function Y1(qd,Jd){for(var Tf=qd?Jd.length:0,qf=Tf-1;Tf--;){var lh=Jd[Tf];if(Tf==qf||lh!==vh){var vh=lh;Kd(lh)?tb.call(qd,lh,1):Xv(qd,lh)}}return qd}function Kv(qd,Jd){return qd+nb(rE()*(Jd-qd+1))}function eT(qd,Jd,Tf,qf){for(var lh=-1,vh=o1(av((Jd-qd)/(Tf||1)),0),Uh=fm(vh);vh--;)Uh[qf?vh:++lh]=qd,qd+=Tf;return Uh}function vE(qd,Jd){var Tf="";if(!qd||Jd<1||Jd>Nf)return Tf;do Jd%2&&(Tf+=qd),Jd=nb(Jd/2),Jd&&(qd+=qd);while(Jd);return Tf}function I_(qd,Jd){return o_(Um(qd,Jd,Og),qd+"")}function p2(qd){return sE(Sy(qd))}function f2(qd,Jd){var Tf=Sy(qd);return v1(Tf,y0(Jd,0,Tf.length))}function Gb(qd,Jd,Tf,qf){if(!w1(qd))return qd;Jd=P0(Jd,qd);for(var lh=-1,vh=Jd.length,Uh=vh-1,Gh=qd;Gh!=null&&++lhlh?0:lh+Jd),Tf=Tf>lh?lh:Tf,Tf<0&&(Tf+=lh),lh=Jd>Tf?0:Tf-Jd>>>0,Jd>>>=0;for(var vh=fm(lh);++qf>>1,Uh=qd[vh];Uh!==null&&!Yg(Uh)&&(Tf?Uh<=Jd:Uh=rd){var Om=Jd?null:lT(qd);if(Om)return mg(Om);Uh=!1,lh=M_,im=new I0}else im=Jd?[]:Gh;e:for(;++qf=qf?qd:M1(qd,Jd,Tf)}var _2=Jy||function(qd){return G_.clearTimeout(qd)};function TE(qd,Jd){if(Jd)return qd.slice();var Tf=qd.length,qf=Xy?Xy(Tf):new qd.constructor(Tf);return qd.copy(qf),qf}function zb(qd){var Jd=new qd.constructor(qd.byteLength);return new Bv(Jd).set(new Bv(qd)),Jd}function nT(qd,Jd){var Tf=Jd?zb(qd.buffer):qd.buffer;return new qd.constructor(Tf,qd.byteOffset,qd.byteLength)}function iT(qd){var Jd=new qd.constructor(qd.source,Ah.exec(qd));return Jd.lastIndex=qd.lastIndex,Jd}function yv(qd){return lv?r1(lv.call(qd)):{}}function SE(qd,Jd){var Tf=Jd?zb(qd.buffer):qd.buffer;return new qd.constructor(Tf,qd.byteOffset,qd.length)}function xE(qd,Jd){if(qd!==Jd){var Tf=qd!==ed,qf=qd===null,lh=qd===qd,vh=Yg(qd),Uh=Jd!==ed,Gh=Jd===null,im=Jd===Jd,Om=Yg(Jd);if(!Gh&&!Om&&!vh&&qd>Jd||vh&&Uh&&im&&!Gh&&!Om||qf&&Uh&&im||!Tf&&im||!lh)return 1;if(!qf&&!vh&&!Om&&qd=Gh)return im;var Om=Tf[qf];return im*(Om=="desc"?-1:1)}}return qd.index-Jd.index}function ey(qd,Jd,Tf,qf){for(var lh=-1,vh=qd.length,Uh=Tf.length,Gh=-1,im=Jd.length,Om=o1(vh-Uh,0),$m=fm(im+Om),jm=!qf;++Gh1?Tf[lh-1]:ed,Uh=lh>2?Tf[2]:ed;for(vh=qd.length>3&&typeof vh=="function"?(lh--,vh):ed,Uh&&Yd(Tf[0],Tf[1],Uh)&&(vh=lh<3?ed:vh,lh=1),Jd=r1(Jd);++qf-1?lh[vh?Jd[Uh]:Uh]:ed}}function E2(qd){return s0(function(Jd){var Tf=Jd.length,qf=Tf,lh=J_.prototype.thru;for(qd&&Jd.reverse();qf--;){var vh=Jd[qf];if(typeof vh!="function")throw new wg(od);if(lh&&!Uh&&Av(vh)=="wrapper")var Uh=new J_([],!0)}for(qf=Uh?qf:Tf;++qf1&&H_.reverse(),$m&&imGh))return!1;var Om=vh.get(qd),$m=vh.get(Jd);if(Om&&$m)return Om==Jd&&$m==qd;var jm=-1,t_=!0,d_=Tf&Rd?new I0:ed;for(vh.set(qd,Jd),vh.set(Jd,qd);++jm1?"& ":"")+Jd[qf],Jd=Jd.join(Tf>2?", ":" "),qd.replace(v_,`{ /* [wrapped with `+Jd+`] */ `)}function jd(qd){return N_(qd)||Dv(qd)||!!(UE&&qd&&qd[UE])}function Kd(qd,Jd){var Tf=typeof qd;return Jd=Jd??Nf,!!Jd&&(Tf=="number"||Tf!="symbol"&&dm.test(qd))&&qd>-1&&qd%1==0&&qd0){if(++Jd>=df)return arguments[0]}else Jd=0;return qd.apply(ed,arguments)}}function v1(qd,Jd){var Tf=-1,qf=qd.length,lh=qf-1;for(Jd=Jd===ed?qf:Jd;++Tf1?qd[Jd-1]:ed;return Tf=typeof Tf=="function"?(qd.pop(),Tf):ed,kT(qd,Tf)});function $T(qd){var Jd=mh(qd);return Jd.__chain__=!0,Jd}function ox(qd,Jd){return Jd(qd),qd}function N2(qd,Jd){return Jd(qd)}var lx=s0(function(qd){var Jd=qd.length,Tf=Jd?qd[0]:0,qf=this.__wrapped__,lh=function(vh){return Lb(vh,qd)};return Jd>1||this.__actions__.length||!(qf instanceof b_)||!Kd(Tf)?this.thru(lh):(qf=qf.slice(Tf,+Tf+(Jd?1:0)),qf.__actions__.push({func:N2,args:[lh],thisArg:ed}),new J_(qf,this.__chain__).thru(function(vh){return Jd&&!vh.length&&vh.push(ed),vh}))});function ux(){return $T(this)}function dx(){return new J_(this.value(),this.__chain__)}function px(){this.__values__===ed&&(this.__values__=XT(this.value()));var qd=this.__index__>=this.__values__.length,Jd=qd?ed:this.__values__[this.__index__++];return{done:qd,value:Jd}}function fx(){return this}function hx(qd){for(var Jd,Tf=this;Tf instanceof G1;){var qf=K1(Tf);qf.__index__=0,qf.__values__=ed,Jd?lh.__wrapped__=qf:Jd=qf;var lh=qf;Tf=Tf.__wrapped__}return lh.__wrapped__=qd,Jd}function mx(){var qd=this.__wrapped__;if(qd instanceof b_){var Jd=qd;return this.__actions__.length&&(Jd=new b_(this)),Jd=Jd.reverse(),Jd.__actions__.push({func:N2,args:[pT],thisArg:ed}),new J_(Jd,this.__chain__)}return this.thru(pT)}function _x(){return _v(this.__wrapped__,this.__actions__)}var gx=Wb(function(qd,Jd,Tf){X_.call(qd,Tf)?++qd[Tf]:v0(qd,Tf,1)});function bx(qd,Jd,Tf){var qf=N_(qd)?pg:J2;return Tf&&Yd(qd,Jd,Tf)&&(Jd=ed),qf(qd,__(Jd,3))}function vx(qd,Jd){var Tf=N_(qd)?fg:cb;return Tf(qd,__(Jd,3))}var yx=wE(l0),Ex=wE(q0);function Tx(qd,Jd){return $1(D2(qd,Jd),1)}function Sx(qd,Jd){return $1(D2(qd,Jd),yf)}function xx(qd,Jd,Tf){return Tf=Tf===ed?1:O_(Tf),$1(D2(qd,Jd),Tf)}function MT(qd,Jd){var Tf=N_(qd)?C_:Vg;return Tf(qd,__(Jd,3))}function BT(qd,Jd){var Tf=N_(qd)?T1:dE;return Tf(qd,__(Jd,3))}var Cx=Wb(function(qd,Jd,Tf){X_.call(qd,Tf)?qd[Tf].push(Jd):v0(qd,Tf,[Jd])});function wx(qd,Jd,Tf,qf){qd=Rg(qd)?qd:Sy(qd),Tf=Tf&&!qf?O_(Tf):0;var lh=qd.length;return Tf<0&&(Tf=o1(lh+Tf,0)),$2(qd)?Tf<=lh&&qd.indexOf(Jd,Tf)>-1:!!lh&&Wd(qd,Jd,Tf)>-1}var Ax=I_(function(qd,Jd,Tf){var qf=-1,lh=typeof Jd=="function",vh=Rg(qd)?fm(qd.length):[];return Vg(qd,function(Uh){vh[++qf]=lh?Pm(Jd,Uh,Tf):jb(Uh,Jd,Tf)}),vh}),Nx=Wb(function(qd,Jd,Tf){v0(qd,Tf,Jd)});function D2(qd,Jd){var Tf=N_(qd)?i1:mE;return Tf(qd,__(Jd,3))}function Dx(qd,Jd,Tf,qf){return qd==null?[]:(N_(Jd)||(Jd=Jd==null?[]:[Jd]),Tf=qf?ed:Tf,N_(Tf)||(Tf=Tf==null?[]:[Tf]),c2(qd,Jd,Tf))}var Rx=Wb(function(qd,Jd,Tf){qd[Tf?0:1].push(Jd)},function(){return[[],[]]});function Ix(qd,Jd,Tf){var qf=N_(qd)?rv:Mh,lh=arguments.length<3;return qf(qd,__(Jd,4),Tf,lh,Vg)}function Ox(qd,Jd,Tf){var qf=N_(qd)?Iv:Mh,lh=arguments.length<3;return qf(qd,__(Jd,4),Tf,lh,dE)}function kx(qd,Jd){var Tf=N_(qd)?fg:cb;return Tf(qd,O2(__(Jd,3)))}function $x(qd){var Jd=N_(qd)?sE:p2;return Jd(qd)}function Mx(qd,Jd,Tf){(Tf?Yd(qd,Jd,Tf):Jd===ed)?Jd=1:Jd=O_(Jd);var qf=N_(qd)?X2:f2;return qf(qd,Jd)}function Lx(qd){var Jd=N_(qd)?oE:rT;return Jd(qd)}function Bx(qd){if(qd==null)return 0;if(Rg(qd))return $2(qd)?Nb(qd):qd.length;var Jd=L1(qd);return Jd==th||Jd==bh?qd.size:db(qd).length}function Px(qd,Jd,Tf){var qf=N_(qd)?A0:hv;return Tf&&Yd(qd,Jd,Tf)&&(Jd=ed),qf(qd,__(Jd,3))}var Fx=I_(function(qd,Jd){if(qd==null)return[];var Tf=Jd.length;return Tf>1&&Yd(qd,Jd[0],Jd[1])?Jd=[]:Tf>2&&Yd(Jd[0],Jd[1],Jd[2])&&(Jd=[Jd[0]]),c2(qd,$1(Jd,1),[])}),R2=Ib||function(){return G_.Date.now()};function jx(qd,Jd){if(typeof Jd!="function")throw new wg(od);return qd=O_(qd),function(){if(--qd<1)return Jd.apply(this,arguments)}}function PT(qd,Jd,Tf){return Jd=Tf?ed:Jd,Jd=qd&&Jd==null?qd.length:Jd,q_(qd,Gd,ed,ed,ed,ed,Jd)}function FT(qd,Jd){var Tf;if(typeof Jd!="function")throw new wg(od);return qd=O_(qd),function(){return--qd>0&&(Tf=Jd.apply(this,arguments)),qd<=1&&(Jd=ed),Tf}}var hT=I_(function(qd,Jd,Tf){var qf=Bd;if(Tf.length){var lh=hg(Tf,Qb(hT));qf|=Fd}return q_(qd,qf,Jd,Tf,lh)}),jT=I_(function(qd,Jd,Tf){var qf=Bd|kd;if(Tf.length){var lh=hg(Tf,Qb(jT));qf|=Fd}return q_(Jd,qf,qd,Tf,lh)});function UT(qd,Jd,Tf){Jd=Tf?ed:Jd;var qf=q_(qd,Md,ed,ed,ed,ed,ed,Jd);return qf.placeholder=UT.placeholder,qf}function qT(qd,Jd,Tf){Jd=Tf?ed:Jd;var qf=q_(qd,Pd,ed,ed,ed,ed,ed,Jd);return qf.placeholder=qT.placeholder,qf}function HT(qd,Jd,Tf){var qf,lh,vh,Uh,Gh,im,Om=0,$m=!1,jm=!1,t_=!0;if(typeof qd!="function")throw new wg(od);Jd=u0(Jd)||0,w1(Tf)&&($m=!!Tf.leading,jm="maxWait"in Tf,vh=jm?o1(u0(Tf.maxWait)||0,Jd):vh,t_="trailing"in Tf?!!Tf.trailing:t_);function d_(F1){var S0=qf,bb=lh;return qf=lh=ed,Om=F1,Uh=qd.apply(bb,S0),Uh}function y_(F1){return Om=F1,Gh=c_(B_,Jd),$m?d_(F1):Uh}function k_(F1){var S0=F1-im,bb=F1-Om,uS=Jd-S0;return jm?N1(uS,vh-bb):uS}function E_(F1){var S0=F1-im,bb=F1-Om;return im===ed||S0>=Jd||S0<0||jm&&bb>=vh}function B_(){var F1=R2();if(E_(F1))return H_(F1);Gh=c_(B_,k_(F1))}function H_(F1){return Gh=ed,t_&&qf?d_(F1):(qf=lh=ed,Uh)}function Kg(){Gh!==ed&&_2(Gh),Om=0,qf=im=lh=Gh=ed}function yg(){return Gh===ed?Uh:H_(R2())}function Xg(){var F1=R2(),S0=E_(F1);if(qf=arguments,lh=this,im=F1,S0){if(Gh===ed)return y_(im);if(jm)return _2(Gh),Gh=c_(B_,Jd),d_(im)}return Gh===ed&&(Gh=c_(B_,Jd)),Uh}return Xg.cancel=Kg,Xg.flush=yg,Xg}var Ux=I_(function(qd,Jd){return JE(qd,1,Jd)}),qx=I_(function(qd,Jd,Tf){return JE(qd,u0(Jd)||0,Tf)});function Hx(qd){return q_(qd,Vd)}function I2(qd,Jd){if(typeof qd!="function"||Jd!=null&&typeof Jd!="function")throw new wg(od);var Tf=function(){var qf=arguments,lh=Jd?Jd.apply(this,qf):qf[0],vh=Tf.cache;if(vh.has(lh))return vh.get(lh);var Uh=qd.apply(this,qf);return Tf.cache=vh.set(lh,Uh)||vh,Uh};return Tf.cache=new(I2.Cache||qg),Tf}I2.Cache=qg;function O2(qd){if(typeof qd!="function")throw new wg(od);return function(){var Jd=arguments;switch(Jd.length){case 0:return!qd.call(this);case 1:return!qd.call(this,Jd[0]);case 2:return!qd.call(this,Jd[0],Jd[1]);case 3:return!qd.call(this,Jd[0],Jd[1],Jd[2])}return!qd.apply(this,Jd)}}function Vx(qd){return FT(2,qd)}var Gx=vv(function(qd,Jd){Jd=Jd.length==1&&N_(Jd[0])?i1(Jd[0],S_(__())):i1($1(Jd,1),S_(__()));var Tf=Jd.length;return I_(function(qf){for(var lh=-1,vh=N1(qf.length,Tf);++lh=Jd}),Dv=fE(function(){return arguments}())?fE:function(qd){return R1(qd)&&X_.call(qd,"callee")&&!Pv.call(qd,"callee")},N_=fm.isArray,sC=h0?S_(h0):Ub;function Rg(qd){return qd!=null&&k2(qd.length)&&!_b(qd)}function P1(qd){return R1(qd)&&Rg(qd)}function oC(qd){return qd===!0||qd===!1||R1(qd)&&H1(qd)==bf}var ev=F2||wT,lC=og?S_(og):n2;function cC(qd){return R1(qd)&&qd.nodeType===1&&!OE(qd)}function uC(qd){if(qd==null)return!0;if(Rg(qd)&&(N_(qd)||typeof qd=="string"||typeof qd.splice=="function"||ev(qd)||Ty(qd)||Dv(qd)))return!qd.length;var Jd=L1(qd);if(Jd==th||Jd==bh)return!qd.size;if(sh(qd))return!db(qd).length;for(var Tf in qd)if(X_.call(qd,Tf))return!1;return!0}function dC(qd,Jd){return L0(qd,Jd)}function pC(qd,Jd,Tf){Tf=typeof Tf=="function"?Tf:ed;var qf=Tf?Tf(qd,Jd):ed;return qf===ed?L0(qd,Jd,ed,Tf):!!qf}function _T(qd){if(!R1(qd))return!1;var Jd=H1(qd);return Jd==nh||Jd==Kf||typeof qd.message=="string"&&typeof qd.name=="string"&&!OE(qd)}function hC(qd){return typeof qd=="number"&&qE(qd)}function _b(qd){if(!w1(qd))return!1;var Jd=H1(qd);return Jd==zf||Jd==$f||Jd==Ef||Jd==Vf}function zT(qd){return typeof qd=="number"&&qd==O_(qd)}function k2(qd){return typeof qd=="number"&&qd>-1&&qd%1==0&&qd<=Nf}function w1(qd){var Jd=typeof qd;return qd!=null&&(Jd=="object"||Jd=="function")}function R1(qd){return qd!=null&&typeof qd=="object"}var WT=Zg?S_(Zg):pv;function mC(qd,Jd){return qd===Jd||hE(qd,Jd,Y_(Jd))}function _C(qd,Jd,Tf){return Tf=typeof Tf=="function"?Tf:ed,hE(qd,Jd,Y_(Jd),Tf)}function gC(qd){return YT(qd)&&qd!=+qd}function bC(qd){if(eh(qd))throw new x_(sd);return ub(qd)}function vC(qd){return qd===null}function yC(qd){return qd==null}function YT(qd){return typeof qd=="number"||R1(qd)&&H1(qd)==hh}function OE(qd){if(!R1(qd)||H1(qd)!=Df)return!1;var Jd=D0(qd);if(Jd===null)return!0;var Tf=X_.call(Jd,"constructor")&&Jd.constructor;return typeof Tf=="function"&&Tf instanceof Tf&&Db.call(Tf)==eb}var gT=Cf?S_(Cf):a2;function EC(qd){return zT(qd)&&qd>=-Nf&&qd<=Nf}var KT=Ff?S_(Ff):s2;function $2(qd){return typeof qd=="string"||!N_(qd)&&R1(qd)&&H1(qd)==oh}function Yg(qd){return typeof qd=="symbol"||R1(qd)&&H1(qd)==Ih}var Ty=jf?S_(jf):o2;function TC(qd){return qd===ed}function SC(qd){return R1(qd)&&L1(qd)==Dh}function xC(qd){return R1(qd)&&H1(qd)==Xh}var CC=Tv(pb),wC=Tv(function(qd,Jd){return qd<=Jd});function XT(qd){if(!qd)return[];if(Rg(qd))return $2(qd)?Lg(qd):cg(qd);if(rb&&qd[rb])return L2(qd[rb]());var Jd=L1(qd),Tf=Jd==th?kv:Jd==bh?mg:Sy;return Tf(qd)}function gb(qd){if(!qd)return qd===0?qd:0;if(qd=u0(qd),qd===yf||qd===-yf){var Jd=qd<0?-1:1;return Jd*Pf}return qd===qd?qd:0}function O_(qd){var Jd=gb(qd),Tf=Jd%1;return Jd===Jd?Tf?Jd-Tf:Jd:0}function QT(qd){return qd?y0(O_(qd),0,Uf):0}function u0(qd){if(typeof qd=="number")return qd;if(Yg(qd))return Yf;if(w1(qd)){var Jd=typeof qd.valueOf=="function"?qd.valueOf():qd;qd=w1(Jd)?Jd+"":Jd}if(typeof qd!="string")return qd===0?qd:+qd;qd=r_(qd);var Tf=Bh.test(qd);return Tf||gh.test(qd)?xg(qd.slice(2),Tf?2:8):Ch.test(qd)?Yf:+qd}function JT(qd){return Wg(qd,Ig(qd))}function AC(qd){return qd?y0(O_(qd),-Nf,Nf):qd===0?qd:0}function n1(qd){return qd==null?"":bg(qd)}var NC=a0(function(qd,Jd){if(sh(Jd)||Rg(Jd)){Wg(Jd,Q1(Jd),qd);return}for(var Tf in Jd)X_.call(Jd,Tf)&&$b(qd,Tf,Jd[Tf])}),ZT=a0(function(qd,Jd){Wg(Jd,Ig(Jd),qd)}),M2=a0(function(qd,Jd,Tf,qf){Wg(Jd,Ig(Jd),qd,qf)}),DC=a0(function(qd,Jd,Tf,qf){Wg(Jd,Q1(Jd),qd,qf)}),RC=s0(Lb);function IC(qd,Jd){var Tf=kb(qd);return Jd==null?Tf:cE(Tf,Jd)}var OC=I_(function(qd,Jd){qd=r1(qd);var Tf=-1,qf=Jd.length,lh=qf>2?Jd[2]:ed;for(lh&&Yd(Jd[0],Jd[1],lh)&&(qf=1);++Tf1),vh}),Wg(qd,oy(qd),Tf),qf&&(Tf=_g(Tf,Ed|Ad|Td,xv));for(var lh=Jd.length;lh--;)Xv(Tf,Jd[lh]);return Tf});function XC(qd,Jd){return tS(qd,O2(__(Jd)))}var QC=s0(function(qd,Jd){return qd==null?{}:u2(qd,Jd)});function tS(qd,Jd){if(qd==null)return{};var Tf=i1(oy(qd),function(qf){return[qf]});return Jd=__(Jd),d2(qd,Tf,function(qf,lh){return Jd(qf,lh[0])})}function JC(qd,Jd,Tf){Jd=P0(Jd,qd);var qf=-1,lh=Jd.length;for(lh||(lh=1,qd=ed);++qfJd){var qf=qd;qd=Jd,Jd=qf}if(Tf||qd%1||Jd%1){var lh=rE();return N1(qd+lh*(Jd-qd+Sb("1e-"+((lh+"").length-1))),Jd)}return Kv(qd,Jd)}var cw=Kb(function(qd,Jd,Tf){return Jd=Jd.toLowerCase(),qd+(Tf?iS(Jd):Jd)});function iS(qd){return yT(n1(qd).toLowerCase())}function aS(qd){return qd=n1(qd),qd&&qd.replace(cm,wb).replace(yb,"")}function uw(qd,Jd,Tf){qd=n1(qd),Jd=bg(Jd);var qf=qd.length;Tf=Tf===ed?qf:y0(O_(Tf),0,qf);var lh=Tf;return Tf-=Jd.length,Tf>=0&&qd.slice(Tf,lh)==Jd}function dw(qd){return qd=n1(qd),qd&&F_.test(qd)?qd.replace(Lm,e0):qd}function pw(qd){return qd=n1(qd),qd&&j_.test(qd)?qd.replace(t1,"\\$&"):qd}var fw=Kb(function(qd,Jd,Tf){return qd+(Tf?"-":"")+Jd.toLowerCase()}),hw=Kb(function(qd,Jd,Tf){return qd+(Tf?" ":"")+Jd.toLowerCase()}),mw=y2("toLowerCase");function _w(qd,Jd,Tf){qd=n1(qd),Jd=O_(Jd);var qf=Jd?Nb(qd):0;if(!Jd||qf>=Jd)return qd;var lh=(Jd-qf)/2;return ny(nb(lh),Tf)+qd+ny(av(lh),Tf)}function gw(qd,Jd,Tf){qd=n1(qd),Jd=O_(Jd);var qf=Jd?Nb(qd):0;return Jd&&qf>>0,Tf?(qd=n1(qd),qd&&(typeof Jd=="string"||Jd!=null&&!gT(Jd))&&(Jd=bg(Jd),!Jd&&Ab(qd))?E0(Lg(qd),0,Tf):qd.split(Jd,Tf)):[]}var xw=Kb(function(qd,Jd,Tf){return qd+(Tf?" ":"")+yT(Jd)});function Cw(qd,Jd,Tf){return qd=n1(qd),Tf=Tf==null?0:y0(O_(Tf),0,qd.length),Jd=bg(Jd),qd.slice(Tf,Tf+Jd.length)==Jd}function ww(qd,Jd,Tf){var qf=mh.templateSettings;Tf&&Yd(qd,Jd,Tf)&&(Jd=ed),qd=n1(qd),Jd=M2({},Jd,qf,x2);var lh=M2({},Jd.imports,qf.imports,x2),vh=Q1(lh),Uh=d1(lh,vh),Gh,im,Om=0,$m=Jd.interpolate||_m,jm="__p += '",t_=iv((Jd.escape||_m).source+"|"+$m.source+"|"+($m===Qm?fh:_m).source+"|"+(Jd.evaluate||_m).source+"|$","g"),d_="//# sourceURL="+(X_.call(Jd,"sourceURL")?(Jd.sourceURL+"").replace(/\s/g," "):"lodash.templateSources["+ ++W0+"]")+` `;qd.replace(t_,function(E_,B_,H_,Kg,yg,Xg){return H_||(H_=Kg),jm+=qd.slice(Om,Xg).replace(Gf,J0),B_&&(Gh=!0,jm+=`' + __e(`+B_+`) + '`),yg&&(im=!0,jm+=`'; `+yg+`; __p += '`),H_&&(jm+=`' + ((__t = (`+H_+`)) == null ? '' : __t) + '`),Om=Xg+E_.length,E_}),jm+=`'; `;var y_=X_.call(Jd,"variable")&&Jd.variable;if(!y_)jm=`with (obj) { `+jm+` } `;else if(ph.test(y_))throw new x_(ld);jm=(im?jm.replace(Tm,""):jm).replace(um,"$1").replace(Wm,"$1;"),jm="function("+(y_||"obj")+`) { `+(y_?"":`obj || (obj = {}); `)+"var __t, __p = ''"+(Gh?", __e = _.escape":"")+(im?`, __j = Array.prototype.join; function print() { __p += __j.call(arguments, '') } `:`; `)+jm+`return __p }`;var k_=lS(function(){return U_(vh,d_+"return "+jm).apply(ed,Uh)});if(k_.source=jm,_T(k_))throw k_;return k_}function Aw(qd){return n1(qd).toLowerCase()}function Nw(qd){return n1(qd).toUpperCase()}function Dw(qd,Jd,Tf){if(qd=n1(qd),qd&&(Tf||Jd===ed))return r_(qd);if(!qd||!(Jd=bg(Jd)))return qd;var qf=Lg(qd),lh=Lg(Jd),vh=z_(qf,lh),Uh=O1(qf,lh)+1;return E0(qf,vh,Uh).join("")}function Rw(qd,Jd,Tf){if(qd=n1(qd),qd&&(Tf||Jd===ed))return qd.slice(0,Yy(qd)+1);if(!qd||!(Jd=bg(Jd)))return qd;var qf=Lg(qd),lh=O1(qf,Lg(Jd))+1;return E0(qf,0,lh).join("")}function Iw(qd,Jd,Tf){if(qd=n1(qd),qd&&(Tf||Jd===ed))return qd.replace($_,"");if(!qd||!(Jd=bg(Jd)))return qd;var qf=Lg(qd),lh=z_(qf,Lg(Jd));return E0(qf,lh).join("")}function Ow(qd,Jd){var Tf=zd,qf=Xd;if(w1(Jd)){var lh="separator"in Jd?Jd.separator:lh;Tf="length"in Jd?O_(Jd.length):Tf,qf="omission"in Jd?bg(Jd.omission):qf}qd=n1(qd);var vh=qd.length;if(Ab(qd)){var Uh=Lg(qd);vh=Uh.length}if(Tf>=vh)return qd;var Gh=Tf-Nb(qf);if(Gh<1)return qf;var im=Uh?E0(Uh,0,Gh).join(""):qd.slice(0,Gh);if(lh===ed)return im+qf;if(Uh&&(Gh+=im.length-Gh),gT(lh)){if(qd.slice(Gh).search(lh)){var Om,$m=im;for(lh.global||(lh=iv(lh.source,n1(Ah.exec(lh))+"g")),lh.lastIndex=0;Om=lh.exec($m);)var jm=Om.index;im=im.slice(0,jm===ed?Gh:jm)}}else if(qd.indexOf(bg(lh),Gh)!=Gh){var t_=im.lastIndexOf(lh);t_>-1&&(im=im.slice(0,t_))}return im+qf}function kw(qd){return qd=n1(qd),qd&&e_.test(qd)?qd.replace(Fm,BE):qd}var $w=Kb(function(qd,Jd,Tf){return qd+(Tf?" ":"")+Jd.toUpperCase()}),yT=y2("toUpperCase");function sS(qd,Jd,Tf){return qd=n1(qd),Jd=Tf?ed:Jd,Jd===ed?$E(qd)?FE(qd):Vy(qd):qd.match(Jd)||[]}var lS=I_(function(qd,Jd){try{return Pm(qd,ed,Jd)}catch(Tf){return _T(Tf)?Tf:new x_(Tf)}}),Mw=s0(function(qd,Jd){return C_(Jd,function(Tf){Tf=f1(Tf),v0(qd,Tf,hT(qd[Tf],qd))}),qd});function Lw(qd){var Jd=qd==null?0:qd.length,Tf=__();return qd=Jd?i1(qd,function(qf){if(typeof qf[1]!="function")throw new wg(od);return[Tf(qf[0]),qf[1]]}):[],I_(function(qf){for(var lh=-1;++lhNf)return[];var Tf=Uf,qf=N1(qd,Uf);Jd=__(Jd),qd-=Uf;for(var lh=zm(qf,Jd);++Tf0||Jd<0)?new b_(Tf):(qd<0?Tf=Tf.takeRight(-qd):qd&&(Tf=Tf.drop(qd)),Jd!==ed&&(Jd=O_(Jd),Tf=Jd<0?Tf.dropRight(-Jd):Tf.take(Jd-qd)),Tf)},b_.prototype.takeRightWhile=function(qd){return this.reverse().takeWhile(qd).reverse()},b_.prototype.toArray=function(){return this.take(Uf)},Ng(b_.prototype,function(qd,Jd){var Tf=/^(?:filter|find|map|reject)|While$/.test(Jd),qf=/^(?:head|last)$/.test(Jd),lh=mh[qf?"take"+(Jd=="last"?"Right":""):Jd],vh=qf||/^find/.test(Jd);lh&&(mh.prototype[Jd]=function(){var Uh=this.__wrapped__,Gh=qf?[1]:arguments,im=Uh instanceof b_,Om=Gh[0],$m=im||N_(Uh),jm=function(B_){var H_=lh.apply(mh,Mg([B_],Gh));return qf&&t_?H_[0]:H_};$m&&Tf&&typeof Om=="function"&&Om.length!=1&&(im=$m=!1);var t_=this.__chain__,d_=!!this.__actions__.length,y_=vh&&!t_,k_=im&&!d_;if(!vh&&$m){Uh=k_?Uh:new b_(this);var E_=qd.apply(Uh,Gh);return E_.__actions__.push({func:N2,args:[jm],thisArg:ed}),new J_(E_,t_)}return y_&&k_?qd.apply(this,Gh):(E_=this.thru(jm),y_?qf?E_.value()[0]:E_.value():E_)})}),C_(["pop","push","shift","sort","splice","unshift"],function(qd){var Jd=Mv[qd],Tf=/^(?:push|sort|unshift)$/.test(qd)?"tap":"thru",qf=/^(?:pop|shift)$/.test(qd);mh.prototype[qd]=function(){var lh=arguments;if(qf&&!this.__chain__){var vh=this.value();return Jd.apply(N_(vh)?vh:[],lh)}return this[Tf](function(Uh){return Jd.apply(N_(Uh)?Uh:[],lh)})}}),Ng(b_.prototype,function(qd,Jd){var Tf=mh[Jd];if(Tf){var qf=Tf.name+"";X_.call(ab,qf)||(ab[qf]=[]),ab[qf].push({name:Jd,func:Tf})}}),ab[ty(ed,kd).name]=[{name:"wrapper",func:ed}],b_.prototype.clone=k1,b_.prototype.reverse=cv,b_.prototype.value=H2,mh.prototype.at=lx,mh.prototype.chain=ux,mh.prototype.commit=dx,mh.prototype.next=px,mh.prototype.plant=hx,mh.prototype.reverse=mx,mh.prototype.toJSON=mh.prototype.valueOf=mh.prototype.value=_x,mh.prototype.first=mh.prototype.head,rb&&(mh.prototype[rb]=fx),mh},m0=Ky();$g?(($g.exports=m0)._=m0,K0._=m0):G_._=m0}).call(commonjsGlobal)})(lodash,lodash.exports);var lodashExports=lodash.exports;const _$3=getDefaultExportFromCjs(lodashExports);var queryString={},strictUriEncode=Ra=>encodeURIComponent(Ra).replace(/[!'()*]/g,qa=>`%${qa.charCodeAt(0).toString(16).toUpperCase()}`),token$1="%[a-f0-9]{2}",singleMatcher=new RegExp("("+token$1+")|([^%]+?)","gi"),multiMatcher=new RegExp("("+token$1+")+","gi");function decodeComponents(Ra,qa){try{return[decodeURIComponent(Ra.join(""))]}catch{}if(Ra.length===1)return Ra;qa=qa||1;var ed=Ra.slice(0,qa),td=Ra.slice(qa);return Array.prototype.concat.call([],decodeComponents(ed),decodeComponents(td))}function decode$1(Ra){try{return decodeURIComponent(Ra)}catch{for(var qa=Ra.match(singleMatcher)||[],ed=1;ed{if(!(typeof Ra=="string"&&typeof qa=="string"))throw new TypeError("Expected the arguments to be of type `string`");if(qa==="")return[Ra];const ed=Ra.indexOf(qa);return ed===-1?[Ra]:[Ra.slice(0,ed),Ra.slice(ed+qa.length)]},filterObj=function(Ra,qa){for(var ed={},td=Object.keys(Ra),rd=Array.isArray(qa),sd=0;sdOd==null,od=Symbol("encodeFragmentIdentifier");function ld(Od){switch(Od.arrayFormat){case"index":return Md=>(Pd,Fd)=>{const Ud=Pd.length;return Fd===void 0||Od.skipNull&&Fd===null||Od.skipEmptyString&&Fd===""?Pd:Fd===null?[...Pd,[_d(Md,Od),"[",Ud,"]"].join("")]:[...Pd,[_d(Md,Od),"[",_d(Ud,Od),"]=",_d(Fd,Od)].join("")]};case"bracket":return Md=>(Pd,Fd)=>Fd===void 0||Od.skipNull&&Fd===null||Od.skipEmptyString&&Fd===""?Pd:Fd===null?[...Pd,[_d(Md,Od),"[]"].join("")]:[...Pd,[_d(Md,Od),"[]=",_d(Fd,Od)].join("")];case"colon-list-separator":return Md=>(Pd,Fd)=>Fd===void 0||Od.skipNull&&Fd===null||Od.skipEmptyString&&Fd===""?Pd:Fd===null?[...Pd,[_d(Md,Od),":list="].join("")]:[...Pd,[_d(Md,Od),":list=",_d(Fd,Od)].join("")];case"comma":case"separator":case"bracket-separator":{const Md=Od.arrayFormat==="bracket-separator"?"[]=":"=";return Pd=>(Fd,Ud)=>Ud===void 0||Od.skipNull&&Ud===null||Od.skipEmptyString&&Ud===""?Fd:(Ud=Ud===null?"":Ud,Fd.length===0?[[_d(Pd,Od),Md,_d(Ud,Od)].join("")]:[[Fd,_d(Ud,Od)].join(Od.arrayFormatSeparator)])}default:return Md=>(Pd,Fd)=>Fd===void 0||Od.skipNull&&Fd===null||Od.skipEmptyString&&Fd===""?Pd:Fd===null?[...Pd,_d(Md,Od)]:[...Pd,[_d(Md,Od),"=",_d(Fd,Od)].join("")]}}function cd(Od){let Md;switch(Od.arrayFormat){case"index":return(Pd,Fd,Ud)=>{if(Md=/\[(\d*)\]$/.exec(Pd),Pd=Pd.replace(/\[\d*\]$/,""),!Md){Ud[Pd]=Fd;return}Ud[Pd]===void 0&&(Ud[Pd]={}),Ud[Pd][Md[1]]=Fd};case"bracket":return(Pd,Fd,Ud)=>{if(Md=/(\[\])$/.exec(Pd),Pd=Pd.replace(/\[\]$/,""),!Md){Ud[Pd]=Fd;return}if(Ud[Pd]===void 0){Ud[Pd]=[Fd];return}Ud[Pd]=[].concat(Ud[Pd],Fd)};case"colon-list-separator":return(Pd,Fd,Ud)=>{if(Md=/(:list)$/.exec(Pd),Pd=Pd.replace(/:list$/,""),!Md){Ud[Pd]=Fd;return}if(Ud[Pd]===void 0){Ud[Pd]=[Fd];return}Ud[Pd]=[].concat(Ud[Pd],Fd)};case"comma":case"separator":return(Pd,Fd,Ud)=>{const Gd=typeof Fd=="string"&&Fd.includes(Od.arrayFormatSeparator),Qd=typeof Fd=="string"&&!Gd&&Ed(Fd,Od).includes(Od.arrayFormatSeparator);Fd=Qd?Ed(Fd,Od):Fd;const Vd=Gd||Qd?Fd.split(Od.arrayFormatSeparator).map(zd=>Ed(zd,Od)):Fd===null?Fd:Ed(Fd,Od);Ud[Pd]=Vd};case"bracket-separator":return(Pd,Fd,Ud)=>{const Gd=/(\[\])$/.test(Pd);if(Pd=Pd.replace(/\[\]$/,""),!Gd){Ud[Pd]=Fd&&Ed(Fd,Od);return}const Qd=Fd===null?[]:Fd.split(Od.arrayFormatSeparator).map(Vd=>Ed(Vd,Od));if(Ud[Pd]===void 0){Ud[Pd]=Qd;return}Ud[Pd]=[].concat(Ud[Pd],Qd)};default:return(Pd,Fd,Ud)=>{if(Ud[Pd]===void 0){Ud[Pd]=Fd;return}Ud[Pd]=[].concat(Ud[Pd],Fd)}}}function ud(Od){if(typeof Od!="string"||Od.length!==1)throw new TypeError("arrayFormatSeparator must be single character string")}function _d(Od,Md){return Md.encode?Md.strict?qa(Od):encodeURIComponent(Od):Od}function Ed(Od,Md){return Md.decode?ed(Od):Od}function Ad(Od){return Array.isArray(Od)?Od.sort():typeof Od=="object"?Ad(Object.keys(Od)).sort((Md,Pd)=>Number(Md)-Number(Pd)).map(Md=>Od[Md]):Od}function Td(Od){const Md=Od.indexOf("#");return Md!==-1&&(Od=Od.slice(0,Md)),Od}function Nd(Od){let Md="";const Pd=Od.indexOf("#");return Pd!==-1&&(Md=Od.slice(Pd)),Md}function Rd(Od){Od=Td(Od);const Md=Od.indexOf("?");return Md===-1?"":Od.slice(Md+1)}function Bd(Od,Md){return Md.parseNumbers&&!Number.isNaN(Number(Od))&&typeof Od=="string"&&Od.trim()!==""?Od=Number(Od):Md.parseBooleans&&Od!==null&&(Od.toLowerCase()==="true"||Od.toLowerCase()==="false")&&(Od=Od.toLowerCase()==="true"),Od}function kd(Od,Md){Md=Object.assign({decode:!0,sort:!0,arrayFormat:"none",arrayFormatSeparator:",",parseNumbers:!1,parseBooleans:!1},Md),ud(Md.arrayFormatSeparator);const Pd=cd(Md),Fd=Object.create(null);if(typeof Od!="string"||(Od=Od.trim().replace(/^[?#&]/,""),!Od))return Fd;for(const Ud of Od.split("&")){if(Ud==="")continue;let[Gd,Qd]=td(Md.decode?Ud.replace(/\+/g," "):Ud,"=");Qd=Qd===void 0?null:["comma","separator","bracket-separator"].includes(Md.arrayFormat)?Qd:Ed(Qd,Md),Pd(Ed(Gd,Md),Qd,Fd)}for(const Ud of Object.keys(Fd)){const Gd=Fd[Ud];if(typeof Gd=="object"&&Gd!==null)for(const Qd of Object.keys(Gd))Gd[Qd]=Bd(Gd[Qd],Md);else Fd[Ud]=Bd(Gd,Md)}return Md.sort===!1?Fd:(Md.sort===!0?Object.keys(Fd).sort():Object.keys(Fd).sort(Md.sort)).reduce((Ud,Gd)=>{const Qd=Fd[Gd];return Qd&&typeof Qd=="object"&&!Array.isArray(Qd)?Ud[Gd]=Ad(Qd):Ud[Gd]=Qd,Ud},Object.create(null))}Ra.extract=Rd,Ra.parse=kd,Ra.stringify=(Od,Md)=>{if(!Od)return"";Md=Object.assign({encode:!0,strict:!0,arrayFormat:"none",arrayFormatSeparator:","},Md),ud(Md.arrayFormatSeparator);const Pd=Qd=>Md.skipNull&&sd(Od[Qd])||Md.skipEmptyString&&Od[Qd]==="",Fd=ld(Md),Ud={};for(const Qd of Object.keys(Od))Pd(Qd)||(Ud[Qd]=Od[Qd]);const Gd=Object.keys(Ud);return Md.sort!==!1&&Gd.sort(Md.sort),Gd.map(Qd=>{const Vd=Od[Qd];return Vd===void 0?"":Vd===null?_d(Qd,Md):Array.isArray(Vd)?Vd.length===0&&Md.arrayFormat==="bracket-separator"?_d(Qd,Md)+"[]":Vd.reduce(Fd(Qd),[]).join("&"):_d(Qd,Md)+"="+_d(Vd,Md)}).filter(Qd=>Qd.length>0).join("&")},Ra.parseUrl=(Od,Md)=>{Md=Object.assign({decode:!0},Md);const[Pd,Fd]=td(Od,"#");return Object.assign({url:Pd.split("?")[0]||"",query:kd(Rd(Od),Md)},Md&&Md.parseFragmentIdentifier&&Fd?{fragmentIdentifier:Ed(Fd,Md)}:{})},Ra.stringifyUrl=(Od,Md)=>{Md=Object.assign({encode:!0,strict:!0,[od]:!0},Md);const Pd=Td(Od.url).split("?")[0]||"",Fd=Ra.extract(Od.url),Ud=Ra.parse(Fd,{sort:!1}),Gd=Object.assign(Ud,Od.query);let Qd=Ra.stringify(Gd,Md);Qd&&(Qd=`?${Qd}`);let Vd=Nd(Od.url);return Od.fragmentIdentifier&&(Vd=`#${Md[od]?_d(Od.fragmentIdentifier,Md):Od.fragmentIdentifier}`),`${Pd}${Qd}${Vd}`},Ra.pick=(Od,Md,Pd)=>{Pd=Object.assign({parseFragmentIdentifier:!0,[od]:!1},Pd);const{url:Fd,query:Ud,fragmentIdentifier:Gd}=Ra.parseUrl(Od,Pd);return Ra.stringifyUrl({url:Fd,query:rd(Ud,Md),fragmentIdentifier:Gd},Pd)},Ra.exclude=(Od,Md,Pd)=>{const Fd=Array.isArray(Md)?Ud=>!Md.includes(Ud):(Ud,Gd)=>!Md(Ud,Gd);return Ra.pick(Od,Fd,Pd)}})(queryString);const Encoder=TextEncoder;var xml$3={exports:{}},XML_CHARACTER_MAP={"&":"&",'"':""","'":"'","<":"<",">":">"};function escapeForXML$1(Ra){return Ra&&Ra.replace?Ra.replace(/([&"<>'])/g,function(qa,ed){return XML_CHARACTER_MAP[ed]}):Ra}var escapeForXML_1=escapeForXML$1,define_process_default$5={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}},escapeForXML=escapeForXML_1,Stream=streamBrowserify.Stream,DEFAULT_INDENT=" ";function xml$2(Ra,qa){typeof qa!="object"&&(qa={indent:qa});var ed=qa.stream?new Stream:null,td="",rd=!1,sd=qa.indent?qa.indent===!0?DEFAULT_INDENT:qa.indent:"",od=!0;function ld(Ad){od?define_process_default$5.nextTick(Ad):Ad()}function cd(Ad,Td){if(Td!==void 0&&(td+=Td),Ad&&!rd&&(ed=ed||new Stream,rd=!0),Ad&&rd){var Nd=td;ld(function(){ed.emit("data",Nd)}),td=""}}function ud(Ad,Td){format$1(cd,resolve(Ad,sd,sd?1:0),Td)}function _d(){if(ed){var Ad=td;ld(function(){ed.emit("data",Ad),ed.emit("end"),ed.readable=!1,ed.emit("close")})}}function Ed(Ad){var Td=Ad.encoding||"UTF-8",Nd={version:"1.0",encoding:Td};Ad.standalone&&(Nd.standalone=Ad.standalone),ud({"?xml":{_attr:Nd}}),td=td.replace("/>","?>")}return ld(function(){od=!1}),qa.declaration&&Ed(qa.declaration),Ra&&Ra.forEach?Ra.forEach(function(Ad,Td){var Nd;Td+1===Ra.length&&(Nd=_d),ud(Ad,Nd)}):ud(Ra,_d),ed?(ed.readable=!0,ed):td}function element(){var Ra=Array.prototype.slice.call(arguments),qa={_elem:resolve(Ra)};return qa.push=function(ed){if(!this.append)throw new Error("not assigned to a parent!");var td=this,rd=this._elem.indent;format$1(this.append,resolve(ed,rd,this._elem.icount+(rd?1:0)),function(){td.append(!0)})},qa.close=function(ed){ed!==void 0&&this.push(ed),this.end&&this.end()},qa}function create_indent(Ra,qa){return new Array(qa||0).join(Ra||"")}function resolve(Ra,qa,ed){ed=ed||0;var td=create_indent(qa,ed),rd,sd=Ra,od=!1;if(typeof Ra=="object"){var ld=Object.keys(Ra);if(rd=ld[0],sd=Ra[rd],sd&&sd._elem)return sd._elem.name=rd,sd._elem.icount=ed,sd._elem.indent=qa,sd._elem.indents=td,sd._elem.interrupt=sd,sd._elem}var cd=[],ud=[],_d;function Ed(Ad){var Td=Object.keys(Ad);Td.forEach(function(Nd){cd.push(attribute(Nd,Ad[Nd]))})}switch(typeof sd){case"object":if(sd===null)break;sd._attr&&Ed(sd._attr),sd._cdata&&ud.push(("/g,"]]]]>")+"]]>"),sd.forEach&&(_d=!1,ud.push(""),sd.forEach(function(Ad){if(typeof Ad=="object"){var Td=Object.keys(Ad)[0];Td=="_attr"?Ed(Ad._attr):ud.push(resolve(Ad,qa,ed+1))}else ud.pop(),_d=!0,ud.push(escapeForXML(Ad))}),_d||ud.push(""));break;default:ud.push(escapeForXML(sd))}return{name:rd,interrupt:od,attributes:cd,content:ud,icount:ed,indents:td,indent:qa}}function format$1(Ra,qa,ed){if(typeof qa!="object")return Ra(!1,qa);var td=qa.interrupt?1:qa.content.length;function rd(){for(;qa.content.length;){var od=qa.content.shift();if(od!==void 0){if(sd(od))return;format$1(Ra,od)}}Ra(!1,(td>1?qa.indents:"")+(qa.name?"":"")+(qa.indent&&!ed?` `:"")),ed&&ed()}function sd(od){return od.interrupt?(od.interrupt.append=Ra,od.interrupt.end=rd,od.interrupt=!1,Ra(!0),!0):!1}if(Ra(!1,qa.indents+(qa.name?"<"+qa.name:"")+(qa.attributes.length?" "+qa.attributes.join(" "):"")+(td?qa.name?">":"":qa.name?"/>":"")+(qa.indent&&td>1?` `:"")),!td)return Ra(!1,qa.indent?` `:"");sd(qa)||rd()}function attribute(Ra,qa){return Ra+'="'+escapeForXML(qa)+'"'}xml$3.exports=xml$2;xml$3.exports.element=xml$3.exports.Element=element;var xmlExports=xml$3.exports;const Xml=getDefaultExportFromCjs(xmlExports);var xml2js={},defaults$1={},hasRequiredDefaults;function requireDefaults(){return hasRequiredDefaults||(hasRequiredDefaults=1,(function(){defaults$1.defaults={"0.1":{explicitCharkey:!1,trim:!0,normalize:!0,normalizeTags:!1,attrkey:"@",charkey:"#",explicitArray:!1,ignoreAttrs:!1,mergeAttrs:!1,explicitRoot:!1,validator:null,xmlns:!1,explicitChildren:!1,childkey:"@@",charsAsChildren:!1,includeWhiteChars:!1,async:!1,strict:!0,attrNameProcessors:null,attrValueProcessors:null,tagNameProcessors:null,valueProcessors:null,emptyTag:""},"0.2":{explicitCharkey:!1,trim:!1,normalize:!1,normalizeTags:!1,attrkey:"$",charkey:"_",explicitArray:!0,ignoreAttrs:!1,mergeAttrs:!1,explicitRoot:!0,validator:null,xmlns:!1,explicitChildren:!1,preserveChildrenOrder:!1,childkey:"$$",charsAsChildren:!1,includeWhiteChars:!1,async:!1,strict:!0,attrNameProcessors:null,attrValueProcessors:null,tagNameProcessors:null,valueProcessors:null,rootName:"root",xmldec:{version:"1.0",encoding:"UTF-8",standalone:!0},doctype:null,renderOpts:{pretty:!0,indent:" ",newline:` `},headless:!1,chunkSize:1e4,emptyTag:"",cdata:!1}}}).call(commonjsGlobal)),defaults$1}var builder={},lib$e={},Utility={},hasRequiredUtility;function requireUtility(){return hasRequiredUtility||(hasRequiredUtility=1,(function(){var Ra,qa,ed,td,rd,sd,od,ld=[].slice,cd={}.hasOwnProperty;Ra=function(){var ud,_d,Ed,Ad,Td,Nd;if(Nd=arguments[0],Td=2<=arguments.length?ld.call(arguments,1):[],rd(Object.assign))Object.assign.apply(null,arguments);else for(ud=0,Ed=Td.length;ud":"attribute: {"+ed+"}, parent: <"+this.parent.name+">"},qa.prototype.isEqualNode=function(ed){return!(ed.namespaceURI!==this.namespaceURI||ed.prefix!==this.prefix||ed.localName!==this.localName||ed.value!==this.value)},qa}()}).call(commonjsGlobal)),XMLAttribute.exports}var XMLNamedNodeMap={exports:{}},hasRequiredXMLNamedNodeMap;function requireXMLNamedNodeMap(){return hasRequiredXMLNamedNodeMap||(hasRequiredXMLNamedNodeMap=1,(function(){XMLNamedNodeMap.exports=function(){function Ra(qa){this.nodes=qa}return Object.defineProperty(Ra.prototype,"length",{get:function(){return Object.keys(this.nodes).length||0}}),Ra.prototype.clone=function(){return this.nodes=null},Ra.prototype.getNamedItem=function(qa){return this.nodes[qa]},Ra.prototype.setNamedItem=function(qa){var ed;return ed=this.nodes[qa.nodeName],this.nodes[qa.nodeName]=qa,ed||null},Ra.prototype.removeNamedItem=function(qa){var ed;return ed=this.nodes[qa],delete this.nodes[qa],ed||null},Ra.prototype.item=function(qa){return this.nodes[Object.keys(this.nodes)[qa]]||null},Ra.prototype.getNamedItemNS=function(qa,ed){throw new Error("This DOM method is not implemented.")},Ra.prototype.setNamedItemNS=function(qa){throw new Error("This DOM method is not implemented.")},Ra.prototype.removeNamedItemNS=function(qa,ed){throw new Error("This DOM method is not implemented.")},Ra}()}).call(commonjsGlobal)),XMLNamedNodeMap.exports}var hasRequiredXMLElement;function requireXMLElement(){return hasRequiredXMLElement||(hasRequiredXMLElement=1,(function(){var Ra,qa,ed,td,rd,sd,od,ld,cd=function(_d,Ed){for(var Ad in Ed)ud.call(Ed,Ad)&&(_d[Ad]=Ed[Ad]);function Td(){this.constructor=_d}return Td.prototype=Ed.prototype,_d.prototype=new Td,_d.__super__=Ed.prototype,_d},ud={}.hasOwnProperty;ld=requireUtility(),od=ld.isObject,sd=ld.isFunction,rd=ld.getValue,td=requireXMLNode(),Ra=requireNodeType(),qa=requireXMLAttribute(),ed=requireXMLNamedNodeMap(),XMLElement.exports=function(_d){cd(Ed,_d);function Ed(Ad,Td,Nd){var Rd,Bd,kd,Od;if(Ed.__super__.constructor.call(this,Ad),Td==null)throw new Error("Missing element name. "+this.debugInfo());if(this.name=this.stringify.name(Td),this.type=Ra.Element,this.attribs={},this.schemaTypeInfo=null,Nd!=null&&this.attribute(Nd),Ad.type===Ra.Document&&(this.isRoot=!0,this.documentObject=Ad,Ad.rootObject=this,Ad.children)){for(Od=Ad.children,Bd=0,kd=Od.length;Bd=Rd;Td=0<=Rd?++Nd:--Nd)if(!this.attribs[Td].isEqualNode(Ad.attribs[Td]))return!1;return!0},Ed}(td)}).call(commonjsGlobal)),XMLElement.exports}var XMLCData={exports:{}},XMLCharacterData={exports:{}},hasRequiredXMLCharacterData;function requireXMLCharacterData(){return hasRequiredXMLCharacterData||(hasRequiredXMLCharacterData=1,(function(){var Ra,qa=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;Ra=requireXMLNode(),XMLCharacterData.exports=function(td){qa(rd,td);function rd(sd){rd.__super__.constructor.call(this,sd),this.value=""}return Object.defineProperty(rd.prototype,"data",{get:function(){return this.value},set:function(sd){return this.value=sd||""}}),Object.defineProperty(rd.prototype,"length",{get:function(){return this.value.length}}),Object.defineProperty(rd.prototype,"textContent",{get:function(){return this.value},set:function(sd){return this.value=sd||""}}),rd.prototype.clone=function(){return Object.create(this)},rd.prototype.substringData=function(sd,od){throw new Error("This DOM method is not implemented."+this.debugInfo())},rd.prototype.appendData=function(sd){throw new Error("This DOM method is not implemented."+this.debugInfo())},rd.prototype.insertData=function(sd,od){throw new Error("This DOM method is not implemented."+this.debugInfo())},rd.prototype.deleteData=function(sd,od){throw new Error("This DOM method is not implemented."+this.debugInfo())},rd.prototype.replaceData=function(sd,od,ld){throw new Error("This DOM method is not implemented."+this.debugInfo())},rd.prototype.isEqualNode=function(sd){return!(!rd.__super__.isEqualNode.apply(this,arguments).isEqualNode(sd)||sd.data!==this.data)},rd}(Ra)}).call(commonjsGlobal)),XMLCharacterData.exports}var hasRequiredXMLCData;function requireXMLCData(){return hasRequiredXMLCData||(hasRequiredXMLCData=1,(function(){var Ra,qa,ed=function(rd,sd){for(var od in sd)td.call(sd,od)&&(rd[od]=sd[od]);function ld(){this.constructor=rd}return ld.prototype=sd.prototype,rd.prototype=new ld,rd.__super__=sd.prototype,rd},td={}.hasOwnProperty;Ra=requireNodeType(),qa=requireXMLCharacterData(),XMLCData.exports=function(rd){ed(sd,rd);function sd(od,ld){if(sd.__super__.constructor.call(this,od),ld==null)throw new Error("Missing CDATA text. "+this.debugInfo());this.name="#cdata-section",this.type=Ra.CData,this.value=this.stringify.cdata(ld)}return sd.prototype.clone=function(){return Object.create(this)},sd.prototype.toString=function(od){return this.options.writer.cdata(this,this.options.writer.filterOptions(od))},sd}(qa)}).call(commonjsGlobal)),XMLCData.exports}var XMLComment={exports:{}},hasRequiredXMLComment;function requireXMLComment(){return hasRequiredXMLComment||(hasRequiredXMLComment=1,(function(){var Ra,qa,ed=function(rd,sd){for(var od in sd)td.call(sd,od)&&(rd[od]=sd[od]);function ld(){this.constructor=rd}return ld.prototype=sd.prototype,rd.prototype=new ld,rd.__super__=sd.prototype,rd},td={}.hasOwnProperty;Ra=requireNodeType(),qa=requireXMLCharacterData(),XMLComment.exports=function(rd){ed(sd,rd);function sd(od,ld){if(sd.__super__.constructor.call(this,od),ld==null)throw new Error("Missing comment text. "+this.debugInfo());this.name="#comment",this.type=Ra.Comment,this.value=this.stringify.comment(ld)}return sd.prototype.clone=function(){return Object.create(this)},sd.prototype.toString=function(od){return this.options.writer.comment(this,this.options.writer.filterOptions(od))},sd}(qa)}).call(commonjsGlobal)),XMLComment.exports}var XMLDeclaration={exports:{}},hasRequiredXMLDeclaration;function requireXMLDeclaration(){return hasRequiredXMLDeclaration||(hasRequiredXMLDeclaration=1,(function(){var Ra,qa,ed,td=function(sd,od){for(var ld in od)rd.call(od,ld)&&(sd[ld]=od[ld]);function cd(){this.constructor=sd}return cd.prototype=od.prototype,sd.prototype=new cd,sd.__super__=od.prototype,sd},rd={}.hasOwnProperty;ed=requireUtility().isObject,qa=requireXMLNode(),Ra=requireNodeType(),XMLDeclaration.exports=function(sd){td(od,sd);function od(ld,cd,ud,_d){var Ed;od.__super__.constructor.call(this,ld),ed(cd)&&(Ed=cd,cd=Ed.version,ud=Ed.encoding,_d=Ed.standalone),cd||(cd="1.0"),this.type=Ra.Declaration,this.version=this.stringify.xmlVersion(cd),ud!=null&&(this.encoding=this.stringify.xmlEncoding(ud)),_d!=null&&(this.standalone=this.stringify.xmlStandalone(_d))}return od.prototype.toString=function(ld){return this.options.writer.declaration(this,this.options.writer.filterOptions(ld))},od}(qa)}).call(commonjsGlobal)),XMLDeclaration.exports}var XMLDocType={exports:{}},XMLDTDAttList={exports:{}},hasRequiredXMLDTDAttList;function requireXMLDTDAttList(){return hasRequiredXMLDTDAttList||(hasRequiredXMLDTDAttList=1,(function(){var Ra,qa,ed=function(rd,sd){for(var od in sd)td.call(sd,od)&&(rd[od]=sd[od]);function ld(){this.constructor=rd}return ld.prototype=sd.prototype,rd.prototype=new ld,rd.__super__=sd.prototype,rd},td={}.hasOwnProperty;qa=requireXMLNode(),Ra=requireNodeType(),XMLDTDAttList.exports=function(rd){ed(sd,rd);function sd(od,ld,cd,ud,_d,Ed){if(sd.__super__.constructor.call(this,od),ld==null)throw new Error("Missing DTD element name. "+this.debugInfo());if(cd==null)throw new Error("Missing DTD attribute name. "+this.debugInfo(ld));if(!ud)throw new Error("Missing DTD attribute type. "+this.debugInfo(ld));if(!_d)throw new Error("Missing DTD attribute default. "+this.debugInfo(ld));if(_d.indexOf("#")!==0&&(_d="#"+_d),!_d.match(/^(#REQUIRED|#IMPLIED|#FIXED|#DEFAULT)$/))throw new Error("Invalid default value type; expected: #REQUIRED, #IMPLIED, #FIXED or #DEFAULT. "+this.debugInfo(ld));if(Ed&&!_d.match(/^(#FIXED|#DEFAULT)$/))throw new Error("Default value only applies to #FIXED or #DEFAULT. "+this.debugInfo(ld));this.elementName=this.stringify.name(ld),this.type=Ra.AttributeDeclaration,this.attributeName=this.stringify.name(cd),this.attributeType=this.stringify.dtdAttType(ud),Ed&&(this.defaultValue=this.stringify.dtdAttDefault(Ed)),this.defaultValueType=_d}return sd.prototype.toString=function(od){return this.options.writer.dtdAttList(this,this.options.writer.filterOptions(od))},sd}(qa)}).call(commonjsGlobal)),XMLDTDAttList.exports}var XMLDTDEntity={exports:{}},hasRequiredXMLDTDEntity;function requireXMLDTDEntity(){return hasRequiredXMLDTDEntity||(hasRequiredXMLDTDEntity=1,(function(){var Ra,qa,ed,td=function(sd,od){for(var ld in od)rd.call(od,ld)&&(sd[ld]=od[ld]);function cd(){this.constructor=sd}return cd.prototype=od.prototype,sd.prototype=new cd,sd.__super__=od.prototype,sd},rd={}.hasOwnProperty;ed=requireUtility().isObject,qa=requireXMLNode(),Ra=requireNodeType(),XMLDTDEntity.exports=function(sd){td(od,sd);function od(ld,cd,ud,_d){if(od.__super__.constructor.call(this,ld),ud==null)throw new Error("Missing DTD entity name. "+this.debugInfo(ud));if(_d==null)throw new Error("Missing DTD entity value. "+this.debugInfo(ud));if(this.pe=!!cd,this.name=this.stringify.name(ud),this.type=Ra.EntityDeclaration,!ed(_d))this.value=this.stringify.dtdEntityValue(_d),this.internal=!0;else{if(!_d.pubID&&!_d.sysID)throw new Error("Public and/or system identifiers are required for an external entity. "+this.debugInfo(ud));if(_d.pubID&&!_d.sysID)throw new Error("System identifier is required for a public external entity. "+this.debugInfo(ud));if(this.internal=!1,_d.pubID!=null&&(this.pubID=this.stringify.dtdPubID(_d.pubID)),_d.sysID!=null&&(this.sysID=this.stringify.dtdSysID(_d.sysID)),_d.nData!=null&&(this.nData=this.stringify.dtdNData(_d.nData)),this.pe&&this.nData)throw new Error("Notation declaration is not allowed in a parameter entity. "+this.debugInfo(ud))}}return Object.defineProperty(od.prototype,"publicId",{get:function(){return this.pubID}}),Object.defineProperty(od.prototype,"systemId",{get:function(){return this.sysID}}),Object.defineProperty(od.prototype,"notationName",{get:function(){return this.nData||null}}),Object.defineProperty(od.prototype,"inputEncoding",{get:function(){return null}}),Object.defineProperty(od.prototype,"xmlEncoding",{get:function(){return null}}),Object.defineProperty(od.prototype,"xmlVersion",{get:function(){return null}}),od.prototype.toString=function(ld){return this.options.writer.dtdEntity(this,this.options.writer.filterOptions(ld))},od}(qa)}).call(commonjsGlobal)),XMLDTDEntity.exports}var XMLDTDElement={exports:{}},hasRequiredXMLDTDElement;function requireXMLDTDElement(){return hasRequiredXMLDTDElement||(hasRequiredXMLDTDElement=1,(function(){var Ra,qa,ed=function(rd,sd){for(var od in sd)td.call(sd,od)&&(rd[od]=sd[od]);function ld(){this.constructor=rd}return ld.prototype=sd.prototype,rd.prototype=new ld,rd.__super__=sd.prototype,rd},td={}.hasOwnProperty;qa=requireXMLNode(),Ra=requireNodeType(),XMLDTDElement.exports=function(rd){ed(sd,rd);function sd(od,ld,cd){if(sd.__super__.constructor.call(this,od),ld==null)throw new Error("Missing DTD element name. "+this.debugInfo());cd||(cd="(#PCDATA)"),Array.isArray(cd)&&(cd="("+cd.join(",")+")"),this.name=this.stringify.name(ld),this.type=Ra.ElementDeclaration,this.value=this.stringify.dtdElementValue(cd)}return sd.prototype.toString=function(od){return this.options.writer.dtdElement(this,this.options.writer.filterOptions(od))},sd}(qa)}).call(commonjsGlobal)),XMLDTDElement.exports}var XMLDTDNotation={exports:{}},hasRequiredXMLDTDNotation;function requireXMLDTDNotation(){return hasRequiredXMLDTDNotation||(hasRequiredXMLDTDNotation=1,(function(){var Ra,qa,ed=function(rd,sd){for(var od in sd)td.call(sd,od)&&(rd[od]=sd[od]);function ld(){this.constructor=rd}return ld.prototype=sd.prototype,rd.prototype=new ld,rd.__super__=sd.prototype,rd},td={}.hasOwnProperty;qa=requireXMLNode(),Ra=requireNodeType(),XMLDTDNotation.exports=function(rd){ed(sd,rd);function sd(od,ld,cd){if(sd.__super__.constructor.call(this,od),ld==null)throw new Error("Missing DTD notation name. "+this.debugInfo(ld));if(!cd.pubID&&!cd.sysID)throw new Error("Public or system identifiers are required for an external entity. "+this.debugInfo(ld));this.name=this.stringify.name(ld),this.type=Ra.NotationDeclaration,cd.pubID!=null&&(this.pubID=this.stringify.dtdPubID(cd.pubID)),cd.sysID!=null&&(this.sysID=this.stringify.dtdSysID(cd.sysID))}return Object.defineProperty(sd.prototype,"publicId",{get:function(){return this.pubID}}),Object.defineProperty(sd.prototype,"systemId",{get:function(){return this.sysID}}),sd.prototype.toString=function(od){return this.options.writer.dtdNotation(this,this.options.writer.filterOptions(od))},sd}(qa)}).call(commonjsGlobal)),XMLDTDNotation.exports}var hasRequiredXMLDocType;function requireXMLDocType(){return hasRequiredXMLDocType||(hasRequiredXMLDocType=1,(function(){var Ra,qa,ed,td,rd,sd,od,ld,cd=function(_d,Ed){for(var Ad in Ed)ud.call(Ed,Ad)&&(_d[Ad]=Ed[Ad]);function Td(){this.constructor=_d}return Td.prototype=Ed.prototype,_d.prototype=new Td,_d.__super__=Ed.prototype,_d},ud={}.hasOwnProperty;ld=requireUtility().isObject,od=requireXMLNode(),Ra=requireNodeType(),qa=requireXMLDTDAttList(),td=requireXMLDTDEntity(),ed=requireXMLDTDElement(),rd=requireXMLDTDNotation(),sd=requireXMLNamedNodeMap(),XMLDocType.exports=function(_d){cd(Ed,_d);function Ed(Ad,Td,Nd){var Rd,Bd,kd,Od,Md,Pd;if(Ed.__super__.constructor.call(this,Ad),this.type=Ra.DocType,Ad.children){for(Od=Ad.children,Bd=0,kd=Od.length;Bd":(Fd=this.parent)!=null&&Fd.name?"node: <"+Md+">, parent: <"+this.parent.name+">":"node: <"+Md+">"},Od.prototype.ele=function(Md,Pd,Fd){return this.element(Md,Pd,Fd)},Od.prototype.nod=function(Md,Pd,Fd){return this.node(Md,Pd,Fd)},Od.prototype.txt=function(Md){return this.text(Md)},Od.prototype.dat=function(Md){return this.cdata(Md)},Od.prototype.com=function(Md){return this.comment(Md)},Od.prototype.ins=function(Md,Pd){return this.instruction(Md,Pd)},Od.prototype.doc=function(){return this.document()},Od.prototype.dec=function(Md,Pd,Fd){return this.declaration(Md,Pd,Fd)},Od.prototype.e=function(Md,Pd,Fd){return this.element(Md,Pd,Fd)},Od.prototype.n=function(Md,Pd,Fd){return this.node(Md,Pd,Fd)},Od.prototype.t=function(Md){return this.text(Md)},Od.prototype.d=function(Md){return this.cdata(Md)},Od.prototype.c=function(Md){return this.comment(Md)},Od.prototype.r=function(Md){return this.raw(Md)},Od.prototype.i=function(Md,Pd){return this.instruction(Md,Pd)},Od.prototype.u=function(){return this.up()},Od.prototype.importXMLBuilder=function(Md){return this.importDocument(Md)},Od.prototype.replaceChild=function(Md,Pd){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.removeChild=function(Md){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.appendChild=function(Md){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.hasChildNodes=function(){return this.children.length!==0},Od.prototype.cloneNode=function(Md){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.normalize=function(){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.isSupported=function(Md,Pd){return!0},Od.prototype.hasAttributes=function(){return this.attribs.length!==0},Od.prototype.compareDocumentPosition=function(Md){var Pd,Fd;return Pd=this,Pd===Md?0:this.document()!==Md.document()?(Fd=Ra.Disconnected|Ra.ImplementationSpecific,Math.random()<.5?Fd|=Ra.Preceding:Fd|=Ra.Following,Fd):Pd.isAncestor(Md)?Ra.Contains|Ra.Preceding:Pd.isDescendant(Md)?Ra.Contains|Ra.Following:Pd.isPreceding(Md)?Ra.Preceding:Ra.Following},Od.prototype.isSameNode=function(Md){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.lookupPrefix=function(Md){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.isDefaultNamespace=function(Md){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.lookupNamespaceURI=function(Md){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.isEqualNode=function(Md){var Pd,Fd,Ud;if(Md.nodeType!==this.nodeType||Md.children.length!==this.children.length)return!1;for(Pd=Fd=0,Ud=this.children.length-1;0<=Ud?Fd<=Ud:Fd>=Ud;Pd=0<=Ud?++Fd:--Fd)if(!this.children[Pd].isEqualNode(Md.children[Pd]))return!1;return!0},Od.prototype.getFeature=function(Md,Pd){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.setUserData=function(Md,Pd,Fd){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.getUserData=function(Md){throw new Error("This DOM method is not implemented."+this.debugInfo())},Od.prototype.contains=function(Md){return Md?Md===this||this.isDescendant(Md):!1},Od.prototype.isDescendant=function(Md){var Pd,Fd,Ud,Gd,Qd;for(Qd=this.children,Ud=0,Gd=Qd.length;UdFd},Od.prototype.treePosition=function(Md){var Pd,Fd;return Fd=0,Pd=!1,this.foreachTreeNode(this.document(),function(Ud){if(Fd++,!Pd&&Ud===Md)return Pd=!0}),Pd?Fd:-1},Od.prototype.foreachTreeNode=function(Md,Pd){var Fd,Ud,Gd,Qd,Vd;for(Md||(Md=this.document()),Qd=Md.children,Ud=0,Gd=Qd.length;Ud","]]]]>"),this.assertLegalChar(td))},ed.prototype.comment=function(td){if(this.options.noValidation)return td;if(td=""+td||"",td.match(/--/))throw new Error("Comment text cannot contain double-hypen: "+td);return this.assertLegalChar(td)},ed.prototype.raw=function(td){return this.options.noValidation?td:""+td||""},ed.prototype.attValue=function(td){return this.options.noValidation?td:this.assertLegalChar(this.attEscape(td=""+td||""))},ed.prototype.insTarget=function(td){return this.options.noValidation?td:this.assertLegalChar(""+td||"")},ed.prototype.insValue=function(td){if(this.options.noValidation)return td;if(td=""+td||"",td.match(/\?>/))throw new Error("Invalid processing instruction value: "+td);return this.assertLegalChar(td)},ed.prototype.xmlVersion=function(td){if(this.options.noValidation)return td;if(td=""+td||"",!td.match(/1\.[0-9]+/))throw new Error("Invalid version number: "+td);return td},ed.prototype.xmlEncoding=function(td){if(this.options.noValidation)return td;if(td=""+td||"",!td.match(/^[A-Za-z](?:[A-Za-z0-9._-])*$/))throw new Error("Invalid encoding: "+td);return this.assertLegalChar(td)},ed.prototype.xmlStandalone=function(td){return this.options.noValidation?td:td?"yes":"no"},ed.prototype.dtdPubID=function(td){return this.options.noValidation?td:this.assertLegalChar(""+td||"")},ed.prototype.dtdSysID=function(td){return this.options.noValidation?td:this.assertLegalChar(""+td||"")},ed.prototype.dtdElementValue=function(td){return this.options.noValidation?td:this.assertLegalChar(""+td||"")},ed.prototype.dtdAttType=function(td){return this.options.noValidation?td:this.assertLegalChar(""+td||"")},ed.prototype.dtdAttDefault=function(td){return this.options.noValidation?td:this.assertLegalChar(""+td||"")},ed.prototype.dtdEntityValue=function(td){return this.options.noValidation?td:this.assertLegalChar(""+td||"")},ed.prototype.dtdNData=function(td){return this.options.noValidation?td:this.assertLegalChar(""+td||"")},ed.prototype.convertAttKey="@",ed.prototype.convertPIKey="?",ed.prototype.convertTextKey="#text",ed.prototype.convertCDataKey="#cdata",ed.prototype.convertCommentKey="#comment",ed.prototype.convertRawKey="#raw",ed.prototype.assertLegalChar=function(td){var rd,sd;if(this.options.noValidation)return td;if(rd="",this.options.version==="1.0"){if(rd=/[\0-\x08\x0B\f\x0E-\x1F\uFFFE\uFFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF]/,sd=td.match(rd))throw new Error("Invalid character in string: "+td+" at index "+sd.index)}else if(this.options.version==="1.1"&&(rd=/[\0\uFFFE\uFFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF]/,sd=td.match(rd)))throw new Error("Invalid character in string: "+td+" at index "+sd.index);return td},ed.prototype.assertLegalName=function(td){var rd;if(this.options.noValidation)return td;if(this.assertLegalChar(td),rd=/^([:A-Z_a-z\xC0-\xD6\xD8-\xF6\xF8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD]|[\uD800-\uDB7F][\uDC00-\uDFFF])([\x2D\.0-:A-Z_a-z\xB7\xC0-\xD6\xD8-\xF6\xF8-\u037D\u037F-\u1FFF\u200C\u200D\u203F\u2040\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD]|[\uD800-\uDB7F][\uDC00-\uDFFF])*$/,!td.match(rd))throw new Error("Invalid character in name");return td},ed.prototype.textEscape=function(td){var rd;return this.options.noValidation?td:(rd=this.options.noDoubleEncoding?/(?!&\S+;)&/g:/&/g,td.replace(rd,"&").replace(//g,">").replace(/\r/g," "))},ed.prototype.attEscape=function(td){var rd;return this.options.noValidation?td:(rd=this.options.noDoubleEncoding?/(?!&\S+;)&/g:/&/g,td.replace(rd,"&").replace(/0)?new Array(cd).join(od.indent):""},rd.prototype.endline=function(sd,od,ld){return!od.pretty||od.suppressPrettyCount?"":od.newline},rd.prototype.attribute=function(sd,od,ld){var cd;return this.openAttribute(sd,od,ld),cd=" "+sd.name+'="'+sd.value+'"',this.closeAttribute(sd,od,ld),cd},rd.prototype.cdata=function(sd,od,ld){var cd;return this.openNode(sd,od,ld),od.state=qa.OpenTag,cd=this.indent(sd,od,ld)+""+this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),cd},rd.prototype.comment=function(sd,od,ld){var cd;return this.openNode(sd,od,ld),od.state=qa.OpenTag,cd=this.indent(sd,od,ld)+""+this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),cd},rd.prototype.declaration=function(sd,od,ld){var cd;return this.openNode(sd,od,ld),od.state=qa.OpenTag,cd=this.indent(sd,od,ld)+"",cd+=this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),cd},rd.prototype.docType=function(sd,od,ld){var cd,ud,_d,Ed,Ad;if(ld||(ld=0),this.openNode(sd,od,ld),od.state=qa.OpenTag,Ed=this.indent(sd,od,ld),Ed+="0){for(Ed+=" [",Ed+=this.endline(sd,od,ld),od.state=qa.InsideTag,Ad=sd.children,ud=0,_d=Ad.length;ud<_d;ud++)cd=Ad[ud],Ed+=this.writeChildNode(cd,od,ld+1);od.state=qa.CloseTag,Ed+="]"}return od.state=qa.CloseTag,Ed+=od.spaceBeforeSlash+">",Ed+=this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),Ed},rd.prototype.element=function(sd,od,ld){var cd,ud,_d,Ed,Ad,Td,Nd,Rd,Bd,kd,Od,Md,Pd,Fd;ld||(ld=0),kd=!1,Od="",this.openNode(sd,od,ld),od.state=qa.OpenTag,Od+=this.indent(sd,od,ld)+"<"+sd.name,Md=sd.attribs;for(Bd in Md)td.call(Md,Bd)&&(cd=Md[Bd],Od+=this.attribute(cd,od,ld));if(_d=sd.children.length,Ed=_d===0?null:sd.children[0],_d===0||sd.children.every(function(Ud){return(Ud.type===Ra.Text||Ud.type===Ra.Raw)&&Ud.value===""}))od.allowEmpty?(Od+=">",od.state=qa.CloseTag,Od+=""+this.endline(sd,od,ld)):(od.state=qa.CloseTag,Od+=od.spaceBeforeSlash+"/>"+this.endline(sd,od,ld));else if(od.pretty&&_d===1&&(Ed.type===Ra.Text||Ed.type===Ra.Raw)&&Ed.value!=null)Od+=">",od.state=qa.InsideTag,od.suppressPrettyCount++,kd=!0,Od+=this.writeChildNode(Ed,od,ld+1),od.suppressPrettyCount--,kd=!1,od.state=qa.CloseTag,Od+=""+this.endline(sd,od,ld);else{if(od.dontPrettyTextNodes){for(Pd=sd.children,Ad=0,Nd=Pd.length;Ad"+this.endline(sd,od,ld),od.state=qa.InsideTag,Fd=sd.children,Td=0,Rd=Fd.length;Td",kd&&od.suppressPrettyCount--,Od+=this.endline(sd,od,ld),od.state=qa.None}return this.closeNode(sd,od,ld),Od},rd.prototype.writeChildNode=function(sd,od,ld){switch(sd.type){case Ra.CData:return this.cdata(sd,od,ld);case Ra.Comment:return this.comment(sd,od,ld);case Ra.Element:return this.element(sd,od,ld);case Ra.Raw:return this.raw(sd,od,ld);case Ra.Text:return this.text(sd,od,ld);case Ra.ProcessingInstruction:return this.processingInstruction(sd,od,ld);case Ra.Dummy:return"";case Ra.Declaration:return this.declaration(sd,od,ld);case Ra.DocType:return this.docType(sd,od,ld);case Ra.AttributeDeclaration:return this.dtdAttList(sd,od,ld);case Ra.ElementDeclaration:return this.dtdElement(sd,od,ld);case Ra.EntityDeclaration:return this.dtdEntity(sd,od,ld);case Ra.NotationDeclaration:return this.dtdNotation(sd,od,ld);default:throw new Error("Unknown XML node type: "+sd.constructor.name)}},rd.prototype.processingInstruction=function(sd,od,ld){var cd;return this.openNode(sd,od,ld),od.state=qa.OpenTag,cd=this.indent(sd,od,ld)+"",cd+=this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),cd},rd.prototype.raw=function(sd,od,ld){var cd;return this.openNode(sd,od,ld),od.state=qa.OpenTag,cd=this.indent(sd,od,ld),od.state=qa.InsideTag,cd+=sd.value,od.state=qa.CloseTag,cd+=this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),cd},rd.prototype.text=function(sd,od,ld){var cd;return this.openNode(sd,od,ld),od.state=qa.OpenTag,cd=this.indent(sd,od,ld),od.state=qa.InsideTag,cd+=sd.value,od.state=qa.CloseTag,cd+=this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),cd},rd.prototype.dtdAttList=function(sd,od,ld){var cd;return this.openNode(sd,od,ld),od.state=qa.OpenTag,cd=this.indent(sd,od,ld)+""+this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),cd},rd.prototype.dtdElement=function(sd,od,ld){var cd;return this.openNode(sd,od,ld),od.state=qa.OpenTag,cd=this.indent(sd,od,ld)+""+this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),cd},rd.prototype.dtdEntity=function(sd,od,ld){var cd;return this.openNode(sd,od,ld),od.state=qa.OpenTag,cd=this.indent(sd,od,ld)+""+this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),cd},rd.prototype.dtdNotation=function(sd,od,ld){var cd;return this.openNode(sd,od,ld),od.state=qa.OpenTag,cd=this.indent(sd,od,ld)+""+this.endline(sd,od,ld),od.state=qa.None,this.closeNode(sd,od,ld),cd},rd.prototype.openNode=function(sd,od,ld){},rd.prototype.closeNode=function(sd,od,ld){},rd.prototype.openAttribute=function(sd,od,ld){},rd.prototype.closeAttribute=function(sd,od,ld){},rd}()}).call(commonjsGlobal)),XMLWriterBase.exports}var hasRequiredXMLStringWriter;function requireXMLStringWriter(){return hasRequiredXMLStringWriter||(hasRequiredXMLStringWriter=1,(function(){var Ra,qa=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;Ra=requireXMLWriterBase(),XMLStringWriter.exports=function(td){qa(rd,td);function rd(sd){rd.__super__.constructor.call(this,sd)}return rd.prototype.document=function(sd,od){var ld,cd,ud,_d,Ed;for(od=this.filterOptions(od),_d="",Ed=sd.children,cd=0,ud=Ed.length;cd=0;)this.up();return this.onEnd()},Qd.prototype.openCurrent=function(){if(this.currentNode)return this.currentNode.children=!0,this.openNode(this.currentNode)},Qd.prototype.openNode=function(Vd){var zd,Xd,df,mf;if(!Vd.isOpen){if(!this.root&&this.currentLevel===0&&Vd.type===Ra.Element&&(this.root=Vd),Xd="",Vd.type===Ra.Element){this.writerOptions.state=qa.OpenTag,Xd=this.writer.indent(Vd,this.writerOptions,this.currentLevel)+"<"+Vd.name,mf=Vd.attribs;for(df in mf)Gd.call(mf,df)&&(zd=mf[df],Xd+=this.writer.attribute(zd,this.writerOptions,this.currentLevel));Xd+=(Vd.children?">":"/>")+this.writer.endline(Vd,this.writerOptions,this.currentLevel),this.writerOptions.state=qa.InsideTag}else this.writerOptions.state=qa.OpenTag,Xd=this.writer.indent(Vd,this.writerOptions,this.currentLevel)+""),Xd+=this.writer.endline(Vd,this.writerOptions,this.currentLevel);return this.onData(Xd,this.currentLevel),Vd.isOpen=!0}},Qd.prototype.closeNode=function(Vd){var zd;if(!Vd.isClosed)return zd="",this.writerOptions.state=qa.CloseTag,Vd.type===Ra.Element?zd=this.writer.indent(Vd,this.writerOptions,this.currentLevel)+""+this.writer.endline(Vd,this.writerOptions,this.currentLevel):zd=this.writer.indent(Vd,this.writerOptions,this.currentLevel)+"]>"+this.writer.endline(Vd,this.writerOptions,this.currentLevel),this.writerOptions.state=qa.None,this.onData(zd,this.currentLevel),Vd.isClosed=!0},Qd.prototype.onData=function(Vd,zd){return this.documentStarted=!0,this.onDataCallback(Vd,zd+1)},Qd.prototype.onEnd=function(){return this.documentCompleted=!0,this.onEndCallback()},Qd.prototype.debugInfo=function(Vd){return Vd==null?"":"node: <"+Vd+">"},Qd.prototype.ele=function(){return this.element.apply(this,arguments)},Qd.prototype.nod=function(Vd,zd,Xd){return this.node(Vd,zd,Xd)},Qd.prototype.txt=function(Vd){return this.text(Vd)},Qd.prototype.dat=function(Vd){return this.cdata(Vd)},Qd.prototype.com=function(Vd){return this.comment(Vd)},Qd.prototype.ins=function(Vd,zd){return this.instruction(Vd,zd)},Qd.prototype.dec=function(Vd,zd,Xd){return this.declaration(Vd,zd,Xd)},Qd.prototype.dtd=function(Vd,zd,Xd){return this.doctype(Vd,zd,Xd)},Qd.prototype.e=function(Vd,zd,Xd){return this.element(Vd,zd,Xd)},Qd.prototype.n=function(Vd,zd,Xd){return this.node(Vd,zd,Xd)},Qd.prototype.t=function(Vd){return this.text(Vd)},Qd.prototype.d=function(Vd){return this.cdata(Vd)},Qd.prototype.c=function(Vd){return this.comment(Vd)},Qd.prototype.r=function(Vd){return this.raw(Vd)},Qd.prototype.i=function(Vd,zd){return this.instruction(Vd,zd)},Qd.prototype.att=function(){return this.currentNode&&this.currentNode.type===Ra.DocType?this.attList.apply(this,arguments):this.attribute.apply(this,arguments)},Qd.prototype.a=function(){return this.currentNode&&this.currentNode.type===Ra.DocType?this.attList.apply(this,arguments):this.attribute.apply(this,arguments)},Qd.prototype.ent=function(Vd,zd){return this.entity(Vd,zd)},Qd.prototype.pent=function(Vd,zd){return this.pEntity(Vd,zd)},Qd.prototype.not=function(Vd,zd){return this.notation(Vd,zd)},Qd}()}).call(commonjsGlobal)),XMLDocumentCB.exports}var XMLStreamWriter={exports:{}},hasRequiredXMLStreamWriter;function requireXMLStreamWriter(){return hasRequiredXMLStreamWriter||(hasRequiredXMLStreamWriter=1,(function(){var Ra,qa,ed,td=function(sd,od){for(var ld in od)rd.call(od,ld)&&(sd[ld]=od[ld]);function cd(){this.constructor=sd}return cd.prototype=od.prototype,sd.prototype=new cd,sd.__super__=od.prototype,sd},rd={}.hasOwnProperty;Ra=requireNodeType(),ed=requireXMLWriterBase(),qa=requireWriterState(),XMLStreamWriter.exports=function(sd){td(od,sd);function od(ld,cd){this.stream=ld,od.__super__.constructor.call(this,cd)}return od.prototype.endline=function(ld,cd,ud){return ld.isLastRootNode&&cd.state===qa.CloseTag?"":od.__super__.endline.call(this,ld,cd,ud)},od.prototype.document=function(ld,cd){var ud,_d,Ed,Ad,Td,Nd,Rd,Bd,kd;for(Rd=ld.children,_d=Ed=0,Td=Rd.length;Ed0){for(this.stream.write(" ["),this.stream.write(this.endline(ld,cd,ud)),cd.state=qa.InsideTag,Td=ld.children,Ed=0,Ad=Td.length;Ed"),this.stream.write(this.endline(ld,cd,ud)),cd.state=qa.None,this.closeNode(ld,cd,ud)},od.prototype.element=function(ld,cd,ud){var _d,Ed,Ad,Td,Nd,Rd,Bd,kd,Od;ud||(ud=0),this.openNode(ld,cd,ud),cd.state=qa.OpenTag,this.stream.write(this.indent(ld,cd,ud)+"<"+ld.name),kd=ld.attribs;for(Bd in kd)rd.call(kd,Bd)&&(_d=kd[Bd],this.attribute(_d,cd,ud));if(Ad=ld.children.length,Td=Ad===0?null:ld.children[0],Ad===0||ld.children.every(function(Md){return(Md.type===Ra.Text||Md.type===Ra.Raw)&&Md.value===""}))cd.allowEmpty?(this.stream.write(">"),cd.state=qa.CloseTag,this.stream.write("")):(cd.state=qa.CloseTag,this.stream.write(cd.spaceBeforeSlash+"/>"));else if(cd.pretty&&Ad===1&&(Td.type===Ra.Text||Td.type===Ra.Raw)&&Td.value!=null)this.stream.write(">"),cd.state=qa.InsideTag,cd.suppressPrettyCount++,this.writeChildNode(Td,cd,ud+1),cd.suppressPrettyCount--,cd.state=qa.CloseTag,this.stream.write("");else{for(this.stream.write(">"+this.endline(ld,cd,ud)),cd.state=qa.InsideTag,Od=ld.children,Nd=0,Rd=Od.length;Nd")}return this.stream.write(this.endline(ld,cd,ud)),cd.state=qa.None,this.closeNode(ld,cd,ud)},od.prototype.processingInstruction=function(ld,cd,ud){return this.stream.write(od.__super__.processingInstruction.call(this,ld,cd,ud))},od.prototype.raw=function(ld,cd,ud){return this.stream.write(od.__super__.raw.call(this,ld,cd,ud))},od.prototype.text=function(ld,cd,ud){return this.stream.write(od.__super__.text.call(this,ld,cd,ud))},od.prototype.dtdAttList=function(ld,cd,ud){return this.stream.write(od.__super__.dtdAttList.call(this,ld,cd,ud))},od.prototype.dtdElement=function(ld,cd,ud){return this.stream.write(od.__super__.dtdElement.call(this,ld,cd,ud))},od.prototype.dtdEntity=function(ld,cd,ud){return this.stream.write(od.__super__.dtdEntity.call(this,ld,cd,ud))},od.prototype.dtdNotation=function(ld,cd,ud){return this.stream.write(od.__super__.dtdNotation.call(this,ld,cd,ud))},od}(ed)}).call(commonjsGlobal)),XMLStreamWriter.exports}var hasRequiredLib$b;function requireLib$b(){return hasRequiredLib$b||(hasRequiredLib$b=1,(function(){var Ra,qa,ed,td,rd,sd,od,ld,cd,ud;ud=requireUtility(),ld=ud.assign,cd=ud.isFunction,ed=requireXMLDOMImplementation(),td=requireXMLDocument(),rd=requireXMLDocumentCB(),od=requireXMLStringWriter(),sd=requireXMLStreamWriter(),Ra=requireNodeType(),qa=requireWriterState(),lib$e.create=function(_d,Ed,Ad,Td){var Nd,Rd;if(_d==null)throw new Error("Root element needs a name.");return Td=ld({},Ed,Ad,Td),Nd=new td(Td),Rd=Nd.element(_d),Td.headless||(Nd.declaration(Td),(Td.pubID!=null||Td.sysID!=null)&&Nd.dtd(Td)),Rd},lib$e.begin=function(_d,Ed,Ad){var Td;return cd(_d)&&(Td=[_d,Ed],Ed=Td[0],Ad=Td[1],_d={}),Ed?new rd(_d,Ed,Ad):new td(_d)},lib$e.stringWriter=function(_d){return new od(_d)},lib$e.streamWriter=function(_d,Ed){return new sd(_d,Ed)},lib$e.implementation=new ed,lib$e.nodeType=Ra,lib$e.writerState=qa}).call(commonjsGlobal)),lib$e}var hasRequiredBuilder;function requireBuilder(){return hasRequiredBuilder||(hasRequiredBuilder=1,(function(){var Ra,qa,ed,td,rd,sd={}.hasOwnProperty;Ra=requireLib$b(),qa=requireDefaults().defaults,td=function(od){return typeof od=="string"&&(od.indexOf("&")>=0||od.indexOf(">")>=0||od.indexOf("<")>=0)},rd=function(od){return""},ed=function(od){return od.replace("]]>","]]]]>")},builder.Builder=function(){function od(ld){var cd,ud,_d;this.options={},ud=qa["0.2"];for(cd in ud)sd.call(ud,cd)&&(_d=ud[cd],this.options[cd]=_d);for(cd in ld)sd.call(ld,cd)&&(_d=ld[cd],this.options[cd]=_d)}return od.prototype.buildObject=function(ld){var cd,ud,_d,Ed,Ad;return cd=this.options.attrkey,ud=this.options.charkey,Object.keys(ld).length===1&&this.options.rootName===qa["0.2"].rootName?(Ad=Object.keys(ld)[0],ld=ld[Ad]):Ad=this.options.rootName,_d=function(Td){return function(Nd,Rd){var Bd,kd,Od,Md,Pd,Fd;if(typeof Rd!="object")Td.options.cdata&&td(Rd)?Nd.raw(rd(Rd)):Nd.txt(Rd);else if(Array.isArray(Rd)){for(Md in Rd)if(sd.call(Rd,Md)){kd=Rd[Md];for(Pd in kd)Od=kd[Pd],Nd=_d(Nd.ele(Pd),Od).up()}}else for(Pd in Rd)if(sd.call(Rd,Pd))if(kd=Rd[Pd],Pd===cd){if(typeof kd=="object")for(Bd in kd)Fd=kd[Bd],Nd=Nd.att(Bd,Fd)}else if(Pd===ud)Td.options.cdata&&td(kd)?Nd=Nd.raw(rd(kd)):Nd=Nd.txt(kd);else if(Array.isArray(kd))for(Md in kd)sd.call(kd,Md)&&(Od=kd[Md],typeof Od=="string"?Td.options.cdata&&td(Od)?Nd=Nd.ele(Pd).raw(rd(Od)).up():Nd=Nd.ele(Pd,Od).up():Nd=_d(Nd.ele(Pd),Od).up());else typeof kd=="object"?Nd=_d(Nd.ele(Pd),kd).up():typeof kd=="string"&&Td.options.cdata&&td(kd)?Nd=Nd.ele(Pd).raw(rd(kd)).up():(kd==null&&(kd=""),Nd=Nd.ele(Pd,kd.toString()).up());return Nd}}(this),Ed=Ra.create(Ad,this.options.xmldec,this.options.doctype,{headless:this.options.headless,allowSurrogateChars:this.options.allowSurrogateChars}),_d(Ed,ld).end(this.options.renderOpts)},od}()}).call(commonjsGlobal)),builder}var parser$3={},sax={},hasRequiredSax;function requireSax(){return hasRequiredSax||(hasRequiredSax=1,function(Ra){(function(qa){qa.parser=function(Ef,bf){return new td(Ef,bf)},qa.SAXParser=td,qa.SAXStream=_d,qa.createStream=ud,qa.MAX_BUFFER_LENGTH=64*1024;var ed=["comment","sgmlDecl","textNode","tagName","doctype","procInstName","procInstBody","entity","attribName","attribValue","cdata","script"];qa.EVENTS=["text","processinginstruction","sgmldeclaration","doctype","comment","opentagstart","attribute","opentag","closetag","opencdata","cdata","closecdata","error","end","ready","script","opennamespace","closenamespace"];function td(Ef,bf){if(!(this instanceof td))return new td(Ef,bf);var Bf=this;sd(Bf),Bf.q=Bf.c="",Bf.bufferCheckPosition=qa.MAX_BUFFER_LENGTH,Bf.opt=bf||{},Bf.opt.lowercase=Bf.opt.lowercase||Bf.opt.lowercasetags,Bf.looseCase=Bf.opt.lowercase?"toLowerCase":"toUpperCase",Bf.tags=[],Bf.closed=Bf.closedRoot=Bf.sawRoot=!1,Bf.tag=Bf.error=null,Bf.strict=!!Ef,Bf.noscript=!!(Ef||Bf.opt.noscript),Bf.state=Vd.BEGIN,Bf.strictEntities=Bf.opt.strictEntities,Bf.ENTITIES=Bf.strictEntities?Object.create(qa.XML_ENTITIES):Object.create(qa.ENTITIES),Bf.attribList=[],Bf.opt.xmlns&&(Bf.ns=Object.create(Rd)),Bf.opt.unquotedAttributeValues===void 0&&(Bf.opt.unquotedAttributeValues=!Ef),Bf.trackPosition=Bf.opt.position!==!1,Bf.trackPosition&&(Bf.position=Bf.line=Bf.column=0),Xd(Bf,"onready")}Object.create||(Object.create=function(Ef){function bf(){}bf.prototype=Ef;var Bf=new bf;return Bf}),Object.keys||(Object.keys=function(Ef){var bf=[];for(var Bf in Ef)Ef.hasOwnProperty(Bf)&&bf.push(Bf);return bf});function rd(Ef){for(var bf=Math.max(qa.MAX_BUFFER_LENGTH,10),Bf=0,Kf=0,nh=ed.length;Kfbf)switch(ed[Kf]){case"textNode":mf(Ef);break;case"cdata":df(Ef,"oncdata",Ef.cdata),Ef.cdata="";break;case"script":df(Ef,"onscript",Ef.script),Ef.script="";break;default:hf(Ef,"Max buffer length exceeded: "+ed[Kf])}Bf=Math.max(Bf,zf)}var $f=qa.MAX_BUFFER_LENGTH-Bf;Ef.bufferCheckPosition=$f+Ef.position}function sd(Ef){for(var bf=0,Bf=ed.length;bf"||Pd(Ef)}function Gd(Ef,bf){return Ef.test(bf)}function Qd(Ef,bf){return!Gd(Ef,bf)}var Vd=0;qa.STATE={BEGIN:Vd++,BEGIN_WHITESPACE:Vd++,TEXT:Vd++,TEXT_ENTITY:Vd++,OPEN_WAKA:Vd++,SGML_DECL:Vd++,SGML_DECL_QUOTED:Vd++,DOCTYPE:Vd++,DOCTYPE_QUOTED:Vd++,DOCTYPE_DTD:Vd++,DOCTYPE_DTD_QUOTED:Vd++,COMMENT_STARTING:Vd++,COMMENT:Vd++,COMMENT_ENDING:Vd++,COMMENT_ENDED:Vd++,CDATA:Vd++,CDATA_ENDING:Vd++,CDATA_ENDING_2:Vd++,PROC_INST:Vd++,PROC_INST_BODY:Vd++,PROC_INST_ENDING:Vd++,OPEN_TAG:Vd++,OPEN_TAG_SLASH:Vd++,ATTRIB:Vd++,ATTRIB_NAME:Vd++,ATTRIB_NAME_SAW_WHITE:Vd++,ATTRIB_VALUE:Vd++,ATTRIB_VALUE_QUOTED:Vd++,ATTRIB_VALUE_CLOSED:Vd++,ATTRIB_VALUE_UNQUOTED:Vd++,ATTRIB_VALUE_ENTITY_Q:Vd++,ATTRIB_VALUE_ENTITY_U:Vd++,CLOSE_TAG:Vd++,CLOSE_TAG_SAW_WHITE:Vd++,SCRIPT:Vd++,SCRIPT_ENDING:Vd++},qa.XML_ENTITIES={amp:"&",gt:">",lt:"<",quot:'"',apos:"'"},qa.ENTITIES={amp:"&",gt:">",lt:"<",quot:'"',apos:"'",AElig:198,Aacute:193,Acirc:194,Agrave:192,Aring:197,Atilde:195,Auml:196,Ccedil:199,ETH:208,Eacute:201,Ecirc:202,Egrave:200,Euml:203,Iacute:205,Icirc:206,Igrave:204,Iuml:207,Ntilde:209,Oacute:211,Ocirc:212,Ograve:210,Oslash:216,Otilde:213,Ouml:214,THORN:222,Uacute:218,Ucirc:219,Ugrave:217,Uuml:220,Yacute:221,aacute:225,acirc:226,aelig:230,agrave:224,aring:229,atilde:227,auml:228,ccedil:231,eacute:233,ecirc:234,egrave:232,eth:240,euml:235,iacute:237,icirc:238,igrave:236,iuml:239,ntilde:241,oacute:243,ocirc:244,ograve:242,oslash:248,otilde:245,ouml:246,szlig:223,thorn:254,uacute:250,ucirc:251,ugrave:249,uuml:252,yacute:253,yuml:255,copy:169,reg:174,nbsp:160,iexcl:161,cent:162,pound:163,curren:164,yen:165,brvbar:166,sect:167,uml:168,ordf:170,laquo:171,not:172,shy:173,macr:175,deg:176,plusmn:177,sup1:185,sup2:178,sup3:179,acute:180,micro:181,para:182,middot:183,cedil:184,ordm:186,raquo:187,frac14:188,frac12:189,frac34:190,iquest:191,times:215,divide:247,OElig:338,oelig:339,Scaron:352,scaron:353,Yuml:376,fnof:402,circ:710,tilde:732,Alpha:913,Beta:914,Gamma:915,Delta:916,Epsilon:917,Zeta:918,Eta:919,Theta:920,Iota:921,Kappa:922,Lambda:923,Mu:924,Nu:925,Xi:926,Omicron:927,Pi:928,Rho:929,Sigma:931,Tau:932,Upsilon:933,Phi:934,Chi:935,Psi:936,Omega:937,alpha:945,beta:946,gamma:947,delta:948,epsilon:949,zeta:950,eta:951,theta:952,iota:953,kappa:954,lambda:955,mu:956,nu:957,xi:958,omicron:959,pi:960,rho:961,sigmaf:962,sigma:963,tau:964,upsilon:965,phi:966,chi:967,psi:968,omega:969,thetasym:977,upsih:978,piv:982,ensp:8194,emsp:8195,thinsp:8201,zwnj:8204,zwj:8205,lrm:8206,rlm:8207,ndash:8211,mdash:8212,lsquo:8216,rsquo:8217,sbquo:8218,ldquo:8220,rdquo:8221,bdquo:8222,dagger:8224,Dagger:8225,bull:8226,hellip:8230,permil:8240,prime:8242,Prime:8243,lsaquo:8249,rsaquo:8250,oline:8254,frasl:8260,euro:8364,image:8465,weierp:8472,real:8476,trade:8482,alefsym:8501,larr:8592,uarr:8593,rarr:8594,darr:8595,harr:8596,crarr:8629,lArr:8656,uArr:8657,rArr:8658,dArr:8659,hArr:8660,forall:8704,part:8706,exist:8707,empty:8709,nabla:8711,isin:8712,notin:8713,ni:8715,prod:8719,sum:8721,minus:8722,lowast:8727,radic:8730,prop:8733,infin:8734,ang:8736,and:8743,or:8744,cap:8745,cup:8746,int:8747,there4:8756,sim:8764,cong:8773,asymp:8776,ne:8800,equiv:8801,le:8804,ge:8805,sub:8834,sup:8835,nsub:8836,sube:8838,supe:8839,oplus:8853,otimes:8855,perp:8869,sdot:8901,lceil:8968,rceil:8969,lfloor:8970,rfloor:8971,lang:9001,rang:9002,loz:9674,spades:9824,clubs:9827,hearts:9829,diams:9830},Object.keys(qa.ENTITIES).forEach(function(Ef){var bf=qa.ENTITIES[Ef],Bf=typeof bf=="number"?String.fromCharCode(bf):bf;qa.ENTITIES[Ef]=Bf});for(var zd in qa.STATE)qa.STATE[qa.STATE[zd]]=zd;Vd=qa.STATE;function Xd(Ef,bf,Bf){Ef[bf]&&Ef[bf](Bf)}function df(Ef,bf,Bf){Ef.textNode&&mf(Ef),Xd(Ef,bf,Bf)}function mf(Ef){Ef.textNode=_f(Ef.opt,Ef.textNode),Ef.textNode&&Xd(Ef,"ontext",Ef.textNode),Ef.textNode=""}function _f(Ef,bf){return Ef.trim&&(bf=bf.trim()),Ef.normalize&&(bf=bf.replace(/\s+/g," ")),bf}function hf(Ef,bf){return mf(Ef),Ef.trackPosition&&(bf+=` Line: `+Ef.line+` Column: `+Ef.column+` Char: `+Ef.c),bf=new Error(bf),Ef.error=bf,Xd(Ef,"onerror",bf),Ef}function gf(Ef){return Ef.sawRoot&&!Ef.closedRoot&&yf(Ef,"Unclosed root tag"),Ef.state!==Vd.BEGIN&&Ef.state!==Vd.BEGIN_WHITESPACE&&Ef.state!==Vd.TEXT&&hf(Ef,"Unexpected end"),mf(Ef),Ef.c="",Ef.closed=!0,Xd(Ef,"onend"),td.call(Ef,Ef.strict,Ef.opt),Ef}function yf(Ef,bf){if(typeof Ef!="object"||!(Ef instanceof td))throw new Error("bad call to strictFail");Ef.strict&&hf(Ef,bf)}function Nf(Ef){Ef.strict||(Ef.tagName=Ef.tagName[Ef.looseCase]());var bf=Ef.tags[Ef.tags.length-1]||Ef,Bf=Ef.tag={name:Ef.tagName,attributes:{}};Ef.opt.xmlns&&(Bf.ns=bf.ns),Ef.attribList.length=0,df(Ef,"onopentagstart",Bf)}function Pf(Ef,bf){var Bf=Ef.indexOf(":"),Kf=Bf<0?["",Ef]:Ef.split(":"),nh=Kf[0],zf=Kf[1];return bf&&Ef==="xmlns"&&(nh="xmlns",zf=""),{prefix:nh,local:zf}}function Yf(Ef){if(Ef.strict||(Ef.attribName=Ef.attribName[Ef.looseCase]()),Ef.attribList.indexOf(Ef.attribName)!==-1||Ef.tag.attributes.hasOwnProperty(Ef.attribName)){Ef.attribName=Ef.attribValue="";return}if(Ef.opt.xmlns){var bf=Pf(Ef.attribName,!0),Bf=bf.prefix,Kf=bf.local;if(Bf==="xmlns")if(Kf==="xml"&&Ef.attribValue!==Td)yf(Ef,"xml: prefix must be bound to "+Td+` Actual: `+Ef.attribValue);else if(Kf==="xmlns"&&Ef.attribValue!==Nd)yf(Ef,"xmlns: prefix must be bound to "+Nd+` Actual: `+Ef.attribValue);else{var nh=Ef.tag,zf=Ef.tags[Ef.tags.length-1]||Ef;nh.ns===zf.ns&&(nh.ns=Object.create(zf.ns)),nh.ns[Kf]=Ef.attribValue}Ef.attribList.push([Ef.attribName,Ef.attribValue])}else Ef.tag.attributes[Ef.attribName]=Ef.attribValue,df(Ef,"onattribute",{name:Ef.attribName,value:Ef.attribValue});Ef.attribName=Ef.attribValue=""}function Uf(Ef,bf){if(Ef.opt.xmlns){var Bf=Ef.tag,Kf=Pf(Ef.tagName);Bf.prefix=Kf.prefix,Bf.local=Kf.local,Bf.uri=Bf.ns[Kf.prefix]||"",Bf.prefix&&!Bf.uri&&(yf(Ef,"Unbound namespace prefix: "+JSON.stringify(Ef.tagName)),Bf.uri=Kf.prefix);var nh=Ef.tags[Ef.tags.length-1]||Ef;Bf.ns&&nh.ns!==Bf.ns&&Object.keys(Bf.ns).forEach(function(oh){df(Ef,"onopennamespace",{prefix:oh,uri:Bf.ns[oh]})});for(var zf=0,$f=Ef.attribList.length;zf<$f;zf++){var th=Ef.attribList[zf],hh=th[0],Xf=th[1],Df=Pf(hh,!0),Of=Df.prefix,Vf=Df.local,dh=Of===""?"":Bf.ns[Of]||"",bh={name:hh,value:Xf,prefix:Of,local:Vf,uri:dh};Of&&Of!=="xmlns"&&!dh&&(yf(Ef,"Unbound namespace prefix: "+JSON.stringify(Of)),bh.uri=Of),Ef.tag.attributes[hh]=bh,df(Ef,"onattribute",bh)}Ef.attribList.length=0}Ef.tag.isSelfClosing=!!bf,Ef.sawRoot=!0,Ef.tags.push(Ef.tag),df(Ef,"onopentag",Ef.tag),bf||(!Ef.noscript&&Ef.tagName.toLowerCase()==="script"?Ef.state=Vd.SCRIPT:Ef.state=Vd.TEXT,Ef.tag=null,Ef.tagName=""),Ef.attribName=Ef.attribValue="",Ef.attribList.length=0}function Lf(Ef){if(!Ef.tagName){yf(Ef,"Weird empty close tag."),Ef.textNode+="",Ef.state=Vd.TEXT;return}if(Ef.script){if(Ef.tagName!=="script"){Ef.script+="",Ef.tagName="",Ef.state=Vd.SCRIPT;return}df(Ef,"onscript",Ef.script),Ef.script=""}var bf=Ef.tags.length,Bf=Ef.tagName;Ef.strict||(Bf=Bf[Ef.looseCase]());for(var Kf=Bf;bf--;){var nh=Ef.tags[bf];if(nh.name!==Kf)yf(Ef,"Unexpected close tag");else break}if(bf<0){yf(Ef,"Unmatched closing tag: "+Ef.tagName),Ef.textNode+="",Ef.state=Vd.TEXT;return}Ef.tagName=Bf;for(var zf=Ef.tags.length;zf-- >bf;){var $f=Ef.tag=Ef.tags.pop();Ef.tagName=Ef.tag.name,df(Ef,"onclosetag",Ef.tagName);var th={};for(var hh in $f.ns)th[hh]=$f.ns[hh];var Xf=Ef.tags[Ef.tags.length-1]||Ef;Ef.opt.xmlns&&$f.ns!==Xf.ns&&Object.keys($f.ns).forEach(function(Df){var Of=$f.ns[Df];df(Ef,"onclosenamespace",{prefix:Df,uri:Of})})}bf===0&&(Ef.closedRoot=!0),Ef.tagName=Ef.attribValue=Ef.attribName="",Ef.attribList.length=0,Ef.state=Vd.TEXT}function xf(Ef){var bf=Ef.entity,Bf=bf.toLowerCase(),Kf,nh="";return Ef.ENTITIES[bf]?Ef.ENTITIES[bf]:Ef.ENTITIES[Bf]?Ef.ENTITIES[Bf]:(bf=Bf,bf.charAt(0)==="#"&&(bf.charAt(1)==="x"?(bf=bf.slice(2),Kf=parseInt(bf,16),nh=Kf.toString(16)):(bf=bf.slice(1),Kf=parseInt(bf,10),nh=Kf.toString(10))),bf=bf.replace(/^0+/,""),isNaN(Kf)||nh.toLowerCase()!==bf?(yf(Ef,"Invalid character entity"),"&"+Ef.entity+";"):String.fromCodePoint(Kf))}function wf(Ef,bf){bf==="<"?(Ef.state=Vd.OPEN_WAKA,Ef.startTagPosition=Ef.position):Pd(bf)||(yf(Ef,"Non-whitespace before first tag."),Ef.textNode=bf,Ef.state=Vd.TEXT)}function Jf(Ef,bf){var Bf="";return bf"?(df(bf,"onsgmldeclaration",bf.sgmlDecl),bf.sgmlDecl="",bf.state=Vd.TEXT):(Fd(Kf)&&(bf.state=Vd.SGML_DECL_QUOTED),bf.sgmlDecl+=Kf);continue;case Vd.SGML_DECL_QUOTED:Kf===bf.q&&(bf.state=Vd.SGML_DECL,bf.q=""),bf.sgmlDecl+=Kf;continue;case Vd.DOCTYPE:Kf===">"?(bf.state=Vd.TEXT,df(bf,"ondoctype",bf.doctype),bf.doctype=!0):(bf.doctype+=Kf,Kf==="["?bf.state=Vd.DOCTYPE_DTD:Fd(Kf)&&(bf.state=Vd.DOCTYPE_QUOTED,bf.q=Kf));continue;case Vd.DOCTYPE_QUOTED:bf.doctype+=Kf,Kf===bf.q&&(bf.q="",bf.state=Vd.DOCTYPE);continue;case Vd.DOCTYPE_DTD:Kf==="]"?(bf.doctype+=Kf,bf.state=Vd.DOCTYPE):Kf==="<"?(bf.state=Vd.OPEN_WAKA,bf.startTagPosition=bf.position):Fd(Kf)?(bf.doctype+=Kf,bf.state=Vd.DOCTYPE_DTD_QUOTED,bf.q=Kf):bf.doctype+=Kf;continue;case Vd.DOCTYPE_DTD_QUOTED:bf.doctype+=Kf,Kf===bf.q&&(bf.state=Vd.DOCTYPE_DTD,bf.q="");continue;case Vd.COMMENT:Kf==="-"?bf.state=Vd.COMMENT_ENDING:bf.comment+=Kf;continue;case Vd.COMMENT_ENDING:Kf==="-"?(bf.state=Vd.COMMENT_ENDED,bf.comment=_f(bf.opt,bf.comment),bf.comment&&df(bf,"oncomment",bf.comment),bf.comment=""):(bf.comment+="-"+Kf,bf.state=Vd.COMMENT);continue;case Vd.COMMENT_ENDED:Kf!==">"?(yf(bf,"Malformed comment"),bf.comment+="--"+Kf,bf.state=Vd.COMMENT):bf.doctype&&bf.doctype!==!0?bf.state=Vd.DOCTYPE_DTD:bf.state=Vd.TEXT;continue;case Vd.CDATA:Kf==="]"?bf.state=Vd.CDATA_ENDING:bf.cdata+=Kf;continue;case Vd.CDATA_ENDING:Kf==="]"?bf.state=Vd.CDATA_ENDING_2:(bf.cdata+="]"+Kf,bf.state=Vd.CDATA);continue;case Vd.CDATA_ENDING_2:Kf===">"?(bf.cdata&&df(bf,"oncdata",bf.cdata),df(bf,"onclosecdata"),bf.cdata="",bf.state=Vd.TEXT):Kf==="]"?bf.cdata+="]":(bf.cdata+="]]"+Kf,bf.state=Vd.CDATA);continue;case Vd.PROC_INST:Kf==="?"?bf.state=Vd.PROC_INST_ENDING:Pd(Kf)?bf.state=Vd.PROC_INST_BODY:bf.procInstName+=Kf;continue;case Vd.PROC_INST_BODY:if(!bf.procInstBody&&Pd(Kf))continue;Kf==="?"?bf.state=Vd.PROC_INST_ENDING:bf.procInstBody+=Kf;continue;case Vd.PROC_INST_ENDING:Kf===">"?(df(bf,"onprocessinginstruction",{name:bf.procInstName,body:bf.procInstBody}),bf.procInstName=bf.procInstBody="",bf.state=Vd.TEXT):(bf.procInstBody+="?"+Kf,bf.state=Vd.PROC_INST_BODY);continue;case Vd.OPEN_TAG:Gd(kd,Kf)?bf.tagName+=Kf:(Nf(bf),Kf===">"?Uf(bf):Kf==="/"?bf.state=Vd.OPEN_TAG_SLASH:(Pd(Kf)||yf(bf,"Invalid character in tag name"),bf.state=Vd.ATTRIB));continue;case Vd.OPEN_TAG_SLASH:Kf===">"?(Uf(bf,!0),Lf(bf)):(yf(bf,"Forward-slash in opening tag not followed by >"),bf.state=Vd.ATTRIB);continue;case Vd.ATTRIB:if(Pd(Kf))continue;Kf===">"?Uf(bf):Kf==="/"?bf.state=Vd.OPEN_TAG_SLASH:Gd(Bd,Kf)?(bf.attribName=Kf,bf.attribValue="",bf.state=Vd.ATTRIB_NAME):yf(bf,"Invalid attribute name");continue;case Vd.ATTRIB_NAME:Kf==="="?bf.state=Vd.ATTRIB_VALUE:Kf===">"?(yf(bf,"Attribute without value"),bf.attribValue=bf.attribName,Yf(bf),Uf(bf)):Pd(Kf)?bf.state=Vd.ATTRIB_NAME_SAW_WHITE:Gd(kd,Kf)?bf.attribName+=Kf:yf(bf,"Invalid attribute name");continue;case Vd.ATTRIB_NAME_SAW_WHITE:if(Kf==="=")bf.state=Vd.ATTRIB_VALUE;else{if(Pd(Kf))continue;yf(bf,"Attribute without value"),bf.tag.attributes[bf.attribName]="",bf.attribValue="",df(bf,"onattribute",{name:bf.attribName,value:""}),bf.attribName="",Kf===">"?Uf(bf):Gd(Bd,Kf)?(bf.attribName=Kf,bf.state=Vd.ATTRIB_NAME):(yf(bf,"Invalid attribute name"),bf.state=Vd.ATTRIB)}continue;case Vd.ATTRIB_VALUE:if(Pd(Kf))continue;Fd(Kf)?(bf.q=Kf,bf.state=Vd.ATTRIB_VALUE_QUOTED):(bf.opt.unquotedAttributeValues||hf(bf,"Unquoted attribute value"),bf.state=Vd.ATTRIB_VALUE_UNQUOTED,bf.attribValue=Kf);continue;case Vd.ATTRIB_VALUE_QUOTED:if(Kf!==bf.q){Kf==="&"?bf.state=Vd.ATTRIB_VALUE_ENTITY_Q:bf.attribValue+=Kf;continue}Yf(bf),bf.q="",bf.state=Vd.ATTRIB_VALUE_CLOSED;continue;case Vd.ATTRIB_VALUE_CLOSED:Pd(Kf)?bf.state=Vd.ATTRIB:Kf===">"?Uf(bf):Kf==="/"?bf.state=Vd.OPEN_TAG_SLASH:Gd(Bd,Kf)?(yf(bf,"No whitespace between attributes"),bf.attribName=Kf,bf.attribValue="",bf.state=Vd.ATTRIB_NAME):yf(bf,"Invalid attribute name");continue;case Vd.ATTRIB_VALUE_UNQUOTED:if(!Ud(Kf)){Kf==="&"?bf.state=Vd.ATTRIB_VALUE_ENTITY_U:bf.attribValue+=Kf;continue}Yf(bf),Kf===">"?Uf(bf):bf.state=Vd.ATTRIB;continue;case Vd.CLOSE_TAG:if(bf.tagName)Kf===">"?Lf(bf):Gd(kd,Kf)?bf.tagName+=Kf:bf.script?(bf.script+=""?Lf(bf):yf(bf,"Invalid characters in closing tag");continue;case Vd.TEXT_ENTITY:case Vd.ATTRIB_VALUE_ENTITY_Q:case Vd.ATTRIB_VALUE_ENTITY_U:var $f,th;switch(bf.state){case Vd.TEXT_ENTITY:$f=Vd.TEXT,th="textNode";break;case Vd.ATTRIB_VALUE_ENTITY_Q:$f=Vd.ATTRIB_VALUE_QUOTED,th="attribValue";break;case Vd.ATTRIB_VALUE_ENTITY_U:$f=Vd.ATTRIB_VALUE_UNQUOTED,th="attribValue";break}if(Kf===";"){var hh=xf(bf);bf.opt.unparsedEntities&&!Object.values(qa.XML_ENTITIES).includes(hh)?(bf.entity="",bf.state=$f,bf.write(hh)):(bf[th]+=hh,bf.entity="",bf.state=$f)}else Gd(bf.entity.length?Md:Od,Kf)?bf.entity+=Kf:(yf(bf,"Invalid character in entity name"),bf[th]+="&"+bf.entity+Kf,bf.entity="",bf.state=$f);continue;default:throw new Error(bf,"Unknown state: "+bf.state)}return bf.position>=bf.bufferCheckPosition&&rd(bf),bf}/*! http://mths.be/fromcodepoint v0.1.0 by @mathias */String.fromCodePoint||function(){var Ef=String.fromCharCode,bf=Math.floor,Bf=function(){var Kf=16384,nh=[],zf,$f,th=-1,hh=arguments.length;if(!hh)return"";for(var Xf="";++th1114111||bf(Df)!==Df)throw RangeError("Invalid code point: "+Df);Df<=65535?nh.push(Df):(Df-=65536,zf=(Df>>10)+55296,$f=Df%1024+56320,nh.push(zf,$f)),(th+1===hh||nh.length>Kf)&&(Xf+=Ef.apply(null,nh),nh.length=0)}return Xf};Object.defineProperty?Object.defineProperty(String,"fromCodePoint",{value:Bf,configurable:!0,writable:!0}):String.fromCodePoint=Bf}()})(Ra)}(sax)),sax}var bom={},hasRequiredBom;function requireBom(){return hasRequiredBom||(hasRequiredBom=1,(function(){bom.stripBOM=function(Ra){return Ra[0]==="\uFEFF"?Ra.substring(1):Ra}}).call(commonjsGlobal)),bom}var processors={},hasRequiredProcessors;function requireProcessors(){return hasRequiredProcessors||(hasRequiredProcessors=1,(function(){var Ra;Ra=new RegExp(/(?!xmlns)^.*:/),processors.normalize=function(qa){return qa.toLowerCase()},processors.firstCharLowerCase=function(qa){return qa.charAt(0).toLowerCase()+qa.slice(1)},processors.stripPrefix=function(qa){return qa.replace(Ra,"")},processors.parseNumbers=function(qa){return isNaN(qa)||(qa=qa%1===0?parseInt(qa,10):parseFloat(qa)),qa},processors.parseBooleans=function(qa){return/^(?:true|false)$/i.test(qa)&&(qa=qa.toLowerCase()==="true"),qa}}).call(commonjsGlobal)),processors}var main={},setImmediate$1={},hasRequiredSetImmediate;function requireSetImmediate(){if(hasRequiredSetImmediate)return setImmediate$1;hasRequiredSetImmediate=1;var Ra={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};return function(qa,ed){if(qa.setImmediate)return;var td=1,rd={},sd=!1,od=qa.document,ld;function cd(Md){typeof Md!="function"&&(Md=new Function(""+Md));for(var Pd=new Array(arguments.length-1),Fd=0;Fd"u"?commonjsGlobal:self),setImmediate$1}var hasRequiredMain;function requireMain(){if(hasRequiredMain)return main;hasRequiredMain=1;var Ra=typeof commonjsGlobal<"u"&&commonjsGlobal||typeof self<"u"&&self||window,qa=Function.prototype.apply;main.setTimeout=function(){return new ed(qa.call(setTimeout,Ra,arguments),clearTimeout)},main.setInterval=function(){return new ed(qa.call(setInterval,Ra,arguments),clearInterval)},main.clearTimeout=main.clearInterval=function(td){td&&td.close()};function ed(td,rd){this._id=td,this._clearFn=rd}return ed.prototype.unref=ed.prototype.ref=function(){},ed.prototype.close=function(){this._clearFn.call(Ra,this._id)},main.enroll=function(td,rd){clearTimeout(td._idleTimeoutId),td._idleTimeout=rd},main.unenroll=function(td){clearTimeout(td._idleTimeoutId),td._idleTimeout=-1},main._unrefActive=main.active=function(td){clearTimeout(td._idleTimeoutId);var rd=td._idleTimeout;rd>=0&&(td._idleTimeoutId=setTimeout(function(){td._onTimeout&&td._onTimeout()},rd))},requireSetImmediate(),main.setImmediate=typeof self<"u"&&self.setImmediate||typeof commonjsGlobal<"u"&&commonjsGlobal.setImmediate||commonjsGlobal&&commonjsGlobal.setImmediate,main.clearImmediate=typeof self<"u"&&self.clearImmediate||typeof commonjsGlobal<"u"&&commonjsGlobal.clearImmediate||commonjsGlobal&&commonjsGlobal.clearImmediate,main}var hasRequiredParser$2;function requireParser$2(){return hasRequiredParser$2||(hasRequiredParser$2=1,function(Ra){(function(){var qa,ed,td,rd,sd,od,ld,cd,ud=function(Ad,Td){return function(){return Ad.apply(Td,arguments)}},_d=function(Ad,Td){for(var Nd in Td)Ed.call(Td,Nd)&&(Ad[Nd]=Td[Nd]);function Rd(){this.constructor=Ad}return Rd.prototype=Td.prototype,Ad.prototype=new Rd,Ad.__super__=Td.prototype,Ad},Ed={}.hasOwnProperty;ld=requireSax(),td=eventsExports,qa=requireBom(),od=requireProcessors(),cd=requireMain().setImmediate,ed=requireDefaults().defaults,rd=function(Ad){return typeof Ad=="object"&&Ad!=null&&Object.keys(Ad).length===0},sd=function(Ad,Td,Nd){var Rd,Bd,kd;for(Rd=0,Bd=Ad.length;Rd0&&(Ud[Od.options.childkey]=Qd),Qd=Ud;else if(Xd){Xd[Od.options.childkey]=Xd[Od.options.childkey]||[],Vd=Object.create(null);for(Fd in Qd)Ed.call(Qd,Fd)&&(Vd[Fd]=Qd[Fd]);Xd[Od.options.childkey].push(Vd),delete Qd["#name"],Object.keys(Qd).length===1&&Rd in Qd&&!Od.EXPLICIT_CHARKEY&&(Qd=Qd[Rd])}}return kd.length>0?Od.assignOrPush(Xd,Gd,Qd):(Od.options.explicitRoot&&(zd=Qd,Qd=Object.create(null),Qd[Gd]=zd),Od.resultObject=Qd,Od.saxParser.ended=!0,Od.emit("end",Od.resultObject))}}(this),Bd=function(Od){return function(Md){var Pd,Fd;if(Fd=kd[kd.length-1],Fd)return Fd[Rd]+=Md,Od.options.explicitChildren&&Od.options.preserveChildrenOrder&&Od.options.charsAsChildren&&(Od.options.includeWhiteChars||Md.replace(/\\n/g,"").trim()!=="")&&(Fd[Od.options.childkey]=Fd[Od.options.childkey]||[],Pd={"#name":"__text__"},Pd[Rd]=Md,Od.options.normalize&&(Pd[Rd]=Pd[Rd].replace(/\s{2,}/g," ").trim()),Fd[Od.options.childkey].push(Pd)),Fd}}(this),this.saxParser.ontext=Bd,this.saxParser.oncdata=function(Od){return function(Md){var Pd;if(Pd=Bd(Md),Pd)return Pd.cdata=!0}}()},Td.prototype.parseString=function(Nd,Rd){var Bd;Rd!=null&&typeof Rd=="function"&&(this.on("end",function(kd){return this.reset(),Rd(null,kd)}),this.on("error",function(kd){return this.reset(),Rd(kd)}));try{return Nd=Nd.toString(),Nd.trim()===""?(this.emit("end",null),!0):(Nd=qa.stripBOM(Nd),this.options.async?(this.remaining=Nd,cd(this.processAsync),this.saxParser):this.saxParser.write(Nd).close())}catch(kd){if(Bd=kd,this.saxParser.errThrown||this.saxParser.ended){if(this.saxParser.ended)throw Bd}else return this.emit("error",Bd),this.saxParser.errThrown=!0}},Td.prototype.parseStringPromise=function(Nd){return new Promise(function(Rd){return function(Bd,kd){return Rd.parseString(Nd,function(Od,Md){return Od?kd(Od):Bd(Md)})}}(this))},Td}(td),Ra.parseString=function(Ad,Td,Nd){var Rd,Bd,kd;return Nd!=null?(typeof Nd=="function"&&(Rd=Nd),typeof Td=="object"&&(Bd=Td)):(typeof Td=="function"&&(Rd=Td),Bd={}),kd=new Ra.Parser(Bd),kd.parseString(Ad,Rd)},Ra.parseStringPromise=function(Ad,Td){var Nd,Rd;return typeof Td=="object"&&(Nd=Td),Rd=new Ra.Parser(Nd),Rd.parseStringPromise(Ad)}}).call(commonjsGlobal)}(parser$3)),parser$3}(function(){var Ra,qa,ed,td,rd=function(od,ld){for(var cd in ld)sd.call(ld,cd)&&(od[cd]=ld[cd]);function ud(){this.constructor=od}return ud.prototype=ld.prototype,od.prototype=new ud,od.__super__=ld.prototype,od},sd={}.hasOwnProperty;qa=requireDefaults(),Ra=requireBuilder(),ed=requireParser$2(),td=requireProcessors(),xml2js.defaults=qa.defaults,xml2js.processors=td,xml2js.ValidationError=function(od){rd(ld,od);function ld(cd){this.message=cd}return ld}(Error),xml2js.Builder=Ra.Builder,xml2js.Parser=ed.Parser,xml2js.parseString=ed.parseString,xml2js.parseStringPromise=ed.parseStringPromise}).call(commonjsGlobal);class ExtendableError extends Error{constructor(qa,ed){super(qa,ed),this.name=this.constructor.name}}class AnonymousRequestError extends ExtendableError{}class InvalidArgumentError extends ExtendableError{}class InvalidEndpointError extends ExtendableError{}class InvalidBucketNameError extends ExtendableError{}class InvalidObjectNameError extends ExtendableError{}class AccessKeyRequiredError extends ExtendableError{}class SecretKeyRequiredError extends ExtendableError{}class ExpiresParamError extends ExtendableError{}class InvalidDateError extends ExtendableError{}class InvalidPrefixError extends ExtendableError{}class InvalidBucketPolicyError extends ExtendableError{}class InvalidXMLError extends ExtendableError{}class S3Error extends ExtendableError{}class IsValidBucketNameError extends ExtendableError{}var validator$3={},util$4={};(function(Ra){const qa=":A-Za-z_\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u02FF\\u0370-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD",ed=qa+"\\-.\\d\\u00B7\\u0300-\\u036F\\u203F-\\u2040",td="["+qa+"]["+ed+"]*",rd=new RegExp("^"+td+"$"),sd=function(ld,cd){const ud=[];let _d=cd.exec(ld);for(;_d;){const Ed=[];Ed.startIndex=cd.lastIndex-_d[0].length;const Ad=_d.length;for(let Td=0;Td"u")};Ra.isExist=function(ld){return typeof ld<"u"},Ra.isEmptyObject=function(ld){return Object.keys(ld).length===0},Ra.merge=function(ld,cd,ud){if(cd){const _d=Object.keys(cd),Ed=_d.length;for(let Ad=0;Ad"&&Ra[sd]!==" "&&Ra[sd]!==" "&&Ra[sd]!==` `&&Ra[sd]!=="\r";sd++)cd+=Ra[sd];if(cd=cd.trim(),cd[cd.length-1]==="/"&&(cd=cd.substring(0,cd.length-1),sd--),!validateTagName(cd)){let Ed;return cd.trim().length===0?Ed="Invalid space after '<'.":Ed="Tag '"+cd+"' is an invalid name.",getErrorObject("InvalidTag",Ed,getLineNumberForPosition(Ra,sd))}const ud=readAttributeStr(Ra,sd);if(ud===!1)return getErrorObject("InvalidAttr","Attributes for '"+cd+"' have open quote.",getLineNumberForPosition(Ra,sd));let _d=ud.value;if(sd=ud.index,_d[_d.length-1]==="/"){const Ed=sd-_d.length;_d=_d.substring(0,_d.length-1);const Ad=validateAttributeString(_d,qa);if(Ad===!0)td=!0;else return getErrorObject(Ad.err.code,Ad.err.msg,getLineNumberForPosition(Ra,Ed+Ad.err.line))}else if(ld)if(ud.tagClosed){if(_d.trim().length>0)return getErrorObject("InvalidTag","Closing tag '"+cd+"' can't have attributes or invalid starting.",getLineNumberForPosition(Ra,od));if(ed.length===0)return getErrorObject("InvalidTag","Closing tag '"+cd+"' has not been opened.",getLineNumberForPosition(Ra,od));{const Ed=ed.pop();if(cd!==Ed.tagName){let Ad=getLineNumberForPosition(Ra,Ed.tagStartPos);return getErrorObject("InvalidTag","Expected closing tag '"+Ed.tagName+"' (opened in line "+Ad.line+", col "+Ad.col+") instead of closing tag '"+cd+"'.",getLineNumberForPosition(Ra,od))}ed.length==0&&(rd=!0)}}else return getErrorObject("InvalidTag","Closing tag '"+cd+"' doesn't have proper closing.",getLineNumberForPosition(Ra,sd));else{const Ed=validateAttributeString(_d,qa);if(Ed!==!0)return getErrorObject(Ed.err.code,Ed.err.msg,getLineNumberForPosition(Ra,sd-_d.length+Ed.err.line));if(rd===!0)return getErrorObject("InvalidXml","Multiple possible root nodes found.",getLineNumberForPosition(Ra,sd));qa.unpairedTags.indexOf(cd)!==-1||ed.push({tagName:cd,tagStartPos:od}),td=!0}for(sd++;sd0)return getErrorObject("InvalidXml","Invalid '"+JSON.stringify(ed.map(sd=>sd.tagName),null,4).replace(/\r?\n/g,"")+"' found.",{line:1,col:1})}else return getErrorObject("InvalidXml","Start tag expected.",1);return!0};function isWhiteSpace(Ra){return Ra===" "||Ra===" "||Ra===` `||Ra==="\r"}function readPI(Ra,qa){const ed=qa;for(;qa5&&td==="xml")return getErrorObject("InvalidXml","XML declaration allowed only at the start of the document.",getLineNumberForPosition(Ra,qa));if(Ra[qa]=="?"&&Ra[qa+1]==">"){qa++;break}else continue}return qa}function readCommentAndCDATA(Ra,qa){if(Ra.length>qa+5&&Ra[qa+1]==="-"&&Ra[qa+2]==="-"){for(qa+=3;qa"){qa+=2;break}}else if(Ra.length>qa+8&&Ra[qa+1]==="D"&&Ra[qa+2]==="O"&&Ra[qa+3]==="C"&&Ra[qa+4]==="T"&&Ra[qa+5]==="Y"&&Ra[qa+6]==="P"&&Ra[qa+7]==="E"){let ed=1;for(qa+=8;qa"&&(ed--,ed===0))break}else if(Ra.length>qa+9&&Ra[qa+1]==="["&&Ra[qa+2]==="C"&&Ra[qa+3]==="D"&&Ra[qa+4]==="A"&&Ra[qa+5]==="T"&&Ra[qa+6]==="A"&&Ra[qa+7]==="["){for(qa+=8;qa"){qa+=2;break}}return qa}const doubleQuote='"',singleQuote="'";function readAttributeStr(Ra,qa){let ed="",td="",rd=!1;for(;qa"&&td===""){rd=!0;break}ed+=Ra[qa]}return td!==""?!1:{value:ed,index:qa,tagClosed:rd}}const validAttrStrRegxp=new RegExp(`(\\s*)([^\\s=]+)(\\s*=)?(\\s*(['"])(([\\s\\S])*?)\\5)?`,"g");function validateAttributeString(Ra,qa){const ed=util$3.getAllMatches(Ra,validAttrStrRegxp),td={};for(let rd=0;rd!1,commentPropName:!1,unpairedTags:[],processEntities:!0,htmlEntities:!1,ignoreDeclaration:!1,ignorePiTags:!1,transformTagName:!1,transformAttributeName:!1,updateTag:function(Ra,qa,ed){return Ra}},buildOptions$1=function(Ra){return Object.assign({},defaultOptions$1,Ra)};OptionsBuilder.buildOptions=buildOptions$1;OptionsBuilder.defaultOptions=defaultOptions$1;class XmlNode{constructor(qa){this.tagname=qa,this.child=[],this[":@"]={}}add(qa,ed){qa==="__proto__"&&(qa="#__proto__"),this.child.push({[qa]:ed})}addChild(qa){qa.tagname==="__proto__"&&(qa.tagname="#__proto__"),qa[":@"]&&Object.keys(qa[":@"]).length>0?this.child.push({[qa.tagname]:qa.child,":@":qa[":@"]}):this.child.push({[qa.tagname]:qa.child})}}var xmlNode$1=XmlNode;const util$2=util$4;function readDocType$1(Ra,qa){const ed={};if(Ra[qa+3]==="O"&&Ra[qa+4]==="C"&&Ra[qa+5]==="T"&&Ra[qa+6]==="Y"&&Ra[qa+7]==="P"&&Ra[qa+8]==="E"){qa=qa+9;let td=1,rd=!1,sd=!1,od="";for(;qa"){if(sd?Ra[qa-1]==="-"&&Ra[qa-2]==="-"&&(sd=!1,td--):td--,td===0)break}else Ra[qa]==="["?rd=!0:od+=Ra[qa];if(td!==0)throw new Error("Unclosed DOCTYPE")}else throw new Error("Invalid Tag instead of DOCTYPE");return{entities:ed,i:qa}}function readEntityExp(Ra,qa){let ed="";for(;qa0&&rd&&ed[2]!==".")return Ra;if(!qa.leadingZeros&&sd.length>0&&!rd&&ed[1]!==".")return Ra;{const cd=Number(ed),ud=""+cd;return ud.search(/[eE]/)!==-1||ld?qa.eNotation?cd:Ra:ed.indexOf(".")!==-1?ud==="0"&&od===""||ud===od||rd&&ud==="-"+od?cd:Ra:sd?od===ud||rd+od===ud?cd:Ra:ed===ud||ed===rd+ud?cd:Ra}}else return Ra}}function trimZeros(Ra){return Ra&&Ra.indexOf(".")!==-1&&(Ra=Ra.replace(/0+$/,""),Ra==="."?Ra="0":Ra[0]==="."?Ra="0"+Ra:Ra[Ra.length-1]==="."&&(Ra=Ra.substr(0,Ra.length-1))),Ra}var strnum=toNumber$1;function getIgnoreAttributesFn$2(Ra){return typeof Ra=="function"?Ra:Array.isArray(Ra)?qa=>{for(const ed of Ra)if(typeof ed=="string"&&qa===ed||ed instanceof RegExp&&ed.test(qa))return!0}:()=>!1}var ignoreAttributes=getIgnoreAttributesFn$2;const util$1=util$4,xmlNode=xmlNode$1,readDocType=DocTypeReader,toNumber=strnum,getIgnoreAttributesFn$1=ignoreAttributes;let OrderedObjParser$1=class{constructor(qa){this.options=qa,this.currentNode=null,this.tagsNodeStack=[],this.docTypeEntities={},this.lastEntities={apos:{regex:/&(apos|#39|#x27);/g,val:"'"},gt:{regex:/&(gt|#62|#x3E);/g,val:">"},lt:{regex:/&(lt|#60|#x3C);/g,val:"<"},quot:{regex:/&(quot|#34|#x22);/g,val:'"'}},this.ampEntity={regex:/&(amp|#38|#x26);/g,val:"&"},this.htmlEntities={space:{regex:/&(nbsp|#160);/g,val:" "},cent:{regex:/&(cent|#162);/g,val:"¢"},pound:{regex:/&(pound|#163);/g,val:"£"},yen:{regex:/&(yen|#165);/g,val:"¥"},euro:{regex:/&(euro|#8364);/g,val:"€"},copyright:{regex:/&(copy|#169);/g,val:"©"},reg:{regex:/&(reg|#174);/g,val:"®"},inr:{regex:/&(inr|#8377);/g,val:"₹"},num_dec:{regex:/&#([0-9]{1,7});/g,val:(ed,td)=>String.fromCharCode(Number.parseInt(td,10))},num_hex:{regex:/&#x([0-9a-fA-F]{1,6});/g,val:(ed,td)=>String.fromCharCode(Number.parseInt(td,16))}},this.addExternalEntities=addExternalEntities,this.parseXml=parseXml$1,this.parseTextData=parseTextData,this.resolveNameSpace=resolveNameSpace,this.buildAttributesMap=buildAttributesMap,this.isItStopNode=isItStopNode,this.replaceEntitiesValue=replaceEntitiesValue$1,this.readStopNodeData=readStopNodeData,this.saveTextToParentTag=saveTextToParentTag,this.addChild=addChild,this.ignoreAttributesFn=getIgnoreAttributesFn$1(this.options.ignoreAttributes)}};function addExternalEntities(Ra){const qa=Object.keys(Ra);for(let ed=0;ed0)){od||(Ra=this.replaceEntitiesValue(Ra));const ld=this.options.tagValueProcessor(qa,Ra,ed,rd,sd);return ld==null?Ra:typeof ld!=typeof Ra||ld!==Ra?ld:this.options.trimValues?parseValue(Ra,this.options.parseTagValue,this.options.numberParseOptions):Ra.trim()===Ra?parseValue(Ra,this.options.parseTagValue,this.options.numberParseOptions):Ra}}function resolveNameSpace(Ra){if(this.options.removeNSPrefix){const qa=Ra.split(":"),ed=Ra.charAt(0)==="/"?"/":"";if(qa[0]==="xmlns")return"";qa.length===2&&(Ra=ed+qa[1])}return Ra}const attrsRegx=new RegExp(`([^\\s=]+)\\s*(=\\s*(['"])([\\s\\S]*?)\\3)?`,"gm");function buildAttributesMap(Ra,qa,ed){if(this.options.ignoreAttributes!==!0&&typeof Ra=="string"){const td=util$1.getAllMatches(Ra,attrsRegx),rd=td.length,sd={};for(let od=0;od",sd,"Closing Tag is not closed.");let cd=Ra.substring(sd+2,ld).trim();if(this.options.removeNSPrefix){const Ed=cd.indexOf(":");Ed!==-1&&(cd=cd.substr(Ed+1))}this.options.transformTagName&&(cd=this.options.transformTagName(cd)),ed&&(td=this.saveTextToParentTag(td,ed,rd));const ud=rd.substring(rd.lastIndexOf(".")+1);if(cd&&this.options.unpairedTags.indexOf(cd)!==-1)throw new Error(`Unpaired tag can not be used as closing tag: `);let _d=0;ud&&this.options.unpairedTags.indexOf(ud)!==-1?(_d=rd.lastIndexOf(".",rd.lastIndexOf(".")-1),this.tagsNodeStack.pop()):_d=rd.lastIndexOf("."),rd=rd.substring(0,_d),ed=this.tagsNodeStack.pop(),td="",sd=ld}else if(Ra[sd+1]==="?"){let ld=readTagExp(Ra,sd,!1,"?>");if(!ld)throw new Error("Pi Tag is not closed.");if(td=this.saveTextToParentTag(td,ed,rd),!(this.options.ignoreDeclaration&&ld.tagName==="?xml"||this.options.ignorePiTags)){const cd=new xmlNode(ld.tagName);cd.add(this.options.textNodeName,""),ld.tagName!==ld.tagExp&&ld.attrExpPresent&&(cd[":@"]=this.buildAttributesMap(ld.tagExp,rd,ld.tagName)),this.addChild(ed,cd,rd)}sd=ld.closeIndex+1}else if(Ra.substr(sd+1,3)==="!--"){const ld=findClosingIndex(Ra,"-->",sd+4,"Comment is not closed.");if(this.options.commentPropName){const cd=Ra.substring(sd+4,ld-2);td=this.saveTextToParentTag(td,ed,rd),ed.add(this.options.commentPropName,[{[this.options.textNodeName]:cd}])}sd=ld}else if(Ra.substr(sd+1,2)==="!D"){const ld=readDocType(Ra,sd);this.docTypeEntities=ld.entities,sd=ld.i}else if(Ra.substr(sd+1,2)==="!["){const ld=findClosingIndex(Ra,"]]>",sd,"CDATA is not closed.")-2,cd=Ra.substring(sd+9,ld);td=this.saveTextToParentTag(td,ed,rd);let ud=this.parseTextData(cd,ed.tagname,rd,!0,!1,!0,!0);ud==null&&(ud=""),this.options.cdataPropName?ed.add(this.options.cdataPropName,[{[this.options.textNodeName]:cd}]):ed.add(this.options.textNodeName,ud),sd=ld+2}else{let ld=readTagExp(Ra,sd,this.options.removeNSPrefix),cd=ld.tagName;const ud=ld.rawTagName;let _d=ld.tagExp,Ed=ld.attrExpPresent,Ad=ld.closeIndex;this.options.transformTagName&&(cd=this.options.transformTagName(cd)),ed&&td&&ed.tagname!=="!xml"&&(td=this.saveTextToParentTag(td,ed,rd,!1));const Td=ed;if(Td&&this.options.unpairedTags.indexOf(Td.tagname)!==-1&&(ed=this.tagsNodeStack.pop(),rd=rd.substring(0,rd.lastIndexOf("."))),cd!==qa.tagname&&(rd+=rd?"."+cd:cd),this.isItStopNode(this.options.stopNodes,rd,cd)){let Nd="";if(_d.length>0&&_d.lastIndexOf("/")===_d.length-1)cd[cd.length-1]==="/"?(cd=cd.substr(0,cd.length-1),rd=rd.substr(0,rd.length-1),_d=cd):_d=_d.substr(0,_d.length-1),sd=ld.closeIndex;else if(this.options.unpairedTags.indexOf(cd)!==-1)sd=ld.closeIndex;else{const Bd=this.readStopNodeData(Ra,ud,Ad+1);if(!Bd)throw new Error(`Unexpected end of ${ud}`);sd=Bd.i,Nd=Bd.tagContent}const Rd=new xmlNode(cd);cd!==_d&&Ed&&(Rd[":@"]=this.buildAttributesMap(_d,rd,cd)),Nd&&(Nd=this.parseTextData(Nd,cd,rd,!0,Ed,!0,!0)),rd=rd.substr(0,rd.lastIndexOf(".")),Rd.add(this.options.textNodeName,Nd),this.addChild(ed,Rd,rd)}else{if(_d.length>0&&_d.lastIndexOf("/")===_d.length-1){cd[cd.length-1]==="/"?(cd=cd.substr(0,cd.length-1),rd=rd.substr(0,rd.length-1),_d=cd):_d=_d.substr(0,_d.length-1),this.options.transformTagName&&(cd=this.options.transformTagName(cd));const Nd=new xmlNode(cd);cd!==_d&&Ed&&(Nd[":@"]=this.buildAttributesMap(_d,rd,cd)),this.addChild(ed,Nd,rd),rd=rd.substr(0,rd.lastIndexOf("."))}else{const Nd=new xmlNode(cd);this.tagsNodeStack.push(ed),cd!==_d&&Ed&&(Nd[":@"]=this.buildAttributesMap(_d,rd,cd)),this.addChild(ed,Nd,rd),ed=Nd}td="",sd=Ad}}else td+=Ra[sd];return qa.child};function addChild(Ra,qa,ed){const td=this.options.updateTag(qa.tagname,ed,qa[":@"]);td===!1||(typeof td=="string"&&(qa.tagname=td),Ra.addChild(qa))}const replaceEntitiesValue$1=function(Ra){if(this.options.processEntities){for(let qa in this.docTypeEntities){const ed=this.docTypeEntities[qa];Ra=Ra.replace(ed.regx,ed.val)}for(let qa in this.lastEntities){const ed=this.lastEntities[qa];Ra=Ra.replace(ed.regex,ed.val)}if(this.options.htmlEntities)for(let qa in this.htmlEntities){const ed=this.htmlEntities[qa];Ra=Ra.replace(ed.regex,ed.val)}Ra=Ra.replace(this.ampEntity.regex,this.ampEntity.val)}return Ra};function saveTextToParentTag(Ra,qa,ed,td){return Ra&&(td===void 0&&(td=Object.keys(qa.child).length===0),Ra=this.parseTextData(Ra,qa.tagname,ed,!1,qa[":@"]?Object.keys(qa[":@"]).length!==0:!1,td),Ra!==void 0&&Ra!==""&&qa.add(this.options.textNodeName,Ra),Ra=""),Ra}function isItStopNode(Ra,qa,ed){const td="*."+ed;for(const rd in Ra){const sd=Ra[rd];if(td===sd||qa===sd)return!0}return!1}function tagExpWithClosingIndex(Ra,qa,ed=">"){let td,rd="";for(let sd=qa;sd",ed,`${qa} is not closed`);if(Ra.substring(ed+2,sd).trim()===qa&&(rd--,rd===0))return{tagContent:Ra.substring(td,ed),i:sd};ed=sd}else if(Ra[ed+1]==="?")ed=findClosingIndex(Ra,"?>",ed+1,"StopNode is not closed.");else if(Ra.substr(ed+1,3)==="!--")ed=findClosingIndex(Ra,"-->",ed+3,"StopNode is not closed.");else if(Ra.substr(ed+1,2)==="![")ed=findClosingIndex(Ra,"]]>",ed,"StopNode is not closed.")-2;else{const sd=readTagExp(Ra,ed,">");sd&&((sd&&sd.tagName)===qa&&sd.tagExp[sd.tagExp.length-1]!=="/"&&rd++,ed=sd.closeIndex)}}function parseValue(Ra,qa,ed){if(qa&&typeof Ra=="string"){const td=Ra.trim();return td==="true"?!0:td==="false"?!1:toNumber(Ra,ed)}else return util$1.isExist(Ra)?Ra:""}var OrderedObjParser_1=OrderedObjParser$1,node2json={};function prettify$1(Ra,qa){return compress(Ra,qa)}function compress(Ra,qa,ed){let td;const rd={};for(let sd=0;sd0&&(rd[qa.textNodeName]=td):td!==void 0&&(rd[qa.textNodeName]=td),rd}function propName$1(Ra){const qa=Object.keys(Ra);for(let ed=0;ed0&&(ed=EOL),arrToStr(Ra,qa,"",ed)}function arrToStr(Ra,qa,ed,td){let rd="",sd=!1;for(let od=0;od`,sd=!1;continue}else if(cd===qa.commentPropName){rd+=td+``,sd=!0;continue}else if(cd[0]==="?"){const Nd=attr_to_str(ld[":@"],qa),Rd=cd==="?xml"?"":td;let Bd=ld[cd][0][qa.textNodeName];Bd=Bd.length!==0?" "+Bd:"",rd+=Rd+`<${cd}${Bd}${Nd}?>`,sd=!0;continue}let _d=td;_d!==""&&(_d+=qa.indentBy);const Ed=attr_to_str(ld[":@"],qa),Ad=td+`<${cd}${Ed}`,Td=arrToStr(ld[cd],qa,ud,_d);qa.unpairedTags.indexOf(cd)!==-1?qa.suppressUnpairedNode?rd+=Ad+">":rd+=Ad+"/>":(!Td||Td.length===0)&&qa.suppressEmptyNode?rd+=Ad+"/>":Td&&Td.endsWith(">")?rd+=Ad+`>${Td}${td}`:(rd+=Ad+">",Td&&td!==""&&(Td.includes("/>")||Td.includes("`),sd=!0}return rd}function propName(Ra){const qa=Object.keys(Ra);for(let ed=0;ed0&&qa.processEntities)for(let ed=0;ed","g"),val:">"},{regex:new RegExp("<","g"),val:"<"},{regex:new RegExp("'","g"),val:"'"},{regex:new RegExp('"',"g"),val:"""}],processEntities:!0,stopNodes:[],oneListGroup:!1};function Builder(Ra){this.options=Object.assign({},defaultOptions,Ra),this.options.ignoreAttributes===!0||this.options.attributesGroupName?this.isAttribute=function(){return!1}:(this.ignoreAttributesFn=getIgnoreAttributesFn(this.options.ignoreAttributes),this.attrPrefixLen=this.options.attributeNamePrefix.length,this.isAttribute=isAttribute),this.processTextOrObjNode=processTextOrObjNode,this.options.format?(this.indentate=indentate,this.tagEndChar=`> `,this.newLine=` `):(this.indentate=function(){return""},this.tagEndChar=">",this.newLine="")}Builder.prototype.build=function(Ra){return this.options.preserveOrder?buildFromOrderedJs(Ra,this.options):(Array.isArray(Ra)&&this.options.arrayNodeName&&this.options.arrayNodeName.length>1&&(Ra={[this.options.arrayNodeName]:Ra}),this.j2x(Ra,0,[]).val)};Builder.prototype.j2x=function(Ra,qa,ed){let td="",rd="";const sd=ed.join(".");for(let od in Ra)if(Object.prototype.hasOwnProperty.call(Ra,od))if(typeof Ra[od]>"u")this.isAttribute(od)&&(rd+="");else if(Ra[od]===null)this.isAttribute(od)?rd+="":od[0]==="?"?rd+=this.indentate(qa)+"<"+od+"?"+this.tagEndChar:rd+=this.indentate(qa)+"<"+od+"/"+this.tagEndChar;else if(Ra[od]instanceof Date)rd+=this.buildTextValNode(Ra[od],od,"",qa);else if(typeof Ra[od]!="object"){const ld=this.isAttribute(od);if(ld&&!this.ignoreAttributesFn(ld,sd))td+=this.buildAttrPairStr(ld,""+Ra[od]);else if(!ld)if(od===this.options.textNodeName){let cd=this.options.tagValueProcessor(od,""+Ra[od]);rd+=this.replaceEntitiesValue(cd)}else rd+=this.buildTextValNode(Ra[od],od,"",qa)}else if(Array.isArray(Ra[od])){const ld=Ra[od].length;let cd="",ud="";for(let _d=0;_d"u"))if(Ed===null)od[0]==="?"?rd+=this.indentate(qa)+"<"+od+"?"+this.tagEndChar:rd+=this.indentate(qa)+"<"+od+"/"+this.tagEndChar;else if(typeof Ed=="object")if(this.options.oneListGroup){const Ad=this.j2x(Ed,qa+1,ed.concat(od));cd+=Ad.val,this.options.attributesGroupName&&Ed.hasOwnProperty(this.options.attributesGroupName)&&(ud+=Ad.attrStr)}else cd+=this.processTextOrObjNode(Ed,od,qa,ed);else if(this.options.oneListGroup){let Ad=this.options.tagValueProcessor(od,Ed);Ad=this.replaceEntitiesValue(Ad),cd+=Ad}else cd+=this.buildTextValNode(Ed,od,"",qa)}this.options.oneListGroup&&(cd=this.buildObjectNode(cd,od,ud,qa)),rd+=cd}else if(this.options.attributesGroupName&&od===this.options.attributesGroupName){const ld=Object.keys(Ra[od]),cd=ld.length;for(let ud=0;ud"+Ra+rd:this.options.commentPropName!==!1&&qa===this.options.commentPropName&&sd.length===0?this.indentate(td)+``+this.newLine:this.indentate(td)+"<"+qa+ed+sd+this.tagEndChar+Ra+this.indentate(td)+rd}};Builder.prototype.closeTag=function(Ra){let qa="";return this.options.unpairedTags.indexOf(Ra)!==-1?this.options.suppressUnpairedNode||(qa="/"):this.options.suppressEmptyNode?qa="/":qa=`>`+this.newLine;if(this.options.commentPropName!==!1&&qa===this.options.commentPropName)return this.indentate(td)+``+this.newLine;if(qa[0]==="?")return this.indentate(td)+"<"+qa+ed+"?"+this.tagEndChar;{let rd=this.options.tagValueProcessor(qa,Ra);return rd=this.replaceEntitiesValue(rd),rd===""?this.indentate(td)+"<"+qa+ed+this.closeTag(qa)+this.tagEndChar:this.indentate(td)+"<"+qa+ed+">"+rd+"0&&this.options.processEntities)for(let qa=0;qa=0;)Bd++;if(Nd.substr(0,2)==="::"&&Bd--,Nd.substr(-2,2)==="::"&&Bd--,Bd>Rd)return null;for(Pd=Rd-Bd,Md=":";Pd--;)Md+="0:";return Nd=Nd.replace("::",Md),Nd[0]===":"&&(Nd=Nd.slice(1)),Nd[Nd.length-1]===":"&&(Nd=Nd.slice(0,-1)),Rd=function(){const Fd=Nd.split(":"),Ud=[];for(let Gd=0;Gd0;){if(Md=Bd-kd,Md<0&&(Md=0),Nd[Od]>>Md!==Rd[Od]>>Md)return!1;kd-=Bd,Od+=1}return!0}function Ed(Nd){if(sd.test(Nd))return parseInt(Nd,16);if(Nd[0]==="0"&&!isNaN(parseInt(Nd[1],10))){if(rd.test(Nd))return parseInt(Nd,8);throw new Error(`ipaddr: cannot parse ${Nd} as octal`)}return parseInt(Nd,10)}function Ad(Nd,Rd){for(;Nd.length=0;Od-=1)if(Md=this.octets[Od],Md in kd){if(Pd=kd[Md],Bd&&Pd!==0)return null;Pd!==8&&(Bd=!0),Rd+=Pd}else return null;return 32-Rd},Nd.prototype.range=function(){return Td.subnetMatch(this,this.SpecialRanges)},Nd.prototype.toByteArray=function(){return this.octets.slice(0)},Nd.prototype.toIPv4MappedAddress=function(){return Td.IPv6.parse(`::ffff:${this.toString()}`)},Nd.prototype.toNormalizedString=function(){return this.toString()},Nd.prototype.toString=function(){return this.octets.join(".")},Nd}(),Td.IPv4.broadcastAddressFromCIDR=function(Nd){try{const Rd=this.parseCIDR(Nd),Bd=Rd[0].toByteArray(),kd=this.subnetMaskFromPrefixLength(Rd[1]).toByteArray(),Od=[];let Md=0;for(;Md<4;)Od.push(parseInt(Bd[Md],10)|parseInt(kd[Md],10)^255),Md++;return new this(Od)}catch{throw new Error("ipaddr: the address does not have IPv4 CIDR format")}},Td.IPv4.isIPv4=function(Nd){return this.parser(Nd)!==null},Td.IPv4.isValid=function(Nd){try{return new this(this.parser(Nd)),!0}catch{return!1}},Td.IPv4.isValidCIDR=function(Nd){try{return this.parseCIDR(Nd),!0}catch{return!1}},Td.IPv4.isValidFourPartDecimal=function(Nd){return!!(Td.IPv4.isValid(Nd)&&Nd.match(/^(0|[1-9]\d*)(\.(0|[1-9]\d*)){3}$/))},Td.IPv4.networkAddressFromCIDR=function(Nd){let Rd,Bd,kd,Od,Md;try{for(Rd=this.parseCIDR(Nd),kd=Rd[0].toByteArray(),Md=this.subnetMaskFromPrefixLength(Rd[1]).toByteArray(),Od=[],Bd=0;Bd<4;)Od.push(parseInt(kd[Bd],10)&parseInt(Md[Bd],10)),Bd++;return new this(Od)}catch{throw new Error("ipaddr: the address does not have IPv4 CIDR format")}},Td.IPv4.parse=function(Nd){const Rd=this.parser(Nd);if(Rd===null)throw new Error("ipaddr: string is not formatted like an IPv4 Address");return new this(Rd)},Td.IPv4.parseCIDR=function(Nd){let Rd;if(Rd=Nd.match(/^(.+)\/(\d+)$/)){const Bd=parseInt(Rd[2]);if(Bd>=0&&Bd<=32){const kd=[this.parse(Rd[1]),Bd];return Object.defineProperty(kd,"toString",{value:function(){return this.join("/")}}),kd}}throw new Error("ipaddr: string is not formatted like an IPv4 CIDR range")},Td.IPv4.parser=function(Nd){let Rd,Bd,kd;if(Rd=Nd.match(td.fourOctet))return function(){const Od=Rd.slice(1,6),Md=[];for(let Pd=0;Pd4294967295||kd<0)throw new Error("ipaddr: address outside defined range");return function(){const Od=[];let Md;for(Md=0;Md<=24;Md+=8)Od.push(kd>>Md&255);return Od}().reverse()}else return(Rd=Nd.match(td.twoOctet))?function(){const Od=Rd.slice(1,4),Md=[];if(kd=Ed(Od[1]),kd>16777215||kd<0)throw new Error("ipaddr: address outside defined range");return Md.push(Ed(Od[0])),Md.push(kd>>16&255),Md.push(kd>>8&255),Md.push(kd&255),Md}():(Rd=Nd.match(td.threeOctet))?function(){const Od=Rd.slice(1,5),Md=[];if(kd=Ed(Od[2]),kd>65535||kd<0)throw new Error("ipaddr: address outside defined range");return Md.push(Ed(Od[0])),Md.push(Ed(Od[1])),Md.push(kd>>8&255),Md.push(kd&255),Md}():null},Td.IPv4.subnetMaskFromPrefixLength=function(Nd){if(Nd=parseInt(Nd),Nd<0||Nd>32)throw new Error("ipaddr: invalid IPv4 prefix length");const Rd=[0,0,0,0];let Bd=0;const kd=Math.floor(Nd/8);for(;Bd=0;Pd-=1)if(Od=this.parts[Pd],Od in kd){if(Md=kd[Od],Bd&&Md!==0)return null;Md!==16&&(Bd=!0),Rd+=Md}else return null;return 128-Rd},Nd.prototype.range=function(){return Td.subnetMatch(this,this.SpecialRanges)},Nd.prototype.toByteArray=function(){let Rd;const Bd=[],kd=this.parts;for(let Od=0;Od>8),Bd.push(Rd&255);return Bd},Nd.prototype.toFixedLengthString=function(){const Rd=(function(){const kd=[];for(let Od=0;Od>8,Bd&255,kd>>8,kd&255])},Nd.prototype.toNormalizedString=function(){const Rd=(function(){const kd=[];for(let Od=0;OdOd&&(kd=Md.index,Od=Md[0].length);return Od<0?Bd:`${Bd.substring(0,kd)}::${Bd.substring(kd+Od)}`},Nd.prototype.toString=function(){return this.toRFC5952String()},Nd}(),Td.IPv6.broadcastAddressFromCIDR=function(Nd){try{const Rd=this.parseCIDR(Nd),Bd=Rd[0].toByteArray(),kd=this.subnetMaskFromPrefixLength(Rd[1]).toByteArray(),Od=[];let Md=0;for(;Md<16;)Od.push(parseInt(Bd[Md],10)|parseInt(kd[Md],10)^255),Md++;return new this(Od)}catch(Rd){throw new Error(`ipaddr: the address does not have IPv6 CIDR format (${Rd})`)}},Td.IPv6.isIPv6=function(Nd){return this.parser(Nd)!==null},Td.IPv6.isValid=function(Nd){if(typeof Nd=="string"&&Nd.indexOf(":")===-1)return!1;try{const Rd=this.parser(Nd);return new this(Rd.parts,Rd.zoneId),!0}catch{return!1}},Td.IPv6.isValidCIDR=function(Nd){if(typeof Nd=="string"&&Nd.indexOf(":")===-1)return!1;try{return this.parseCIDR(Nd),!0}catch{return!1}},Td.IPv6.networkAddressFromCIDR=function(Nd){let Rd,Bd,kd,Od,Md;try{for(Rd=this.parseCIDR(Nd),kd=Rd[0].toByteArray(),Md=this.subnetMaskFromPrefixLength(Rd[1]).toByteArray(),Od=[],Bd=0;Bd<16;)Od.push(parseInt(kd[Bd],10)&parseInt(Md[Bd],10)),Bd++;return new this(Od)}catch(Pd){throw new Error(`ipaddr: the address does not have IPv6 CIDR format (${Pd})`)}},Td.IPv6.parse=function(Nd){const Rd=this.parser(Nd);if(Rd.parts===null)throw new Error("ipaddr: string is not formatted like an IPv6 Address");return new this(Rd.parts,Rd.zoneId)},Td.IPv6.parseCIDR=function(Nd){let Rd,Bd,kd;if((Bd=Nd.match(/^(.+)\/(\d+)$/))&&(Rd=parseInt(Bd[2]),Rd>=0&&Rd<=128))return kd=[this.parse(Bd[1]),Rd],Object.defineProperty(kd,"toString",{value:function(){return this.join("/")}}),kd;throw new Error("ipaddr: string is not formatted like an IPv6 CIDR range")},Td.IPv6.parser=function(Nd){let Rd,Bd,kd,Od,Md,Pd;if(kd=Nd.match(cd.deprecatedTransitional))return this.parser(`::ffff:${kd[1]}`);if(cd.native.test(Nd))return ud(Nd,8);if((kd=Nd.match(cd.transitional))&&(Pd=kd[6]||"",Rd=kd[1],kd[1].endsWith("::")||(Rd=Rd.slice(0,-1)),Rd=ud(Rd+Pd,6),Rd.parts)){for(Md=[parseInt(kd[2]),parseInt(kd[3]),parseInt(kd[4]),parseInt(kd[5])],Bd=0;Bd128)throw new Error("ipaddr: invalid IPv6 prefix length");const Rd=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0];let Bd=0;const kd=Math.floor(Nd/8);for(;BdPd||Md===Pd&&Ed[Od].substr(0,12)==="application/"))continue}Ed[Od]=Nd}}})}})(mimeTypes$1);let ENCRYPTION_TYPES=function(Ra){return Ra.SSEC="SSE-C",Ra.KMS="KMS",Ra}({}),RETENTION_MODES=function(Ra){return Ra.GOVERNANCE="GOVERNANCE",Ra.COMPLIANCE="COMPLIANCE",Ra}({}),RETENTION_VALIDITY_UNITS=function(Ra){return Ra.DAYS="Days",Ra.YEARS="Years",Ra}({}),LEGAL_HOLD_STATUS=function(Ra){return Ra.ENABLED="ON",Ra.DISABLED="OFF",Ra}({});const MetaDataHeaderPrefix="x-amz-meta-";function uriEscape(Ra){return Ra.split("").reduce((qa,ed)=>{const td=Buffer$2.from(ed);if(td.length===1&&("A"<=ed&&ed<="Z"||"a"<=ed&&ed<="z"||"0"<=ed&&ed<="9"||ed==="_"||ed==="."||ed==="~"||ed==="-"))return qa=qa+ed,qa;for(const rd of td)qa=qa+"%"+rd.toString(16).toUpperCase();return qa},"")}function uriResourceEscape(Ra){return uriEscape(Ra).replace(/%2F/g,"/")}function getScope(Ra,qa,ed="s3"){return`${makeDateShort(qa)}/${Ra}/${ed}/aws4_request`}function isAmazonEndpoint(Ra){return Ra==="s3.amazonaws.com"||Ra==="s3.cn-north-1.amazonaws.com.cn"}function isVirtualHostStyle(Ra,qa,ed,td){return qa==="https:"&&ed.includes(".")?!1:isAmazonEndpoint(Ra)||!td}function isValidIP(Ra){return ipaddr.isValid(Ra)}function isValidEndpoint(Ra){return isValidDomain(Ra)||isValidIP(Ra)}function isValidDomain(Ra){if(!isString$1(Ra)||Ra.length===0||Ra.length>255||Ra[0]==="-"||Ra.slice(-1)==="-"||Ra[0]==="_"||Ra.slice(-1)==="_"||Ra[0]===".")return!1;const qa="`~!@#$%^&*()+={}[]|\\\"';:>63||Ra.includes("..")||/[0-9]+\.[0-9]+\.[0-9]+\.[0-9]+/.test(Ra)?!1:!!/^[a-z0-9][a-z0-9.-]+[a-z0-9]$/.test(Ra)}function isValidObjectName(Ra){return isValidPrefix(Ra)?Ra.length!==0:!1}function isValidPrefix(Ra){return!(!isString$1(Ra)||Ra.length>1024)}function isNumber$2(Ra){return typeof Ra=="number"}function isFunction$1(Ra){return typeof Ra=="function"}function isString$1(Ra){return typeof Ra=="string"}function isObject$3(Ra){return typeof Ra=="object"&&Ra!==null}function isReadableStream$1(Ra){return isObject$3(Ra)&&isFunction$1(Ra._read)}function isBoolean$2(Ra){return typeof Ra=="boolean"}function isEmpty(Ra){return _$3.isEmpty(Ra)}function isEmptyObject(Ra){return Object.values(Ra).filter(qa=>qa!==void 0).length!==0}function isDefined(Ra){return Ra!=null}function isValidDate(Ra){return Ra instanceof Date&&!isNaN(Ra)}function makeDateLong(Ra){Ra=Ra||new Date;const qa=Ra.toISOString();return qa.slice(0,4)+qa.slice(5,7)+qa.slice(8,13)+qa.slice(14,16)+qa.slice(17,19)+"Z"}function makeDateShort(Ra){Ra=Ra||new Date;const qa=Ra.toISOString();return qa.slice(0,4)+qa.slice(5,7)+qa.slice(8,10)}function pipesetup(...Ra){return Ra.reduce((qa,ed)=>(qa.on("error",td=>ed.emit("error",td)),qa.pipe(ed)))}function readableStream(Ra){const qa=new streamBrowserify.Readable;return qa._read=()=>{},qa.push(Ra),qa.push(null),qa}function insertContentType(Ra,qa){for(const ed in Ra)if(ed.toLowerCase()==="content-type")return Ra;return{...Ra,"content-type":probeContentType(qa)}}function prependXAMZMeta(Ra){return Ra?_$3.mapKeys(Ra,(qa,ed)=>isAmzHeader(ed)||isSupportedHeader(ed)||isStorageClassHeader(ed)?ed:MetaDataHeaderPrefix+ed):{}}function isAmzHeader(Ra){const qa=Ra.toLowerCase();return qa.startsWith(MetaDataHeaderPrefix)||qa==="x-amz-acl"||qa.startsWith("x-amz-server-side-encryption-")||qa==="x-amz-server-side-encryption"}function isSupportedHeader(Ra){return["content-type","cache-control","content-encoding","content-disposition","content-language","x-amz-website-redirect-location"].includes(Ra.toLowerCase())}function isStorageClassHeader(Ra){return Ra.toLowerCase()==="x-amz-storage-class"}function extractMetadata(Ra){return _$3.mapKeys(_$3.pickBy(Ra,(qa,ed)=>isSupportedHeader(ed)||isStorageClassHeader(ed)||isAmzHeader(ed)),(qa,ed)=>{const td=ed.toLowerCase();return td.startsWith(MetaDataHeaderPrefix)?td.slice(MetaDataHeaderPrefix.length):ed})}function getVersionId(Ra={}){return Ra["x-amz-version-id"]||null}function getSourceVersionId(Ra={}){return Ra["x-amz-copy-source-version-id"]||null}function sanitizeETag(Ra=""){const qa={'"':"",""":"",""":"",""":"",""":""};return Ra.replace(/^("|"|")|("|"|")$/g,ed=>qa[ed])}function toMd5(Ra){return(void 0)("md5").update(Buffer$2.from(Ra)).digest().toString("base64")}function toSha256(Ra){return(void 0)("sha256").update(Ra).digest("hex")}function toArray$2(Ra){return Array.isArray(Ra)?Ra:[Ra]}function sanitizeObjectKey(Ra){const qa=(Ra?Ra.toString():"").replace(/\+/g," ");return decodeURIComponent(qa)}function sanitizeSize(Ra){return Ra?Number.parseInt(Ra):void 0}const PART_CONSTRAINTS={ABS_MIN_PART_SIZE:1024*1024*5,MIN_PART_SIZE:1024*1024*16,MAX_PARTS_COUNT:1e4,MAX_PART_SIZE:1024*1024*1024*5,MAX_SINGLE_PUT_OBJECT_SIZE:1024*1024*1024*5,MAX_MULTIPART_PUT_OBJECT_SIZE:1024*1024*1024*1024*5},GENERIC_SSE_HEADER="X-Amz-Server-Side-Encryption",ENCRYPTION_HEADERS={sseGenericHeader:GENERIC_SSE_HEADER,sseKmsKeyID:GENERIC_SSE_HEADER+"-Aws-Kms-Key-Id"};function getEncryptionHeaders(Ra){const qa=Ra.type;if(!isEmpty(qa)){if(qa===ENCRYPTION_TYPES.SSEC)return{[ENCRYPTION_HEADERS.sseGenericHeader]:"AES256"};if(qa===ENCRYPTION_TYPES.KMS)return{[ENCRYPTION_HEADERS.sseGenericHeader]:Ra.SSEAlgorithm,[ENCRYPTION_HEADERS.sseKmsKeyID]:Ra.KMSMasterKeyID}}return{}}function partsRequired(Ra){const qa=PART_CONSTRAINTS.MAX_MULTIPART_PUT_OBJECT_SIZE/(PART_CONSTRAINTS.MAX_PARTS_COUNT-1);let ed=Ra/qa;return Ra%qa>0&&ed++,ed=Math.trunc(ed),ed}function calculateEvenSplits(Ra,qa){if(Ra===0)return null;const ed=partsRequired(Ra),td=[],rd=[];let sd=qa.Start;(isEmpty(sd)||sd===-1)&&(sd=0);const od=Math.trunc(Ra/ed),ld=Ra%ed;let cd=sd;for(let ud=0;udthis.End||this.Start<0)throw new InvalidObjectNameError("Source start must be non-negative, and start must be at most end.");if(this.MatchRange&&!isNumber$2(this.Start)||!isNumber$2(this.End))throw new InvalidObjectNameError("MatchRange is specified. But Invalid Start and End values are specified.");return!0}getHeaders(){const qa={};return qa["x-amz-copy-source"]=encodeURI(this.Bucket+"/"+this.Object),isEmpty(this.VersionID)||(qa["x-amz-copy-source"]=`${encodeURI(this.Bucket+"/"+this.Object)}?versionId=${this.VersionID}`),isEmpty(this.MatchETag)||(qa["x-amz-copy-source-if-match"]=this.MatchETag),isEmpty(this.NoMatchETag)||(qa["x-amz-copy-source-if-none-match"]=this.NoMatchETag),isEmpty(this.MatchModifiedSince)||(qa["x-amz-copy-source-if-modified-since"]=this.MatchModifiedSince),isEmpty(this.MatchUnmodifiedSince)||(qa["x-amz-copy-source-if-unmodified-since"]=this.MatchUnmodifiedSince),qa}}class CopyDestinationOptions{constructor({Bucket:qa,Object:ed,Encryption:td,UserMetadata:rd,UserTags:sd,LegalHold:od,RetainUntilDate:ld,Mode:cd,MetadataDirective:ud}){this.Bucket=qa,this.Object=ed,this.Encryption=td??void 0,this.UserMetadata=rd,this.UserTags=sd,this.LegalHold=od,this.Mode=cd,this.RetainUntilDate=ld,this.MetadataDirective=ud}getHeaders(){const qa="REPLACE",ed={},td=this.UserTags;if(isEmpty(td)||(ed["X-Amz-Tagging-Directive"]=qa,ed["X-Amz-Tagging"]=isObject$3(td)?queryString.stringify(td):isString$1(td)?td:""),this.Mode&&(ed["X-Amz-Object-Lock-Mode"]=this.Mode),this.RetainUntilDate&&(ed["X-Amz-Object-Lock-Retain-Until-Date"]=this.RetainUntilDate),this.LegalHold&&(ed["X-Amz-Object-Lock-Legal-Hold"]=this.LegalHold),this.UserMetadata)for(const[rd,sd]of Object.entries(this.UserMetadata))ed[`X-Amz-Meta-${rd}`]=sd.toString();if(this.MetadataDirective&&(ed["X-Amz-Metadata-Directive"]=this.MetadataDirective),this.Encryption){const rd=getEncryptionHeaders(this.Encryption);for(const[sd,od]of Object.entries(rd))ed[sd]=od}return ed}validate(){if(!isValidBucketName(this.Bucket))throw new InvalidBucketNameError("Invalid Destination bucket name: "+this.Bucket);if(!isValidObjectName(this.Object))throw new InvalidObjectNameError(`Invalid Destination object name: ${this.Object}`);if(!isEmpty(this.UserMetadata)&&!isObject$3(this.UserMetadata))throw new InvalidObjectNameError("Destination UserMetadata should be an object with key value pairs");if(!isEmpty(this.Mode)&&![RETENTION_MODES.GOVERNANCE,RETENTION_MODES.COMPLIANCE].includes(this.Mode))throw new InvalidObjectNameError("Invalid Mode specified for destination object it should be one of [GOVERNANCE,COMPLIANCE]");if(this.Encryption!==void 0&&isEmptyObject(this.Encryption))throw new InvalidObjectNameError("Invalid Encryption configuration for destination object ");return!0}}class SelectResults{constructor({records:qa,response:ed,stats:td,progress:rd}){this.records=qa,this.response=ed,this.stats=td,this.progress=rd}setStats(qa){this.stats=qa}getStats(){return this.stats}setProgress(qa){this.progress=qa}getProgress(){return this.progress}setResponse(qa){this.response=qa}getResponse(){return this.response}setRecords(qa){this.records=qa}getRecords(){return this.records}}function callbackify(Ra){return function(){const qa=[...arguments],ed=qa.pop();return typeof ed=="function"?Ra.apply(this,qa).then(td=>ed(null,td),td=>ed(td)):Ra.apply(this,arguments)}}var lib$d={},define_process_default$4={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};Object.defineProperty(lib$d,"__esModule",{value:!0});var _typeof=typeof Symbol=="function"&&typeof Symbol.iterator=="symbol"?function(Ra){return typeof Ra}:function(Ra){return Ra&&typeof Symbol=="function"&&Ra.constructor===Symbol&&Ra!==Symbol.prototype?"symbol":typeof Ra},isBrowser=typeof window<"u"&&typeof window.document<"u",isNode=typeof define_process_default$4<"u"&&define_process_default$4.versions!=null&&define_process_default$4.versions.node!=null,isWebWorker=(typeof self>"u"?"undefined":_typeof(self))==="object"&&self.constructor&&self.constructor.name==="DedicatedWorkerGlobalScope",isJsDom=typeof window<"u"&&window.name==="nodejs"||typeof navigator<"u"&&(navigator.userAgent.includes("Node.js")||navigator.userAgent.includes("jsdom")),isDeno=typeof Deno<"u"&&typeof Deno.version<"u"&&typeof Deno.version.deno<"u",isBrowser_1=lib$d.isBrowser=isBrowser;lib$d.isWebWorker=isWebWorker;lib$d.isNode=isNode;lib$d.isJsDom=isJsDom;lib$d.isDeno=isDeno;class Credentials{constructor({accessKey:qa,secretKey:ed,sessionToken:td}){this.accessKey=qa,this.secretKey=ed,this.sessionToken=td}setAccessKey(qa){this.accessKey=qa}getAccessKey(){return this.accessKey}setSecretKey(qa){this.secretKey=qa}getSecretKey(){return this.secretKey}setSessionToken(qa){this.sessionToken=qa}getSessionToken(){return this.sessionToken}get(){return this}}class CredentialProvider{constructor({accessKey:qa,secretKey:ed,sessionToken:td}){this.credentials=new Credentials({accessKey:qa,secretKey:ed,sessionToken:td})}async getCredentials(){return this.credentials.get()}setCredentials(qa){if(qa instanceof Credentials)this.credentials=qa;else throw new Error("Unable to set Credentials. it should be an instance of Credentials class")}setAccessKey(qa){this.credentials.setAccessKey(qa)}getAccessKey(){return this.credentials.getAccessKey()}setSecretKey(qa){this.credentials.setSecretKey(qa)}getSecretKey(){return this.credentials.getSecretKey()}setSessionToken(qa){this.credentials.setSessionToken(qa)}getSessionToken(){return this.credentials.getSessionToken()}}const signV4Algorithm="AWS4-HMAC-SHA256";function getCanonicalRequest(Ra,qa,ed,td,rd){if(!isString$1(Ra))throw new TypeError('method should be of type "string"');if(!isString$1(qa))throw new TypeError('path should be of type "string"');if(!isObject$3(ed))throw new TypeError('headers should be of type "object"');if(!Array.isArray(td))throw new TypeError('signedHeaders should be of type "array"');if(!isString$1(rd))throw new TypeError('hashedPayload should be of type "string"');const sd=td.reduce((cd,ud)=>{const _d=`${ed[ud]}`.replace(/ +/g," ");return cd.push(`${ud.toLowerCase()}:${_d}`),cd},[]),od=qa.split("?")[0];let ld=qa.split("?")[1];return ld||(ld=""),ld&&(ld=ld.split("&").sort().map(cd=>cd.includes("=")?cd:cd+"=").join("&")),[Ra.toUpperCase(),od,ld,sd.join(` `)+` `,td.join(";").toLowerCase(),rd].join(` `)}function getCredential(Ra,qa,ed,td="s3"){if(!isString$1(Ra))throw new TypeError('accessKey should be of type "string"');if(!isString$1(qa))throw new TypeError('region should be of type "string"');if(!isObject$3(ed))throw new TypeError('requestDate should be of type "object"');return`${Ra}/${getScope(qa,ed,td)}`}function getSignedHeaders(Ra){if(!isObject$3(Ra))throw new TypeError('request should be of type "object"');const qa=["authorization","content-length","content-type","user-agent"];return Object.keys(Ra).filter(ed=>!qa.includes(ed)).sort()}function getSigningKey(Ra,qa,ed,td="s3"){if(!isObject$3(Ra))throw new TypeError('date should be of type "object"');if(!isString$1(qa))throw new TypeError('region should be of type "string"');if(!isString$1(ed))throw new TypeError('secretKey should be of type "string"');const rd=makeDateShort(Ra);return(void 0)("sha256",(void 0)("sha256",(void 0)("sha256",(void 0)("sha256","AWS4"+ed).update(rd).digest()).update(qa).digest()).update(td).digest()).update("aws4_request").digest()}function getStringToSign(Ra,qa,ed,td="s3"){if(!isString$1(Ra))throw new TypeError('canonicalRequest should be of type "string"');if(!isObject$3(qa))throw new TypeError('requestDate should be of type "object"');if(!isString$1(ed))throw new TypeError('region should be of type "string"');const rd=(void 0)("sha256").update(Ra).digest("hex"),sd=getScope(ed,qa,td);return[signV4Algorithm,makeDateLong(qa),sd,rd].join(` `)}function postPresignSignatureV4(Ra,qa,ed,td){if(!isString$1(Ra))throw new TypeError('region should be of type "string"');if(!isObject$3(qa))throw new TypeError('date should be of type "object"');if(!isString$1(ed))throw new TypeError('secretKey should be of type "string"');if(!isString$1(td))throw new TypeError('policyBase64 should be of type "string"');return(void 0)("sha256",getSigningKey(qa,Ra,ed)).update(td).digest("hex").toLowerCase()}function signV4(Ra,qa,ed,td,rd,sd,od="s3"){if(!isObject$3(Ra))throw new TypeError('request should be of type "object"');if(!isString$1(qa))throw new TypeError('accessKey should be of type "string"');if(!isString$1(ed))throw new TypeError('secretKey should be of type "string"');if(!isString$1(td))throw new TypeError('region should be of type "string"');if(!qa)throw new AccessKeyRequiredError("accessKey is required for signing");if(!ed)throw new SecretKeyRequiredError("secretKey is required for signing");const ld=getSignedHeaders(Ra.headers),cd=getCanonicalRequest(Ra.method,Ra.path,Ra.headers,ld,sd),ud=od||"s3",_d=getStringToSign(cd,rd,td,ud),Ed=getSigningKey(rd,td,ed,ud),Ad=getCredential(qa,td,rd,ud),Td=(void 0)("sha256",Ed).update(_d).digest("hex").toLowerCase();return`${signV4Algorithm} Credential=${Ad}, SignedHeaders=${ld.join(";").toLowerCase()}, Signature=${Td}`}function presignSignatureV4(Ra,qa,ed,td,rd,sd,od){if(!isObject$3(Ra))throw new TypeError('request should be of type "object"');if(!isString$1(qa))throw new TypeError('accessKey should be of type "string"');if(!isString$1(ed))throw new TypeError('secretKey should be of type "string"');if(!isString$1(rd))throw new TypeError('region should be of type "string"');if(!qa)throw new AccessKeyRequiredError("accessKey is required for presigning");if(!ed)throw new SecretKeyRequiredError("secretKey is required for presigning");if(!isNumber$2(od))throw new TypeError('expires should be of type "number"');if(od<1)throw new ExpiresParamError("expires param cannot be less than 1 seconds");if(od>604800)throw new ExpiresParamError("expires param cannot be greater than 7 days");const ld=makeDateLong(sd),cd=getSignedHeaders(Ra.headers),ud=getCredential(qa,rd,sd),_d="UNSIGNED-PAYLOAD",Ed=[];Ed.push(`X-Amz-Algorithm=${signV4Algorithm}`),Ed.push(`X-Amz-Credential=${uriEscape(ud)}`),Ed.push(`X-Amz-Date=${ld}`),Ed.push(`X-Amz-Expires=${od}`),Ed.push(`X-Amz-SignedHeaders=${uriEscape(cd.join(";").toLowerCase())}`),td&&Ed.push(`X-Amz-Security-Token=${uriEscape(td)}`);const Ad=Ra.path.split("?")[0];let Td=Ra.path.split("?")[1];Td?Td=Td+"&"+Ed.join("&"):Td=Ed.join("&");const Nd=Ad+"?"+Td,Rd=getCanonicalRequest(Ra.method,Nd,Ra.headers,cd,_d),Bd=getStringToSign(Rd,sd,rd),Od=(void 0)("sha256",getSigningKey(sd,rd,ed)).update(Bd).digest("hex").toLowerCase();return Ra.protocol+"//"+Ra.headers.host+Nd+`&X-Amz-Signature=${Od}`}async function readAsBuffer(Ra){return new Promise((qa,ed)=>{const td=[];Ra.on("data",rd=>td.push(rd)).on("error",rd=>ed(rd)).on("end",()=>qa(Buffer$2.concat(td)))})}async function readAsString(Ra){return(await readAsBuffer(Ra)).toString()}async function drainResponse(Ra){return new Promise((qa,ed)=>{Ra.on("data",()=>{}).on("error",td=>ed(td)).on("end",()=>qa())})}function parseBucketRegion(Ra){return parseXml(Ra).LocationConstraint}const fxp=new fxp$2.XMLParser;function parseError(Ra,qa){let ed={};const td=fxp.parse(Ra);td.Error&&(ed=td.Error);const rd=new S3Error;return Object.entries(ed).forEach(([sd,od])=>{rd[sd.toLowerCase()]=od}),Object.entries(qa).forEach(([sd,od])=>{rd[sd]=od}),rd}async function parseResponseError(Ra){const qa=Ra.statusCode;let ed,td;qa===301?(ed="MovedPermanently",td="Moved Permanently"):qa===307?(ed="TemporaryRedirect",td="Are you using the correct endpoint URL?"):qa===403?(ed="AccessDenied",td="Valid and authorized credentials required"):qa===404?(ed="NotFound",td="Not Found"):qa===405||qa===501?(ed="MethodNotAllowed",td="Method Not Allowed"):(ed="UnknownError",td=`${qa}`);const rd={};rd.amzRequestid=Ra.headers["x-amz-request-id"],rd.amzId2=Ra.headers["x-amz-id-2"],rd.amzBucketRegion=Ra.headers["x-amz-bucket-region"];const sd=await readAsString(Ra);if(sd)throw parseError(sd,rd);const od=new S3Error(td,{cause:rd});throw od.code=ed,Object.entries(rd).forEach(([ld,cd])=>{od[ld]=cd}),od}function parseListObjectsV2WithMetadata(Ra){const qa={objects:[],isTruncated:!1,nextContinuationToken:""};let ed=parseXml(Ra);if(!ed.ListBucketResult)throw new InvalidXMLError('Missing tag: "ListBucketResult"');return ed=ed.ListBucketResult,ed.IsTruncated&&(qa.isTruncated=ed.IsTruncated),ed.NextContinuationToken&&(qa.nextContinuationToken=ed.NextContinuationToken),ed.Contents&&toArray$2(ed.Contents).forEach(td=>{const rd=sanitizeObjectKey(td.Key),sd=new Date(td.LastModified),od=sanitizeETag(td.ETag),ld=td.Size;let cd;td.UserMetadata!=null?cd=toArray$2(td.UserMetadata)[0]:cd=null,qa.objects.push({name:rd,lastModified:sd,etag:od,size:ld,metadata:cd})}),ed.CommonPrefixes&&toArray$2(ed.CommonPrefixes).forEach(td=>{qa.objects.push({prefix:sanitizeObjectKey(toArray$2(td.Prefix)[0]),size:0})}),qa}function parseListParts(Ra){let qa=parseXml(Ra);const ed={isTruncated:!1,parts:[],marker:0};if(!qa.ListPartsResult)throw new InvalidXMLError('Missing tag: "ListPartsResult"');return qa=qa.ListPartsResult,qa.IsTruncated&&(ed.isTruncated=qa.IsTruncated),qa.NextPartNumberMarker&&(ed.marker=toArray$2(qa.NextPartNumberMarker)[0]||""),qa.Part&&toArray$2(qa.Part).forEach(td=>{const rd=parseInt(toArray$2(td.PartNumber)[0],10),sd=new Date(td.LastModified),od=td.ETag.replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"");ed.parts.push({part:rd,lastModified:sd,etag:od,size:parseInt(td.Size,10)})}),ed}function parseListBucket(Ra){let qa=[];const ed=parseXml(Ra);if(!ed.ListAllMyBucketsResult)throw new InvalidXMLError('Missing tag: "ListAllMyBucketsResult"');const{ListAllMyBucketsResult:{Buckets:td={}}={}}=ed;return td.Bucket&&(qa=toArray$2(td.Bucket).map((rd={})=>{const{Name:sd,CreationDate:od}=rd,ld=new Date(od);return{name:sd,creationDate:ld}})),qa}function parseInitiateMultipart(Ra){let qa=parseXml(Ra);if(!qa.InitiateMultipartUploadResult)throw new InvalidXMLError('Missing tag: "InitiateMultipartUploadResult"');if(qa=qa.InitiateMultipartUploadResult,qa.UploadId)return qa.UploadId;throw new InvalidXMLError('Missing tag: "UploadId"')}function parseReplicationConfig(Ra){const qa=parseXml(Ra),{Role:ed,Rule:td}=qa.ReplicationConfiguration;return{ReplicationConfiguration:{role:ed,rules:toArray$2(td)}}}class Extensions{constructor(qa){this.client=qa}listObjectsV2WithMetadata(qa,ed,td,rd){if(ed===void 0&&(ed=""),td===void 0&&(td=!1),rd===void 0&&(rd=""),!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidPrefix(ed))throw new InvalidPrefixError(`Invalid prefix : ${ed}`);if(!isString$1(ed))throw new TypeError('prefix should be of type "string"');if(!isBoolean$2(td))throw new TypeError('recursive should be of type "boolean"');if(!isString$1(rd))throw new TypeError('startAfter should be of type "string"');const sd=td?"":"/";return streamBrowserify.Readable.from(this.listObjectsV2WithMetadataGen(qa,ed,sd,rd),{objectMode:!0})}async*listObjectsV2WithMetadataGen(qa,ed,td,rd){let sd=!1,od="";do{const ld=await this.listObjectsV2WithMetadataQuery(qa,ed,od,td,rd);sd=!ld.isTruncated,od=ld.nextContinuationToken;for(const cd of ld.objects)yield cd}while(!sd)}async listObjectsV2WithMetadataQuery(qa,ed,td,rd,sd){const od=[];od.push("list-type=2"),od.push("encoding-type=url"),od.push(`prefix=${uriEscape(ed)}`),od.push(`delimiter=${uriEscape(rd)}`),od.push("metadata=true"),td&&(td=uriEscape(td),od.push(`continuation-token=${td}`)),sd&&(sd=uriEscape(sd),od.push(`start-after=${sd}`)),od.push("max-keys=1000"),od.sort();let ld="";od.length>0&&(ld=`${od.join("&")}`);const ud=await this.client.makeRequestAsync({method:"GET",bucketName:qa,query:ld});return parseListObjectsV2WithMetadata(await readAsString(ud))}}async function request$1(Ra,qa,ed=null){return new Promise((td,rd)=>{const sd=Ra.request(qa,od=>{td(od)});if(!ed||Buffer$2.isBuffer(ed)||typeof ed=="string"){sd.on("error",od=>{rd(od)}).end(ed);return}streamBrowserify.pipeline(ed,sd,od=>{od&&rd(od)})})}const awsS3Endpoint={"us-east-1":"s3.amazonaws.com","us-east-2":"s3-us-east-2.amazonaws.com","us-west-1":"s3-us-west-1.amazonaws.com","us-west-2":"s3-us-west-2.amazonaws.com","ca-central-1":"s3.ca-central-1.amazonaws.com","eu-west-1":"s3-eu-west-1.amazonaws.com","eu-west-2":"s3-eu-west-2.amazonaws.com","sa-east-1":"s3-sa-east-1.amazonaws.com","eu-central-1":"s3-eu-central-1.amazonaws.com","ap-south-1":"s3-ap-south-1.amazonaws.com","ap-southeast-1":"s3-ap-southeast-1.amazonaws.com","ap-southeast-2":"s3-ap-southeast-2.amazonaws.com","ap-northeast-1":"s3-ap-northeast-1.amazonaws.com","cn-north-1":"s3.cn-north-1.amazonaws.com.cn","ap-east-1":"s3.ap-east-1.amazonaws.com","eu-north-1":"s3.eu-north-1.amazonaws.com"};function getS3Endpoint(Ra){if(!isString$1(Ra))throw new TypeError(`Invalid region: ${Ra}`);const qa=awsS3Endpoint[Ra];return qa||"s3.amazonaws.com"}var define_process_default$3={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};const Package={version:"7.1.3"},requestOptionProperties=["agent","ca","cert","ciphers","clientCertEngine","crl","dhparam","ecdhCurve","family","honorCipherOrder","key","passphrase","pfx","rejectUnauthorized","secureOptions","secureProtocol","servername","sessionIdContext"];class TypedClient{constructor(qa){n_(this,"partSize",64*1024*1024);n_(this,"maximumPartSize",5*1024*1024*1024);n_(this,"maxObjectSize",5*1024*1024*1024*1024);if(qa.secure!==void 0)throw new Error('"secure" option deprecated, "useSSL" should be used instead');if(qa.useSSL===void 0&&(qa.useSSL=!0),qa.port||(qa.port=0),!isValidEndpoint(qa.endPoint))throw new InvalidEndpointError(`Invalid endPoint : ${qa.endPoint}`);if(!isValidPort(qa.port))throw new InvalidArgumentError(`Invalid port : ${qa.port}`);if(!isBoolean$2(qa.useSSL))throw new InvalidArgumentError(`Invalid useSSL flag type : ${qa.useSSL}, expected to be of type "boolean"`);if(qa.region&&!isString$1(qa.region))throw new InvalidArgumentError(`Invalid region : ${qa.region}`);const ed=qa.endPoint.toLowerCase();let td=qa.port,rd,sd,od;if(qa.useSSL?(sd=http,rd="https:",td=td||443,od=void 0):(sd=http,rd="http:",td=td||80,od=void 0),qa.transport){if(!isObject$3(qa.transport))throw new InvalidArgumentError(`Invalid transport type : ${qa.transport}, expected to be type "object"`);sd=qa.transport}if(qa.transportAgent){if(!isObject$3(qa.transportAgent))throw new InvalidArgumentError(`Invalid transportAgent type: ${qa.transportAgent}, expected to be type "object"`);od=qa.transportAgent}const cd=`MinIO ${`(${define_process_default$3.platform}; ${define_process_default$3.arch})`} minio-js/${Package.version}`;if(this.transport=sd,this.transportAgent=od,this.host=ed,this.port=td,this.protocol=rd,this.userAgent=`${cd}`,qa.pathStyle===void 0?this.pathStyle=!0:this.pathStyle=qa.pathStyle,this.accessKey=qa.accessKey??"",this.secretKey=qa.secretKey??"",this.sessionToken=qa.sessionToken,this.anonymous=!this.accessKey||!this.secretKey,qa.credentialsProvider&&(this.credentialsProvider=qa.credentialsProvider),this.regionMap={},qa.region&&(this.region=qa.region),qa.partSize&&(this.partSize=qa.partSize,this.overRidePartSize=!0),this.partSize<5*1024*1024)throw new InvalidArgumentError("Part size should be greater than 5MB");if(this.partSize>5*1024*1024*1024)throw new InvalidArgumentError("Part size should be less than 5GB");this.enableSHA256=!this.anonymous&&!qa.useSSL,this.s3AccelerateEndpoint=qa.s3AccelerateEndpoint||void 0,this.reqOptions={},this.clientExtensions=new Extensions(this)}get extensions(){return this.clientExtensions}setS3TransferAccelerate(qa){this.s3AccelerateEndpoint=qa}setRequestOptions(qa){if(!isObject$3(qa))throw new TypeError('request options should be of type "object"');this.reqOptions=_$3.pick(qa,requestOptionProperties)}getAccelerateEndPointIfSet(qa,ed){if(!isEmpty(this.s3AccelerateEndpoint)&&!isEmpty(qa)&&!isEmpty(ed)){if(qa.includes("."))throw new Error(`Transfer Acceleration is not supported for non compliant bucket:${qa}`);return this.s3AccelerateEndpoint}return!1}getRequestOptions(qa){const ed=qa.method,td=qa.region,rd=qa.bucketName;let sd=qa.objectName;const od=qa.headers,ld=qa.query;let cd={method:ed,headers:{},protocol:this.protocol,agent:this.transportAgent},ud;rd&&(ud=isVirtualHostStyle(this.host,this.protocol,rd,this.pathStyle));let _d="/",Ed=this.host,Ad;if(this.port&&(Ad=this.port),sd&&(sd=uriResourceEscape(sd)),isAmazonEndpoint(Ed)){const Td=this.getAccelerateEndPointIfSet(rd,sd);Td?Ed=`${Td}`:Ed=getS3Endpoint(td)}if(ud&&!qa.pathStyle?(rd&&(Ed=`${rd}.${Ed}`),sd&&(_d=`/${sd}`)):(rd&&(_d=`/${rd}`),sd&&(_d=`/${rd}/${sd}`)),ld&&(_d+=`?${ld}`),cd.headers.host=Ed,(cd.protocol==="http:"&&Ad!==80||cd.protocol==="https:"&&Ad!==443)&&(cd.headers.host=`${Ed}:${Ad}`),cd.headers["user-agent"]=this.userAgent,od)for(const[Td,Nd]of Object.entries(od))cd.headers[Td.toLowerCase()]=Nd;return cd=Object.assign({},this.reqOptions,cd),{...cd,headers:_$3.mapValues(_$3.pickBy(cd.headers,isDefined),Td=>Td.toString()),host:Ed,port:Ad,path:_d}}async setCredentialsProvider(qa){if(!(qa instanceof CredentialProvider))throw new Error("Unable to get credentials. Expected instance of CredentialProvider");this.credentialsProvider=qa,await this.checkAndRefreshCreds()}async checkAndRefreshCreds(){if(this.credentialsProvider)try{const qa=await this.credentialsProvider.getCredentials();this.accessKey=qa.getAccessKey(),this.secretKey=qa.getSecretKey(),this.sessionToken=qa.getSessionToken()}catch(qa){throw new Error(`Unable to get credentials: ${qa}`,{cause:qa})}}logHTTP(qa,ed,td){if(!this.logStream)return;if(!isObject$3(qa))throw new TypeError('reqOptions should be of type "object"');if(ed&&!isReadableStream$1(ed))throw new TypeError('response should be of type "Stream"');if(td&&!(td instanceof Error))throw new TypeError('err should be of type "Error"');const rd=this.logStream,sd=od=>{Object.entries(od).forEach(([ld,cd])=>{if(ld=="authorization"&&isString$1(cd)){const ud=new RegExp("Signature=([0-9a-f]+)");cd=cd.replace(ud,"Signature=**REDACTED**")}rd.write(`${ld}: ${cd} `)}),rd.write(` `)};if(rd.write(`REQUEST: ${qa.method} ${qa.path} `),sd(qa.headers),ed&&(this.logStream.write(`RESPONSE: ${ed.statusCode} `),sd(ed.headers)),td){rd.write(`ERROR BODY: `);const od=JSON.stringify(td,null," ");rd.write(`${od} `)}}traceOn(qa){qa||(qa=define_process_default$3.stdout),this.logStream=qa}traceOff(){this.logStream=void 0}async makeRequestAsync(qa,ed="",td=[200],rd=""){if(!isObject$3(qa))throw new TypeError('options should be of type "object"');if(!isString$1(ed)&&!isObject$3(ed))throw new TypeError('payload should be of type "string" or "Buffer"');if(td.forEach(od=>{if(!isNumber$2(od))throw new TypeError('statusCode should be of type "number"')}),!isString$1(rd))throw new TypeError('region should be of type "string"');qa.headers||(qa.headers={}),(qa.method==="POST"||qa.method==="PUT"||qa.method==="DELETE")&&(qa.headers["content-length"]=ed.length.toString());const sd=this.enableSHA256?toSha256(ed):"";return this.makeRequestStreamAsync(qa,ed,sd,td,rd)}async makeRequestAsyncOmit(qa,ed="",td=[200],rd=""){const sd=await this.makeRequestAsync(qa,ed,td,rd);return await drainResponse(sd),sd}async makeRequestStreamAsync(qa,ed,td,rd,sd){if(!isObject$3(qa))throw new TypeError('options should be of type "object"');if(!(Buffer$2.isBuffer(ed)||typeof ed=="string"||isReadableStream$1(ed)))throw new InvalidArgumentError(`stream should be a Buffer, string or readable Stream, got ${typeof ed} instead`);if(!isString$1(td))throw new TypeError('sha256sum should be of type "string"');if(rd.forEach(cd=>{if(!isNumber$2(cd))throw new TypeError('statusCode should be of type "number"')}),!isString$1(sd))throw new TypeError('region should be of type "string"');if(!this.enableSHA256&&td.length!==0)throw new InvalidArgumentError("sha256sum expected to be empty for anonymous or https requests");if(this.enableSHA256&&td.length!==64)throw new InvalidArgumentError(`Invalid sha256sum : ${td}`);await this.checkAndRefreshCreds(),sd=sd||await this.getBucketRegionAsync(qa.bucketName);const od=this.getRequestOptions({...qa,region:sd});if(!this.anonymous){this.enableSHA256||(td="UNSIGNED-PAYLOAD");const cd=new Date;od.headers["x-amz-date"]=makeDateLong(cd),od.headers["x-amz-content-sha256"]=td,this.sessionToken&&(od.headers["x-amz-security-token"]=this.sessionToken),od.headers.authorization=signV4(od,this.accessKey,this.secretKey,sd,cd,td)}const ld=await request$1(this.transport,od,ed);if(!ld.statusCode)throw new Error("BUG: response doesn't have a statusCode");if(!rd.includes(ld.statusCode)){delete this.regionMap[qa.bucketName];const cd=await parseResponseError(ld);throw this.logHTTP(od,ld,cd),cd}return this.logHTTP(od,ld),ld}async getBucketRegionAsync(qa){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name : ${qa}`);if(this.region)return this.region;const ed=this.regionMap[qa];if(ed)return ed;const td=async ud=>{const _d=await readAsString(ud),Ed=parseBucketRegion(_d)||DEFAULT_REGION;return this.regionMap[qa]=Ed,Ed},rd="GET",sd="location",od=this.pathStyle&&!isBrowser_1;let ld;try{const ud=await this.makeRequestAsync({method:rd,bucketName:qa,query:sd,pathStyle:od},"",[200],DEFAULT_REGION);return td(ud)}catch(ud){if(ud.name!=="AuthorizationHeaderMalformed"||(ld=ud.Region,!ld))throw ud}const cd=await this.makeRequestAsync({method:rd,bucketName:qa,query:sd,pathStyle:od},"",[200],ld);return await td(cd)}makeRequest(qa,ed="",td=[200],rd="",sd,od){let ld;sd?ld=this.makeRequestAsync(qa,ed,td,rd):ld=this.makeRequestAsyncOmit(qa,ed,td,rd),ld.then(cd=>od(null,cd),cd=>{od(cd)})}makeRequestStream(qa,ed,td,rd,sd,od,ld){(async()=>{const ud=await this.makeRequestStreamAsync(qa,ed,td,rd,sd);return od||await drainResponse(ud),ud})().then(ud=>ld(null,ud),ud=>ld(ud))}getBucketRegion(qa,ed){return this.getBucketRegionAsync(qa).then(td=>ed(null,td),td=>ed(td))}async removeBucket(qa){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);await this.makeRequestAsyncOmit({method:"DELETE",bucketName:qa},"",[204]),delete this.regionMap[qa]}async statObject(qa,ed,td={}){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isObject$3(td))throw new InvalidArgumentError('statOpts should be of type "object"');const rd=queryString.stringify(td),od=await this.makeRequestAsyncOmit({method:"HEAD",bucketName:qa,objectName:ed,query:rd});return{size:parseInt(od.headers["content-length"]),metaData:extractMetadata(od.headers),lastModified:new Date(od.headers["last-modified"]),versionId:getVersionId(od.headers),etag:sanitizeETag(od.headers.etag)}}async removeObject(qa,ed,td={}){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isObject$3(td))throw new InvalidArgumentError('removeOpts should be of type "object"');const rd="DELETE",sd={};td.governanceBypass&&(sd["X-Amz-Bypass-Governance-Retention"]=!0),td.forceDelete&&(sd["x-minio-force-delete"]=!0);const od={};td.versionId&&(od.versionId=`${td.versionId}`);const ld=queryString.stringify(od);await this.makeRequestAsyncOmit({method:rd,bucketName:qa,objectName:ed,headers:sd,query:ld},"",[200,204])}async initiateNewMultipartUpload(qa,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isObject$3(td))throw new InvalidObjectNameError('contentType should be of type "object"');const od=await this.makeRequestAsync({method:"POST",bucketName:qa,objectName:ed,query:"uploads",headers:td}),ld=await readAsBuffer(od);return parseInitiateMultipart(ld.toString())}async abortMultipartUpload(qa,ed,td){const rd="DELETE",sd=`uploadId=${td}`,od={method:rd,bucketName:qa,objectName:ed,query:sd};await this.makeRequestAsyncOmit(od,"",[204])}async listParts(qa,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isString$1(td))throw new TypeError('uploadId should be of type "string"');if(!td)throw new InvalidArgumentError("uploadId cannot be empty");const rd=[];let sd=0,od;do od=await this.listPartsQuery(qa,ed,td,sd),sd=od.marker,rd.push(...od.parts);while(od.isTruncated);return rd}async listPartsQuery(qa,ed,td,rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isString$1(td))throw new TypeError('uploadId should be of type "string"');if(!isNumber$2(rd))throw new TypeError('marker should be of type "number"');if(!td)throw new InvalidArgumentError("uploadId cannot be empty");let sd=`uploadId=${uriEscape(td)}`;rd&&(sd+=`&part-number-marker=${rd}`);const ld=await this.makeRequestAsync({method:"GET",bucketName:qa,objectName:ed,query:sd});return parseListParts(await readAsString(ld))}async listBuckets(){const ed=await this.makeRequestAsync({method:"GET"},"",[200],DEFAULT_REGION),td=await readAsString(ed);return parseListBucket(td)}async removeBucketReplication(qa){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);await this.makeRequestAsyncOmit({method:"DELETE",bucketName:qa,query:"replication"},"",[200,204],"")}async setBucketReplication(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(isObject$3(ed)){if(_$3.isEmpty(ed.role))throw new InvalidArgumentError("Role cannot be empty");if(ed.role&&!isString$1(ed.role))throw new InvalidArgumentError("Invalid value for role",ed.role);if(_$3.isEmpty(ed.rules))throw new InvalidArgumentError("Minimum one replication rule must be specified")}else throw new InvalidArgumentError('replicationConfig should be of type "object"');const td="PUT",rd="replication",sd={},od={ReplicationConfiguration:{Role:ed.role,Rule:ed.rules}},cd=new xml2js.Builder({renderOpts:{pretty:!1},headless:!0}).buildObject(od);sd["Content-MD5"]=toMd5(cd),await this.makeRequestAsyncOmit({method:td,bucketName:qa,query:rd,headers:sd},cd)}async getBucketReplication(qa){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);const rd=await this.makeRequestAsync({method:"GET",bucketName:qa,query:"replication"},"",[200,204]),sd=await readAsString(rd);return parseReplicationConfig(sd)}}class CopyConditions{constructor(){n_(this,"modified","");n_(this,"unmodified","");n_(this,"matchETag","");n_(this,"matchETagExcept","")}setModified(qa){if(!(qa instanceof Date))throw new TypeError("date must be of type Date");this.modified=qa.toUTCString()}setUnmodified(qa){if(!(qa instanceof Date))throw new TypeError("date must be of type Date");this.unmodified=qa.toUTCString()}setMatchETag(qa){this.matchETag=qa}setMatchETagExcept(qa){this.matchETagExcept=qa}}class PostPolicy{constructor(){n_(this,"policy",{conditions:[]});n_(this,"formData",{})}setExpires(qa){if(!qa)throw new InvalidDateError("Invalid date: cannot be null");this.policy.expiration=qa.toISOString()}setKey(qa){if(!isValidObjectName(qa))throw new InvalidObjectNameError(`Invalid object name : ${qa}`);this.policy.conditions.push(["eq","$key",qa]),this.formData.key=qa}setKeyStartsWith(qa){if(!isValidPrefix(qa))throw new InvalidPrefixError(`Invalid prefix : ${qa}`);this.policy.conditions.push(["starts-with","$key",qa]),this.formData.key=qa}setBucket(qa){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name : ${qa}`);this.policy.conditions.push(["eq","$bucket",qa]),this.formData.bucket=qa}setContentType(qa){if(!qa)throw new Error("content-type cannot be null");this.policy.conditions.push(["eq","$Content-Type",qa]),this.formData["Content-Type"]=qa}setContentTypeStartsWith(qa){if(!qa)throw new Error("content-type cannot be null");this.policy.conditions.push(["starts-with","$Content-Type",qa]),this.formData["Content-Type"]=qa}setContentDisposition(qa){if(!qa)throw new Error("content-disposition cannot be null");this.policy.conditions.push(["eq","$Content-Disposition",qa]),this.formData["Content-Disposition"]=qa}setContentLengthRange(qa,ed){if(qa>ed)throw new Error("min cannot be more than max");if(qa<0)throw new Error("min should be > 0");if(ed<0)throw new Error("max should be > 0");this.policy.conditions.push(["content-length-range",qa,ed])}setUserMetaData(qa){if(!isObject$3(qa))throw new TypeError('metadata should be of type "object"');Object.entries(qa).forEach(([ed,td])=>{const rd=`x-amz-meta-${ed}`;this.policy.conditions.push(["eq",`$${rd}`,td]),this.formData[rd]=td.toString()})}}var jsonStream={exports:{}},util=util$5,TransformStream=streamBrowserify.Transform;jsonStream.exports=function(Ra){return new JSONStream(Ra)};var JSONStream=jsonStream.exports.JSONStream=function(Ra){Ra=Ra||{},TransformStream.call(this,Ra),this._writableState.objectMode=!1,this._readableState.objectMode=!0,this._async=Ra.async||!1};util.inherits(JSONStream,TransformStream);JSONStream.prototype._transform=function(Ra,qa,ed){Buffer$2.isBuffer(Ra)||(Ra=new Buffer$2(Ra)),this._buffer&&(Ra=Buffer$2.concat([this._buffer,Ra]));for(var td=0,rd=0;++td<=Ra.length;)if(Ra[td]===10||td===Ra.length){var sd;try{sd=JSON.parse(Ra.slice(rd,td))}catch{}sd&&(this.push(sd),sd=null),Ra[td]===10&&(rd=++td)}return this._buffer=Ra.slice(rd),this._async?void setImmediate(ed):void ed()};var jsonStreamExports=jsonStream.exports;const JSONParser=getDefaultExportFromCjs(jsonStreamExports);var through2$1={exports:{}};const{Transform:Transform$1}=readableBrowserExports;function inherits$1(Ra,qa){Ra.super_=qa,Ra.prototype=Object.create(qa.prototype,{constructor:{value:Ra,enumerable:!1,writable:!0,configurable:!0}})}function through2(Ra){return(qa,ed,td)=>(typeof qa=="function"&&(td=ed,ed=qa,qa={}),typeof ed!="function"&&(ed=(rd,sd,od)=>od(null,rd)),typeof td!="function"&&(td=null),Ra(qa,ed,td))}const make=through2((Ra,qa,ed)=>{const td=new Transform$1(Ra);return td._transform=qa,ed&&(td._flush=ed),td}),ctor=through2((Ra,qa,ed)=>{function td(rd){if(!(this instanceof td))return new td(rd);this.options=Object.assign({},Ra,rd),Transform$1.call(this,this.options),this._transform=qa,ed&&(this._flush=ed)}return inherits$1(td,Transform$1),td}),obj=through2(function(Ra,qa,ed){const td=new Transform$1(Object.assign({objectMode:!0,highWaterMark:16},Ra));return td._transform=qa,ed&&(td._flush=ed),td});through2$1.exports=make;through2$1.exports.ctor=ctor;through2$1.exports.obj=obj;var through2Exports=through2$1.exports;const Through2=getDefaultExportFromCjs(through2Exports);var Buffer$1=buffer.Buffer,CRC_TABLE=[0,1996959894,3993919788,2567524794,124634137,1886057615,3915621685,2657392035,249268274,2044508324,3772115230,2547177864,162941995,2125561021,3887607047,2428444049,498536548,1789927666,4089016648,2227061214,450548861,1843258603,4107580753,2211677639,325883990,1684777152,4251122042,2321926636,335633487,1661365465,4195302755,2366115317,997073096,1281953886,3579855332,2724688242,1006888145,1258607687,3524101629,2768942443,901097722,1119000684,3686517206,2898065728,853044451,1172266101,3705015759,2882616665,651767980,1373503546,3369554304,3218104598,565507253,1454621731,3485111705,3099436303,671266974,1594198024,3322730930,2970347812,795835527,1483230225,3244367275,3060149565,1994146192,31158534,2563907772,4023717930,1907459465,112637215,2680153253,3904427059,2013776290,251722036,2517215374,3775830040,2137656763,141376813,2439277719,3865271297,1802195444,476864866,2238001368,4066508878,1812370925,453092731,2181625025,4111451223,1706088902,314042704,2344532202,4240017532,1658658271,366619977,2362670323,4224994405,1303535960,984961486,2747007092,3569037538,1256170817,1037604311,2765210733,3554079995,1131014506,879679996,2909243462,3663771856,1141124467,855842277,2852801631,3708648649,1342533948,654459306,3188396048,3373015174,1466479909,544179635,3110523913,3462522015,1591671054,702138776,2966460450,3352799412,1504918807,783551873,3082640443,3233442989,3988292384,2596254646,62317068,1957810842,3939845945,2647816111,81470997,1943803523,3814918930,2489596804,225274430,2053790376,3826175755,2466906013,167816743,2097651377,4027552580,2265490386,503444072,1762050814,4150417245,2154129355,426522225,1852507879,4275313526,2312317920,282753626,1742555852,4189708143,2394877945,397917763,1622183637,3604390888,2714866558,953729732,1340076626,3518719985,2797360999,1068828381,1219638859,3624741850,2936675148,906185462,1090812512,3747672003,2825379669,829329135,1181335161,3412177804,3160834842,628085408,1382605366,3423369109,3138078467,570562233,1426400815,3317316542,2998733608,733239954,1555261956,3268935591,3050360625,752459403,1541320221,2607071920,3965973030,1969922972,40735498,2617837225,3943577151,1913087877,83908371,2512341634,3803740692,2075208622,213261112,2463272603,3855990285,2094854071,198958881,2262029012,4057260610,1759359992,534414190,2176718541,4139329115,1873836001,414664567,2282248934,4279200368,1711684554,285281116,2405801727,4167216745,1634467795,376229701,2685067896,3608007406,1308918612,956543938,2808555105,3495958263,1231636301,1047427035,2932959818,3654703836,1088359270,936918e3,2847714899,3736837829,1202900863,817233897,3183342108,3401237130,1404277552,615818150,3134207493,3453421203,1423857449,601450431,3009837614,3294710456,1567103746,711928724,3020668471,3272380065,1510334235,755167117];typeof Int32Array<"u"&&(CRC_TABLE=new Int32Array(CRC_TABLE));function ensureBuffer(Ra){if(Buffer$1.isBuffer(Ra))return Ra;var qa=typeof Buffer$1.alloc=="function"&&typeof Buffer$1.from=="function";if(typeof Ra=="number")return qa?Buffer$1.alloc(Ra):new Buffer$1(Ra);if(typeof Ra=="string")return qa?Buffer$1.from(Ra):new Buffer$1(Ra);throw new Error("input must be buffer, number, or string, received "+typeof Ra)}function bufferizeInt(Ra){var qa=ensureBuffer(4);return qa.writeInt32BE(Ra,0),qa}function _crc32(Ra,qa){Ra=ensureBuffer(Ra),Buffer$1.isBuffer(qa)&&(qa=qa.readUInt32BE(0));for(var ed=~~qa^-1,td=0;td>>8;return ed^-1}function crc32(){return bufferizeInt(_crc32.apply(null,arguments))}crc32.signed=function(){return _crc32.apply(null,arguments)};crc32.unsigned=function(){return _crc32.apply(null,arguments)>>>0};var bufferCrc32=crc32;const crc32$1=getDefaultExportFromCjs(bufferCrc32),fxpWithoutNumParser=new fxp$2.XMLParser({numberParseOptions:{skipLike:/./}});function parseCopyObject(Ra){var qa={etag:"",lastModified:""},ed=parseXml(Ra);if(!ed.CopyObjectResult)throw new InvalidXMLError('Missing tag: "CopyObjectResult"');return ed=ed.CopyObjectResult,ed.ETag&&(qa.etag=ed.ETag.replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"")),ed.LastModified&&(qa.lastModified=new Date(ed.LastModified)),qa}function parseListMultipart(Ra){var qa={uploads:[],prefixes:[],isTruncated:!1},ed=parseXml(Ra);if(!ed.ListMultipartUploadsResult)throw new InvalidXMLError('Missing tag: "ListMultipartUploadsResult"');return ed=ed.ListMultipartUploadsResult,ed.IsTruncated&&(qa.isTruncated=ed.IsTruncated),ed.NextKeyMarker&&(qa.nextKeyMarker=ed.NextKeyMarker),ed.NextUploadIdMarker&&(qa.nextUploadIdMarker=ed.nextUploadIdMarker||""),ed.CommonPrefixes&&toArray$2(ed.CommonPrefixes).forEach(td=>{qa.prefixes.push({prefix:sanitizeObjectKey(toArray$2(td.Prefix)[0])})}),ed.Upload&&toArray$2(ed.Upload).forEach(td=>{var rd=td.Key,sd=td.UploadId,od={id:td.Initiator.ID,displayName:td.Initiator.DisplayName},ld={id:td.Owner.ID,displayName:td.Owner.DisplayName},cd=td.StorageClass,ud=new Date(td.Initiated);qa.uploads.push({key:rd,uploadId:sd,initiator:od,owner:ld,storageClass:cd,initiated:ud})}),qa}function parseBucketNotification(Ra){var qa={TopicConfiguration:[],QueueConfiguration:[],CloudFunctionConfiguration:[]},ed=function(sd){var od=[];return sd&&toArray$2(sd).forEach(ld=>{od.push(ld)}),od},td=function(sd){var od=[];return sd&&(sd=toArray$2(sd),sd[0].S3Key&&(sd[0].S3Key=toArray$2(sd[0].S3Key),sd[0].S3Key[0].FilterRule&&toArray$2(sd[0].S3Key[0].FilterRule).forEach(ld=>{var cd=toArray$2(ld.Name)[0],ud=toArray$2(ld.Value)[0];od.push({Name:cd,Value:ud})}))),od},rd=parseXml(Ra);return rd=rd.NotificationConfiguration,rd.TopicConfiguration&&toArray$2(rd.TopicConfiguration).forEach(sd=>{var od=toArray$2(sd.Id)[0],ld=toArray$2(sd.Topic)[0],cd=ed(sd.Event),ud=td(sd.Filter);qa.TopicConfiguration.push({Id:od,Topic:ld,Event:cd,Filter:ud})}),rd.QueueConfiguration&&toArray$2(rd.QueueConfiguration).forEach(sd=>{var od=toArray$2(sd.Id)[0],ld=toArray$2(sd.Queue)[0],cd=ed(sd.Event),ud=td(sd.Filter);qa.QueueConfiguration.push({Id:od,Queue:ld,Event:cd,Filter:ud})}),rd.CloudFunctionConfiguration&&toArray$2(rd.CloudFunctionConfiguration).forEach(sd=>{var od=toArray$2(sd.Id)[0],ld=toArray$2(sd.CloudFunction)[0],cd=ed(sd.Event),ud=td(sd.Filter);qa.CloudFunctionConfiguration.push({Id:od,CloudFunction:ld,Event:cd,Filter:ud})}),qa}function parseCompleteMultipart(Ra){var qa=parseXml(Ra).CompleteMultipartUploadResult;if(qa.Location){var ed=toArray$2(qa.Location)[0],td=toArray$2(qa.Bucket)[0],rd=qa.Key,sd=qa.ETag.replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"");return{location:ed,bucket:td,key:rd,etag:sd}}if(qa.Code&&qa.Message){var od=toArray$2(qa.Code)[0],ld=toArray$2(qa.Message)[0];return{errCode:od,errMessage:ld}}}const formatObjInfo=(Ra,qa={})=>{let{Key:ed,LastModified:td,ETag:rd,Size:sd,VersionId:od,IsLatest:ld}=Ra;isObject$3(qa)||(qa={});const cd=sanitizeObjectKey(toArray$2(ed)[0]),ud=new Date(toArray$2(td)[0]),_d=sanitizeETag(toArray$2(rd)[0]),Ed=sanitizeSize(sd);return{name:cd,lastModified:ud,etag:_d,size:Ed,versionId:od,isLatest:ld,isDeleteMarker:qa.IsDeleteMarker?qa.IsDeleteMarker:!1}};function parseListObjects(Ra){var qa={objects:[],isTruncated:!1};let ed=!1,td,rd;const sd=fxpWithoutNumParser.parse(Ra),od=ud=>{ud&&toArray$2(ud).forEach(_d=>{qa.objects.push({prefix:sanitizeObjectKey(toArray$2(_d.Prefix)[0]),size:0})})},ld=sd.ListBucketResult,cd=sd.ListVersionsResult;return ld&&(ld.IsTruncated&&(ed=ld.IsTruncated),ld.Contents&&toArray$2(ld.Contents).forEach(ud=>{const _d=sanitizeObjectKey(toArray$2(ud.Key)[0]),Ed=new Date(toArray$2(ud.LastModified)[0]),Ad=sanitizeETag(toArray$2(ud.ETag)[0]),Td=sanitizeSize(ud.Size);qa.objects.push({name:_d,lastModified:Ed,etag:Ad,size:Td})}),ld.NextMarker&&(td=ld.NextMarker),od(ld.CommonPrefixes)),cd&&(cd.IsTruncated&&(ed=cd.IsTruncated),cd.Version&&toArray$2(cd.Version).forEach(ud=>{qa.objects.push(formatObjInfo(ud))}),cd.DeleteMarker&&toArray$2(cd.DeleteMarker).forEach(ud=>{qa.objects.push(formatObjInfo(ud,{IsDeleteMarker:!0}))}),cd.NextKeyMarker&&(rd=cd.NextKeyMarker),cd.NextVersionIdMarker&&(qa.versionIdMarker=cd.NextVersionIdMarker),od(cd.CommonPrefixes)),qa.isTruncated=ed,ed&&(qa.nextMarker=rd||td),qa}function parseListObjectsV2(Ra){var qa={objects:[],isTruncated:!1},ed=parseXml(Ra);if(!ed.ListBucketResult)throw new InvalidXMLError('Missing tag: "ListBucketResult"');return ed=ed.ListBucketResult,ed.IsTruncated&&(qa.isTruncated=ed.IsTruncated),ed.NextContinuationToken&&(qa.nextContinuationToken=ed.NextContinuationToken),ed.Contents&&toArray$2(ed.Contents).forEach(td=>{var rd=sanitizeObjectKey(toArray$2(td.Key)[0]),sd=new Date(td.LastModified),od=sanitizeETag(td.ETag),ld=td.Size;qa.objects.push({name:rd,lastModified:sd,etag:od,size:ld})}),ed.CommonPrefixes&&toArray$2(ed.CommonPrefixes).forEach(td=>{qa.objects.push({prefix:sanitizeObjectKey(toArray$2(td.Prefix)[0]),size:0})}),qa}function parseBucketVersioningConfig(Ra){var qa=parseXml(Ra);return qa.VersioningConfiguration}function parseTagging(Ra){const qa=parseXml(Ra);let ed=[];if(qa.Tagging&&qa.Tagging.TagSet&&qa.Tagging.TagSet.Tag){const td=qa.Tagging.TagSet.Tag;isObject$3(td)?ed.push(td):ed=td}return ed}function parseLifecycleConfig(Ra){return parseXml(Ra).LifecycleConfiguration}function parseObjectLockConfig(Ra){const qa=parseXml(Ra);let ed={};if(qa.ObjectLockConfiguration){ed={objectLockEnabled:qa.ObjectLockConfiguration.ObjectLockEnabled};let td;if(qa.ObjectLockConfiguration&&qa.ObjectLockConfiguration.Rule&&qa.ObjectLockConfiguration.Rule.DefaultRetention&&(td=qa.ObjectLockConfiguration.Rule.DefaultRetention||{},ed.mode=td.Mode),td){const rd=td.Years;rd?(ed.validity=rd,ed.unit=RETENTION_VALIDITY_UNITS.YEARS):(ed.validity=td.Days,ed.unit=RETENTION_VALIDITY_UNITS.DAYS)}return ed}}function parseObjectRetentionConfig(Ra){const ed=parseXml(Ra).Retention;return{mode:ed.Mode,retainUntilDate:ed.RetainUntilDate}}function parseBucketEncryptionConfig(Ra){return parseXml(Ra)}function parseObjectLegalHoldConfig(Ra){return parseXml(Ra).LegalHold}function uploadPartParser(Ra){return parseXml(Ra).CopyPartResult}function removeObjectsParser(Ra){const qa=parseXml(Ra);return qa.DeleteResult&&qa.DeleteResult.Error?toArray$2(qa.DeleteResult.Error):[]}function parseSelectObjectContentResponse(Ra){function qa(sd){const od=Buffer$2.from(sd.read(1)).readUInt8(),cd=(Buffer$2.from(sd.read(od)).toString()||"").split(":");return cd.length>=1?cd[1]:""}function ed(sd){const od=Buffer$2.from(sd.read(2)).readUInt16BE();return Buffer$2.from(sd.read(od)).toString()}const td=new SelectResults({}),rd=readableStream(Ra);for(;rd._readableState.length;){let sd;const od=Buffer$2.from(rd.read(4));sd=crc32$1(od);const ld=Buffer$2.from(rd.read(4));sd=crc32$1(ld,sd);const cd=sd.readInt32BE(),ud=Buffer$2.from(rd.read(4));sd=crc32$1(ud,sd);const _d=od.readInt32BE(),Ed=ld.readInt32BE(),Ad=ud.readInt32BE();if(Ad!==cd)throw new Error(`Header Checksum Mismatch, Prelude CRC of ${Ad} does not equal expected CRC of ${cd}`);const Td={};if(Ed>0){const kd=Buffer$2.from(rd.read(Ed));sd=crc32$1(kd,sd);const Od=readableStream(kd);for(;Od._readableState.length;){let Md=qa(Od);Od.read(1),Td[Md]=ed(Od)}}let Nd;const Rd=_d-Ed-16;if(Rd>0){const kd=Buffer$2.from(rd.read(Rd));sd=crc32$1(kd,sd);const Od=Buffer$2.from(rd.read(4)).readInt32BE(),Md=sd.readInt32BE();if(Od!==Md)throw new Error(`Message Checksum Mismatch, Message CRC of ${Od} does not equal expected CRC of ${Md}`);Nd=readableStream(kd)}const Bd=Td["message-type"];switch(Bd){case"error":{const kd=Td["error-code"]+':"'+Td["error-message"]+'"';throw new Error(kd)}case"event":{const kd=Td["content-type"];switch(Td["event-type"]){case"End":return td.setResponse(Ra),td;case"Records":{const Md=Nd.read(Rd);td.setRecords(Md);break}case"Progress":switch(kd){case"text/xml":{const Md=Nd.read(Rd);td.setProgress(Md.toString());break}default:{const Md=`Unexpected content-type ${kd} sent for event-type Progress`;throw new Error(Md)}}break;case"Stats":switch(kd){case"text/xml":{const Md=Nd.read(Rd);td.setStats(Md.toString());break}default:{const Md=`Unexpected content-type ${kd} sent for event-type Stats`;throw new Error(Md)}}break;default:{const Md=`Un implemented event detected ${Bd}.`;console.warn(Md)}}}}}}function getConcater(Ra,qa){var ed=!1,td=[];if(Ra&&!isFunction$1(Ra))throw new TypeError('parser should be of type "function"');return Ra&&(ed=!0),Through2({objectMode:ed},function(rd,sd,od){td.push(rd),od()},function(rd){td.length&&(Ra?this.push(Ra(Buffer$2.concat(td).toString())):this.push(Buffer$2.concat(td))),rd()})}function getCopyObjectTransformer(){return getConcater(parseCopyObject)}function getListMultipartTransformer(){return getConcater(parseListMultipart)}function getListObjectsTransformer(){return getConcater(parseListObjects)}function getListObjectsV2Transformer(){return getConcater(parseListObjectsV2)}function getCompleteMultipartTransformer(){return getConcater(parseCompleteMultipart)}function getBucketNotificationTransformer(){return getConcater(parseBucketNotification)}function getNotificationTransformer(){return new JSONParser}function bucketVersioningTransformer(){return getConcater(parseBucketVersioningConfig)}function getTagsTransformer(){return getConcater(parseTagging)}function lifecycleTransformer(){return getConcater(parseLifecycleConfig)}function objectLockTransformer(){return getConcater(parseObjectLockConfig)}function objectRetentionTransformer(){return getConcater(parseObjectRetentionConfig)}function bucketEncryptionTransformer(){return getConcater(parseBucketEncryptionConfig)}function objectLegalHoldTransformer(){return getConcater(parseObjectLegalHoldConfig)}function uploadPartTransformer(){return getConcater(uploadPartParser)}function selectObjectContentTransformer(){return getConcater()}function removeObjectsTransformer(){return getConcater(removeObjectsParser)}var define_process_default$2={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};class NotificationConfig{add(qa){let ed="";qa instanceof TopicConfig&&(ed="TopicConfiguration"),qa instanceof QueueConfig&&(ed="QueueConfiguration"),qa instanceof CloudFunctionConfig&&(ed="CloudFunctionConfiguration"),this[ed]||(this[ed]=[]),this[ed].push(qa)}}class TargetConfig{setId(qa){this.Id=qa}addEvent(qa){this.Event||(this.Event=[]),this.Event.push(qa)}addFilterSuffix(qa){this.Filter||(this.Filter={S3Key:{FilterRule:[]}}),this.Filter.S3Key.FilterRule.push({Name:"suffix",Value:qa})}addFilterPrefix(qa){this.Filter||(this.Filter={S3Key:{FilterRule:[]}}),this.Filter.S3Key.FilterRule.push({Name:"prefix",Value:qa})}}class TopicConfig extends TargetConfig{constructor(qa){super(),this.Topic=qa}}class QueueConfig extends TargetConfig{constructor(qa){super(),this.Queue=qa}}class CloudFunctionConfig extends TargetConfig{constructor(qa){super(),this.CloudFunction=qa}}class NotificationPoller extends eventsExports.EventEmitter{constructor(qa,ed,td,rd,sd){super(),this.client=qa,this.bucketName=ed,this.prefix=td,this.suffix=rd,this.events=sd,this.ending=!1}start(){this.ending=!1,define_process_default$2.nextTick(()=>{this.checkForChanges()})}stop(){this.ending=!0}checkForChanges(){if(this.ending)return;let qa="GET";var ed=[];if(this.prefix){var td=uriEscape(this.prefix);ed.push(`prefix=${td}`)}if(this.suffix){var rd=uriEscape(this.suffix);ed.push(`suffix=${rd}`)}this.events&&this.events.forEach(ld=>ed.push("events="+uriEscape(ld))),ed.sort();var sd="";ed.length>0&&(sd=`${ed.join("&")}`);const od=this.client.region||DEFAULT_REGION;this.client.makeRequest({method:qa,bucketName:this.bucketName,query:sd},"",[200],od,!0,(ld,cd)=>{if(ld)return this.emit("error",ld);let ud=getNotificationTransformer();pipesetup(cd,ud).on("data",_d=>{let Ed=_d.Records;Ed||(Ed=[]),Ed.forEach(Ad=>{this.emit("notification",Ad)}),this.ending&&cd.destroy()}).on("error",_d=>this.emit("error",_d)).on("end",()=>{define_process_default$2.nextTick(()=>{this.checkForChanges()})})})}}var define_process_default$1={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f570441a-0361-404c-9447-3a0fff4f10ad",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f570441a-0361-404c-9447-3a0fff4f10ad",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10.1",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"603",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240915.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.7/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:4628",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10896487695",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_78d90e0a-6261-440a-8506-9a3a94e46d97",INVOCATION_ID:"bb91d233fa3f4cae82616c40468240ef",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"434",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 13",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"ecb51c10f132d7579dbeba4bf0549eeaed39e70a",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f570441a-0361-404c-9447-3a0fff4f10ad",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f570441a-0361-404c-9447-3a0fff4f10ad",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.1.12297006",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.1.12297006",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f570441a-0361-404c-9447-3a0fff4f10ad",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2009,ppid:2008,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};class ObjectUploader extends streamBrowserify.Transform{constructor(qa,ed,td,rd,sd,od){super(),this.emptyStream=!0,this.client=qa,this.bucketName=ed,this.objectName=td,this.partSize=rd,this.metaData=sd,this.callback=od,this.partNumber=1,this.oldParts=null,this.etags=[],this.id=null,this.on("error",ld=>{od(ld)})}_transform(qa,ed,td){this.emptyStream=!1;let rd="PUT",sd={"Content-Length":qa.length},od="";if(this.client.enableSHA256||(od=(void 0)("md5").update(qa).digest(),sd["Content-MD5"]=od.toString("base64")),this.partNumber==1&&qa.length{if(Ed)return td(Ed);let Td={etag:sanitizeETag(Ad.headers.etag),versionId:getVersionId(Ad.headers)};Ad.on("data",()=>{}),define_process_default$1.nextTick(()=>{this.callback(null,Td)}),td()});return}if(this.id===null){this.once("ready",()=>{this._transform(qa,ed,td)}),this.client.findUploadId(this.bucketName,this.objectName,(_d,Ed)=>{if(_d)return this.emit("error",_d);if(!Ed){this.client.initiateNewMultipartUpload(this.bucketName,this.objectName,this.metaData).then(Ad=>{this.id=Ad,this.emit("ready")},Ad=>td(Ad));return}this.id=Ed,this.client.listParts(this.bucketName,this.objectName,Ed).then(Ad=>{Ad||(Ad=[]),this.oldParts=Ad.reduce(function(Td,Nd){return Td[Nd.part]||(Td[Nd.part]=Nd),Td},{}),this.emit("ready")},Ad=>this.emit("error",Ad))});return}let ld=this.partNumber++;if(this.oldParts){let _d=this.oldParts[ld];if(od||(od=(void 0)("md5").update(qa).digest()),_d&&od.toString("hex")===_d.etag){this.etags.push({part:ld,etag:_d.etag}),td();return}}let cd=queryString.stringify({partNumber:ld,uploadId:this.id}),ud={method:rd,query:cd,headers:sd,bucketName:this.bucketName,objectName:this.objectName};this.client.makeRequest(ud,qa,[200],"",!0,(_d,Ed)=>{if(_d)return td(_d);let Ad=Ed.headers.etag;Ad&&(Ad=Ad.replace(/^"/,"").replace(/"$/,"")),this.etags.push({part:ld,etag:Ad}),Ed.on("data",()=>{}),td()})}_flush(qa){if(this.emptyStream){let ed="PUT",td=Object.assign({},this.metaData,{"Content-Length":0}),rd={method:ed,headers:td,query:"",bucketName:this.bucketName,objectName:this.objectName};this.client.makeRequest(rd,"",[200],"",!0,(sd,od)=>{if(sd)return qa(sd);let ld={etag:sanitizeETag(od.headers.etag),versionId:getVersionId(od.headers)};od.on("data",()=>{}),define_process_default$1.nextTick(()=>{this.callback(null,ld)}),qa()});return}this.id!==null&&this.client.completeMultipartUpload(this.bucketName,this.objectName,this.id,this.etags,(ed,td)=>{if(ed)return qa(ed);define_process_default$1.nextTick(()=>{this.callback(null,td)}),qa()})}}function promisify(Ra){return function(){if(typeof arguments[arguments.length-1]=="function")return Ra.apply(this,arguments);let ed=[...arguments];return new Promise((td,rd)=>{ed.push((sd,od)=>{if(sd)return rd(sd);td(od)}),Ra.apply(this,ed)})}}class Client extends TypedClient{setAppInfo(qa,ed){if(!isString$1(qa))throw new TypeError(`Invalid appName: ${qa}`);if(qa.trim()==="")throw new InvalidArgumentError("Input appName cannot be empty.");if(!isString$1(ed))throw new TypeError(`Invalid appVersion: ${ed}`);if(ed.trim()==="")throw new InvalidArgumentError("Input appVersion cannot be empty.");this.userAgent=`${this.userAgent} ${qa}/${ed}`}calculatePartSize(qa){if(!isNumber$2(qa))throw new TypeError('size should be of type "number"');if(qa>this.maxObjectSize)throw new TypeError(`size should not be more than ${this.maxObjectSize}`);if(this.overRidePartSize)return this.partSize;for(var ed=this.partSize;;){if(ed*1e4>qa)return ed;ed+=16*1024*1024}}makeBucket(qa,ed,td={},rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(isObject$3(ed)&&(rd=td,td=ed,ed=""),isFunction$1(ed)&&(rd=ed,ed="",td={}),isFunction$1(td)&&(rd=td,td={}),!isString$1(ed))throw new TypeError('region should be of type "string"');if(!isObject$3(td))throw new TypeError('makeOpts should be of type "object"');if(!isFunction$1(rd))throw new TypeError('callback should be of type "function"');var sd="";if(ed&&this.region&&ed!==this.region)throw new InvalidArgumentError(`Configured region ${this.region}, requested ${ed}`);if(ed&&ed!==DEFAULT_REGION){var od=[];od.push({_attr:{xmlns:"http://s3.amazonaws.com/doc/2006-03-01/"}}),od.push({LocationConstraint:ed});var ld={CreateBucketConfiguration:od};sd=Xml(ld)}var cd="PUT",ud={};td.ObjectLocking&&(ud["x-amz-bucket-object-lock-enabled"]=!0),ed||(ed=DEFAULT_REGION);const _d=Ed=>{if(Ed&&(ed===""||ed===DEFAULT_REGION))if(Ed.code==="AuthorizationHeaderMalformed"&&Ed.region!=="")this.makeRequest({method:cd,bucketName:qa,headers:ud},sd,[200],Ed.region,!1,rd);else return rd&&rd(Ed);return rd&&rd(Ed)};this.makeRequest({method:cd,bucketName:qa,headers:ud},sd,[200],ed,!1,_d)}listIncompleteUploads(qa,ed,td){if(ed===void 0&&(ed=""),td===void 0&&(td=!1),!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidPrefix(ed))throw new InvalidPrefixError(`Invalid prefix : ${ed}`);if(!isBoolean$2(td))throw new TypeError('recursive should be of type "boolean"');var rd=td?"":"/",sd="",od="",ld=[],cd=!1,ud=streamBrowserify.Readable({objectMode:!0});return ud._read=()=>{if(ld.length)return ud.push(ld.shift());if(cd)return ud.push(null);this.listIncompleteUploadsQuery(qa,ed,sd,od,rd).on("error",_d=>ud.emit("error",_d)).on("data",_d=>{_d.prefixes.forEach(Ed=>ld.push(Ed)),index.eachSeries(_d.uploads,(Ed,Ad)=>{this.listParts(qa,Ed.key,Ed.uploadId).then(Td=>{Ed.size=Td.reduce((Nd,Rd)=>Nd+Rd.size,0),ld.push(Ed),Ad()},Ad)},Ed=>{if(Ed){ud.emit("error",Ed);return}_d.isTruncated?(sd=_d.nextKeyMarker,od=_d.nextUploadIdMarker):cd=!0,ud._read()})})},ud}bucketExists(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');var td="HEAD";this.makeRequest({method:td,bucketName:qa},"",[200],"",!1,rd=>{if(rd)return rd.code=="NoSuchBucket"||rd.code=="NotFound"?ed(null,!1):ed(rd);ed(null,!0)})}removeIncompleteUpload(qa,ed,td){if(!isValidBucketName(qa))throw new IsValidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');var rd;index.during(sd=>{this.findUploadId(qa,ed,(od,ld)=>{if(od)return sd(od);rd=ld,sd(null,ld)})},sd=>{var od="DELETE",ld=`uploadId=${rd}`;this.makeRequest({method:od,bucketName:qa,objectName:ed,query:ld},"",[204],"",!1,cd=>sd(cd))},td)}fGetObject(qa,ed,td,rd={},sd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isString$1(td))throw new TypeError('filePath should be of type "string"');if(isFunction$1(rd)&&(sd=rd,rd={}),!isFunction$1(sd))throw new TypeError('callback should be of type "function"');var od,ld,cd,ud=_d=>{if(_d)return sd(_d);(void 0)(od,td,sd)};index.waterfall([_d=>this.statObject(qa,ed,rd,_d),(_d,Ed)=>{cd=_d,(void 0)(pathBrowserify.dirname(td),{recursive:!0},Ad=>Ed(Ad))},_d=>{od=`${td}.${cd.etag}.part.minio`,(void 0)(od,(Ed,Ad)=>{var Td=0;if(Ed)ld=(void 0)(od,{flags:"w"});else{if(cd.size===Ad.size)return ud();Td=Ad.size,ld=(void 0)(od,{flags:"a"})}this.getPartialObject(qa,ed,Td,0,rd,_d)})},(_d,Ed)=>{pipesetup(_d,ld).on("error",Ad=>Ed(Ad)).on("finish",Ed)},_d=>(void 0)(od,_d),(_d,Ed)=>{if(_d.size===cd.size)return Ed();Ed(new Error("Size mismatch between downloaded file and the object"))}],ud)}getObject(qa,ed,td={},rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(isFunction$1(td)&&(rd=td,td={}),!isFunction$1(rd))throw new TypeError('callback should be of type "function"');this.getPartialObject(qa,ed,0,0,td,rd)}getPartialObject(qa,ed,td,rd,sd={},od){if(isFunction$1(rd)&&(od=rd,rd=0),!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isNumber$2(td))throw new TypeError('offset should be of type "number"');if(!isNumber$2(rd))throw new TypeError('length should be of type "number"');if(isFunction$1(sd)&&(od=sd,sd={}),!isFunction$1(od))throw new TypeError('callback should be of type "function"');var ld="";(td||rd)&&(td?ld=`bytes=${+td}-`:(ld="bytes=0-",td=0),rd&&(ld+=`${+rd+td-1}`));var cd={};ld!==""&&(cd.range=ld);var ud=[200];ld&&ud.push(206);var _d="GET",Ed=queryString.stringify(sd);this.makeRequest({method:_d,bucketName:qa,objectName:ed,headers:cd,query:Ed},"",ud,"",!0,od)}fPutObject(qa,ed,td,rd,sd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isString$1(td))throw new TypeError('filePath should be of type "string"');if(isFunction$1(rd)&&(sd=rd,rd={}),!isObject$3(rd))throw new TypeError('metaData should be of type "object"');rd=insertContentType(rd,td),(void 0)(td,(od,ld)=>od?sd(od):this.putObject(qa,ed,(void 0)(td),ld.size,rd,sd))}putObject(qa,ed,td,rd,sd,od){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(isFunction$1(rd)?(od=rd,sd={}):isFunction$1(sd)&&(od=sd,sd={}),isObject$3(rd)&&(sd=rd),sd=prependXAMZMeta(sd),typeof td=="string"||td instanceof Buffer$2)rd=td.length,td=readableStream(td);else if(!isReadableStream$1(td))throw new TypeError('third argument should be of type "stream.Readable" or "Buffer" or "string"');if(!isFunction$1(od))throw new TypeError('callback should be of type "function"');if(isNumber$2(rd)&&rd<0)throw new InvalidArgumentError(`size cannot be negative, given size: ${rd}`);isNumber$2(rd)||(rd=this.maxObjectSize),rd=this.calculatePartSize(rd);let ld=new BlockStream2({size:rd,zeroPadding:!1}),cd=new ObjectUploader(this,qa,ed,rd,sd,od);pipesetup(td,ld,cd)}copyObjectV1(qa,ed,td,rd,sd){var od=qa,ld=ed,cd=td,ud,_d;if(typeof rd=="function"&&sd===void 0?(ud=null,_d=rd):(ud=rd,_d=sd),!isValidBucketName(od))throw new InvalidBucketNameError("Invalid bucket name: "+od);if(!isValidObjectName(ld))throw new InvalidObjectNameError(`Invalid object name: ${ld}`);if(!isString$1(cd))throw new TypeError('srcObject should be of type "string"');if(cd==="")throw new InvalidPrefixError("Empty source prefix");if(ud!==null&&!(ud instanceof CopyConditions))throw new TypeError('conditions should be of type "CopyConditions"');var Ed={};Ed["x-amz-copy-source"]=uriResourceEscape(cd),ud!==null&&(ud.modified!==""&&(Ed["x-amz-copy-source-if-modified-since"]=ud.modified),ud.unmodified!==""&&(Ed["x-amz-copy-source-if-unmodified-since"]=ud.unmodified),ud.matchETag!==""&&(Ed["x-amz-copy-source-if-match"]=ud.matchETag),ud.matchEtagExcept!==""&&(Ed["x-amz-copy-source-if-none-match"]=ud.matchETagExcept));var Ad="PUT";this.makeRequest({method:Ad,bucketName:od,objectName:ld,headers:Ed},"",[200],"",!0,(Td,Nd)=>{if(Td)return _d(Td);var Rd=getCopyObjectTransformer();pipesetup(Nd,Rd).on("error",Bd=>_d(Bd)).on("data",Bd=>_d(null,Bd))})}copyObjectV2(qa,ed,td){if(!(qa instanceof CopySourceOptions))throw new InvalidArgumentError("sourceConfig should of type CopySourceOptions ");if(!(ed instanceof CopyDestinationOptions))throw new InvalidArgumentError("destConfig should of type CopyDestinationOptions ");if(!ed.validate()||!ed.validate())return!1;if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');const rd=Object.assign({},qa.getHeaders(),ed.getHeaders()),sd=ed.Bucket,od=ed.Object;this.makeRequest({method:"PUT",bucketName:sd,objectName:od,headers:rd},"",[200],"",!0,(cd,ud)=>{if(cd)return td(cd);const _d=getCopyObjectTransformer();pipesetup(ud,_d).on("error",Ed=>td(Ed)).on("data",Ed=>{const Ad=ud.headers,Td={Bucket:ed.Bucket,Key:ed.Object,LastModified:Ed.LastModified,MetaData:extractMetadata(Ad),VersionId:getVersionId(Ad),SourceVersionId:getSourceVersionId(Ad),Etag:sanitizeETag(Ad.etag),Size:+Ad["content-length"]};return td(null,Td)})})}copyObject(...qa){return qa[0]instanceof CopySourceOptions&&qa[1]instanceof CopyDestinationOptions?this.copyObjectV2(...arguments):this.copyObjectV1(...arguments)}listObjectsQuery(qa,ed,td,rd={}){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isString$1(ed))throw new TypeError('prefix should be of type "string"');if(!isString$1(td))throw new TypeError('marker should be of type "string"');let{Delimiter:sd,MaxKeys:od,IncludeVersion:ld}=rd;if(!isObject$3(rd))throw new TypeError('listQueryOpts should be of type "object"');if(!isString$1(sd))throw new TypeError('Delimiter should be of type "string"');if(!isNumber$2(od))throw new TypeError('MaxKeys should be of type "number"');const cd=[];cd.push(`prefix=${uriEscape(ed)}`),cd.push(`delimiter=${uriEscape(sd)}`),cd.push("encoding-type=url"),ld&&cd.push("versions"),td&&(td=uriEscape(td),ld?cd.push(`key-marker=${td}`):cd.push(`marker=${td}`)),od&&(od>=1e3&&(od=1e3),cd.push(`max-keys=${od}`)),cd.sort();var ud="";cd.length>0&&(ud=`${cd.join("&")}`);var _d="GET",Ed=getListObjectsTransformer();return this.makeRequest({method:_d,bucketName:qa,query:ud},"",[200],"",!0,(Ad,Td)=>{if(Ad)return Ed.emit("error",Ad);pipesetup(Td,Ed)}),Ed}listObjects(qa,ed,td,rd={}){if(ed===void 0&&(ed=""),td===void 0&&(td=!1),!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidPrefix(ed))throw new InvalidPrefixError(`Invalid prefix : ${ed}`);if(!isString$1(ed))throw new TypeError('prefix should be of type "string"');if(!isBoolean$2(td))throw new TypeError('recursive should be of type "boolean"');if(!isObject$3(rd))throw new TypeError('listOpts should be of type "object"');var sd="";const od={Delimiter:td?"":"/",MaxKeys:1e3,IncludeVersion:rd.IncludeVersion};var ld=[],cd=!1,ud=streamBrowserify.Readable({objectMode:!0});return ud._read=()=>{if(ld.length){ud.push(ld.shift());return}if(cd)return ud.push(null);this.listObjectsQuery(qa,ed,sd,od).on("error",_d=>ud.emit("error",_d)).on("data",_d=>{_d.isTruncated?sd=_d.nextMarker||_d.versionIdMarker:cd=!0,ld=_d.objects,ud._read()})},ud}listObjectsV2Query(qa,ed,td,rd,sd,od){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isString$1(ed))throw new TypeError('prefix should be of type "string"');if(!isString$1(td))throw new TypeError('continuationToken should be of type "string"');if(!isString$1(rd))throw new TypeError('delimiter should be of type "string"');if(!isNumber$2(sd))throw new TypeError('maxKeys should be of type "number"');if(!isString$1(od))throw new TypeError('startAfter should be of type "string"');var ld=[];ld.push("list-type=2"),ld.push("encoding-type=url"),ld.push(`prefix=${uriEscape(ed)}`),ld.push(`delimiter=${uriEscape(rd)}`),td&&(td=uriEscape(td),ld.push(`continuation-token=${td}`)),od&&(od=uriEscape(od),ld.push(`start-after=${od}`)),sd&&(sd>=1e3&&(sd=1e3),ld.push(`max-keys=${sd}`)),ld.sort();var cd="";ld.length>0&&(cd=`${ld.join("&")}`);var ud="GET",_d=getListObjectsV2Transformer();return this.makeRequest({method:ud,bucketName:qa,query:cd},"",[200],"",!0,(Ed,Ad)=>{if(Ed)return _d.emit("error",Ed);pipesetup(Ad,_d)}),_d}listObjectsV2(qa,ed,td,rd){if(ed===void 0&&(ed=""),td===void 0&&(td=!1),rd===void 0&&(rd=""),!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidPrefix(ed))throw new InvalidPrefixError(`Invalid prefix : ${ed}`);if(!isString$1(ed))throw new TypeError('prefix should be of type "string"');if(!isBoolean$2(td))throw new TypeError('recursive should be of type "boolean"');if(!isString$1(rd))throw new TypeError('startAfter should be of type "string"');var sd=td?"":"/",od="",ld=[],cd=!1,ud=streamBrowserify.Readable({objectMode:!0});return ud._read=()=>{if(ld.length){ud.push(ld.shift());return}if(cd)return ud.push(null);this.listObjectsV2Query(qa,ed,od,sd,1e3,rd).on("error",_d=>ud.emit("error",_d)).on("data",_d=>{_d.isTruncated?od=_d.nextContinuationToken:cd=!0,ld=_d.objects,ud._read()})},ud}removeObjects(qa,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!Array.isArray(ed))throw new InvalidArgumentError("objectsList should be a list");if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');const rd=1e3,sd="delete",od="POST";let ld=ed.reduce((_d,Ed)=>(_d.list.push(Ed),_d.list.length===rd&&(_d.listOfList.push(_d.list),_d.list=[]),_d),{listOfList:[],list:[]});ld.list.length>0&&ld.listOfList.push(ld.list);const cd=new Encoder,ud=[];index.eachSeries(ld.listOfList,(_d,Ed)=>{var Ad=[];_d.forEach(function(Od){isObject$3(Od)?Ad.push({Key:Od.name,VersionId:Od.versionId}):Ad.push({Key:Od})});let Td={Delete:{Quiet:!0,Object:Ad}},Rd=new xml2js.Builder({headless:!0}).buildObject(Td);Rd=Buffer$2.from(cd.encode(Rd));const Bd={};Bd["Content-MD5"]=toMd5(Rd);let kd;this.makeRequest({method:od,bucketName:qa,query:sd,headers:Bd},Rd,[200],"",!0,(Od,Md)=>{if(Od)return Ed(Od);pipesetup(Md,removeObjectsTransformer()).on("data",Pd=>{kd=Pd}).on("error",Pd=>Ed(Pd,null)).on("end",()=>(ud.push(kd),Ed(null,kd)))})},()=>{td(null,_$3.flatten(ud))})}getBucketPolicy(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');this.makeRequest({method:"GET",bucketName:qa,query:"policy"},"",[200],"",!0,(sd,od)=>{if(sd)return ed(sd);let ld=Buffer$2.from("");pipesetup(od,getConcater()).on("data",cd=>ld=cd).on("error",ed).on("end",()=>{ed(null,ld.toString())})})}setBucketPolicy(qa,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isString$1(ed))throw new InvalidBucketPolicyError(`Invalid bucket policy: ${ed} - must be "string"`);if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');let rd="DELETE",sd="policy";ed&&(rd="PUT"),this.makeRequest({method:rd,bucketName:qa,query:sd},ed,[204],"",!1,td)}presignedUrl(qa,ed,td,rd,sd,od,ld){if(this.anonymous)throw new AnonymousRequestError("Presigned "+qa+" url cannot be generated for anonymous requests");if(isFunction$1(od)&&(ld=od,od=new Date),isFunction$1(sd)&&(ld=sd,sd={},od=new Date),isFunction$1(rd)&&(ld=rd,sd={},rd=24*60*60*7,od=new Date),!isNumber$2(rd))throw new TypeError('expires should be of type "number"');if(!isObject$3(sd))throw new TypeError('reqParams should be of type "object"');if(!isValidDate(od))throw new TypeError('requestDate should be of type "Date" and valid');if(!isFunction$1(ld))throw new TypeError('callback should be of type "function"');var cd=queryString.stringify(sd);this.getBucketRegion(ed,(ud,_d)=>{if(ud)return ld(ud);var Ed,Ad=this.getRequestOptions({method:qa,region:_d,bucketName:ed,objectName:td,query:cd});this.checkAndRefreshCreds();try{Ed=presignSignatureV4(Ad,this.accessKey,this.secretKey,this.sessionToken,_d,od,rd)}catch(Td){return ld(Td)}ld(null,Ed)})}presignedGetObject(qa,ed,td,rd,sd,od){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);isFunction$1(rd)&&(od=rd,rd={},sd=new Date);var ld=["response-content-type","response-content-language","response-expires","response-cache-control","response-content-disposition","response-content-encoding"];return ld.forEach(cd=>{if(rd!==void 0&&rd[cd]!==void 0&&!isString$1(rd[cd]))throw new TypeError(`response header ${cd} should be of type "string"`)}),this.presignedUrl("GET",qa,ed,td,rd,sd,od)}presignedPutObject(qa,ed,td,rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);return this.presignedUrl("PUT",qa,ed,td,rd)}newPostPolicy(){return new PostPolicy}presignedPostPolicy(qa,ed){if(this.anonymous)throw new AnonymousRequestError("Presigned POST policy cannot be generated for anonymous requests");if(!isObject$3(qa))throw new TypeError('postPolicy should be of type "object"');if(!isFunction$1(ed))throw new TypeError('cb should be of type "function"');this.getBucketRegion(qa.formData.bucket,(td,rd)=>{if(td)return ed(td);var sd=new Date,od=makeDateLong(sd);if(this.checkAndRefreshCreds(),!qa.policy.expiration){var ld=new Date;ld.setSeconds(24*60*60*7),qa.setExpires(ld)}qa.policy.conditions.push(["eq","$x-amz-date",od]),qa.formData["x-amz-date"]=od,qa.policy.conditions.push(["eq","$x-amz-algorithm","AWS4-HMAC-SHA256"]),qa.formData["x-amz-algorithm"]="AWS4-HMAC-SHA256",qa.policy.conditions.push(["eq","$x-amz-credential",this.accessKey+"/"+getScope(rd,sd)]),qa.formData["x-amz-credential"]=this.accessKey+"/"+getScope(rd,sd),this.sessionToken&&(qa.policy.conditions.push(["eq","$x-amz-security-token",this.sessionToken]),qa.formData["x-amz-security-token"]=this.sessionToken);var cd=Buffer$2.from(JSON.stringify(qa.policy)).toString("base64");qa.formData.policy=cd;var ud=postPresignSignatureV4(rd,sd,this.secretKey,cd);qa.formData["x-amz-signature"]=ud;var _d={};_d.region=rd,_d.bucketName=qa.formData.bucket;var Ed=this.getRequestOptions(_d),Ad=this.port==80||this.port===443?"":`:${this.port.toString()}`,Td=`${Ed.protocol}//${Ed.host}${Ad}${Ed.path}`;ed(null,{postURL:Td,formData:qa.formData})})}completeMultipartUpload(qa,ed,td,rd,sd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isString$1(td))throw new TypeError('uploadId should be of type "string"');if(!isObject$3(rd))throw new TypeError('etags should be of type "Array"');if(!isFunction$1(sd))throw new TypeError('cb should be of type "function"');if(!td)throw new InvalidArgumentError("uploadId cannot be empty");var od="POST",ld=`uploadId=${uriEscape(td)}`,cd=[];rd.forEach(Ed=>{cd.push({Part:[{PartNumber:Ed.part},{ETag:Ed.etag}]})});var ud={CompleteMultipartUpload:cd},_d=Xml(ud);this.makeRequest({method:od,bucketName:qa,objectName:ed,query:ld},_d,[200],"",!0,(Ed,Ad)=>{if(Ed)return sd(Ed);var Td=getCompleteMultipartTransformer();pipesetup(Ad,Td).on("error",Nd=>sd(Nd)).on("data",Nd=>{if(Nd.errCode)sd(new S3Error(Nd.errMessage));else{const Rd={etag:Nd.etag,versionId:getVersionId(Ad.headers)};sd(null,Rd)}})})}listIncompleteUploadsQuery(qa,ed,td,rd,sd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isString$1(ed))throw new TypeError('prefix should be of type "string"');if(!isString$1(td))throw new TypeError('keyMarker should be of type "string"');if(!isString$1(rd))throw new TypeError('uploadIdMarker should be of type "string"');if(!isString$1(sd))throw new TypeError('delimiter should be of type "string"');var od=[];od.push(`prefix=${uriEscape(ed)}`),od.push(`delimiter=${uriEscape(sd)}`),td&&(td=uriEscape(td),od.push(`key-marker=${td}`)),rd&&od.push(`upload-id-marker=${rd}`);var ld=1e3;od.push(`max-uploads=${ld}`),od.sort(),od.unshift("uploads");var cd="";od.length>0&&(cd=`${od.join("&")}`);var ud="GET",_d=getListMultipartTransformer();return this.makeRequest({method:ud,bucketName:qa,query:cd},"",[200],"",!0,(Ed,Ad)=>{if(Ed)return _d.emit("error",Ed);pipesetup(Ad,_d)}),_d}findUploadId(qa,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(!isFunction$1(td))throw new TypeError('cb should be of type "function"');var rd,sd=(od,ld)=>{this.listIncompleteUploadsQuery(qa,ed,od,ld,"").on("error",cd=>td(cd)).on("data",cd=>{if(cd.uploads.forEach(ud=>{if(ud.key===ed&&(!rd||ud.initiated.getTime()>rd.initiated.getTime())){rd=ud;return}}),cd.isTruncated){sd(cd.nextKeyMarker,cd.nextUploadIdMarker);return}if(rd)return td(null,rd.uploadId);td(null,void 0)})};sd("","")}setBucketNotification(qa,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isObject$3(ed))throw new TypeError('notification config should be of type "Object"');if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');var rd="PUT",sd="notification",od=new xml2js.Builder({rootName:"NotificationConfiguration",renderOpts:{pretty:!1},headless:!0}),ld=od.buildObject(ed);this.makeRequest({method:rd,bucketName:qa,query:sd},ld,[200],"",!1,td)}removeAllBucketNotification(qa,ed){this.setBucketNotification(qa,new NotificationConfig,ed)}getBucketNotification(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');var td="GET",rd="notification";this.makeRequest({method:td,bucketName:qa,query:rd},"",[200],"",!0,(sd,od)=>{if(sd)return ed(sd);var ld=getBucketNotificationTransformer(),cd;pipesetup(od,ld).on("data",ud=>cd=ud).on("error",ud=>ed(ud)).on("end",()=>ed(null,cd))})}listenBucketNotification(qa,ed,td,rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isString$1(ed))throw new TypeError("prefix must be of type string");if(!isString$1(td))throw new TypeError("suffix must be of type string");if(!Array.isArray(rd))throw new TypeError("events must be of type Array");let sd=new NotificationPoller(this,qa,ed,td,rd);return sd.start(),sd}getBucketVersioning(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(ed))throw new InvalidArgumentError('callback should be of type "function"');var td="GET",rd="versioning";this.makeRequest({method:td,bucketName:qa,query:rd},"",[200],"",!0,(sd,od)=>{if(sd)return ed(sd);let ld=Buffer$2.from("");pipesetup(od,bucketVersioningTransformer()).on("data",cd=>{ld=cd}).on("error",ed).on("end",()=>{ed(null,ld)})})}setBucketVersioning(qa,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!Object.keys(ed).length)throw new InvalidArgumentError('versionConfig should be of type "object"');if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');var rd="PUT",sd="versioning",od=new xml2js.Builder({rootName:"VersioningConfiguration",renderOpts:{pretty:!1},headless:!0}),ld=od.buildObject(ed);this.makeRequest({method:rd,bucketName:qa,query:sd},ld,[200],"",!1,td)}setTagging(qa){const{bucketName:ed,objectName:td,tags:rd,putOpts:sd={},cb:od}=qa,ld="PUT";let cd="tagging";sd&&sd.versionId&&(cd=`${cd}&versionId=${sd.versionId}`);const ud=[];for(const[Bd,kd]of Object.entries(rd))ud.push({Key:Bd,Value:kd});const _d={Tagging:{TagSet:{Tag:ud}}},Ed=new Encoder,Ad={};let Nd=new xml2js.Builder({headless:!0,renderOpts:{pretty:!1}}).buildObject(_d);Nd=Buffer$2.from(Ed.encode(Nd)),Ad["Content-MD5"]=toMd5(Nd);const Rd={method:ld,bucketName:ed,query:cd,headers:Ad};td&&(Rd.objectName=td),Ad["Content-MD5"]=toMd5(Nd),this.makeRequest(Rd,Nd,[200],"",!1,od)}setBucketTagging(qa,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isObject$3(ed))throw new InvalidArgumentError('tags should be of type "object"');if(Object.keys(ed).length>10)throw new InvalidArgumentError('maximum tags allowed is 10"');if(!isFunction$1(td))throw new InvalidArgumentError('callback should be of type "function"');return this.setTagging({bucketName:qa,tags:ed,cb:td})}setObjectTagging(qa,ed,td,rd={},sd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidBucketNameError("Invalid object name: "+ed);if(isFunction$1(rd)&&(sd=rd,rd={}),!isObject$3(td))throw new InvalidArgumentError('tags should be of type "object"');if(Object.keys(td).length>10)throw new InvalidArgumentError('Maximum tags allowed is 10"');if(!isFunction$1(sd))throw new TypeError('callback should be of type "function"');return this.setTagging({bucketName:qa,objectName:ed,tags:td,putOpts:rd,cb:sd})}removeTagging({bucketName:qa,objectName:ed,removeOpts:td,cb:rd}){const sd="DELETE";let od="tagging";td&&Object.keys(td).length&&td.versionId&&(od=`${od}&versionId=${td.versionId}`);const ld={method:sd,bucketName:qa,objectName:ed,query:od};ed&&(ld.objectName=ed),this.makeRequest(ld,"",[200,204],"",!0,rd)}removeBucketTagging(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');return this.removeTagging({bucketName:qa,cb:ed})}removeObjectTagging(qa,ed,td,rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidBucketNameError("Invalid object name: "+ed);if(isFunction$1(td)&&(rd=td,td={}),td&&Object.keys(td).length&&!isObject$3(td))throw new InvalidArgumentError('removeOpts should be of type "object"');if(!isFunction$1(rd))throw new TypeError('callback should be of type "function"');return this.removeTagging({bucketName:qa,objectName:ed,removeOpts:td,cb:rd})}getBucketTagging(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);const sd={method:"GET",bucketName:qa,query:"tagging"};this.makeRequest(sd,"",[200],"",!0,(od,ld)=>{var cd=getTagsTransformer();if(od)return ed(od);let ud;pipesetup(ld,cd).on("data",_d=>ud=_d).on("error",_d=>ed(_d)).on("end",()=>ed(null,ud))})}getObjectTagging(qa,ed,td={},rd=()=>!1){const sd="GET";let od="tagging";if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidBucketNameError("Invalid object name: "+ed);if(isFunction$1(td)&&(rd=td,td={}),!isObject$3(td))throw new InvalidArgumentError('getOpts should be of type "object"');if(!isFunction$1(rd))throw new TypeError('callback should be of type "function"');td&&td.versionId&&(od=`${od}&versionId=${td.versionId}`);const ld={method:sd,bucketName:qa,query:od};ed&&(ld.objectName=ed),this.makeRequest(ld,"",[200],"",!0,(cd,ud)=>{const _d=getTagsTransformer();if(cd)return rd(cd);let Ed;pipesetup(ud,_d).on("data",Ad=>Ed=Ad).on("error",Ad=>rd(Ad)).on("end",()=>rd(null,Ed))})}applyBucketLifecycle(qa,ed,td){const rd="PUT",sd="lifecycle",od=new Encoder,ld={};let ud=new xml2js.Builder({rootName:"LifecycleConfiguration",headless:!0,renderOpts:{pretty:!1}}).buildObject(ed);ud=Buffer$2.from(od.encode(ud));const _d={method:rd,bucketName:qa,query:sd,headers:ld};ld["Content-MD5"]=toMd5(ud),this.makeRequest(_d,ud,[200],"",!1,td)}removeBucketLifecycle(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);this.makeRequest({method:"DELETE",bucketName:qa,query:"lifecycle"},"",[204],"",!1,ed)}setBucketLifecycle(qa,ed=null,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);_$3.isEmpty(ed)?this.removeBucketLifecycle(qa,td):this.applyBucketLifecycle(qa,ed,td)}getBucketLifecycle(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);const sd={method:"GET",bucketName:qa,query:"lifecycle"};this.makeRequest(sd,"",[200],"",!0,(od,ld)=>{const cd=lifecycleTransformer();if(od)return ed(od);let ud;pipesetup(ld,cd).on("data",_d=>ud=_d).on("error",_d=>ed(_d)).on("end",()=>ed(null,ud))})}setObjectLockConfig(qa,ed={},td){const rd=[RETENTION_MODES.COMPLIANCE,RETENTION_MODES.GOVERNANCE],sd=[RETENTION_VALIDITY_UNITS.DAYS,RETENTION_VALIDITY_UNITS.YEARS];if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(ed.mode&&!rd.includes(ed.mode))throw new TypeError(`lockConfigOpts.mode should be one of ${rd}`);if(ed.unit&&!sd.includes(ed.unit))throw new TypeError(`lockConfigOpts.unit should be one of ${sd}`);if(ed.validity&&!isNumber$2(ed.validity))throw new TypeError("lockConfigOpts.validity should be a number");const od="PUT",ld="object-lock";let cd={ObjectLockEnabled:"Enabled"};const ud=Object.keys(ed);if(ud.length>0){if(_$3.difference(ud,["unit","mode","validity"]).length!==0)throw new TypeError("lockConfigOpts.mode,lockConfigOpts.unit,lockConfigOpts.validity all the properties should be specified.");cd.Rule={DefaultRetention:{}},ed.mode&&(cd.Rule.DefaultRetention.Mode=ed.mode),ed.unit===RETENTION_VALIDITY_UNITS.DAYS?cd.Rule.DefaultRetention.Days=ed.validity:ed.unit===RETENTION_VALIDITY_UNITS.YEARS&&(cd.Rule.DefaultRetention.Years=ed.validity)}const Ed=new xml2js.Builder({rootName:"ObjectLockConfiguration",renderOpts:{pretty:!1},headless:!0}).buildObject(cd),Ad={};Ad["Content-MD5"]=toMd5(Ed),this.makeRequest({method:od,bucketName:qa,query:ld,headers:Ad},Ed,[200],"",!1,td)}getObjectLockConfig(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(ed))throw new InvalidArgumentError('callback should be of type "function"');this.makeRequest({method:"GET",bucketName:qa,query:"object-lock"},"",[200],"",!0,(sd,od)=>{if(sd)return ed(sd);let ld=Buffer$2.from("");pipesetup(od,objectLockTransformer()).on("data",cd=>{ld=cd}).on("error",ed).on("end",()=>{ed(null,ld)})})}putObjectRetention(qa,ed,td={},rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(isObject$3(td)){if(td.governanceBypass&&!isBoolean$2(td.governanceBypass))throw new InvalidArgumentError("Invalid value for governanceBypass",td.governanceBypass);if(td.mode&&![RETENTION_MODES.COMPLIANCE,RETENTION_MODES.GOVERNANCE].includes(td.mode))throw new InvalidArgumentError("Invalid object retention mode ",td.mode);if(td.retainUntilDate&&!isString$1(td.retainUntilDate))throw new InvalidArgumentError("Invalid value for retainUntilDate",td.retainUntilDate);if(td.versionId&&!isString$1(td.versionId))throw new InvalidArgumentError("Invalid value for versionId",td.versionId)}else throw new InvalidArgumentError('retentionOpts should be of type "object"');if(!isFunction$1(rd))throw new TypeError('callback should be of type "function"');const sd="PUT";let od="retention";const ld={};td.governanceBypass&&(ld["X-Amz-Bypass-Governance-Retention"]=!0);const cd=new xml2js.Builder({rootName:"Retention",renderOpts:{pretty:!1},headless:!0}),ud={};td.mode&&(ud.Mode=td.mode),td.retainUntilDate&&(ud.RetainUntilDate=td.retainUntilDate),td.versionId&&(od+=`&versionId=${td.versionId}`);let _d=cd.buildObject(ud);ld["Content-MD5"]=toMd5(_d),this.makeRequest({method:sd,bucketName:qa,objectName:ed,query:od,headers:ld},_d,[200,204],"",!1,rd)}getObjectRetention(qa,ed,td,rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(isObject$3(td)){if(td.versionId&&!isString$1(td.versionId))throw new InvalidArgumentError('VersionID should be of type "string"')}else throw new InvalidArgumentError('callback should be of type "object"');if(rd&&!isFunction$1(rd))throw new InvalidArgumentError('callback should be of type "function"');const sd="GET";let od="retention";td.versionId&&(od+=`&versionId=${td.versionId}`),this.makeRequest({method:sd,bucketName:qa,objectName:ed,query:od},"",[200],"",!0,(ld,cd)=>{if(ld)return rd(ld);let ud=Buffer$2.from("");pipesetup(cd,objectRetentionTransformer()).on("data",_d=>{ud=_d}).on("error",rd).on("end",()=>{rd(null,ud)})})}setBucketEncryption(qa,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(isFunction$1(ed)&&(td=ed,ed=null),!_$3.isEmpty(ed)&&ed.Rule.length>1)throw new InvalidArgumentError("Invalid Rule length. Only one rule is allowed.: "+ed.Rule);if(td&&!isFunction$1(td))throw new TypeError('callback should be of type "function"');let rd=ed;_$3.isEmpty(ed)&&(rd={Rule:[{ApplyServerSideEncryptionByDefault:{SSEAlgorithm:"AES256"}}]});let sd="PUT",od="encryption",cd=new xml2js.Builder({rootName:"ServerSideEncryptionConfiguration",renderOpts:{pretty:!1},headless:!0}).buildObject(rd);const ud={};ud["Content-MD5"]=toMd5(cd),this.makeRequest({method:sd,bucketName:qa,query:od,headers:ud},cd,[200],"",!1,td)}getBucketEncryption(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(ed))throw new InvalidArgumentError('callback should be of type "function"');this.makeRequest({method:"GET",bucketName:qa,query:"encryption"},"",[200],"",!0,(sd,od)=>{if(sd)return ed(sd);let ld=Buffer$2.from("");pipesetup(od,bucketEncryptionTransformer()).on("data",cd=>{ld=cd}).on("error",ed).on("end",()=>{ed(null,ld)})})}removeBucketEncryption(qa,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(ed))throw new InvalidArgumentError('callback should be of type "function"');this.makeRequest({method:"DELETE",bucketName:qa,query:"encryption"},"",[204],"",!1,ed)}getObjectLegalHold(qa,ed,td={},rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(isFunction$1(td)&&(rd=td,td={}),isObject$3(td)){if(Object.keys(td).length>0&&td.versionId&&!isString$1(td.versionId))throw new TypeError("versionId should be of type string.:",td.versionId)}else throw new TypeError('getOpts should be of type "Object"');if(!isFunction$1(rd))throw new InvalidArgumentError('callback should be of type "function"');const sd="GET";let od="legal-hold";td.versionId&&(od+=`&versionId=${td.versionId}`),this.makeRequest({method:sd,bucketName:qa,objectName:ed,query:od},"",[200],"",!0,(ld,cd)=>{if(ld)return rd(ld);let ud=Buffer$2.from("");pipesetup(cd,objectLegalHoldTransformer()).on("data",_d=>{ud=_d}).on("error",rd).on("end",()=>{rd(null,ud)})})}setObjectLegalHold(qa,ed,td={},rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);const sd={status:LEGAL_HOLD_STATUS.ENABLED};if(isFunction$1(td)&&(rd=td,td=sd),isObject$3(td)){if(![LEGAL_HOLD_STATUS.ENABLED,LEGAL_HOLD_STATUS.DISABLED].includes(td.status))throw new TypeError("Invalid status: "+td.status);if(td.versionId&&!td.versionId.length)throw new TypeError("versionId should be of type string.:"+td.versionId)}else throw new TypeError('setOpts should be of type "Object"');if(!isFunction$1(rd))throw new InvalidArgumentError('callback should be of type "function"');_$3.isEmpty(td)&&(td={defaultOpts:sd});const od="PUT";let ld="legal-hold";td.versionId&&(ld+=`&versionId=${td.versionId}`);let cd={Status:td.status};const _d=new xml2js.Builder({rootName:"LegalHold",renderOpts:{pretty:!1},headless:!0}).buildObject(cd),Ed={};Ed["Content-MD5"]=toMd5(_d),this.makeRequest({method:od,bucketName:qa,objectName:ed,query:ld,headers:Ed},_d,[200],"",!1,rd)}uploadPartCopy(qa,ed){const{bucketName:td,objectName:rd,uploadID:sd,partNumber:od,headers:ld}=qa,cd="PUT";let ud=`uploadId=${sd}&partNumber=${od}`;const _d={method:cd,bucketName:td,objectName:rd,query:ud,headers:ld};return this.makeRequest(_d,"",[200],"",!0,(Ed,Ad)=>{let Td=Buffer$2.from("");if(Ed)return ed(Ed);pipesetup(Ad,uploadPartTransformer()).on("data",Nd=>{Td=Nd}).on("error",ed).on("end",()=>{let Nd={etag:sanitizeETag(Td.ETag),key:rd,part:od};ed(null,Nd)})})}composeObject(qa={},ed=[],td){const rd=this,sd=ed.length;if(!Array.isArray(ed))throw new InvalidArgumentError("sourceConfig should an array of CopySourceOptions ");if(!(qa instanceof CopyDestinationOptions))throw new InvalidArgumentError("destConfig should of type CopyDestinationOptions ");if(sd<1||sd>PART_CONSTRAINTS.MAX_PARTS_COUNT)throw new InvalidArgumentError(`"There must be as least one and up to ${PART_CONSTRAINTS.MAX_PARTS_COUNT} source objects.`);if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');for(let Ed=0;Ed{let Ad={};return _$3.isEmpty(Ed.VersionID)||(Ad={versionId:Ed.VersionID}),Ad},ld=[];let cd=0,ud=0;const _d=ed.map(Ed=>rd.statObject(Ed.Bucket,Ed.Object,od(Ed)));return Promise.all(_d).then(Ed=>{const Ad=Ed.map((kd,Od)=>{const Md=ed[Od];let Pd=kd.size;if(Md.MatchRange){const Fd=Md.Start,Ud=Md.End;if(Ud>=Pd||Fd<0)throw new InvalidArgumentError(`CopySrcOptions ${Od} has invalid segment-to-copy [${Fd}, ${Ud}] (size is ${Pd})`);Pd=Ud-Fd+1}if(PdPART_CONSTRAINTS.MAX_MULTIPART_PUT_OBJECT_SIZE)throw new InvalidArgumentError(`Cannot compose an object of size ${cd} (> 5TiB)`);if(ld[Od]=Pd,ud+=partsRequired(Pd),ud>PART_CONSTRAINTS.MAX_PARTS_COUNT)throw new InvalidArgumentError(`Your proposed compose object requires more than ${PART_CONSTRAINTS.MAX_PARTS_COUNT} parts`);return kd});if(ud===1&&cd<=PART_CONSTRAINTS.MAX_PART_SIZE||cd===0)return this.copyObject(ed[0],qa,td);for(let kd=0;kdcalculateEvenSplits(ld[Od],ed[Od]));function Nd(kd){const Od=[];return Td.forEach((Md,Pd)=>{const{startIndex:Fd,endIndex:Ud,objInfo:Gd}=Md;let Qd=Pd+1;const Vd=Array.from(Fd),zd=ed[Pd].getHeaders();Vd.forEach((Xd,df)=>{let mf=Ud[df];const _f=`${Gd.Bucket}/${Gd.Object}`;zd["x-amz-copy-source"]=`${_f}`,zd["x-amz-copy-source-range"]=`bytes=${Xd}-${mf}`;const hf={bucketName:qa.Bucket,objectName:qa.Object,uploadID:kd,partNumber:Qd,headers:zd,sourceObj:_f};Od.push(hf)})}),Od}const Rd=kd=>{const Od=Nd(kd);index.map(Od,rd.uploadPartCopy.bind(rd),(Md,Pd)=>{if(Md){this.abortMultipartUpload(qa.Bucket,qa.Object,kd).then(()=>td(),Ud=>td(Ud));return}const Fd=Pd.map(Ud=>({etag:Ud.etag,part:Ud.part}));return rd.completeMultipartUpload(qa.Bucket,qa.Object,kd,Fd,td)})},Bd=qa.getHeaders();rd.initiateNewMultipartUpload(qa.Bucket,qa.Object,Bd).then(kd=>{Rd(kd)},kd=>{td(kd,null)})}).catch(Ed=>{td(Ed,null)})}selectObjectContent(qa,ed,td={},rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isValidObjectName(ed))throw new InvalidObjectNameError(`Invalid object name: ${ed}`);if(_$3.isEmpty(td))throw new TypeError("valid select configuration is required");if(!isString$1(td.expression))throw new TypeError('sqlExpression should be of type "string"');if(_$3.isEmpty(td.inputSerialization))throw new TypeError("inputSerialization is required");if(!isObject$3(td.inputSerialization))throw new TypeError('inputSerialization should be of type "object"');if(_$3.isEmpty(td.outputSerialization))throw new TypeError("outputSerialization is required");if(!isObject$3(td.outputSerialization))throw new TypeError('outputSerialization should be of type "object"');if(!isFunction$1(rd))throw new TypeError('callback should be of type "function"');const sd="POST";let od="select";od+="&select-type=2";const ld=[{Expression:td.expression},{ExpressionType:td.expressionType||"SQL"},{InputSerialization:[td.inputSerialization]},{OutputSerialization:[td.outputSerialization]}];td.requestProgress&&ld.push({RequestProgress:td.requestProgress}),td.scanRange&&ld.push({ScanRange:td.scanRange});const ud=new xml2js.Builder({rootName:"SelectObjectContentRequest",renderOpts:{pretty:!1},headless:!0}).buildObject(ld);this.makeRequest({method:sd,bucketName:qa,objectName:ed,query:od},ud,[200],"",!0,(_d,Ed)=>{if(_d)return rd(_d);let Ad;pipesetup(Ed,selectObjectContentTransformer()).on("data",Td=>{Ad=parseSelectObjectContentResponse(Td)}).on("error",rd).on("end",()=>{rd(null,Ad)})})}}Client.prototype.makeBucket=promisify(Client.prototype.makeBucket);Client.prototype.bucketExists=promisify(Client.prototype.bucketExists);Client.prototype.getObject=promisify(Client.prototype.getObject);Client.prototype.getPartialObject=promisify(Client.prototype.getPartialObject);Client.prototype.fGetObject=promisify(Client.prototype.fGetObject);Client.prototype.putObject=promisify(Client.prototype.putObject);Client.prototype.fPutObject=promisify(Client.prototype.fPutObject);Client.prototype.copyObject=promisify(Client.prototype.copyObject);Client.prototype.removeObjects=promisify(Client.prototype.removeObjects);Client.prototype.presignedUrl=promisify(Client.prototype.presignedUrl);Client.prototype.presignedGetObject=promisify(Client.prototype.presignedGetObject);Client.prototype.presignedPutObject=promisify(Client.prototype.presignedPutObject);Client.prototype.presignedPostPolicy=promisify(Client.prototype.presignedPostPolicy);Client.prototype.getBucketNotification=promisify(Client.prototype.getBucketNotification);Client.prototype.setBucketNotification=promisify(Client.prototype.setBucketNotification);Client.prototype.removeAllBucketNotification=promisify(Client.prototype.removeAllBucketNotification);Client.prototype.getBucketPolicy=promisify(Client.prototype.getBucketPolicy);Client.prototype.setBucketPolicy=promisify(Client.prototype.setBucketPolicy);Client.prototype.removeIncompleteUpload=promisify(Client.prototype.removeIncompleteUpload);Client.prototype.getBucketVersioning=promisify(Client.prototype.getBucketVersioning);Client.prototype.setBucketVersioning=promisify(Client.prototype.setBucketVersioning);Client.prototype.setBucketTagging=promisify(Client.prototype.setBucketTagging);Client.prototype.removeBucketTagging=promisify(Client.prototype.removeBucketTagging);Client.prototype.getBucketTagging=promisify(Client.prototype.getBucketTagging);Client.prototype.setObjectTagging=promisify(Client.prototype.setObjectTagging);Client.prototype.removeObjectTagging=promisify(Client.prototype.removeObjectTagging);Client.prototype.getObjectTagging=promisify(Client.prototype.getObjectTagging);Client.prototype.setBucketLifecycle=promisify(Client.prototype.setBucketLifecycle);Client.prototype.getBucketLifecycle=promisify(Client.prototype.getBucketLifecycle);Client.prototype.removeBucketLifecycle=promisify(Client.prototype.removeBucketLifecycle);Client.prototype.setObjectLockConfig=promisify(Client.prototype.setObjectLockConfig);Client.prototype.getObjectLockConfig=promisify(Client.prototype.getObjectLockConfig);Client.prototype.putObjectRetention=promisify(Client.prototype.putObjectRetention);Client.prototype.getObjectRetention=promisify(Client.prototype.getObjectRetention);Client.prototype.setBucketEncryption=promisify(Client.prototype.setBucketEncryption);Client.prototype.getBucketEncryption=promisify(Client.prototype.getBucketEncryption);Client.prototype.removeBucketEncryption=promisify(Client.prototype.removeBucketEncryption);Client.prototype.setObjectLegalHold=promisify(Client.prototype.setObjectLegalHold);Client.prototype.getObjectLegalHold=promisify(Client.prototype.getObjectLegalHold);Client.prototype.composeObject=promisify(Client.prototype.composeObject);Client.prototype.selectObjectContent=promisify(Client.prototype.selectObjectContent);Client.prototype.removeObject=callbackify(Client.prototype.removeObject);Client.prototype.statObject=callbackify(Client.prototype.statObject);Client.prototype.removeBucket=callbackify(Client.prototype.removeBucket);Client.prototype.listBuckets=callbackify(Client.prototype.listBuckets);Client.prototype.removeBucketReplication=callbackify(Client.prototype.removeBucketReplication);Client.prototype.setBucketReplication=callbackify(Client.prototype.setBucketReplication);Client.prototype.getBucketReplication=callbackify(Client.prototype.getBucketReplication);var cosJsSdkV5={exports:{}};(function(Ra,qa){(function(td,rd){Ra.exports=rd()})(commonjsGlobal,function(){return function(ed){var td={};function rd(sd){if(td[sd])return td[sd].exports;var od=td[sd]={i:sd,l:!1,exports:{}};return ed[sd].call(od.exports,od,od.exports,rd),od.l=!0,od.exports}return rd.m=ed,rd.c=td,rd.d=function(sd,od,ld){rd.o(sd,od)||Object.defineProperty(sd,od,{enumerable:!0,get:ld})},rd.r=function(sd){typeof Symbol<"u"&&Symbol.toStringTag&&Object.defineProperty(sd,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(sd,"__esModule",{value:!0})},rd.t=function(sd,od){if(od&1&&(sd=rd(sd)),od&8||od&4&&typeof sd=="object"&&sd&&sd.__esModule)return sd;var ld=Object.create(null);if(rd.r(ld),Object.defineProperty(ld,"default",{enumerable:!0,value:sd}),od&2&&typeof sd!="string")for(var cd in sd)rd.d(ld,cd,(function(ud){return sd[ud]}).bind(null,cd));return ld},rd.n=function(sd){var od=sd&&sd.__esModule?function(){return sd.default}:function(){return sd};return rd.d(od,"a",od),od},rd.o=function(sd,od){return Object.prototype.hasOwnProperty.call(sd,od)},rd.p="/dist/",rd(rd.s="./index.js")}({"./index.js":function(ed,td,rd){var sd=rd("./src/cos.js");ed.exports=sd},"./lib/base64.js":function(ed,td){var rd=function(sd){sd=sd||{};var od=sd.Base64,ld="2.1.9",cd="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",ud=function(df){for(var mf={},_f=0,hf=df.length;_f>>6)+_d(128|_f&63):_d(224|_f>>>12&15)+_d(128|_f>>>6&63)+_d(128|_f&63)}else{var _f=65536+(mf.charCodeAt(0)-55296)*1024+(mf.charCodeAt(1)-56320);return _d(240|_f>>>18&7)+_d(128|_f>>>12&63)+_d(128|_f>>>6&63)+_d(128|_f&63)}},Ad=/[\uD800-\uDBFF][\uDC00-\uDFFFF]|[^\x00-\x7F]/g,Td=function(mf){return mf.replace(Ad,Ed)},Nd=function(mf){var _f=[0,2,1][mf.length%3],hf=mf.charCodeAt(0)<<16|(mf.length>1?mf.charCodeAt(1):0)<<8|(mf.length>2?mf.charCodeAt(2):0),gf=[cd.charAt(hf>>>18),cd.charAt(hf>>>12&63),_f>=2?"=":cd.charAt(hf>>>6&63),_f>=1?"=":cd.charAt(hf&63)];return gf.join("")},Rd=sd.btoa?function(df){return sd.btoa(df)}:function(df){return df.replace(/[\s\S]{1,3}/g,Nd)},Bd=function(df){return Rd(Td(df))},kd=function(mf,_f){return _f?Bd(String(mf)).replace(/[+\/]/g,function(hf){return hf=="+"?"-":"_"}).replace(/=/g,""):Bd(String(mf))},Od=function(mf){return kd(mf,!0)},Md=new RegExp(["[À-ß][€-¿]","[à-ï][€-¿]{2}","[ð-÷][€-¿]{3}"].join("|"),"g"),Pd=function(mf){switch(mf.length){case 4:var _f=(7&mf.charCodeAt(0))<<18|(63&mf.charCodeAt(1))<<12|(63&mf.charCodeAt(2))<<6|63&mf.charCodeAt(3),hf=_f-65536;return _d((hf>>>10)+55296)+_d((hf&1023)+56320);case 3:return _d((15&mf.charCodeAt(0))<<12|(63&mf.charCodeAt(1))<<6|63&mf.charCodeAt(2));default:return _d((31&mf.charCodeAt(0))<<6|63&mf.charCodeAt(1))}},Fd=function(mf){return mf.replace(Md,Pd)},Ud=function(mf){var _f=mf.length,hf=_f%4,gf=(_f>0?ud[mf.charAt(0)]<<18:0)|(_f>1?ud[mf.charAt(1)]<<12:0)|(_f>2?ud[mf.charAt(2)]<<6:0)|(_f>3?ud[mf.charAt(3)]:0),yf=[_d(gf>>>16),_d(gf>>>8&255),_d(gf&255)];return yf.length-=[0,0,2,1][hf],yf.join("")},Gd=sd.atob?function(df){return sd.atob(df)}:function(df){return df.replace(/[\s\S]{1,4}/g,Ud)},Qd=function(df){return Fd(Gd(df))},Vd=function(mf){return Qd(String(mf).replace(/[-_]/g,function(_f){return _f=="-"?"+":"/"}).replace(/[^A-Za-z0-9\+\/]/g,""))},zd=function(){var mf=sd.Base64;return sd.Base64=od,mf},Xd={VERSION:ld,atob:Gd,btoa:Rd,fromBase64:Vd,toBase64:kd,utob:Td,encode:kd,encodeURI:Od,btou:Fd,decode:Vd,noConflict:zd};return Xd}();ed.exports=rd},"./lib/crypto.js":function(ed,td,rd){(function(sd){var od=rd("./node_modules/@babel/runtime/helpers/typeof.js"),ld=ld||function(cd,ud){var _d={},Ed=_d.lib={},Ad=function(){},Td=Ed.Base={extend:function(Ud){Ad.prototype=this;var Gd=new Ad;return Ud&&Gd.mixIn(Ud),Gd.hasOwnProperty("init")||(Gd.init=function(){Gd.$super.init.apply(this,arguments)}),Gd.init.prototype=Gd,Gd.$super=this,Gd},create:function(){var Ud=this.extend();return Ud.init.apply(Ud,arguments),Ud},init:function(){},mixIn:function(Ud){for(var Gd in Ud)Ud.hasOwnProperty(Gd)&&(this[Gd]=Ud[Gd]);Ud.hasOwnProperty("toString")&&(this.toString=Ud.toString)},clone:function(){return this.init.prototype.extend(this)}},Nd=Ed.WordArray=Td.extend({init:function(Ud,Gd){Ud=this.words=Ud||[],this.sigBytes=Gd!=ud?Gd:4*Ud.length},toString:function(Ud){return(Ud||Bd).stringify(this)},concat:function(Ud){var Gd=this.words,Qd=Ud.words,Vd=this.sigBytes;if(Ud=Ud.sigBytes,this.clamp(),Vd%4)for(var zd=0;zd>>2]|=(Qd[zd>>>2]>>>24-8*(zd%4)&255)<<24-8*((Vd+zd)%4);else if(65535>>2]=Qd[zd>>>2];else Gd.push.apply(Gd,Qd);return this.sigBytes+=Ud,this},clamp:function(){var Ud=this.words,Gd=this.sigBytes;Ud[Gd>>>2]&=4294967295<<32-8*(Gd%4),Ud.length=cd.ceil(Gd/4)},clone:function(){var Ud=Td.clone.call(this);return Ud.words=this.words.slice(0),Ud},random:function(Ud){for(var Gd=[],Qd=0;Qd>>2]>>>24-8*(Vd%4)&255;Qd.push((zd>>>4).toString(16)),Qd.push((zd&15).toString(16))}return Qd.join("")},parse:function(Ud){for(var Gd=Ud.length,Qd=[],Vd=0;Vd>>3]|=parseInt(Ud.substr(Vd,2),16)<<24-4*(Vd%8);return new Nd.init(Qd,Gd/2)}},kd=Rd.Latin1={stringify:function(Ud){var Gd=Ud.words;Ud=Ud.sigBytes;for(var Qd=[],Vd=0;Vd>>2]>>>24-8*(Vd%4)&255));return Qd.join("")},parse:function(Ud){for(var Gd=Ud.length,Qd=[],Vd=0;Vd>>2]|=(Ud.charCodeAt(Vd)&255)<<24-8*(Vd%4);return new Nd.init(Qd,Gd)}},Od=Rd.Utf8={stringify:function(Ud){try{return decodeURIComponent(escape(kd.stringify(Ud)))}catch{throw Error("Malformed UTF-8 data")}},parse:function(Ud){return kd.parse(unescape(encodeURIComponent(Ud)))}},Md=Ed.BufferedBlockAlgorithm=Td.extend({reset:function(){this._data=new Nd.init,this._nDataBytes=0},_append:function(Ud){typeof Ud=="string"&&(Ud=Od.parse(Ud)),this._data.concat(Ud),this._nDataBytes+=Ud.sigBytes},_process:function(Ud){var Gd=this._data,Qd=Gd.words,Vd=Gd.sigBytes,zd=this.blockSize,Xd=Vd/(4*zd),Xd=Ud?cd.ceil(Xd):cd.max((Xd|0)-this._minBufferSize,0);if(Ud=Xd*zd,Vd=cd.min(4*Ud,Vd),Ud){for(var df=0;dfUd;Ud++){if(16>Ud)Ed[Ud]=Nd[Rd+Ud]|0;else{var Gd=Ed[Ud-3]^Ed[Ud-8]^Ed[Ud-14]^Ed[Ud-16];Ed[Ud]=Gd<<1|Gd>>>31}Gd=(kd<<5|kd>>>27)+Fd+Ed[Ud],Gd=20>Ud?Gd+((Od&Md|~Od&Pd)+1518500249):40>Ud?Gd+((Od^Md^Pd)+1859775393):60>Ud?Gd+((Od&Md|Od&Pd|Md&Pd)-1894007588):Gd+((Od^Md^Pd)-899497514),Fd=Pd,Pd=Md,Md=Od<<30|Od>>>2,Od=kd,kd=Gd}Bd[0]=Bd[0]+kd|0,Bd[1]=Bd[1]+Od|0,Bd[2]=Bd[2]+Md|0,Bd[3]=Bd[3]+Pd|0,Bd[4]=Bd[4]+Fd|0},_doFinalize:function(){var Nd=this._data,Rd=Nd.words,Bd=8*this._nDataBytes,kd=8*Nd.sigBytes;return Rd[kd>>>5]|=128<<24-kd%32,Rd[(kd+64>>>9<<4)+14]=Math.floor(Bd/4294967296),Rd[(kd+64>>>9<<4)+15]=Bd,Nd.sigBytes=4*Rd.length,this._process(),this._hash},clone:function(){var Nd=_d.clone.call(this);return Nd._hash=this._hash.clone(),Nd}});cd.SHA1=_d._createHelper(Ad),cd.HmacSHA1=_d._createHmacHelper(Ad)})(),function(){var cd=ld,ud=cd.enc.Utf8;cd.algo.HMAC=cd.lib.Base.extend({init:function(Ed,Ad){Ed=this._hasher=new Ed.init,typeof Ad=="string"&&(Ad=ud.parse(Ad));var Td=Ed.blockSize,Nd=4*Td;Ad.sigBytes>Nd&&(Ad=Ed.finalize(Ad)),Ad.clamp();for(var Rd=this._oKey=Ad.clone(),Bd=this._iKey=Ad.clone(),kd=Rd.words,Od=Bd.words,Md=0;Md>>2]>>>24-Od%4*8&255,Pd=Nd[Od+1>>>2]>>>24-(Od+1)%4*8&255,Fd=Nd[Od+2>>>2]>>>24-(Od+2)%4*8&255,Ud=Md<<16|Pd<<8|Fd,Gd=0;Gd<4&&Od+Gd*.75>>6*(3-Gd)&63));var Qd=Bd.charAt(64);if(Qd)for(;kd.length%4;)kd.push(Qd);return kd.join("")},parse:function(Td){var Nd=Td.length,Rd=this._map,Bd=Rd.charAt(64);if(Bd){var kd=Td.indexOf(Bd);kd!=-1&&(Nd=kd)}for(var Od=[],Md=0,Pd=0;Pd>>6-Pd%4*2;Od[Md>>>2]|=(Fd|Ud)<<24-Md%4*8,Md++}return _d.create(Od,Md)},_map:"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/="}}(),od(sd)==="object"?sd.exports=ld:window.CryptoJS=ld}).call(this,rd("./node_modules/webpack/buildin/module.js")(ed))},"./lib/md5.js":function(ed,td,rd){(function(sd){var od,ld=rd("./node_modules/@babel/runtime/helpers/typeof.js");(function(){var cd=(typeof window>"u"?"undefined":ld(window))==="object",ud=cd?window:{};ud.JS_MD5_NO_WINDOW&&(cd=!1);var _d=!cd&&(typeof self>"u"?"undefined":ld(self))==="object";_d&&(ud=self);var Ed=!ud.JS_MD5_NO_COMMON_JS&&ld(sd)==="object"&&sd.exports,Ad=rd("./node_modules/webpack/buildin/amd-options.js"),Td=!ud.JS_MD5_NO_ARRAY_BUFFER&&typeof ArrayBuffer<"u",Nd="0123456789abcdef".split(""),Rd=[128,32768,8388608,-2147483648],Bd=[0,8,16,24],kd=["hex","array","digest","buffer","arrayBuffer","base64"],Od="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/".split(""),Md=[],Pd;if(Td){var Fd=new ArrayBuffer(68);Pd=new Uint8Array(Fd),Md=new Uint32Array(Fd)}(ud.JS_MD5_NO_NODE_JS||!Array.isArray)&&(Array.isArray=function(zd){return Object.prototype.toString.call(zd)==="[object Array]"}),Td&&(ud.JS_MD5_NO_ARRAY_BUFFER_IS_VIEW||!ArrayBuffer.isView)&&(ArrayBuffer.isView=function(zd){return ld(zd)==="object"&&zd.buffer&&zd.buffer.constructor===ArrayBuffer});var Ud=function(Xd){return function(df,mf){return new Qd(!0).update(df,mf)[Xd]()}},Gd=function(){var Xd=Ud("hex");Xd.getCtx=Xd.create=function(){return new Qd},Xd.update=function(_f){return Xd.create().update(_f)};for(var df=0;df>6,yf[_f++]=128|df&63):df<55296||df>=57344?(yf[_f++]=224|df>>12,yf[_f++]=128|df>>6&63,yf[_f++]=128|df&63):(df=65536+((df&1023)<<10|zd.charCodeAt(++mf)&1023),yf[_f++]=240|df>>18,yf[_f++]=128|df>>12&63,yf[_f++]=128|df>>6&63,yf[_f++]=128|df&63);else for(_f=this.start;mf>2]|=df<>2]|=(192|df>>6)<>2]|=(128|df&63)<=57344?(gf[_f>>2]|=(224|df>>12)<>2]|=(128|df>>6&63)<>2]|=(128|df&63)<>2]|=(240|df>>18)<>2]|=(128|df>>12&63)<>2]|=(128|df>>6&63)<>2]|=(128|df&63)<=64?(this.start=_f-64,this.hash(),this.hashed=!0):this.start=_f}return this.bytes>4294967295&&(this.hBytes+=this.bytes/4294967296<<0,this.bytes=this.bytes%4294967296),this}},Qd.prototype.finalize=function(){if(!this.finalized){this.finalized=!0;var zd=this.blocks,Xd=this.lastByteIndex;zd[Xd>>2]|=Rd[Xd&3],Xd>=56&&(this.hashed||this.hash(),zd[0]=zd[16],zd[16]=zd[1]=zd[2]=zd[3]=zd[4]=zd[5]=zd[6]=zd[7]=zd[8]=zd[9]=zd[10]=zd[11]=zd[12]=zd[13]=zd[14]=zd[15]=0),zd[14]=this.bytes<<3,zd[15]=this.hBytes<<3|this.bytes>>>29,this.hash()}},Qd.prototype.hash=function(){var zd,Xd,df,mf,_f,hf,gf=this.blocks;this.first?(zd=gf[0]-680876937,zd=(zd<<7|zd>>>25)-271733879<<0,mf=(-1732584194^zd&2004318071)+gf[1]-117830708,mf=(mf<<12|mf>>>20)+zd<<0,df=(-271733879^mf&(zd^-271733879))+gf[2]-1126478375,df=(df<<17|df>>>15)+mf<<0,Xd=(zd^df&(mf^zd))+gf[3]-1316259209,Xd=(Xd<<22|Xd>>>10)+df<<0):(zd=this.h0,Xd=this.h1,df=this.h2,mf=this.h3,zd+=(mf^Xd&(df^mf))+gf[0]-680876936,zd=(zd<<7|zd>>>25)+Xd<<0,mf+=(df^zd&(Xd^df))+gf[1]-389564586,mf=(mf<<12|mf>>>20)+zd<<0,df+=(Xd^mf&(zd^Xd))+gf[2]+606105819,df=(df<<17|df>>>15)+mf<<0,Xd+=(zd^df&(mf^zd))+gf[3]-1044525330,Xd=(Xd<<22|Xd>>>10)+df<<0),zd+=(mf^Xd&(df^mf))+gf[4]-176418897,zd=(zd<<7|zd>>>25)+Xd<<0,mf+=(df^zd&(Xd^df))+gf[5]+1200080426,mf=(mf<<12|mf>>>20)+zd<<0,df+=(Xd^mf&(zd^Xd))+gf[6]-1473231341,df=(df<<17|df>>>15)+mf<<0,Xd+=(zd^df&(mf^zd))+gf[7]-45705983,Xd=(Xd<<22|Xd>>>10)+df<<0,zd+=(mf^Xd&(df^mf))+gf[8]+1770035416,zd=(zd<<7|zd>>>25)+Xd<<0,mf+=(df^zd&(Xd^df))+gf[9]-1958414417,mf=(mf<<12|mf>>>20)+zd<<0,df+=(Xd^mf&(zd^Xd))+gf[10]-42063,df=(df<<17|df>>>15)+mf<<0,Xd+=(zd^df&(mf^zd))+gf[11]-1990404162,Xd=(Xd<<22|Xd>>>10)+df<<0,zd+=(mf^Xd&(df^mf))+gf[12]+1804603682,zd=(zd<<7|zd>>>25)+Xd<<0,mf+=(df^zd&(Xd^df))+gf[13]-40341101,mf=(mf<<12|mf>>>20)+zd<<0,df+=(Xd^mf&(zd^Xd))+gf[14]-1502002290,df=(df<<17|df>>>15)+mf<<0,Xd+=(zd^df&(mf^zd))+gf[15]+1236535329,Xd=(Xd<<22|Xd>>>10)+df<<0,zd+=(df^mf&(Xd^df))+gf[1]-165796510,zd=(zd<<5|zd>>>27)+Xd<<0,mf+=(Xd^df&(zd^Xd))+gf[6]-1069501632,mf=(mf<<9|mf>>>23)+zd<<0,df+=(zd^Xd&(mf^zd))+gf[11]+643717713,df=(df<<14|df>>>18)+mf<<0,Xd+=(mf^zd&(df^mf))+gf[0]-373897302,Xd=(Xd<<20|Xd>>>12)+df<<0,zd+=(df^mf&(Xd^df))+gf[5]-701558691,zd=(zd<<5|zd>>>27)+Xd<<0,mf+=(Xd^df&(zd^Xd))+gf[10]+38016083,mf=(mf<<9|mf>>>23)+zd<<0,df+=(zd^Xd&(mf^zd))+gf[15]-660478335,df=(df<<14|df>>>18)+mf<<0,Xd+=(mf^zd&(df^mf))+gf[4]-405537848,Xd=(Xd<<20|Xd>>>12)+df<<0,zd+=(df^mf&(Xd^df))+gf[9]+568446438,zd=(zd<<5|zd>>>27)+Xd<<0,mf+=(Xd^df&(zd^Xd))+gf[14]-1019803690,mf=(mf<<9|mf>>>23)+zd<<0,df+=(zd^Xd&(mf^zd))+gf[3]-187363961,df=(df<<14|df>>>18)+mf<<0,Xd+=(mf^zd&(df^mf))+gf[8]+1163531501,Xd=(Xd<<20|Xd>>>12)+df<<0,zd+=(df^mf&(Xd^df))+gf[13]-1444681467,zd=(zd<<5|zd>>>27)+Xd<<0,mf+=(Xd^df&(zd^Xd))+gf[2]-51403784,mf=(mf<<9|mf>>>23)+zd<<0,df+=(zd^Xd&(mf^zd))+gf[7]+1735328473,df=(df<<14|df>>>18)+mf<<0,Xd+=(mf^zd&(df^mf))+gf[12]-1926607734,Xd=(Xd<<20|Xd>>>12)+df<<0,_f=Xd^df,zd+=(_f^mf)+gf[5]-378558,zd=(zd<<4|zd>>>28)+Xd<<0,mf+=(_f^zd)+gf[8]-2022574463,mf=(mf<<11|mf>>>21)+zd<<0,hf=mf^zd,df+=(hf^Xd)+gf[11]+1839030562,df=(df<<16|df>>>16)+mf<<0,Xd+=(hf^df)+gf[14]-35309556,Xd=(Xd<<23|Xd>>>9)+df<<0,_f=Xd^df,zd+=(_f^mf)+gf[1]-1530992060,zd=(zd<<4|zd>>>28)+Xd<<0,mf+=(_f^zd)+gf[4]+1272893353,mf=(mf<<11|mf>>>21)+zd<<0,hf=mf^zd,df+=(hf^Xd)+gf[7]-155497632,df=(df<<16|df>>>16)+mf<<0,Xd+=(hf^df)+gf[10]-1094730640,Xd=(Xd<<23|Xd>>>9)+df<<0,_f=Xd^df,zd+=(_f^mf)+gf[13]+681279174,zd=(zd<<4|zd>>>28)+Xd<<0,mf+=(_f^zd)+gf[0]-358537222,mf=(mf<<11|mf>>>21)+zd<<0,hf=mf^zd,df+=(hf^Xd)+gf[3]-722521979,df=(df<<16|df>>>16)+mf<<0,Xd+=(hf^df)+gf[6]+76029189,Xd=(Xd<<23|Xd>>>9)+df<<0,_f=Xd^df,zd+=(_f^mf)+gf[9]-640364487,zd=(zd<<4|zd>>>28)+Xd<<0,mf+=(_f^zd)+gf[12]-421815835,mf=(mf<<11|mf>>>21)+zd<<0,hf=mf^zd,df+=(hf^Xd)+gf[15]+530742520,df=(df<<16|df>>>16)+mf<<0,Xd+=(hf^df)+gf[2]-995338651,Xd=(Xd<<23|Xd>>>9)+df<<0,zd+=(df^(Xd|~mf))+gf[0]-198630844,zd=(zd<<6|zd>>>26)+Xd<<0,mf+=(Xd^(zd|~df))+gf[7]+1126891415,mf=(mf<<10|mf>>>22)+zd<<0,df+=(zd^(mf|~Xd))+gf[14]-1416354905,df=(df<<15|df>>>17)+mf<<0,Xd+=(mf^(df|~zd))+gf[5]-57434055,Xd=(Xd<<21|Xd>>>11)+df<<0,zd+=(df^(Xd|~mf))+gf[12]+1700485571,zd=(zd<<6|zd>>>26)+Xd<<0,mf+=(Xd^(zd|~df))+gf[3]-1894986606,mf=(mf<<10|mf>>>22)+zd<<0,df+=(zd^(mf|~Xd))+gf[10]-1051523,df=(df<<15|df>>>17)+mf<<0,Xd+=(mf^(df|~zd))+gf[1]-2054922799,Xd=(Xd<<21|Xd>>>11)+df<<0,zd+=(df^(Xd|~mf))+gf[8]+1873313359,zd=(zd<<6|zd>>>26)+Xd<<0,mf+=(Xd^(zd|~df))+gf[15]-30611744,mf=(mf<<10|mf>>>22)+zd<<0,df+=(zd^(mf|~Xd))+gf[6]-1560198380,df=(df<<15|df>>>17)+mf<<0,Xd+=(mf^(df|~zd))+gf[13]+1309151649,Xd=(Xd<<21|Xd>>>11)+df<<0,zd+=(df^(Xd|~mf))+gf[4]-145523070,zd=(zd<<6|zd>>>26)+Xd<<0,mf+=(Xd^(zd|~df))+gf[11]-1120210379,mf=(mf<<10|mf>>>22)+zd<<0,df+=(zd^(mf|~Xd))+gf[2]+718787259,df=(df<<15|df>>>17)+mf<<0,Xd+=(mf^(df|~zd))+gf[9]-343485551,Xd=(Xd<<21|Xd>>>11)+df<<0,this.first?(this.h0=zd+1732584193<<0,this.h1=Xd-271733879<<0,this.h2=df-1732584194<<0,this.h3=mf+271733878<<0,this.first=!1):(this.h0=this.h0+zd<<0,this.h1=this.h1+Xd<<0,this.h2=this.h2+df<<0,this.h3=this.h3+mf<<0)},Qd.prototype.hex=function(){this.finalize();var zd=this.h0,Xd=this.h1,df=this.h2,mf=this.h3;return Nd[zd>>4&15]+Nd[zd&15]+Nd[zd>>12&15]+Nd[zd>>8&15]+Nd[zd>>20&15]+Nd[zd>>16&15]+Nd[zd>>28&15]+Nd[zd>>24&15]+Nd[Xd>>4&15]+Nd[Xd&15]+Nd[Xd>>12&15]+Nd[Xd>>8&15]+Nd[Xd>>20&15]+Nd[Xd>>16&15]+Nd[Xd>>28&15]+Nd[Xd>>24&15]+Nd[df>>4&15]+Nd[df&15]+Nd[df>>12&15]+Nd[df>>8&15]+Nd[df>>20&15]+Nd[df>>16&15]+Nd[df>>28&15]+Nd[df>>24&15]+Nd[mf>>4&15]+Nd[mf&15]+Nd[mf>>12&15]+Nd[mf>>8&15]+Nd[mf>>20&15]+Nd[mf>>16&15]+Nd[mf>>28&15]+Nd[mf>>24&15]},Qd.prototype.toString=Qd.prototype.hex,Qd.prototype.digest=function(zd){if(zd==="hex")return this.hex();this.finalize();var Xd=this.h0,df=this.h1,mf=this.h2,_f=this.h3,hf=[Xd&255,Xd>>8&255,Xd>>16&255,Xd>>24&255,df&255,df>>8&255,df>>16&255,df>>24&255,mf&255,mf>>8&255,mf>>16&255,mf>>24&255,_f&255,_f>>8&255,_f>>16&255,_f>>24&255];return hf},Qd.prototype.array=Qd.prototype.digest,Qd.prototype.arrayBuffer=function(){this.finalize();var zd=new ArrayBuffer(16),Xd=new Uint32Array(zd);return Xd[0]=this.h0,Xd[1]=this.h1,Xd[2]=this.h2,Xd[3]=this.h3,zd},Qd.prototype.buffer=Qd.prototype.arrayBuffer,Qd.prototype.base64=function(){for(var zd,Xd,df,mf="",_f=this.array(),hf=0;hf<15;)zd=_f[hf++],Xd=_f[hf++],df=_f[hf++],mf+=Od[zd>>>2]+Od[(zd<<4|Xd>>>4)&63]+Od[(Xd<<2|df>>>6)&63]+Od[df&63];return zd=_f[hf],mf+=Od[zd>>>2]+Od[zd<<4&63]+"==",mf};var Vd=Gd();Ed?sd.exports=Vd:(ud.md5=Vd,Ad&&(od=(function(){return Vd}).call(Vd,rd,Vd,sd),od!==void 0&&(sd.exports=od)))})()}).call(this,rd("./node_modules/webpack/buildin/module.js")(ed))},"./lib/request.js":function(ed,td,rd){var sd=rd("./node_modules/@babel/runtime/helpers/typeof.js"),od=function(Ad){switch(sd(Ad)){case"string":return Ad;case"boolean":return Ad?"true":"false";case"number":return isFinite(Ad)?Ad:"";default:return""}},ld=function(Ad,Td,Nd,Rd){return Td=Td||"&",Nd=Nd||"=",Ad===null&&(Ad=void 0),sd(Ad)==="object"?Object.keys(Ad).map(function(Bd){var kd=encodeURIComponent(od(Bd))+Nd;return Array.isArray(Ad[Bd])?Ad[Bd].map(function(Od){return kd+encodeURIComponent(od(Od))}).join(Td):kd+encodeURIComponent(od(Ad[Bd]))}).filter(Boolean).join(Td):""},cd=function(Ad,Td,Nd){var Rd={},Bd=Td.getAllResponseHeaders();return Bd&&Bd.length>0&&Bd.trim().split(` `).forEach(function(kd){if(kd){var Od=kd.indexOf(":"),Md=kd.substr(0,Od).trim().toLowerCase(),Pd=kd.substr(Od+1).trim();Rd[Md]=Pd}}),{error:Ad,statusCode:Td.status,statusMessage:Td.statusText,headers:Rd,body:Nd}},ud=function(Ad,Td){return!Td&&Td==="text"?Ad.responseText:Ad.response},_d=function(Ad,Td){var Nd=(Ad.method||"GET").toUpperCase(),Rd=Ad.url;if(Ad.qs){var Bd=ld(Ad.qs);Bd&&(Rd+=(Rd.indexOf("?")===-1?"?":"&")+Bd)}var kd=new XMLHttpRequest;if(kd.open(Nd,Rd,!0),kd.responseType=Ad.dataType||"text",Ad.xhrFields)for(var Od in Ad.xhrFields)kd[Od]=Ad.xhrFields[Od];var Md=Ad.headers;if(Md)for(var Pd in Md)Md.hasOwnProperty(Pd)&&Pd.toLowerCase()!=="content-length"&&Pd.toLowerCase()!=="user-agent"&&Pd.toLowerCase()!=="origin"&&Pd.toLowerCase()!=="host"&&kd.setRequestHeader(Pd,Md[Pd]);return Ad.onProgress&&kd.upload&&(kd.upload.onprogress=Ad.onProgress),Ad.onDownloadProgress&&(kd.onprogress=Ad.onDownloadProgress),Ad.timeout&&(kd.timeout=Ad.timeout),kd.ontimeout=function(Fd){var Ud=new Error("timeout");Td(cd(Ud,kd))},kd.onload=function(){Td(cd(null,kd,ud(kd,Ad.dataType)))},kd.onerror=function(Fd){var Ud=ud(kd,Ad.dataType);if(Ud)Td(cd(null,kd,Ud));else{var Gd=kd.statusText;!Gd&&kd.status===0&&(Gd=new Error("CORS blocked or network error")),Td(cd(Gd,kd,Ud))}},kd.send(Ad.body||""),kd};ed.exports=_d},"./node_modules/@babel/runtime/helpers/classCallCheck.js":function(ed,td){function rd(sd,od){if(!(sd instanceof od))throw new TypeError("Cannot call a class as a function")}ed.exports=rd,ed.exports.__esModule=!0,ed.exports.default=ed.exports},"./node_modules/@babel/runtime/helpers/createClass.js":function(ed,td,rd){var sd=rd("./node_modules/@babel/runtime/helpers/toPropertyKey.js");function od(cd,ud){for(var _d=0;_d"u")};td.isExist=function(Ed){return typeof Ed<"u"},td.isEmptyObject=function(Ed){return Object.keys(Ed).length===0},td.merge=function(Ed,Ad,Td){if(Ad){const Nd=Object.keys(Ad),Rd=Nd.length;for(let Bd=0;Bd"&&Ud[Xd]!==" "&&Ud[Xd]!==" "&&Ud[Xd]!==` `&&Ud[Xd]!=="\r";Xd++)_f+=Ud[Xd];if(_f=_f.trim(),_f[_f.length-1]==="/"&&(_f=_f.substring(0,_f.length-1),Xd--),!Md(_f)){let yf;return _f.trim().length===0?yf="Invalid space after '<'.":yf="Tag '"+_f+"' is an invalid name.",kd("InvalidTag",yf,Pd(Ud,Xd))}const hf=Ad(Ud,Xd);if(hf===!1)return kd("InvalidAttr","Attributes for '"+_f+"' have open quote.",Pd(Ud,Xd));let gf=hf.value;if(Xd=hf.index,gf[gf.length-1]==="/"){const yf=Xd-gf.length;gf=gf.substring(0,gf.length-1);const Nf=Nd(gf,Gd);if(Nf===!0)Vd=!0;else return kd(Nf.err.code,Nf.err.msg,Pd(Ud,yf+Nf.err.line))}else if(mf)if(hf.tagClosed){if(gf.trim().length>0)return kd("InvalidTag","Closing tag '"+_f+"' can't have attributes or invalid starting.",Pd(Ud,df));if(Qd.length===0)return kd("InvalidTag","Closing tag '"+_f+"' has not been opened.",Pd(Ud,df));{const yf=Qd.pop();if(_f!==yf.tagName){let Nf=Pd(Ud,yf.tagStartPos);return kd("InvalidTag","Expected closing tag '"+yf.tagName+"' (opened in line "+Nf.line+", col "+Nf.col+") instead of closing tag '"+_f+"'.",Pd(Ud,df))}Qd.length==0&&(zd=!0)}}else return kd("InvalidTag","Closing tag '"+_f+"' doesn't have proper closing.",Pd(Ud,Xd));else{const yf=Nd(gf,Gd);if(yf!==!0)return kd(yf.err.code,yf.err.msg,Pd(Ud,Xd-gf.length+yf.err.line));if(zd===!0)return kd("InvalidXml","Multiple possible root nodes found.",Pd(Ud,Xd));Gd.unpairedTags.indexOf(_f)!==-1||Qd.push({tagName:_f,tagStartPos:df}),Vd=!0}for(Xd++;Xd0)return kd("InvalidXml","Invalid '"+JSON.stringify(Qd.map(Xd=>Xd.tagName),null,4).replace(/\r?\n/g,"")+"' found.",{line:1,col:1})}else return kd("InvalidXml","Start tag expected.",1);return!0};function ld(Ud){return Ud===" "||Ud===" "||Ud===` `||Ud==="\r"}function cd(Ud,Gd){const Qd=Gd;for(;Gd5&&Vd==="xml")return kd("InvalidXml","XML declaration allowed only at the start of the document.",Pd(Ud,Gd));if(Ud[Gd]=="?"&&Ud[Gd+1]==">"){Gd++;break}else continue}return Gd}function ud(Ud,Gd){if(Ud.length>Gd+5&&Ud[Gd+1]==="-"&&Ud[Gd+2]==="-"){for(Gd+=3;Gd"){Gd+=2;break}}else if(Ud.length>Gd+8&&Ud[Gd+1]==="D"&&Ud[Gd+2]==="O"&&Ud[Gd+3]==="C"&&Ud[Gd+4]==="T"&&Ud[Gd+5]==="Y"&&Ud[Gd+6]==="P"&&Ud[Gd+7]==="E"){let Qd=1;for(Gd+=8;Gd"&&(Qd--,Qd===0))break}else if(Ud.length>Gd+9&&Ud[Gd+1]==="["&&Ud[Gd+2]==="C"&&Ud[Gd+3]==="D"&&Ud[Gd+4]==="A"&&Ud[Gd+5]==="T"&&Ud[Gd+6]==="A"&&Ud[Gd+7]==="["){for(Gd+=8;Gd"){Gd+=2;break}}return Gd}const _d='"',Ed="'";function Ad(Ud,Gd){let Qd="",Vd="",zd=!1;for(;Gd"&&Vd===""){zd=!0;break}Qd+=Ud[Gd]}return Vd!==""?!1:{value:Qd,index:Gd,tagClosed:zd}}const Td=new RegExp(`(\\s*)([^\\s=]+)(\\s*=)?(\\s*(['"])(([\\s\\S])*?)\\5)?`,"g");function Nd(Ud,Gd){const Qd=sd.getAllMatches(Ud,Td),Vd={};for(let zd=0;zd","g"),val:">"},{regex:new RegExp("<","g"),val:"<"},{regex:new RegExp("'","g"),val:"'"},{regex:new RegExp('"',"g"),val:"""}],processEntities:!0,stopNodes:[],oneListGroup:!1};function ld(Ed){this.options=Object.assign({},od,Ed),this.options.ignoreAttributes||this.options.attributesGroupName?this.isAttribute=function(){return!1}:(this.attrPrefixLen=this.options.attributeNamePrefix.length,this.isAttribute=_d),this.processTextOrObjNode=cd,this.options.format?(this.indentate=ud,this.tagEndChar=`> `,this.newLine=` `):(this.indentate=function(){return""},this.tagEndChar=">",this.newLine="")}ld.prototype.build=function(Ed){return this.options.preserveOrder?sd(Ed,this.options):(Array.isArray(Ed)&&this.options.arrayNodeName&&this.options.arrayNodeName.length>1&&(Ed={[this.options.arrayNodeName]:Ed}),this.j2x(Ed,0).val)},ld.prototype.j2x=function(Ed,Ad){let Td="",Nd="";for(let Rd in Ed)if(Object.prototype.hasOwnProperty.call(Ed,Rd))if(typeof Ed[Rd]>"u")this.isAttribute(Rd)&&(Nd+="");else if(Ed[Rd]===null)this.isAttribute(Rd)?Nd+="":Rd[0]==="?"?Nd+=this.indentate(Ad)+"<"+Rd+"?"+this.tagEndChar:Nd+=this.indentate(Ad)+"<"+Rd+"/"+this.tagEndChar;else if(Ed[Rd]instanceof Date)Nd+=this.buildTextValNode(Ed[Rd],Rd,"",Ad);else if(typeof Ed[Rd]!="object"){const Bd=this.isAttribute(Rd);if(Bd)Td+=this.buildAttrPairStr(Bd,""+Ed[Rd]);else if(Rd===this.options.textNodeName){let kd=this.options.tagValueProcessor(Rd,""+Ed[Rd]);Nd+=this.replaceEntitiesValue(kd)}else Nd+=this.buildTextValNode(Ed[Rd],Rd,"",Ad)}else if(Array.isArray(Ed[Rd])){const Bd=Ed[Rd].length;let kd="";for(let Od=0;Od"u"||(Md===null?Rd[0]==="?"?Nd+=this.indentate(Ad)+"<"+Rd+"?"+this.tagEndChar:Nd+=this.indentate(Ad)+"<"+Rd+"/"+this.tagEndChar:typeof Md=="object"?this.options.oneListGroup?kd+=this.j2x(Md,Ad+1).val:kd+=this.processTextOrObjNode(Md,Rd,Ad):kd+=this.buildTextValNode(Md,Rd,"",Ad))}this.options.oneListGroup&&(kd=this.buildObjectNode(kd,Rd,"",Ad)),Nd+=kd}else if(this.options.attributesGroupName&&Rd===this.options.attributesGroupName){const Bd=Object.keys(Ed[Rd]),kd=Bd.length;for(let Od=0;Od"+Ed+Rd:this.options.commentPropName!==!1&&Ad===this.options.commentPropName&&Bd.length===0?this.indentate(Nd)+``+this.newLine:this.indentate(Nd)+"<"+Ad+Td+Bd+this.tagEndChar+Ed+this.indentate(Nd)+Rd}},ld.prototype.closeTag=function(Ed){let Ad="";return this.options.unpairedTags.indexOf(Ed)!==-1?this.options.suppressUnpairedNode||(Ad="/"):this.options.suppressEmptyNode?Ad="/":Ad=`>`+this.newLine;if(this.options.commentPropName!==!1&&Ad===this.options.commentPropName)return this.indentate(Nd)+``+this.newLine;if(Ad[0]==="?")return this.indentate(Nd)+"<"+Ad+Td+"?"+this.tagEndChar;{let Rd=this.options.tagValueProcessor(Ad,Ed);return Rd=this.replaceEntitiesValue(Rd),Rd===""?this.indentate(Nd)+"<"+Ad+Td+this.closeTag(Ad)+this.tagEndChar:this.indentate(Nd)+"<"+Ad+Td+">"+Rd+"0&&this.options.processEntities)for(let Ad=0;Ad0&&(Td=rd),od(Ed,Ad,"",Td)}function od(Ed,Ad,Td,Nd){let Rd="",Bd=!1;for(let kd=0;kd`,Bd=!1;continue}else if(Md===Ad.commentPropName){Rd+=Nd+``,Bd=!0;continue}else if(Md[0]==="?"){const Vd=cd(Od[":@"],Ad),zd=Md==="?xml"?"":Nd;let Xd=Od[Md][0][Ad.textNodeName];Xd=Xd.length!==0?" "+Xd:"",Rd+=zd+`<${Md}${Xd}${Vd}?>`,Bd=!0;continue}let Fd=Nd;Fd!==""&&(Fd+=Ad.indentBy);const Ud=cd(Od[":@"],Ad),Gd=Nd+`<${Md}${Ud}`,Qd=od(Od[Md],Ad,Pd,Fd);Ad.unpairedTags.indexOf(Md)!==-1?Ad.suppressUnpairedNode?Rd+=Gd+">":Rd+=Gd+"/>":(!Qd||Qd.length===0)&&Ad.suppressEmptyNode?Rd+=Gd+"/>":Qd&&Qd.endsWith(">")?Rd+=Gd+`>${Qd}${Nd}`:(Rd+=Gd+">",Qd&&Nd!==""&&(Qd.includes("/>")||Qd.includes("`),Bd=!0}return Rd}function ld(Ed){const Ad=Object.keys(Ed);for(let Td=0;Td0&&Ad.processEntities)for(let Td=0;Td"){if(Md?Nd[Rd-1]==="-"&&Nd[Rd-2]==="-"&&(Md=!1,kd--):kd--,kd===0)break}else Nd[Rd]==="["?Od=!0:Pd+=Nd[Rd];if(kd!==0)throw new Error("Unclosed DOCTYPE")}else throw new Error("Invalid Tag instead of DOCTYPE");return{entities:Bd,i:Rd}}function ld(Nd,Rd){let Bd="";for(;Rd!1,commentPropName:!1,unpairedTags:[],processEntities:!0,htmlEntities:!1,ignoreDeclaration:!1,ignorePiTags:!1,transformTagName:!1,transformAttributeName:!1,updateTag:function(od,ld,cd){return od}},sd=function(od){return Object.assign({},rd,od)};td.buildOptions=sd,td.defaultOptions=rd},"./node_modules/fast-xml-parser/src/xmlparser/OrderedObjParser.js":function(ed,td,rd){const sd=rd("./node_modules/fast-xml-parser/src/util.js"),od=rd("./node_modules/fast-xml-parser/src/xmlparser/xmlNode.js"),ld=rd("./node_modules/fast-xml-parser/src/xmlparser/DocTypeReader.js"),cd=rd("./node_modules/strnum/strnum.js");class ud{constructor(zd){this.options=zd,this.currentNode=null,this.tagsNodeStack=[],this.docTypeEntities={},this.lastEntities={apos:{regex:/&(apos|#39|#x27);/g,val:"'"},gt:{regex:/&(gt|#62|#x3E);/g,val:">"},lt:{regex:/&(lt|#60|#x3C);/g,val:"<"},quot:{regex:/&(quot|#34|#x22);/g,val:'"'}},this.ampEntity={regex:/&(amp|#38|#x26);/g,val:"&"},this.htmlEntities={space:{regex:/&(nbsp|#160);/g,val:" "},cent:{regex:/&(cent|#162);/g,val:"¢"},pound:{regex:/&(pound|#163);/g,val:"£"},yen:{regex:/&(yen|#165);/g,val:"¥"},euro:{regex:/&(euro|#8364);/g,val:"€"},copyright:{regex:/&(copy|#169);/g,val:"©"},reg:{regex:/&(reg|#174);/g,val:"®"},inr:{regex:/&(inr|#8377);/g,val:"₹"},num_dec:{regex:/&#([0-9]{1,7});/g,val:(Xd,df)=>String.fromCharCode(Number.parseInt(df,10))},num_hex:{regex:/&#x([0-9a-fA-F]{1,6});/g,val:(Xd,df)=>String.fromCharCode(Number.parseInt(df,16))}},this.addExternalEntities=_d,this.parseXml=Rd,this.parseTextData=Ed,this.resolveNameSpace=Ad,this.buildAttributesMap=Nd,this.isItStopNode=Md,this.replaceEntitiesValue=kd,this.readStopNodeData=Gd,this.saveTextToParentTag=Od,this.addChild=Bd}}function _d(Vd){const zd=Object.keys(Vd);for(let Xd=0;Xd0)){hf||(Vd=this.replaceEntitiesValue(Vd));const gf=this.options.tagValueProcessor(zd,Vd,Xd,mf,_f);return gf==null?Vd:typeof gf!=typeof Vd||gf!==Vd?gf:this.options.trimValues?Qd(Vd,this.options.parseTagValue,this.options.numberParseOptions):Vd.trim()===Vd?Qd(Vd,this.options.parseTagValue,this.options.numberParseOptions):Vd}}function Ad(Vd){if(this.options.removeNSPrefix){const zd=Vd.split(":"),Xd=Vd.charAt(0)==="/"?"/":"";if(zd[0]==="xmlns")return"";zd.length===2&&(Vd=Xd+zd[1])}return Vd}const Td=new RegExp(`([^\\s=]+)\\s*(=\\s*(['"])([\\s\\S]*?)\\3)?`,"gm");function Nd(Vd,zd,Xd){if(!this.options.ignoreAttributes&&typeof Vd=="string"){const df=sd.getAllMatches(Vd,Td),mf=df.length,_f={};for(let hf=0;hf",_f,"Closing Tag is not closed.");let yf=Vd.substring(_f+2,gf).trim();if(this.options.removeNSPrefix){const Yf=yf.indexOf(":");Yf!==-1&&(yf=yf.substr(Yf+1))}this.options.transformTagName&&(yf=this.options.transformTagName(yf)),Xd&&(df=this.saveTextToParentTag(df,Xd,mf));const Nf=mf.substring(mf.lastIndexOf(".")+1);if(yf&&this.options.unpairedTags.indexOf(yf)!==-1)throw new Error(`Unpaired tag can not be used as closing tag: `);let Pf=0;Nf&&this.options.unpairedTags.indexOf(Nf)!==-1?(Pf=mf.lastIndexOf(".",mf.lastIndexOf(".")-1),this.tagsNodeStack.pop()):Pf=mf.lastIndexOf("."),mf=mf.substring(0,Pf),Xd=this.tagsNodeStack.pop(),df="",_f=gf}else if(Vd[_f+1]==="?"){let gf=Ud(Vd,_f,!1,"?>");if(!gf)throw new Error("Pi Tag is not closed.");if(df=this.saveTextToParentTag(df,Xd,mf),!(this.options.ignoreDeclaration&&gf.tagName==="?xml"||this.options.ignorePiTags)){const yf=new od(gf.tagName);yf.add(this.options.textNodeName,""),gf.tagName!==gf.tagExp&&gf.attrExpPresent&&(yf[":@"]=this.buildAttributesMap(gf.tagExp,mf,gf.tagName)),this.addChild(Xd,yf,mf)}_f=gf.closeIndex+1}else if(Vd.substr(_f+1,3)==="!--"){const gf=Fd(Vd,"-->",_f+4,"Comment is not closed.");if(this.options.commentPropName){const yf=Vd.substring(_f+4,gf-2);df=this.saveTextToParentTag(df,Xd,mf),Xd.add(this.options.commentPropName,[{[this.options.textNodeName]:yf}])}_f=gf}else if(Vd.substr(_f+1,2)==="!D"){const gf=ld(Vd,_f);this.docTypeEntities=gf.entities,_f=gf.i}else if(Vd.substr(_f+1,2)==="!["){const gf=Fd(Vd,"]]>",_f,"CDATA is not closed.")-2,yf=Vd.substring(_f+9,gf);df=this.saveTextToParentTag(df,Xd,mf);let Nf=this.parseTextData(yf,Xd.tagname,mf,!0,!1,!0,!0);Nf==null&&(Nf=""),this.options.cdataPropName?Xd.add(this.options.cdataPropName,[{[this.options.textNodeName]:yf}]):Xd.add(this.options.textNodeName,Nf),_f=gf+2}else{let gf=Ud(Vd,_f,this.options.removeNSPrefix),yf=gf.tagName;const Nf=gf.rawTagName;let Pf=gf.tagExp,Yf=gf.attrExpPresent,Uf=gf.closeIndex;this.options.transformTagName&&(yf=this.options.transformTagName(yf)),Xd&&df&&Xd.tagname!=="!xml"&&(df=this.saveTextToParentTag(df,Xd,mf,!1));const Lf=Xd;if(Lf&&this.options.unpairedTags.indexOf(Lf.tagname)!==-1&&(Xd=this.tagsNodeStack.pop(),mf=mf.substring(0,mf.lastIndexOf("."))),yf!==zd.tagname&&(mf+=mf?"."+yf:yf),this.isItStopNode(this.options.stopNodes,mf,yf)){let xf="";if(Pf.length>0&&Pf.lastIndexOf("/")===Pf.length-1)yf[yf.length-1]==="/"?(yf=yf.substr(0,yf.length-1),mf=mf.substr(0,mf.length-1),Pf=yf):Pf=Pf.substr(0,Pf.length-1),_f=gf.closeIndex;else if(this.options.unpairedTags.indexOf(yf)!==-1)_f=gf.closeIndex;else{const Jf=this.readStopNodeData(Vd,Nf,Uf+1);if(!Jf)throw new Error(`Unexpected end of ${Nf}`);_f=Jf.i,xf=Jf.tagContent}const wf=new od(yf);yf!==Pf&&Yf&&(wf[":@"]=this.buildAttributesMap(Pf,mf,yf)),xf&&(xf=this.parseTextData(xf,yf,mf,!0,Yf,!0,!0)),mf=mf.substr(0,mf.lastIndexOf(".")),wf.add(this.options.textNodeName,xf),this.addChild(Xd,wf,mf)}else{if(Pf.length>0&&Pf.lastIndexOf("/")===Pf.length-1){yf[yf.length-1]==="/"?(yf=yf.substr(0,yf.length-1),mf=mf.substr(0,mf.length-1),Pf=yf):Pf=Pf.substr(0,Pf.length-1),this.options.transformTagName&&(yf=this.options.transformTagName(yf));const xf=new od(yf);yf!==Pf&&Yf&&(xf[":@"]=this.buildAttributesMap(Pf,mf,yf)),this.addChild(Xd,xf,mf),mf=mf.substr(0,mf.lastIndexOf("."))}else{const xf=new od(yf);this.tagsNodeStack.push(Xd),yf!==Pf&&Yf&&(xf[":@"]=this.buildAttributesMap(Pf,mf,yf)),this.addChild(Xd,xf,mf),Xd=xf}df="",_f=Uf}}else df+=Vd[_f];return zd.child};function Bd(Vd,zd,Xd){const df=this.options.updateTag(zd.tagname,Xd,zd[":@"]);df===!1||(typeof df=="string"&&(zd.tagname=df),Vd.addChild(zd))}const kd=function(Vd){if(this.options.processEntities){for(let zd in this.docTypeEntities){const Xd=this.docTypeEntities[zd];Vd=Vd.replace(Xd.regx,Xd.val)}for(let zd in this.lastEntities){const Xd=this.lastEntities[zd];Vd=Vd.replace(Xd.regex,Xd.val)}if(this.options.htmlEntities)for(let zd in this.htmlEntities){const Xd=this.htmlEntities[zd];Vd=Vd.replace(Xd.regex,Xd.val)}Vd=Vd.replace(this.ampEntity.regex,this.ampEntity.val)}return Vd};function Od(Vd,zd,Xd,df){return Vd&&(df===void 0&&(df=Object.keys(zd.child).length===0),Vd=this.parseTextData(Vd,zd.tagname,Xd,!1,zd[":@"]?Object.keys(zd[":@"]).length!==0:!1,df),Vd!==void 0&&Vd!==""&&zd.add(this.options.textNodeName,Vd),Vd=""),Vd}function Md(Vd,zd,Xd){const df="*."+Xd;for(const mf in Vd){const _f=Vd[mf];if(df===_f||zd===_f)return!0}return!1}function Pd(Vd,zd,Xd=">"){let df,mf="";for(let _f=zd;_f",Xd,`${zd} is not closed`);if(Vd.substring(Xd+2,_f).trim()===zd&&(mf--,mf===0))return{tagContent:Vd.substring(df,Xd),i:_f};Xd=_f}else if(Vd[Xd+1]==="?")Xd=Fd(Vd,"?>",Xd+1,"StopNode is not closed.");else if(Vd.substr(Xd+1,3)==="!--")Xd=Fd(Vd,"-->",Xd+3,"StopNode is not closed.");else if(Vd.substr(Xd+1,2)==="![")Xd=Fd(Vd,"]]>",Xd,"StopNode is not closed.")-2;else{const _f=Ud(Vd,Xd,">");_f&&((_f&&_f.tagName)===zd&&_f.tagExp[_f.tagExp.length-1]!=="/"&&mf++,Xd=_f.closeIndex)}}function Qd(Vd,zd,Xd){if(zd&&typeof Vd=="string"){const df=Vd.trim();return df==="true"?!0:df==="false"?!1:cd(Vd,Xd)}else return sd.isExist(Vd)?Vd:""}ed.exports=ud},"./node_modules/fast-xml-parser/src/xmlparser/XMLParser.js":function(ed,td,rd){const{buildOptions:sd}=rd("./node_modules/fast-xml-parser/src/xmlparser/OptionsBuilder.js"),od=rd("./node_modules/fast-xml-parser/src/xmlparser/OrderedObjParser.js"),{prettify:ld}=rd("./node_modules/fast-xml-parser/src/xmlparser/node2json.js"),cd=rd("./node_modules/fast-xml-parser/src/validator.js");class ud{constructor(Ed){this.externalEntities={},this.options=sd(Ed)}parse(Ed,Ad){if(typeof Ed!="string")if(Ed.toString)Ed=Ed.toString();else throw new Error("XML data is accepted in String or Bytes[] form.");if(Ad){Ad===!0&&(Ad={});const Rd=cd.validate(Ed,Ad);if(Rd!==!0)throw Error(`${Rd.err.msg}:${Rd.err.line}:${Rd.err.col}`)}const Td=new od(this.options);Td.addExternalEntities(this.externalEntities);const Nd=Td.parseXml(Ed);return this.options.preserveOrder||Nd===void 0?Nd:ld(Nd,this.options)}addEntity(Ed,Ad){if(Ad.indexOf("&")!==-1)throw new Error("Entity value can't have '&'");if(Ed.indexOf("&")!==-1||Ed.indexOf(";")!==-1)throw new Error("An entity must be set without '&' and ';'. Eg. use '#xD' for ' '");if(Ad==="&")throw new Error("An entity with value '&' is not permitted");this.externalEntities[Ed]=Ad}}ed.exports=ud},"./node_modules/fast-xml-parser/src/xmlparser/node2json.js":function(ed,td,rd){function sd(_d,Ed){return od(_d,Ed)}function od(_d,Ed,Ad){let Td;const Nd={};for(let Rd=0;Rd<_d.length;Rd++){const Bd=_d[Rd],kd=ld(Bd);let Od="";if(Ad===void 0?Od=kd:Od=Ad+"."+kd,kd===Ed.textNodeName)Td===void 0?Td=Bd[kd]:Td+=""+Bd[kd];else{if(kd===void 0)continue;if(Bd[kd]){let Md=od(Bd[kd],Ed,Od);const Pd=ud(Md,Ed);Bd[":@"]?cd(Md,Bd[":@"],Od,Ed):Object.keys(Md).length===1&&Md[Ed.textNodeName]!==void 0&&!Ed.alwaysCreateTextNode?Md=Md[Ed.textNodeName]:Object.keys(Md).length===0&&(Ed.alwaysCreateTextNode?Md[Ed.textNodeName]="":Md=""),Nd[kd]!==void 0&&Nd.hasOwnProperty(kd)?(Array.isArray(Nd[kd])||(Nd[kd]=[Nd[kd]]),Nd[kd].push(Md)):Ed.isArray(kd,Od,Pd)?Nd[kd]=[Md]:Nd[kd]=Md}}}return typeof Td=="string"?Td.length>0&&(Nd[Ed.textNodeName]=Td):Td!==void 0&&(Nd[Ed.textNodeName]=Td),Nd}function ld(_d){const Ed=Object.keys(_d);for(let Ad=0;Ad0?this.child.push({[ld.tagname]:ld.child,":@":ld[":@"]}):this.child.push({[ld.tagname]:ld.child})}}ed.exports=sd},"./node_modules/process/browser.js":function(ed,td){var rd=ed.exports={},sd,od;function ld(){throw new Error("setTimeout has not been defined")}function cd(){throw new Error("clearTimeout has not been defined")}(function(){try{typeof setTimeout=="function"?sd=setTimeout:sd=ld}catch{sd=ld}try{typeof clearTimeout=="function"?od=clearTimeout:od=cd}catch{od=cd}})();function ud(Md){if(sd===setTimeout)return setTimeout(Md,0);if((sd===ld||!sd)&&setTimeout)return sd=setTimeout,setTimeout(Md,0);try{return sd(Md,0)}catch{try{return sd.call(null,Md,0)}catch{return sd.call(this,Md,0)}}}function _d(Md){if(od===clearTimeout)return clearTimeout(Md);if((od===cd||!od)&&clearTimeout)return od=clearTimeout,clearTimeout(Md);try{return od(Md)}catch{try{return od.call(null,Md)}catch{return od.call(this,Md)}}}var Ed=[],Ad=!1,Td,Nd=-1;function Rd(){!Ad||!Td||(Ad=!1,Td.length?Ed=Td.concat(Ed):Nd=-1,Ed.length&&Bd())}function Bd(){if(!Ad){var Md=ud(Rd);Ad=!0;for(var Pd=Ed.length;Pd;){for(Td=Ed,Ed=[];++Nd1)for(var Fd=1;Fd0&&Td&&Ed[2]!==".")return ud;if(!_d.leadingZeros&&Nd.length>0&&!Td&&Ed[1]!==".")return ud;{const kd=Number(Ed),Od=""+kd;return Od.search(/[eE]/)!==-1||Bd?_d.eNotation?kd:ud:Ed.indexOf(".")!==-1?Od==="0"&&Rd===""||Od===Rd||Td&&Od==="-"+Rd?kd:ud:Nd?Rd===Od||Td+Rd===Od?kd:ud:Ed===Od||Ed===Td+Od?kd:ud}}else return ud}}function cd(ud){return ud&&ud.indexOf(".")!==-1&&(ud=ud.replace(/0+$/,""),ud==="."?ud="0":ud[0]==="."?ud="0"+ud:ud[ud.length-1]==="."&&(ud=ud.substr(0,ud.length-1))),ud}ed.exports=ld},"./node_modules/webpack/buildin/amd-options.js":function(ed,td){(function(rd){ed.exports=rd}).call(this,{})},"./node_modules/webpack/buildin/module.js":function(ed,td){ed.exports=function(rd){return rd.webpackPolyfill||(rd.deprecate=function(){},rd.paths=[],rd.children||(rd.children=[]),Object.defineProperty(rd,"loaded",{enumerable:!0,get:function(){return rd.l}}),Object.defineProperty(rd,"id",{enumerable:!0,get:function(){return rd.i}}),rd.webpackPolyfill=1),rd}},"./package.json":function(ed){ed.exports=JSON.parse('{"name":"cos-js-sdk-v5","version":"1.8.4","description":"JavaScript SDK for [腾讯云对象存储](https://cloud.tencent.com/product/cos)","main":"dist/cos-js-sdk-v5.js","types":"index.d.ts","scripts":{"prettier":"prettier --write src demo/demo.js demo/CIDemos/*.js test/test.js server/sts.js lib/request.js index.d.ts","server":"node server/sts.js","dev":"cross-env NODE_ENV=development webpack -w --mode=development","build":"cross-env NODE_ENV=production webpack --mode=production","cos-auth.min.js":"uglifyjs ./demo/common/cos-auth.js -o ./demo/common/cos-auth.min.js -c -m","test":"jest --runInBand --coverage"},"repository":{"type":"git","url":"git+https://github.com/tencentyun/cos-js-sdk-v5.git"},"keywords":[],"author":"carsonxu","license":"ISC","bugs":{"url":"https://github.com/tencentyun/cos-js-sdk-v5/issues"},"homepage":"https://github.com/tencentyun/cos-js-sdk-v5#readme","dependencies":{"fast-xml-parser":"^4.4.0"},"devDependencies":{"@babel/core":"7.17.9","@babel/plugin-transform-runtime":"7.18.10","@babel/preset-env":"7.16.11","babel-loader":"8.2.5","body-parser":"^1.18.3","cross-env":"^5.2.0","express":"^4.16.4","jest":"^29.3.1","jest-environment-jsdom":"^29.3.1","prettier":"^3.0.1","qcloud-cos-sts":"^3.0.2","request":"^2.87.0","terser-webpack-plugin":"4.2.3","uglifyjs":"^2.4.11","webpack":"4.46.0","webpack-cli":"4.10.0"}}')},"./src/advance.js":function(ed,td,rd){var sd=rd("./node_modules/@babel/runtime/helpers/typeof.js"),od=rd("./src/session.js"),ld=rd("./src/async.js"),cd=rd("./src/event.js").EventProxy,ud=rd("./src/util.js"),_d=rd("./src/tracker.js");function Ed(Vd,zd){var Xd=this,df=new cd,mf=Vd.TaskId,_f=Vd.Bucket,hf=Vd.Region,gf=Vd.Key,yf=Vd.Body,Nf=Vd.ChunkSize||Vd.SliceSize||Xd.options.ChunkSize,Pf=Vd.AsyncLimit,Yf=Vd.StorageClass,Uf=Vd.ServerSideEncryption,Lf,xf,wf=Vd.onHashProgress,Jf=Vd.tracker;Jf&&Jf.setParams({chunkSize:Nf}),df.on("error",function(Qf){if(Xd._isRunningTask(mf))return Qf.UploadId=Vd.UploadData.UploadId||"",zd(Qf)}),df.on("upload_complete",function(Qf){var Ef=ud.extend({UploadId:Vd.UploadData.UploadId||""},Qf);zd(null,Ef)}),df.on("upload_slice_complete",function(Qf){var Ef={};ud.each(Vd.Headers,function(bf,Bf){var Kf=Bf.toLowerCase();(Kf.indexOf("x-cos-meta-")===0||["pic-operations","x-cos-callback","x-cos-callback-var","x-cos-return-body"].includes(Kf))&&(Ef[Bf]=bf)}),kd.call(Xd,{Bucket:_f,Region:hf,Key:gf,UploadId:Qf.UploadId,SliceList:Qf.SliceList,Headers:Ef,tracker:Jf},function(bf,Bf){if(Xd._isRunningTask(mf)){if(od.removeUsing(Qf.UploadId),bf)return xf(null,!0),df.emit("error",bf);od.removeUploadId.call(Xd,Qf.UploadId),xf({loaded:Lf,total:Lf},!0),df.emit("upload_complete",Bf)}})}),df.on("get_upload_data_finish",function(Qf){var Ef=od.getFileId(yf,Vd.ChunkSize,_f,gf);Ef&&od.saveUploadId.call(Xd,Ef,Qf.UploadId,Xd.options.UploadIdCacheLimit),od.setUsing(Qf.UploadId),xf(null,!0),Rd.call(Xd,{TaskId:mf,Bucket:_f,Region:hf,Key:gf,Body:yf,FileSize:Lf,SliceSize:Nf,AsyncLimit:Pf,ServerSideEncryption:Uf,UploadData:Qf,Headers:Vd.Headers,onProgress:xf,tracker:Jf},function(bf,Bf){if(Xd._isRunningTask(mf)){if(bf)return xf(null,!0),df.emit("error",bf);df.emit("upload_slice_complete",Bf)}})}),df.on("get_file_size_finish",function(){if(xf=ud.throttleOnProgress.call(Xd,Lf,Vd.onProgress),Vd.UploadData.UploadId)df.emit("get_upload_data_finish",Vd.UploadData);else{var Qf=ud.extend({TaskId:mf,Bucket:_f,Region:hf,Key:gf,Headers:Vd.Headers,StorageClass:Yf,Body:yf,FileSize:Lf,SliceSize:Nf,onHashProgress:wf,tracker:Jf},Vd);Ad.call(Xd,Qf,function(Ef,bf){if(Xd._isRunningTask(mf)){if(Ef)return df.emit("error",Ef);Vd.UploadData.UploadId=bf.UploadId,Vd.UploadData.PartList=bf.PartList,df.emit("get_upload_data_finish",Vd.UploadData)}})}}),Lf=Vd.ContentLength,delete Vd.ContentLength,!Vd.Headers&&(Vd.Headers={}),ud.each(Vd.Headers,function(Qf,Ef){Ef.toLowerCase()==="content-length"&&delete Vd.Headers[Ef]}),function(){for(var Qf=[1,2,4,8,16,32,64,128,256,512,1024,2048,4096,5120],Ef=1024*1024,bf=0;bfYf)return bf(null,!1);if(Bf>1){var Kf=Math.max(Ef[0].Size,Ef[1].Size);if(Kf!==Pf)return bf(null,!1)}var nh=function zf($f){if($f=bf.length){Jf.emit("has_and_check_upload_id",Qf);return}var zf=bf[nh];if(!ud.isInArray(Qf,zf)){od.removeUploadId.call(gf,zf),Kf(nh+1);return}if(od.using[zf]){Kf(nh+1);return}Nd.call(gf,{Bucket:df,Region:mf,Key:_f,UploadId:zf,tracker:Vd.tracker},function($f,th){gf._isRunningTask(Xd)&&($f?(od.removeUploadId.call(gf,zf),Kf(nh+1)):Jf.emit("upload_id_available",{UploadId:zf,PartList:th.PartList}))})};Bf(0)}),Jf.on("get_remote_upload_id_list",function(){Td.call(gf,{Bucket:df,Region:mf,Key:_f,tracker:Vd.tracker},function(Qf,Ef){if(gf._isRunningTask(Xd)){if(Qf)return Jf.emit("error",Qf);var bf=ud.filter(Ef.UploadList,function(nh){return nh.Key===_f&&(!hf||nh.StorageClass.toUpperCase()===hf.toUpperCase())}).reverse().map(function(nh){return nh.UploadId||nh.UploadID});if(bf.length)Jf.emit("seek_local_avail_upload_id",bf);else{var Bf=od.getFileId(Vd.Body,Vd.ChunkSize,df,_f),Kf;Bf&&(Kf=od.getUploadIdList.call(gf,Bf))&&ud.each(Kf,function(nh){od.removeUploadId.call(gf,nh)}),Jf.emit("no_available_upload_id")}}})}),Jf.emit("get_remote_upload_id_list")}function Td(Vd,zd){var Xd=this,df=[],mf={Bucket:Vd.Bucket,Region:Vd.Region,Prefix:Vd.Key,calledBySdk:Vd.calledBySdk||"sliceUploadFile",tracker:Vd.tracker},_f=function hf(){Xd.multipartList(mf,function(gf,yf){if(gf)return zd(gf);df.push.apply(df,yf.Upload||[]),yf.IsTruncated==="true"?(mf.KeyMarker=yf.NextKeyMarker,mf.UploadIdMarker=yf.NextUploadIdMarker,hf()):zd(null,{UploadList:df})})};_f()}function Nd(Vd,zd){var Xd=this,df=[],mf={Bucket:Vd.Bucket,Region:Vd.Region,Key:Vd.Key,UploadId:Vd.UploadId,calledBySdk:"sliceUploadFile",tracker:Vd.tracker},_f=function hf(){Xd.multipartListPart(mf,function(gf,yf){if(gf)return zd(gf);df.push.apply(df,yf.Part||[]),yf.IsTruncated==="true"?(mf.PartNumberMarker=yf.NextPartNumberMarker,hf()):zd(null,{PartList:df})})};_f()}function Rd(Vd,zd){var Xd=this,df=Vd.TaskId,mf=Vd.Bucket,_f=Vd.Region,hf=Vd.Key,gf=Vd.UploadData,yf=Vd.FileSize,Nf=Vd.SliceSize,Pf=Math.min(Vd.AsyncLimit||Xd.options.ChunkParallelLimit||1,256),Yf=Vd.Body,Uf=Math.ceil(yf/Nf),Lf=0,xf=Vd.ServerSideEncryption,wf=Vd.Headers,Jf=ud.filter(gf.PartList,function(Ef){return Ef.Uploaded&&(Lf+=Ef.PartNumber>=Uf&&yf%Nf||Nf),!Ef.Uploaded}),Qf=Vd.onProgress;ld.eachLimit(Jf,Pf,function(Ef,bf){if(Xd._isRunningTask(df)){var Bf=Ef.PartNumber,Kf=Math.min(yf,Ef.PartNumber*Nf)-(Ef.PartNumber-1)*Nf,nh=0;Bd.call(Xd,{TaskId:df,Bucket:mf,Region:_f,Key:hf,SliceSize:Nf,FileSize:yf,PartNumber:Bf,ServerSideEncryption:xf,Body:Yf,UploadData:gf,Headers:wf,onProgress:function($f){Lf+=$f.loaded-nh,nh=$f.loaded,Qf({loaded:Lf,total:yf})},tracker:Vd.tracker},function(zf,$f){Xd._isRunningTask(df)&&(!zf&&!$f.ETag&&(zf='get ETag error, please add "ETag" to CORS ExposeHeader setting.( 获取ETag失败,请在CORS ExposeHeader设置中添加ETag,请参考文档:https://cloud.tencent.com/document/product/436/13318 )'),zf?Lf-=nh:(Lf+=Kf-nh,Ef.ETag=$f.ETag),Qf({loaded:Lf,total:yf}),bf(zf||null,$f))})}},function(Ef){if(Xd._isRunningTask(df)){if(Ef)return zd(Ef);zd(null,{UploadId:gf.UploadId,SliceList:gf.PartList})}})}function Bd(Vd,zd){var Xd=this,df=Vd.TaskId,mf=Vd.Bucket,_f=Vd.Region,hf=Vd.Key,gf=Vd.FileSize,yf=Vd.Body,Nf=Vd.PartNumber*1,Pf=Vd.SliceSize,Yf=Vd.ServerSideEncryption,Uf=Vd.UploadData,Lf=Vd.Headers||{},xf=Xd.options.ChunkRetryTimes+1,wf=Pf*(Nf-1),Jf=Pf,Qf=wf+Pf;Qf>gf&&(Qf=gf,Jf=Qf-wf);var Ef=["x-cos-traffic-limit","x-cos-mime-limit"],bf={};ud.each(Lf,function(Kf,nh){Ef.indexOf(nh)>-1&&(bf[nh]=Kf)});var Bf=Uf.PartList[Nf-1];ld.retry(xf,function(Kf){Xd._isRunningTask(df)&&ud.fileSlice(yf,wf,Qf,!0,function(nh){Xd.multipartUpload({TaskId:df,Bucket:mf,Region:_f,Key:hf,ContentLength:Jf,PartNumber:Nf,UploadId:Uf.UploadId,ServerSideEncryption:Yf,Body:nh,Headers:bf,onProgress:Vd.onProgress,calledBySdk:"sliceUploadFile",tracker:Vd.tracker},function(zf,$f){if(Xd._isRunningTask(df))return zf?Kf(zf):(Bf.Uploaded=!0,Kf(null,$f))})})},function(Kf,nh){if(Xd._isRunningTask(df))return zd(Kf,nh)})}function kd(Vd,zd){var Xd=Vd.Bucket,df=Vd.Region,mf=Vd.Key,_f=Vd.UploadId,hf=Vd.SliceList,gf=this,yf=this.options.ChunkRetryTimes+1,Nf=Vd.Headers,Pf=hf.map(function(Yf){return{PartNumber:Yf.PartNumber,ETag:Yf.ETag}});ld.retry(yf,function(Yf){gf.multipartComplete({Bucket:Xd,Region:df,Key:mf,UploadId:_f,Parts:Pf,Headers:Nf,calledBySdk:"sliceUploadFile",tracker:Vd.tracker},Yf)},function(Yf,Uf){zd(Yf,Uf)})}function Od(Vd,zd){var Xd=Vd.Bucket,df=Vd.Region,mf=Vd.Key,_f=Vd.UploadId,hf=Vd.Level||"task",gf=Vd.AsyncLimit,yf=this,Nf=new cd;if(Nf.on("error",function(Pf){return zd(Pf)}),Nf.on("get_abort_array",function(Pf){Md.call(yf,{Bucket:Xd,Region:df,Key:mf,Headers:Vd.Headers,AsyncLimit:gf,AbortArray:Pf},zd)}),hf==="bucket")Td.call(yf,{Bucket:Xd,Region:df,calledBySdk:"abortUploadTask"},function(Pf,Yf){if(Pf)return zd(Pf);Nf.emit("get_abort_array",Yf.UploadList||[])});else if(hf==="file"){if(!mf)return zd(ud.error(new Error("abort_upload_task_no_key")));Td.call(yf,{Bucket:Xd,Region:df,Key:mf,calledBySdk:"abortUploadTask"},function(Pf,Yf){if(Pf)return zd(Pf);Nf.emit("get_abort_array",Yf.UploadList||[])})}else if(hf==="task"){if(!_f)return zd(ud.error(new Error("abort_upload_task_no_id")));if(!mf)return zd(ud.error(new Error("abort_upload_task_no_key")));Nf.emit("get_abort_array",[{Key:mf,UploadId:_f}])}else return zd(ud.error(new Error("abort_unknown_level")))}function Md(Vd,zd){var Xd=Vd.Bucket,df=Vd.Region,mf=Vd.Key,_f=Vd.AbortArray,hf=Vd.AsyncLimit||1,gf=this,yf=0,Nf=new Array(_f.length);ld.eachLimit(_f,hf,function(Pf,Yf){var Uf=yf;if(mf&&mf!==Pf.Key){Nf[Uf]={error:{KeyNotMatch:!0}},Yf(null);return}var Lf=Pf.UploadId||Pf.UploadID;gf.multipartAbort({Bucket:Xd,Region:df,Key:Pf.Key,Headers:Vd.Headers,UploadId:Lf},function(xf){var wf={Bucket:Xd,Region:df,Key:Pf.Key,UploadId:Lf};Nf[Uf]={error:xf,task:wf},Yf(null)}),yf++},function(Pf){if(Pf)return zd(Pf);for(var Yf=[],Uf=[],Lf=0,xf=Nf.length;Lfdf?"sliceUploadFile":"putObject";Vd.tracker=new _d({Beacon:Xd.options.BeaconReporter,clsReporter:Xd.options.ClsReporter,bucket:Vd.Bucket,region:Vd.Region,apiName:"uploadFile",realApi:Nf,fileKey:Vd.Key,fileSize:hf,accelerate:yf,deepTracker:Xd.options.DeepTracker,customId:Xd.options.CustomId,delay:Xd.options.TrackerDelay})}ud.each(Vd,function(wf,Jf){sd(wf)!=="object"&&typeof wf!="function"&&(gf[Jf]=wf)});var Pf=Vd.onTaskReady,Yf=function(Jf){gf.TaskId=Jf,Pf&&Pf(Jf)};Vd.onTaskReady=Yf;var Uf=hf>df?"sliceUploadFile":"putObject",Lf=Vd.onFileFinish,xf=function(Jf,Qf){Vd.tracker&&Vd.tracker.report(Jf,Qf),Lf&&Lf(Jf,Qf,gf),zd&&zd(Jf,Qf)};mf.push({api:Uf,params:Vd,callback:xf}),Xd._addTasks(mf)}function Fd(Vd,zd){var Xd=this,df=Vd.SliceSize===void 0?Xd.options.SliceSize:Vd.SliceSize,mf=0,_f=0,hf=ud.throttleOnProgress.call(Xd,_f,Vd.onProgress),gf=Vd.files.length,yf=Vd.onFileFinish,Nf=Array(gf),Pf=function(Lf,xf,wf){hf(null,!0),yf&&yf(Lf,xf,wf),Nf[wf.Index]={options:wf,error:Lf,data:xf},--gf<=0&&zd&&zd(null,{files:Nf})},Yf=[];ud.each(Vd.files,function(Uf,Lf){(function(){var xf=Uf.Body,wf=xf.size||xf.length||0,Jf={Index:Lf,TaskId:""};if(!Xd.options.UseRawKey&&Uf.Key&&Uf.Key.substr(0,1)==="/"&&(Uf.Key=Uf.Key.substr(1)),mf+=wf,Xd.options.EnableReporter){var Qf=Xd.options.UseAccelerate||typeof Xd.options.Domain=="string"&&Xd.options.Domain.includes("accelerate."),Ef=wf>df?"sliceUploadFile":"putObject";Uf.tracker=new _d({Beacon:Xd.options.BeaconReporter,clsReporter:Xd.options.ClsReporter,bucket:Uf.Bucket,region:Uf.Region,apiName:"uploadFiles",realApi:Ef,fileKey:Uf.Key,fileSize:wf,accelerate:Qf,deepTracker:Xd.options.DeepTracker,customId:Xd.options.CustomId,delay:Xd.options.TrackerDelay})}ud.each(Uf,function(Xf,Df){sd(Xf)!=="object"&&typeof Xf!="function"&&(Jf[Df]=Xf)});var bf=Uf.onTaskReady,Bf=function(Df){Jf.TaskId=Df,bf&&bf(Df)};Uf.onTaskReady=Bf;var Kf=0,nh=Uf.onProgress,zf=function(Df){_f=_f-Kf+Df.loaded,Kf=Df.loaded,nh&&nh(Df),hf({loaded:_f,total:mf})};Uf.onProgress=zf;var $f=wf>df?"sliceUploadFile":"putObject",th=Uf.onFileFinish,hh=function(Df,Of){Uf.tracker&&Uf.tracker.report(Df,Of),th&&th(Df,Of),Pf&&Pf(Df,Of,Jf)};Yf.push({api:$f,params:Uf,callback:hh})})()}),Xd._addTasks(Yf)}function Ud(Vd,zd){var Xd=new cd,df=this,mf=Vd.Bucket,_f=Vd.Region,hf=Vd.Key,gf=Vd.CopySource,yf=ud.getSourceParams.call(this,gf);if(!yf){zd(ud.error(new Error("CopySource format error")));return}var Nf=yf.Bucket,Pf=yf.Region,Yf=decodeURIComponent(yf.Key),Uf=Vd.CopySliceSize===void 0?df.options.CopySliceSize:Vd.CopySliceSize;Uf=Math.max(0,Uf);var Lf=Vd.CopyChunkSize||this.options.CopyChunkSize,xf=this.options.CopyChunkParallelLimit,wf=this.options.ChunkRetryTimes+1,Jf=0,Qf=0,Ef,bf,Bf={},Kf={},nh={};Xd.on("copy_slice_complete",function(zf){ud.each(Vd.Headers,function(th,hh){hh.toLowerCase().indexOf("x-cos-meta-")});var $f=ud.map(zf.PartList,function(th){return{PartNumber:th.PartNumber,ETag:th.ETag}});ld.retry(wf,function(th){df.multipartComplete({Bucket:mf,Region:_f,Key:hf,UploadId:zf.UploadId,Parts:$f,tracker:Vd.tracker,calledBySdk:"sliceCopyFile"},th)},function(th,hh){if(od.removeUsing(zf.UploadId),th)return bf(null,!0),zd(th);od.removeUploadId(zf.UploadId),bf({loaded:Ef,total:Ef},!0),zd(null,hh)})}),Xd.on("get_copy_data_finish",function(zf){var $f=od.getCopyFileId(gf,Bf,Lf,mf,hf);$f&&od.saveUploadId($f,zf.UploadId,df.options.UploadIdCacheLimit),od.setUsing(zf.UploadId);var th=ud.filter(zf.PartList,function(hh){return hh.Uploaded&&(Qf+=hh.PartNumber>=Jf&&Ef%Lf||Lf),!hh.Uploaded});ld.eachLimit(th,xf,function(hh,Xf){var Df=hh.PartNumber,Of=hh.CopySourceRange,Vf=hh.end-hh.start;ld.retry(wf,function(dh){Gd.call(df,{Bucket:mf,Region:_f,Key:hf,CopySource:gf,UploadId:zf.UploadId,PartNumber:Df,CopySourceRange:Of,tracker:Vd.tracker,calledBySdk:"sliceCopyFile"},dh)},function(dh,bh){if(dh)return Xf(dh);Qf+=Vf,bf({loaded:Qf,total:Ef}),hh.ETag=bh.ETag,Xf(dh||null,bh)})},function(hh){if(hh)return od.removeUsing(zf.UploadId),bf(null,!0),zd(hh);Xd.emit("copy_slice_complete",zf)})}),Xd.on("get_chunk_size_finish",function(){var zf=function(){df.multipartInit({Bucket:mf,Region:_f,Key:hf,Headers:nh,tracker:Vd.tracker,calledBySdk:"sliceCopyFile"},function(Df,Of){if(Df)return zd(Df);Vd.UploadId=Of.UploadId,Xd.emit("get_copy_data_finish",{UploadId:Vd.UploadId,PartList:Vd.PartList})})},$f=od.getCopyFileId(gf,Bf,Lf,mf,hf),th=od.getUploadIdList($f);if(!$f||!th)return zf();var hh=function Xf(Df){if(Df>=th.length)return zf();var Of=th[Df];if(od.using[Of])return Xf(Df+1);Nd.call(df,{Bucket:mf,Region:_f,Key:hf,UploadId:Of,tracker:Vd.tracker,calledBySdk:"sliceCopyFile"},function(Vf,dh){if(Vf)od.removeUploadId(Of),Xf(Df+1);else{if(od.using[Of])return Xf(Df+1);var bh={},oh=0;ud.each(dh.PartList,function(Ih){var _h=parseInt(Ih.Size),Dh=oh+_h-1;bh[Ih.PartNumber+"|"+oh+"|"+Dh]=Ih.ETag,oh+=_h}),ud.each(Vd.PartList,function(Ih){var _h=bh[Ih.PartNumber+"|"+Ih.start+"|"+Ih.end];_h&&(Ih.ETag=_h,Ih.Uploaded=!0)}),Xd.emit("get_copy_data_finish",{UploadId:Of,PartList:Vd.PartList})}})};hh(0)}),Xd.on("get_file_size_finish",function(){if(function(){for(var $f=[1,2,4,8,16,32,64,128,256,512,1024,2048,4096,5120],th=1024*1024,hh=0;hh<$f.length&&(th=$f[hh]*1024*1024,!(Ef/th<=df.options.MaxPartNumber));hh++);Vd.ChunkSize=Lf=Math.max(Lf,th),Jf=Math.ceil(Ef/Lf);for(var Xf=[],Df=1;Df<=Jf;Df++){var Of=(Df-1)*Lf,Vf=Df*LfDf.length&&(Kf[Xf]=hh)}),Xd.emit("get_file_size_finish")}})}function Gd(Vd,zd){var Xd=Vd.TaskId,df=Vd.Bucket,mf=Vd.Region,_f=Vd.Key,hf=Vd.CopySource,gf=Vd.UploadId,yf=Vd.PartNumber*1,Nf=Vd.CopySourceRange,Pf=this.options.ChunkRetryTimes+1,Yf=this;ld.retry(Pf,function(Uf){Yf.uploadPartCopy({TaskId:Xd,Bucket:df,Region:mf,Key:_f,CopySource:hf,UploadId:gf,PartNumber:yf,CopySourceRange:Nf,tracker:Vd.tracker,calledBySdk:Vd.calledBySdk},function(Lf,xf){Uf(Lf||null,xf)})},function(Uf,Lf){return zd(Uf,Lf)})}var Qd={sliceUploadFile:Ed,abortUploadTask:Od,uploadFile:Pd,uploadFiles:Fd,sliceCopyFile:Ud};ed.exports.init=function(Vd,zd){zd.transferToTaskMethod(Qd,"sliceUploadFile"),ud.each(Qd,function(Xd,df){Vd.prototype[df]=ud.apiWrapper(df,Xd)})}},"./src/async.js":function(ed,td){var rd=function(cd,ud,_d,Ed){if(Ed=Ed||function(){},!cd.length||ud<=0)return Ed();var Ad=0,Td=0,Nd=0;(function Rd(){if(Ad>=cd.length)return Ed();for(;Nd=cd.length?Ed():Rd())})})()},sd=function(cd,ud,_d){var Ed=function Ad(Td){ud(function(Nd,Rd){Nd&&Td"u"?"undefined":sd(location))==="object"&&location.protocol==="http:"?"http:":"https:"),fh=this.options.ServiceDomain,Ah=Af.AppId||this.options.appId,Ch=Af.Region;fh?(fh=fh.replace(/\{\{AppId\}\}/gi,Ah||"").replace(/\{\{Region\}\}/gi,Ch||"").replace(/\{\{.*?\}\}/gi,""),/^[a-zA-Z]+:\/\//.test(fh)||(fh=ih+"//"+fh),fh.slice(-1)==="/"&&(fh=fh.slice(0,-1))):Ch?fh=ih+"//cos."+Ch+".myqcloud.com":fh=ih+"//service.cos.myqcloud.com";var Bh="",Rh=Ch?"cos."+Ch+".myqcloud.com":"service.cos.myqcloud.com",gh=fh.replace(/^https?:\/\/([^/]+)(\/.*)?$/,"$1");Rh===gh&&(Bh=Rh),Hm.call(this,{Action:"name/cos:GetService",url:fh,method:"GET",headers:Af.Headers,SignHost:Bh,tracker:Af.tracker},function(dm,cm){if(dm)return ph(dm);var _m=cm&&cm.ListAllMyBucketsResult&&cm.ListAllMyBucketsResult.Buckets&&cm.ListAllMyBucketsResult.Buckets.Bucket||[];_m=ld.isArray(_m)?_m:[_m];var Gf=cm&&cm.ListAllMyBucketsResult&&cm.ListAllMyBucketsResult.Owner||{};ph(null,{Buckets:_m,Owner:Gf,statusCode:cm.statusCode,headers:cm.headers})})}function ud(Af,ph){var ih=this,fh="";if(Af.BucketAZConfig){var Ah={BucketAZConfig:Af.BucketAZConfig};fh=ld.json2xml({CreateBucketConfiguration:Ah})}Hm.call(this,{Action:"name/cos:PutBucket",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,body:fh,tracker:Af.tracker},function(Ch,Bh){if(Ch)return ph(Ch);var Rh=V_({protocol:ih.options.Protocol,domain:ih.options.Domain,bucket:Af.Bucket,region:Af.Region,isLocation:!0});ph(null,{Location:Rh,statusCode:Bh.statusCode,headers:Bh.headers})})}function _d(Af,ph){Hm.call(this,{Action:"name/cos:HeadBucket",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,method:"HEAD",tracker:Af.tracker},ph)}function Ed(Af,ph){var ih={};ih.prefix=Af.Prefix||"",ih.delimiter=Af.Delimiter,ih.marker=Af.Marker,ih["max-keys"]=Af.MaxKeys,ih["encoding-type"]=Af.EncodingType,Hm.call(this,{Action:"name/cos:GetBucket",ResourceKey:ih.prefix,method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,qs:ih,tracker:Af.tracker},function(fh,Ah){if(fh)return ph(fh);var Ch=Ah.ListBucketResult||{},Bh=Ch.Contents||[],Rh=Ch.CommonPrefixes||[];Bh=ld.isArray(Bh)?Bh:[Bh],Rh=ld.isArray(Rh)?Rh:[Rh];var gh=ld.clone(Ch);ld.extend(gh,{Contents:Bh,CommonPrefixes:Rh,statusCode:Ah.statusCode,headers:Ah.headers}),ph(null,gh)})}function Ad(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucket",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,method:"DELETE",tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function Td(Af,ph){var ih=Af.Headers,fh="";if(Af.AccessControlPolicy){var Ah=ld.clone(Af.AccessControlPolicy||{}),Ch=Ah.Grants||Ah.Grant;Ch=ld.isArray(Ch)?Ch:[Ch],delete Ah.Grant,delete Ah.Grants,Ah.AccessControlList={Grant:Ch},fh=ld.json2xml({AccessControlPolicy:Ah}),ih["Content-Type"]="application/xml",ih["Content-MD5"]=ld.b64(ld.md5(fh))}ld.each(ih,function(Bh,Rh){Rh.indexOf("x-cos-grant-")===0&&(ih[Rh]=P_(ih[Rh]))}),Hm.call(this,{Action:"name/cos:PutBucketACL",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,headers:ih,action:"acl",body:fh,tracker:Af.tracker},function(Bh,Rh){if(Bh)return ph(Bh);ph(null,{statusCode:Rh.statusCode,headers:Rh.headers})})}function Nd(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketACL",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"acl",tracker:Af.tracker},function(ih,fh){if(ih)return ph(ih);var Ah=fh.AccessControlPolicy||{},Ch=Ah.Owner||{},Bh=Ah.AccessControlList.Grant||[];Bh=ld.isArray(Bh)?Bh:[Bh];var Rh=Qm(Ah);fh.headers&&fh.headers["x-cos-acl"]&&(Rh.ACL=fh.headers["x-cos-acl"]),Rh=ld.extend(Rh,{Owner:Ch,Grants:Bh,statusCode:fh.statusCode,headers:fh.headers}),ph(null,Rh)})}function Rd(Af,ph){var ih=Af.CORSConfiguration||{},fh=ih.CORSRules||Af.CORSRules||[];fh=ld.clone(ld.isArray(fh)?fh:[fh]),ld.each(fh,function(Rh){ld.each(["AllowedOrigin","AllowedHeader","AllowedMethod","ExposeHeader"],function(gh){var dm=gh+"s",cm=Rh[dm]||Rh[gh]||[];delete Rh[dm],Rh[gh]=ld.isArray(cm)?cm:[cm]})});var Ah={CORSRule:fh};Af.ResponseVary&&(Ah.ResponseVary=Af.ResponseVary);var Ch=ld.json2xml({CORSConfiguration:Ah}),Bh=Af.Headers;Bh["Content-Type"]="application/xml",Bh["Content-MD5"]=ld.b64(ld.md5(Ch)),Hm.call(this,{Action:"name/cos:PutBucketCORS",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:Ch,action:"cors",headers:Bh,tracker:Af.tracker},function(Rh,gh){if(Rh)return ph(Rh);ph(null,{statusCode:gh.statusCode,headers:gh.headers})})}function Bd(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketCORS",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"cors",tracker:Af.tracker},function(ih,fh){if(ih){if(ih.statusCode===404&&ih.error&&ih.error.Code==="NoSuchCORSConfiguration"){var Ah={CORSRules:[],statusCode:ih.statusCode};ih.headers&&(Ah.headers=ih.headers),ph(null,Ah)}else ph(ih);return}var Ch=fh.CORSConfiguration||{},Bh=Ch.CORSRules||Ch.CORSRule||[];Bh=ld.clone(ld.isArray(Bh)?Bh:[Bh]);var Rh=Ch.ResponseVary;ld.each(Bh,function(gh){ld.each(["AllowedOrigin","AllowedHeader","AllowedMethod","ExposeHeader"],function(dm){var cm=dm+"s",_m=gh[cm]||gh[dm]||[];delete gh[dm],gh[cm]=ld.isArray(_m)?_m:[_m]})}),ph(null,{CORSRules:Bh,ResponseVary:Rh,statusCode:fh.statusCode,headers:fh.headers})})}function kd(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucketCORS",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"cors",tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode||ih.statusCode,headers:fh.headers})})}function Od(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketLocation",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"location",tracker:Af.tracker},ph)}function Md(Af,ph){var ih=Af.Policy;try{typeof ih=="string"&&(ih=JSON.parse(ih))}catch{}if(!ih||typeof ih=="string")return ph(ld.error(new Error("Policy format error")));var fh=JSON.stringify(ih);ih.version||(ih.version="2.0");var Ah=Af.Headers;Ah["Content-Type"]="application/json",Ah["Content-MD5"]=ld.b64(ld.md5(fh)),Hm.call(this,{Action:"name/cos:PutBucketPolicy",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,action:"policy",body:fh,headers:Ah,tracker:Af.tracker},function(Ch,Bh){if(Ch&&Ch.statusCode===204)return ph(null,{statusCode:Ch.statusCode});if(Ch)return ph(Ch);ph(null,{statusCode:Bh.statusCode,headers:Bh.headers})})}function Pd(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketPolicy",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"policy",rawBody:!0,tracker:Af.tracker},function(ih,fh){if(ih)return ih.statusCode&&ih.statusCode===403?ph(ld.error(ih,{ErrorStatus:"Access Denied"})):ih.statusCode&&ih.statusCode===405?ph(ld.error(ih,{ErrorStatus:"Method Not Allowed"})):ih.statusCode&&ih.statusCode===404?ph(ld.error(ih,{ErrorStatus:"Policy Not Found"})):ph(ih);var Ah={};try{Ah=JSON.parse(fh.body)}catch{}ph(null,{Policy:Ah,statusCode:fh.statusCode,headers:fh.headers})})}function Fd(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucketPolicy",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"policy",tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode||ih.statusCode,headers:fh.headers})})}function Ud(Af,ph){var ih=Af.Tagging||{},fh=ih.TagSet||ih.Tags||Af.Tags||[];fh=ld.clone(ld.isArray(fh)?fh:[fh]);var Ah=ld.json2xml({Tagging:{TagSet:{Tag:fh}}}),Ch=Af.Headers;Ch["Content-Type"]="application/xml",Ch["Content-MD5"]=ld.b64(ld.md5(Ah)),Hm.call(this,{Action:"name/cos:PutBucketTagging",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:Ah,action:"tagging",headers:Ch,tracker:Af.tracker},function(Bh,Rh){if(Bh&&Bh.statusCode===204)return ph(null,{statusCode:Bh.statusCode});if(Bh)return ph(Bh);ph(null,{statusCode:Rh.statusCode,headers:Rh.headers})})}function Gd(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketTagging",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"tagging",tracker:Af.tracker},function(ih,fh){if(ih){if(ih.statusCode===404&&ih.error&&(ih.error==="Not Found"||ih.error.Code==="NoSuchTagSet")){var Ah={Tags:[],statusCode:ih.statusCode};ih.headers&&(Ah.headers=ih.headers),ph(null,Ah)}else ph(ih);return}var Ch=[];try{Ch=fh.Tagging.TagSet.Tag||[]}catch{}Ch=ld.clone(ld.isArray(Ch)?Ch:[Ch]),ph(null,{Tags:Ch,statusCode:fh.statusCode,headers:fh.headers})})}function Qd(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucketTagging",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"tagging",tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function Vd(Af,ph){var ih=Af.LifecycleConfiguration||{},fh=ih.Rules||Af.Rules||[];fh=ld.clone(fh);var Ah=ld.json2xml({LifecycleConfiguration:{Rule:fh}}),Ch=Af.Headers;Ch["Content-Type"]="application/xml",Ch["Content-MD5"]=ld.b64(ld.md5(Ah)),Hm.call(this,{Action:"name/cos:PutBucketLifecycle",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:Ah,action:"lifecycle",headers:Ch,tracker:Af.tracker},function(Bh,Rh){if(Bh&&Bh.statusCode===204)return ph(null,{statusCode:Bh.statusCode});if(Bh)return ph(Bh);ph(null,{statusCode:Rh.statusCode,headers:Rh.headers})})}function zd(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketLifecycle",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"lifecycle",tracker:Af.tracker},function(ih,fh){if(ih){if(ih.statusCode===404&&ih.error&&ih.error.Code==="NoSuchLifecycleConfiguration"){var Ah={Rules:[],statusCode:ih.statusCode};ih.headers&&(Ah.headers=ih.headers),ph(null,Ah)}else ph(ih);return}var Ch=[];try{Ch=fh.LifecycleConfiguration.Rule||[]}catch{}Ch=ld.clone(ld.isArray(Ch)?Ch:[Ch]),ph(null,{Rules:Ch,statusCode:fh.statusCode,headers:fh.headers})})}function Xd(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucketLifecycle",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"lifecycle",tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function df(Af,ph){if(!Af.VersioningConfiguration){ph(ld.error(new Error("missing param VersioningConfiguration")));return}var ih=Af.VersioningConfiguration||{},fh=ld.json2xml({VersioningConfiguration:ih}),Ah=Af.Headers;Ah["Content-Type"]="application/xml",Ah["Content-MD5"]=ld.b64(ld.md5(fh)),Hm.call(this,{Action:"name/cos:PutBucketVersioning",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:fh,action:"versioning",headers:Ah,tracker:Af.tracker},function(Ch,Bh){if(Ch&&Ch.statusCode===204)return ph(null,{statusCode:Ch.statusCode});if(Ch)return ph(Ch);ph(null,{statusCode:Bh.statusCode,headers:Bh.headers})})}function mf(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketVersioning",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"versioning",tracker:Af.tracker},function(ih,fh){ih||!fh.VersioningConfiguration&&(fh.VersioningConfiguration={}),ph(ih,fh)})}function _f(Af,ph){var ih=ld.clone(Af.ReplicationConfiguration),fh=ld.json2xml({ReplicationConfiguration:ih});fh=fh.replace(/<(\/?)Rules>/gi,"<$1Rule>"),fh=fh.replace(/<(\/?)Tags>/gi,"<$1Tag>");var Ah=Af.Headers;Ah["Content-Type"]="application/xml",Ah["Content-MD5"]=ld.b64(ld.md5(fh)),Hm.call(this,{Action:"name/cos:PutBucketReplication",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:fh,action:"replication",headers:Ah,tracker:Af.tracker},function(Ch,Bh){if(Ch&&Ch.statusCode===204)return ph(null,{statusCode:Ch.statusCode});if(Ch)return ph(Ch);ph(null,{statusCode:Bh.statusCode,headers:Bh.headers})})}function hf(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketReplication",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"replication",tracker:Af.tracker},function(ih,fh){if(ih){if(ih.statusCode===404&&ih.error&&(ih.error==="Not Found"||ih.error.Code==="ReplicationConfigurationnotFoundError")){var Ah={ReplicationConfiguration:{Rules:[]},statusCode:ih.statusCode};ih.headers&&(Ah.headers=ih.headers),ph(null,Ah)}else ph(ih);return}!fh.ReplicationConfiguration&&(fh.ReplicationConfiguration={}),fh.ReplicationConfiguration.Rule&&(fh.ReplicationConfiguration.Rules=ld.makeArray(fh.ReplicationConfiguration.Rule),delete fh.ReplicationConfiguration.Rule),ph(ih,fh)})}function gf(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucketReplication",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"replication",tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function yf(Af,ph){if(!Af.WebsiteConfiguration){ph(ld.error(new Error("missing param WebsiteConfiguration")));return}var ih=ld.clone(Af.WebsiteConfiguration||{}),fh=ih.RoutingRules||ih.RoutingRule||[];fh=ld.isArray(fh)?fh:[fh],delete ih.RoutingRule,delete ih.RoutingRules,fh.length&&(ih.RoutingRules={RoutingRule:fh});var Ah=ld.json2xml({WebsiteConfiguration:ih}),Ch=Af.Headers;Ch["Content-Type"]="application/xml",Ch["Content-MD5"]=ld.b64(ld.md5(Ah)),Hm.call(this,{Action:"name/cos:PutBucketWebsite",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:Ah,action:"website",headers:Ch,tracker:Af.tracker},function(Bh,Rh){if(Bh&&Bh.statusCode===204)return ph(null,{statusCode:Bh.statusCode});if(Bh)return ph(Bh);ph(null,{statusCode:Rh.statusCode,headers:Rh.headers})})}function Nf(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketWebsite",method:"GET",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,headers:Af.Headers,action:"website",tracker:Af.tracker},function(ih,fh){if(ih){if(ih.statusCode===404&&ih.error.Code==="NoSuchWebsiteConfiguration"){var Ah={WebsiteConfiguration:{},statusCode:ih.statusCode};ih.headers&&(Ah.headers=ih.headers),ph(null,Ah)}else ph(ih);return}var Ch=fh.WebsiteConfiguration||{};if(Ch.RoutingRules){var Bh=ld.clone(Ch.RoutingRules.RoutingRule||[]);Bh=ld.makeArray(Bh),Ch.RoutingRules=Bh}ph(null,{WebsiteConfiguration:Ch,statusCode:fh.statusCode,headers:fh.headers})})}function Pf(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucketWebsite",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"website",tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function Yf(Af,ph){if(!Af.RefererConfiguration){ph(ld.error(new Error("missing param RefererConfiguration")));return}var ih=ld.clone(Af.RefererConfiguration||{}),fh=ih.DomainList||{},Ah=fh.Domains||fh.Domain||[];Ah=ld.isArray(Ah)?Ah:[Ah],Ah.length&&(ih.DomainList={Domain:Ah});var Ch=ld.json2xml({RefererConfiguration:ih}),Bh=Af.Headers;Bh["Content-Type"]="application/xml",Bh["Content-MD5"]=ld.b64(ld.md5(Ch)),Hm.call(this,{Action:"name/cos:PutBucketReferer",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:Ch,action:"referer",headers:Bh,tracker:Af.tracker},function(Rh,gh){if(Rh&&Rh.statusCode===204)return ph(null,{statusCode:Rh.statusCode});if(Rh)return ph(Rh);ph(null,{statusCode:gh.statusCode,headers:gh.headers})})}function Uf(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketReferer",method:"GET",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,headers:Af.Headers,action:"referer",tracker:Af.tracker},function(ih,fh){if(ih){if(ih.statusCode===404&&ih.error.Code==="NoSuchRefererConfiguration"){var Ah={WebsiteConfiguration:{},statusCode:ih.statusCode};ih.headers&&(Ah.headers=ih.headers),ph(null,Ah)}else ph(ih);return}var Ch=fh.RefererConfiguration||{};if(Ch.DomainList){var Bh=ld.makeArray(Ch.DomainList.Domain||[]);Ch.DomainList={Domains:Bh}}ph(null,{RefererConfiguration:Ch,statusCode:fh.statusCode,headers:fh.headers})})}function Lf(Af,ph){var ih=Af.DomainConfiguration||{},fh=ih.DomainRule||Af.DomainRule||[];fh=ld.clone(fh);var Ah=ld.json2xml({DomainConfiguration:{DomainRule:fh}}),Ch=Af.Headers;Ch["Content-Type"]="application/xml",Ch["Content-MD5"]=ld.b64(ld.md5(Ah)),Hm.call(this,{Action:"name/cos:PutBucketDomain",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:Ah,action:"domain",headers:Ch,tracker:Af.tracker},function(Bh,Rh){if(Bh&&Bh.statusCode===204)return ph(null,{statusCode:Bh.statusCode});if(Bh)return ph(Bh);ph(null,{statusCode:Rh.statusCode,headers:Rh.headers})})}function xf(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketDomain",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"domain",tracker:Af.tracker},function(ih,fh){if(ih)return ph(ih);var Ah=[];try{Ah=fh.DomainConfiguration.DomainRule||[]}catch{}Ah=ld.clone(ld.isArray(Ah)?Ah:[Ah]),ph(null,{DomainRule:Ah,statusCode:fh.statusCode,headers:fh.headers})})}function wf(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucketDomain",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"domain",tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function Jf(Af,ph){var ih=Af.OriginConfiguration||{},fh=ih.OriginRule||Af.OriginRule||[];fh=ld.clone(fh);var Ah=ld.json2xml({OriginConfiguration:{OriginRule:fh}}),Ch=Af.Headers;Ch["Content-Type"]="application/xml",Ch["Content-MD5"]=ld.b64(ld.md5(Ah)),Hm.call(this,{Action:"name/cos:PutBucketOrigin",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:Ah,action:"origin",headers:Ch,tracker:Af.tracker},function(Bh,Rh){if(Bh&&Bh.statusCode===204)return ph(null,{statusCode:Bh.statusCode});if(Bh)return ph(Bh);ph(null,{statusCode:Rh.statusCode,headers:Rh.headers})})}function Qf(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketOrigin",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"origin",tracker:Af.tracker},function(ih,fh){if(ih)return ph(ih);var Ah=[];try{Ah=fh.OriginConfiguration.OriginRule||[]}catch{}Ah=ld.clone(ld.isArray(Ah)?Ah:[Ah]),ph(null,{OriginRule:Ah,statusCode:fh.statusCode,headers:fh.headers})})}function Ef(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucketOrigin",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"origin",tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function bf(Af,ph){var ih=ld.json2xml({BucketLoggingStatus:Af.BucketLoggingStatus||""}),fh=Af.Headers;fh["Content-Type"]="application/xml",fh["Content-MD5"]=ld.b64(ld.md5(ih)),Hm.call(this,{Action:"name/cos:PutBucketLogging",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:ih,action:"logging",headers:fh,tracker:Af.tracker},function(Ah,Ch){if(Ah&&Ah.statusCode===204)return ph(null,{statusCode:Ah.statusCode});if(Ah)return ph(Ah);ph(null,{statusCode:Ch.statusCode,headers:Ch.headers})})}function Bf(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketLogging",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"logging",tracker:Af.tracker},function(ih,fh){if(ih)return ph(ih);ph(null,{BucketLoggingStatus:fh.BucketLoggingStatus,statusCode:fh.statusCode,headers:fh.headers})})}function Kf(Af,ph,ih){var fh=ld.clone(ph.InventoryConfiguration);if(fh.OptionalFields){var Ah=fh.OptionalFields||[];fh.OptionalFields={Field:Ah}}if(fh.Destination&&fh.Destination.COSBucketDestination&&fh.Destination.COSBucketDestination.Encryption){var Ch=fh.Destination.COSBucketDestination.Encryption;Object.keys(Ch).indexOf("SSECOS")>-1&&(Ch["SSE-COS"]=Ch.SSECOS,delete Ch.SSECOS)}var Bh=ld.json2xml({InventoryConfiguration:fh}),Rh=ph.Headers;Rh["Content-Type"]="application/xml",Rh["Content-MD5"]=ld.b64(ld.md5(Bh));var gh=Af==="PUT"?"name/cos:PutBucketInventory":"name/cos:PostBucketInventory";Hm.call(this,{Action:gh,method:Af,Bucket:ph.Bucket,Region:ph.Region,body:Bh,action:"inventory",qs:{id:ph.Id},headers:Rh,tracker:ph.tracker},function(dm,cm){if(dm&&dm.statusCode===204)return ih(null,{statusCode:dm.statusCode});if(dm)return ih(dm);ih(null,{statusCode:cm.statusCode,headers:cm.headers})})}function nh(Af,ph){return Kf.call(this,"PUT",Af,ph)}function zf(Af,ph){return Kf.call(this,"POST",Af,ph)}function $f(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketInventory",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"inventory",qs:{id:Af.Id},tracker:Af.tracker},function(ih,fh){if(ih)return ph(ih);var Ah=fh.InventoryConfiguration;if(Ah&&Ah.OptionalFields&&Ah.OptionalFields.Field){var Ch=Ah.OptionalFields.Field;ld.isArray(Ch)||(Ch=[Ch]),Ah.OptionalFields=Ch}if(Ah.Destination&&Ah.Destination.COSBucketDestination&&Ah.Destination.COSBucketDestination.Encryption){var Bh=Ah.Destination.COSBucketDestination.Encryption;Object.keys(Bh).indexOf("SSE-COS")>-1&&(Bh.SSECOS=Bh["SSE-COS"],delete Bh["SSE-COS"])}ph(null,{InventoryConfiguration:Ah,statusCode:fh.statusCode,headers:fh.headers})})}function th(Af,ph){Hm.call(this,{Action:"name/cos:ListBucketInventory",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"inventory",qs:{"continuation-token":Af.ContinuationToken},tracker:Af.tracker},function(ih,fh){if(ih)return ph(ih);var Ah=fh.ListInventoryConfigurationResult,Ch=Ah.InventoryConfiguration||[];Ch=ld.isArray(Ch)?Ch:[Ch],delete Ah.InventoryConfiguration,ld.each(Ch,function(Bh){if(Bh&&Bh.OptionalFields&&Bh.OptionalFields.Field){var Rh=Bh.OptionalFields.Field;ld.isArray(Rh)||(Rh=[Rh]),Bh.OptionalFields=Rh}if(Bh.Destination&&Bh.Destination.COSBucketDestination&&Bh.Destination.COSBucketDestination.Encryption){var gh=Bh.Destination.COSBucketDestination.Encryption;Object.keys(gh).indexOf("SSE-COS")>-1&&(gh.SSECOS=gh["SSE-COS"],delete gh["SSE-COS"])}}),Ah.InventoryConfigurations=Ch,ld.extend(Ah,{statusCode:fh.statusCode,headers:fh.headers}),ph(null,Ah)})}function hh(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucketInventory",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"inventory",qs:{id:Af.Id},tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function Xf(Af,ph){if(!Af.AccelerateConfiguration){ph(ld.error(new Error("missing param AccelerateConfiguration")));return}var ih={AccelerateConfiguration:Af.AccelerateConfiguration||{}},fh=ld.json2xml(ih),Ah={};Ah["Content-Type"]="application/xml",Ah["Content-MD5"]=ld.b64(ld.md5(fh)),Hm.call(this,{Action:"name/cos:PutBucketAccelerate",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:fh,action:"accelerate",headers:Ah,tracker:Af.tracker},function(Ch,Bh){if(Ch)return ph(Ch);ph(null,{statusCode:Bh.statusCode,headers:Bh.headers})})}function Df(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketAccelerate",method:"GET",Bucket:Af.Bucket,Region:Af.Region,action:"accelerate",tracker:Af.tracker},function(ih,fh){ih||!fh.AccelerateConfiguration&&(fh.AccelerateConfiguration={}),ph(ih,fh)})}function Of(Af,ph){var ih=Af.ServerSideEncryptionConfiguration||{},fh=ih.Rule||ih.Rules||[],Ah=ld.json2xml({ServerSideEncryptionConfiguration:{Rule:fh}}),Ch=Af.Headers;Ch["Content-Type"]="application/xml",Ch["Content-MD5"]=ld.b64(ld.md5(Ah)),Hm.call(this,{Action:"name/cos:PutBucketEncryption",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,body:Ah,action:"encryption",headers:Ch,tracker:Af.tracker},function(Bh,Rh){if(Bh&&Bh.statusCode===204)return ph(null,{statusCode:Bh.statusCode});if(Bh)return ph(Bh);ph(null,{statusCode:Rh.statusCode,headers:Rh.headers})})}function Vf(Af,ph){Hm.call(this,{Action:"name/cos:GetBucketEncryption",method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"encryption",tracker:Af.tracker},function(ih,fh){if(ih){if(ih.statusCode===404&&ih.code==="NoSuchEncryptionConfiguration"){var Ah={EncryptionConfiguration:{Rules:[]},statusCode:ih.statusCode};ih.headers&&(Ah.headers=ih.headers),ph(null,Ah)}else ph(ih);return}var Ch=ld.makeArray(fh.EncryptionConfiguration&&fh.EncryptionConfiguration.Rule||[]);fh.EncryptionConfiguration={Rules:Ch},ph(ih,fh)})}function dh(Af,ph){Hm.call(this,{Action:"name/cos:DeleteBucketReplication",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"encryption",tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function bh(Af,ph){Hm.call(this,{Action:"name/cos:HeadObject",method:"HEAD",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,VersionId:Af.VersionId,headers:Af.Headers,tracker:Af.tracker},function(ih,fh){if(ih){var Ah=ih.statusCode;return Af.Headers["If-Modified-Since"]&&Ah&&Ah===304?ph(null,{NotModified:!0,statusCode:Ah}):ph(ih)}fh.ETag=ld.attr(fh.headers,"etag",""),ph(null,fh)})}function oh(Af,ph){var ih={};ih.prefix=Af.Prefix||"",ih.delimiter=Af.Delimiter,ih["key-marker"]=Af.KeyMarker,ih["version-id-marker"]=Af.VersionIdMarker,ih["max-keys"]=Af.MaxKeys,ih["encoding-type"]=Af.EncodingType,Hm.call(this,{Action:"name/cos:GetBucketObjectVersions",ResourceKey:ih.prefix,method:"GET",Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,qs:ih,action:"versions",tracker:Af.tracker},function(fh,Ah){if(fh)return ph(fh);var Ch=Ah.ListVersionsResult||{},Bh=Ch.DeleteMarker||[];Bh=ld.isArray(Bh)?Bh:[Bh];var Rh=Ch.Version||[];Rh=ld.isArray(Rh)?Rh:[Rh];var gh=ld.clone(Ch);delete gh.DeleteMarker,delete gh.Version,ld.extend(gh,{DeleteMarkers:Bh,Versions:Rh,statusCode:Ah.statusCode,headers:Ah.headers}),ph(null,gh)})}function Ih(Af,ph){if(this.options.ObjectKeySimplifyCheck){var ih=ld.simplifyPath(Af.Key);if(ih==="/"){ph(ld.error(new Error("The Getobject Key is illegal")));return}}var fh=Af.Query||{},Ah=Af.QueryString||"",Ch=ld.throttleOnProgress.call(this,0,Af.onProgress),Bh=Af.tracker;Bh&&Bh.setParams({signStartTime:new Date().getTime()}),fh["response-content-type"]=Af.ResponseContentType,fh["response-content-language"]=Af.ResponseContentLanguage,fh["response-expires"]=Af.ResponseExpires,fh["response-cache-control"]=Af.ResponseCacheControl,fh["response-content-disposition"]=Af.ResponseContentDisposition,fh["response-content-encoding"]=Af.ResponseContentEncoding,Hm.call(this,{Action:"name/cos:GetObject",method:"GET",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,VersionId:Af.VersionId,DataType:Af.DataType,headers:Af.Headers,qs:fh,qsStr:Ah,rawBody:!0,onDownloadProgress:Ch,tracker:Bh},function(Rh,gh){if(Ch(null,!0),Rh){var dm=Rh.statusCode;return Af.Headers["If-Modified-Since"]&&dm&&dm===304?ph(null,{NotModified:!0}):ph(Rh)}ph(null,{Body:gh.body,ETag:ld.attr(gh.headers,"etag",""),statusCode:gh.statusCode,headers:gh.headers})})}function _h(Af,ph){var ih=this,fh=Af.ContentLength,Ah=ld.throttleOnProgress.call(ih,fh,Af.onProgress),Ch=Af.Headers;!Ch["Cache-Control"]&&!Ch["cache-control"]&&(Ch["Cache-Control"]=""),!Ch["Content-Type"]&&!Ch["content-type"]&&(Ch["Content-Type"]=Af.Body&&Af.Body.type||"");var Bh=Af.UploadAddMetaMd5||ih.options.UploadAddMetaMd5||ih.options.UploadCheckContentMd5,Rh=Af.tracker;Bh&&Rh&&Rh.setParams({md5StartTime:new Date().getTime()}),ld.getBodyMd5(Bh,Af.Body,function(gh){gh&&(Rh&&Rh.setParams({md5EndTime:new Date().getTime()}),ih.options.UploadCheckContentMd5&&(Ch["Content-MD5"]=ld.b64(gh)),(Af.UploadAddMetaMd5||ih.options.UploadAddMetaMd5)&&(Ch["x-cos-meta-md5"]=gh)),Af.ContentLength!==void 0&&(Ch["Content-Length"]=Af.ContentLength),Ah(null,!0),Hm.call(ih,{Action:"name/cos:PutObject",TaskId:Af.TaskId,method:"PUT",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,headers:Af.Headers,qs:Af.Query,body:Af.Body,onProgress:Ah,tracker:Rh},function(dm,cm){if(dm)return Ah(null,!0),ph(dm);Ah({loaded:fh,total:fh},!0);var _m=V_({ForcePathStyle:ih.options.ForcePathStyle,protocol:ih.options.Protocol,domain:ih.options.Domain,bucket:Af.Bucket,region:ih.options.UseAccelerate?"accelerate":Af.Region,object:Af.Key});_m=_m.substr(_m.indexOf("://")+3),cm.Location=_m,cm.ETag=ld.attr(cm.headers,"etag",""),ph(null,cm)})},Af.onHashProgress)}function Dh(Af,ph){Hm.call(this,{Action:"name/cos:DeleteObject",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,headers:Af.Headers,VersionId:Af.VersionId,action:Af.Recursive?"recursive":"",tracker:Af.tracker},function(ih,fh){if(ih){var Ah=ih.statusCode;return Ah&&Ah===404?ph(null,{BucketNotFound:!0,statusCode:Ah}):ph(ih)}ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function Xh(Af,ph){var ih={};Af.VersionId&&(ih.versionId=Af.VersionId),Hm.call(this,{Action:"name/cos:GetObjectACL",method:"GET",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,headers:Af.Headers,qs:ih,action:"acl",tracker:Af.tracker},function(fh,Ah){if(fh)return ph(fh);var Ch=Ah.AccessControlPolicy||{},Bh=Ch.Owner||{},Rh=Ch.AccessControlList&&Ch.AccessControlList.Grant||[];Rh=ld.isArray(Rh)?Rh:[Rh];var gh=Qm(Ch);delete gh.GrantWrite,Ah.headers&&Ah.headers["x-cos-acl"]&&(gh.ACL=Ah.headers["x-cos-acl"]),gh=ld.extend(gh,{Owner:Bh,Grants:Rh,statusCode:Ah.statusCode,headers:Ah.headers}),ph(null,gh)})}function qh(Af,ph){var ih=Af.Headers,fh="";if(Af.AccessControlPolicy){var Ah=ld.clone(Af.AccessControlPolicy||{}),Ch=Ah.Grants||Ah.Grant;Ch=ld.isArray(Ch)?Ch:[Ch],delete Ah.Grant,delete Ah.Grants,Ah.AccessControlList={Grant:Ch},fh=ld.json2xml({AccessControlPolicy:Ah}),ih["Content-Type"]="application/xml",ih["Content-MD5"]=ld.b64(ld.md5(fh))}ld.each(ih,function(Bh,Rh){Rh.indexOf("x-cos-grant-")===0&&(ih[Rh]=P_(ih[Rh]))}),Hm.call(this,{Action:"name/cos:PutObjectACL",method:"PUT",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,action:"acl",headers:ih,body:fh,tracker:Af.tracker},function(Bh,Rh){if(Bh)return ph(Bh);ph(null,{statusCode:Rh.statusCode,headers:Rh.headers})})}function sm(Af,ph){var ih=Af.Headers;ih.Origin=Af.Origin,ih["Access-Control-Request-Method"]=Af.AccessControlRequestMethod,ih["Access-Control-Request-Headers"]=Af.AccessControlRequestHeaders,Hm.call(this,{Action:"name/cos:OptionsObject",method:"OPTIONS",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,headers:ih,tracker:Af.tracker},function(fh,Ah){if(fh)return fh.statusCode&&fh.statusCode===403?ph(null,{OptionsForbidden:!0,statusCode:fh.statusCode}):ph(fh);var Ch=Ah.headers||{};ph(null,{AccessControlAllowOrigin:Ch["access-control-allow-origin"],AccessControlAllowMethods:Ch["access-control-allow-methods"],AccessControlAllowHeaders:Ch["access-control-allow-headers"],AccessControlExposeHeaders:Ch["access-control-expose-headers"],AccessControlMaxAge:Ch["access-control-max-age"],statusCode:Ah.statusCode,headers:Ah.headers})})}function hm(Af,ph){var ih=this,fh=Af.Headers;!fh["Cache-Control"]&&!fh["cache-control"]&&(fh["Cache-Control"]="");var Ah=Af.CopySource||"",Ch=ld.getSourceParams.call(this,Ah);if(!Ch){ph(ld.error(new Error("CopySource format error")));return}var Bh=Ch.Bucket,Rh=Ch.Region,gh=decodeURIComponent(Ch.Key);Hm.call(this,{Scope:[{action:"name/cos:GetObject",bucket:Bh,region:Rh,prefix:gh},{action:"name/cos:PutObject",bucket:Af.Bucket,region:Af.Region,prefix:Af.Key}],method:"PUT",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,VersionId:Af.VersionId,headers:Af.Headers,tracker:Af.tracker},function(dm,cm){if(dm)return ph(dm);var _m=ld.clone(cm.CopyObjectResult||{}),Gf=V_({ForcePathStyle:ih.options.ForcePathStyle,protocol:ih.options.Protocol,domain:ih.options.Domain,bucket:Af.Bucket,region:Af.Region,object:Af.Key,isLocation:!0});ld.extend(_m,{Location:Gf,statusCode:cm.statusCode,headers:cm.headers}),ph(null,_m)})}function ah(Af,ph){var ih=Af.CopySource||"",fh=ld.getSourceParams.call(this,ih);if(!fh){ph(ld.error(new Error("CopySource format error")));return}var Ah=fh.Bucket,Ch=fh.Region,Bh=decodeURIComponent(fh.Key);Hm.call(this,{Scope:[{action:"name/cos:GetObject",bucket:Ah,region:Ch,prefix:Bh},{action:"name/cos:PutObject",bucket:Af.Bucket,region:Af.Region,prefix:Af.Key}],method:"PUT",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,VersionId:Af.VersionId,qs:{partNumber:Af.PartNumber,uploadId:Af.UploadId},headers:Af.Headers,tracker:Af.tracker},function(Rh,gh){if(Rh)return ph(Rh);var dm=ld.clone(gh.CopyPartResult||{});ld.extend(dm,{statusCode:gh.statusCode,headers:gh.headers}),ph(null,dm)})}function kf(Af,ph){var ih=Af.Objects||[],fh=Af.Quiet;ih=ld.isArray(ih)?ih:[ih];var Ah=ld.json2xml({Delete:{Object:ih,Quiet:fh||!1}}),Ch=Af.Headers;Ch["Content-Type"]="application/xml",Ch["Content-MD5"]=ld.b64(ld.md5(Ah));var Bh=ld.map(ih,function(Rh){return{action:"name/cos:DeleteObject",bucket:Af.Bucket,region:Af.Region,prefix:Rh.Key}});Hm.call(this,{Scope:Bh,method:"POST",Bucket:Af.Bucket,Region:Af.Region,body:Ah,action:"delete",headers:Ch,tracker:Af.tracker},function(Rh,gh){if(Rh)return ph(Rh);var dm=gh.DeleteResult||{},cm=dm.Deleted||[],_m=dm.Error||[];cm=ld.isArray(cm)?cm:[cm],_m=ld.isArray(_m)?_m:[_m];var Gf=ld.clone(dm);ld.extend(Gf,{Error:_m,Deleted:cm,statusCode:gh.statusCode,headers:gh.headers}),ph(null,Gf)})}function If(Af,ph){var ih=Af.Headers;if(!Af.RestoreRequest){ph(ld.error(new Error("missing param RestoreRequest")));return}var fh=Af.RestoreRequest||{},Ah=ld.json2xml({RestoreRequest:fh});ih["Content-Type"]="application/xml",ih["Content-MD5"]=ld.b64(ld.md5(Ah)),Hm.call(this,{Action:"name/cos:RestoreObject",method:"POST",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,VersionId:Af.VersionId,body:Ah,action:"restore",headers:ih,tracker:Af.tracker},ph)}function rh(Af,ph){var ih=Af.Tagging||{},fh=ih.TagSet||ih.Tags||Af.Tags||[];fh=ld.clone(ld.isArray(fh)?fh:[fh]);var Ah=ld.json2xml({Tagging:{TagSet:{Tag:fh}}}),Ch=Af.Headers;Ch["Content-Type"]="application/xml",Ch["Content-MD5"]=ld.b64(ld.md5(Ah)),Hm.call(this,{Action:"name/cos:PutObjectTagging",method:"PUT",Bucket:Af.Bucket,Key:Af.Key,Region:Af.Region,body:Ah,action:"tagging",headers:Ch,VersionId:Af.VersionId,tracker:Af.tracker},function(Bh,Rh){if(Bh&&Bh.statusCode===204)return ph(null,{statusCode:Bh.statusCode});if(Bh)return ph(Bh);ph(null,{statusCode:Rh.statusCode,headers:Rh.headers})})}function ch(Af,ph){Hm.call(this,{Action:"name/cos:GetObjectTagging",method:"GET",Key:Af.Key,Bucket:Af.Bucket,Region:Af.Region,headers:Af.Headers,action:"tagging",VersionId:Af.VersionId,tracker:Af.tracker},function(ih,fh){if(ih){if(ih.statusCode===404&&ih.error&&(ih.error==="Not Found"||ih.error.Code==="NoSuchTagSet")){var Ah={Tags:[],statusCode:ih.statusCode};ih.headers&&(Ah.headers=ih.headers),ph(null,Ah)}else ph(ih);return}var Ch=[];try{Ch=fh.Tagging.TagSet.Tag||[]}catch{}Ch=ld.clone(ld.isArray(Ch)?Ch:[Ch]),ph(null,{Tags:Ch,statusCode:fh.statusCode,headers:fh.headers})})}function wh(Af,ph){Hm.call(this,{Action:"name/cos:DeleteObjectTagging",method:"DELETE",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,headers:Af.Headers,action:"tagging",VersionId:Af.VersionId,tracker:Af.tracker},function(ih,fh){if(ih&&ih.statusCode===204)return ph(null,{statusCode:ih.statusCode});if(ih)return ph(ih);ph(null,{statusCode:fh.statusCode,headers:fh.headers})})}function $h(Af,ph){var ih=Af.SelectType;if(!ih)return ph(ld.error(new Error("missing param SelectType")));var fh=Af.SelectRequest||{},Ah=ld.json2xml({SelectRequest:fh}),Ch=Af.Headers;Ch["Content-Type"]="application/xml",Ch["Content-MD5"]=ld.b64(ld.md5(Ah)),Hm.call(this,{Action:"name/cos:GetObject",method:"POST",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,headers:Af.Headers,action:"select",qs:{"select-type":Af.SelectType},VersionId:Af.VersionId,body:Ah,DataType:"arraybuffer",rawBody:!0,tracker:Af.tracker},function(Bh,Rh){if(Bh&&Bh.statusCode===204)return ph(null,{statusCode:Bh.statusCode});if(Bh)return ph(Bh);var gh=ld.parseSelectPayload(Rh.body);ph(null,{statusCode:Rh.statusCode,headers:Rh.headers,Body:gh.body,Payload:gh.payload})})}function om(Af,ph){var ih=this,fh=Af.Headers,Ah=Af.tracker;!fh["Cache-Control"]&&!fh["cache-control"]&&(fh["Cache-Control"]=""),!fh["Content-Type"]&&!fh["content-type"]&&(fh["Content-Type"]=Af.Body&&Af.Body.type||"");var Ch=Af.Body&&(Af.UploadAddMetaMd5||ih.options.UploadAddMetaMd5);Ch&&Ah&&Ah.setParams({md5StartTime:new Date().getTime()}),ld.getBodyMd5(Ch,Af.Body,function(Bh){Bh&&(Af.Headers["x-cos-meta-md5"]=Bh),Ch&&Ah&&Ah.setParams({md5EndTime:new Date().getTime()}),Hm.call(ih,{Action:"name/cos:InitiateMultipartUpload",method:"POST",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,action:"uploads",headers:Af.Headers,qs:Af.Query,tracker:Ah},function(Rh,gh){if(Rh)return Ah&&Ah.parent&&Ah.parent.setParams({errorNode:"multipartInit"}),ph(Rh);if(gh=ld.clone(gh||{}),gh&&gh.InitiateMultipartUploadResult)return ph(null,ld.extend(gh.InitiateMultipartUploadResult,{statusCode:gh.statusCode,headers:gh.headers}));ph(null,gh)})},Af.onHashProgress)}function Tm(Af,ph){var ih=this;ld.getFileSize("multipartUpload",Af,function(){var fh=Af.tracker,Ah=ih.options.UploadCheckContentMd5;Ah&&fh&&fh.setParams({md5StartTime:new Date().getTime()}),ld.getBodyMd5(Ah,Af.Body,function(Ch){Ch&&(Af.Headers["Content-MD5"]=ld.b64(Ch)),Ah&&fh&&fh.setParams({md5EndTime:new Date().getTime()}),fh&&fh.setParams({partNumber:Af.PartNumber}),Hm.call(ih,{Action:"name/cos:UploadPart",TaskId:Af.TaskId,method:"PUT",Bucket:Af.Bucket,Region:Af.Region,Key:Af.Key,qs:{partNumber:Af.PartNumber,uploadId:Af.UploadId},headers:Af.Headers,onProgress:Af.onProgress,body:Af.Body||null,tracker:fh},function(Bh,Rh){if(Bh)return fh&&fh.parent&&fh.parent.setParams({errorNode:"multipartUpload"}),ph(Bh);ph(null,{ETag:ld.attr(Rh.headers,"etag",""),statusCode:Rh.statusCode,headers:Rh.headers})})})})}function um(Af,ph){for(var ih=this,fh=Af.UploadId,Ah=Af.Parts,Ch=Af.tracker,Bh=0,Rh=Ah.length;Bh-1?_m(cm.Authorization):"sign="+encodeURIComponent(cm.Authorization)),cm.SecurityToken&&(Gf+="&x-cos-security-token="+cm.SecurityToken),cm.ClientIP&&(Gf+="&clientIP="+cm.ClientIP),cm.ClientUA&&(Gf+="&clientUA="+cm.ClientUA),cm.Token&&(Gf+="&token="+cm.Token),Ch&&(Gf+="&"+Ch),setTimeout(function(){ph(null,{Url:Gf})})}});return gh?(Bh+="?"+gh.Authorization+(gh.SecurityToken?"&x-cos-security-token="+gh.SecurityToken:""),Ch&&(Bh+="&"+Ch)):Ch&&(Bh+="?"+Ch),Bh}function Qm(Af){var ph={GrantFullControl:[],GrantWrite:[],GrantRead:[],GrantReadAcp:[],GrantWriteAcp:[],ACL:""},ih={FULL_CONTROL:"GrantFullControl",WRITE:"GrantWrite",READ:"GrantRead",READ_ACP:"GrantReadAcp",WRITE_ACP:"GrantWriteAcp"},fh=Af&&Af.AccessControlList||{},Ah=fh.Grant;Ah&&(Ah=ld.isArray(Ah)?Ah:[Ah]);var Ch={READ:0,WRITE:0,FULL_CONTROL:0};return Ah&&Ah.length&&ld.each(Ah,function(Bh){Bh.Grantee.ID==="qcs::cam::anyone:anyone"||Bh.Grantee.URI==="http://cam.qcloud.com/groups/global/AllUsers"?Ch[Bh.Permission]=1:Bh.Grantee.ID!==Af.Owner.ID&&ph[ih[Bh.Permission]].push('id="'+Bh.Grantee.ID+'"')}),Ch.FULL_CONTROL||Ch.WRITE&&Ch.READ?ph.ACL="public-read-write":Ch.READ?ph.ACL="public-read":ph.ACL="private",ld.each(ih,function(Bh){ph[Bh]=P_(ph[Bh].join(","))}),ph}function P_(Af){var ph=Af.split(","),ih={},fh,Ah;for(fh=0;fh"u"?"undefined":sd(location))==="object"&&location.protocol==="http:"?"http:":"https:");Ch||(["cn-south","cn-south-2","cn-north","cn-east","cn-southwest","sg"].indexOf(ph)>-1?Ch="{Region}.myqcloud.com":Ch="cos.{Region}.myqcloud.com",Af.ForcePathStyle||(Ch="{Bucket}."+Ch)),Ch=Ch.replace(/\{\{AppId\}\}/gi,Ah).replace(/\{\{Bucket\}\}/gi,fh).replace(/\{\{Region\}\}/gi,ph).replace(/\{\{.*?\}\}/gi,""),Ch=Ch.replace(/\{AppId\}/gi,Ah).replace(/\{BucketName\}/gi,fh).replace(/\{Bucket\}/gi,ih).replace(/\{Region\}/gi,ph).replace(/\{.*?\}/gi,""),/^[a-zA-Z]+:\/\//.test(Ch)||(Ch=Rh+"//"+Ch),Ch.slice(-1)==="/"&&(Ch=Ch.slice(0,-1));var gh=Ch;return Af.ForcePathStyle&&(gh+="/"+ih),gh+="/",Bh&&(gh+=ld.camSafeUrlEncode(Bh).replace(/%2F/g,"/")),Af.isLocation&&(gh=gh.replace(/^https?:\/\//,"")),gh}var Z_=function(ph){if(!ph.Bucket||!ph.Region)return"";var ih=ph.UseAccelerate===void 0?this.options.UseAccelerate:ph.UseAccelerate,fh=ph.Url||V_({ForcePathStyle:this.options.ForcePathStyle,protocol:this.options.Protocol,domain:this.options.Domain,bucket:ph.Bucket,region:ih?"accelerate":ph.Region}),Ah=fh.replace(/^https?:\/\/([^/]+)(\/.*)?$/,"$1");return Ah};function t1(Af,ph){var ih=ld.clone(Af.Headers),fh="";ld.each(ih,function(p_,l_){(p_===""||["content-type","cache-control","expires"].indexOf(l_.toLowerCase())>-1)&&delete ih[l_],l_.toLowerCase()==="host"&&(fh=p_)});var Ah=Af.ForceSignHost!==!1;!fh&&Af.SignHost&&Ah&&(ih.Host=Af.SignHost);var Ch=!1,Bh=function(l_,Vm){Ch||(Ch=!0,Vm&&Vm.XCosSecurityToken&&!Vm.SecurityToken&&(Vm=ld.clone(Vm),Vm.SecurityToken=Vm.XCosSecurityToken,delete Vm.XCosSecurityToken),ph&&ph(l_,Vm))},Rh=this,gh=Af.Bucket||"",dm=Af.Region||"",cm=Af.Key||"";Rh.options.ForcePathStyle&&gh&&(cm=gh+"/"+cm);var _m="/"+cm,Gf={},Mm=Af.Scope;if(!Mm){var g_=Af.Action||"",m_=Af.ResourceKey||Af.Key||"";Mm=Af.Scope||[{action:g_,bucket:gh,region:dm,prefix:m_}]}var s_=ld.md5(JSON.stringify(Mm));Rh._StsCache=Rh._StsCache||[],function(){var p_,l_;for(p_=Rh._StsCache.length-1;p_>=0;p_--){l_=Rh._StsCache[p_];var Vm=Math.round(ld.getSkewTime(Rh.options.SystemClockOffset)/1e3)+30;if(l_.StartTime&&Vm=l_.ExpiredTime){Rh._StsCache.splice(p_,1);continue}if(!l_.ScopeLimit||l_.ScopeLimit&&l_.ScopeKey===s_){Gf=l_;break}}}();var Rm=function(){var l_="";Gf.StartTime&&Af.Expires?l_=Gf.StartTime+";"+(Gf.StartTime+Af.Expires*1):Gf.StartTime&&Gf.ExpiredTime&&(l_=Gf.StartTime+";"+Gf.ExpiredTime);var Vm=ld.getAuth({SecretId:Gf.TmpSecretId,SecretKey:Gf.TmpSecretKey,Method:Af.Method,Pathname:_m,Query:Af.Query,Headers:ih,Expires:Af.Expires,UseRawKey:Rh.options.UseRawKey,SystemClockOffset:Rh.options.SystemClockOffset,KeyTime:l_,ForceSignHost:Ah}),D_={Authorization:Vm,SecurityToken:Gf.SecurityToken||Gf.XCosSecurityToken||"",Token:Gf.Token||"",ClientIP:Gf.ClientIP||"",ClientUA:Gf.ClientUA||"",SignFrom:"client"};Bh(null,D_)},T_=function(l_){if(l_.Authorization){var Vm=!1,D_=l_.Authorization;if(D_)if(D_.indexOf(" ")>-1)Vm=!1;else if(D_.indexOf("q-sign-algorithm=")>-1&&D_.indexOf("q-ak=")>-1&&D_.indexOf("q-sign-time=")>-1&&D_.indexOf("q-key-time=")>-1&&D_.indexOf("q-url-param-list=")>-1)Vm=!0;else try{D_=atob(D_),D_.indexOf("a=")>-1&&D_.indexOf("k=")>-1&&D_.indexOf("t=")>-1&&D_.indexOf("r=")>-1&&D_.indexOf("b=")>-1&&(Vm=!0)}catch{}if(!Vm)return ld.error(new Error("getAuthorization callback params format error"))}else{if(!l_.TmpSecretId)return ld.error(new Error('getAuthorization callback params missing "TmpSecretId"'));if(!l_.TmpSecretKey)return ld.error(new Error('getAuthorization callback params missing "TmpSecretKey"'));if(!l_.SecurityToken&&!l_.XCosSecurityToken)return ld.error(new Error('getAuthorization callback params missing "SecurityToken"'));if(!l_.ExpiredTime)return ld.error(new Error('getAuthorization callback params missing "ExpiredTime"'));if(l_.ExpiredTime&&l_.ExpiredTime.toString().length!==10)return ld.error(new Error('getAuthorization callback params "ExpiredTime" should be 10 digits'));if(l_.StartTime&&l_.StartTime.toString().length!==10)return ld.error(new Error('getAuthorization callback params "StartTime" should be 10 StartTime'))}return!1};if(Gf.ExpiredTime&&Gf.ExpiredTime-ld.getSkewTime(Rh.options.SystemClockOffset)/1e3>60)Rm();else if(Rh.options.getAuthorization)Rh.options.getAuthorization.call(Rh,{Bucket:gh,Region:dm,Method:Af.Method,Key:cm,Pathname:_m,Query:Af.Query,Headers:ih,Scope:Mm,SystemClockOffset:Rh.options.SystemClockOffset,ForceSignHost:Ah},function(p_){typeof p_=="string"&&(p_={Authorization:p_});var l_=T_(p_);if(l_)return Bh(l_);p_.Authorization?Bh(null,p_):(Gf=p_||{},Gf.Scope=Mm,Gf.ScopeKey=s_,Rh._StsCache.push(Gf),Rm())});else if(Rh.options.getSTS)Rh.options.getSTS.call(Rh,{Bucket:gh,Region:dm},function(p_){Gf=p_||{},Gf.Scope=Mm,Gf.ScopeKey=s_,Gf.TmpSecretId||(Gf.TmpSecretId=Gf.SecretId),Gf.TmpSecretKey||(Gf.TmpSecretKey=Gf.SecretKey);var l_=T_(Gf);if(l_)return Bh(l_);Rh._StsCache.push(Gf),Rm()});else return function(){var p_="";if(Rh.options.StartTime&&Af.Expires){if(Rh.options.StartTime.toString().length!==10)return Bh(ld.error(new Error('params "StartTime" should be 10 digits')));p_=Rh.options.StartTime+";"+(Rh.options.StartTime+Af.Expires*1)}else if(Rh.options.StartTime&&Rh.options.ExpiredTime){if(Rh.options.StartTime.toString().length!==10)return Bh(ld.error(new Error('params "StartTime" should be 10 digits')));if(Rh.options.ExpiredTime.toString().length!==10)return Bh(ld.error(new Error('params "ExpiredTime" should be 10 digits')));p_=Rh.options.StartTime+";"+Rh.options.ExpiredTime*1}var l_=ld.getAuth({SecretId:Af.SecretId||Rh.options.SecretId,SecretKey:Af.SecretKey||Rh.options.SecretKey,Method:Af.Method,Pathname:_m,Query:Af.Query,Headers:ih,Expires:Af.Expires,KeyTime:p_,UseRawKey:Rh.options.UseRawKey,SystemClockOffset:Rh.options.SystemClockOffset,ForceSignHost:Ah}),Vm={Authorization:l_,SecurityToken:Rh.options.SecurityToken||Rh.options.XCosSecurityToken,SignFrom:"client"};return Bh(null,Vm),Vm}();return""}function j_(Af){var ph=this,ih=!1,fh=!1,Ah=!1,Ch=Af.headers&&(Af.headers.date||Af.headers.Date)||Af.error&&Af.error.ServerTime;try{var Bh=Af.error.Code,Rh=Af.error.Message;(Bh==="RequestTimeTooSkewed"||Bh==="AccessDenied"&&Rh==="Request has expired")&&(fh=!0)}catch{}if(Af)if(fh&&Ch){var gh=Date.parse(Ch);this.options.CorrectClockSkew&&Math.abs(ld.getSkewTime(this.options.SystemClockOffset)-gh)>=3e4&&(console.error("error: Local time is too skewed."),this.options.SystemClockOffset=gh-Date.now(),ih=!0)}else Math.floor(Af.statusCode/100)===5?ih=!0:Af.message==="CORS blocked or network error"&&(Ah=!0,ih=ph.options.AutoSwitchHost);return{canRetry:ih,networkError:Ah}}function $_(Af){var ph=Af.requestUrl,ih=Af.clientCalcSign,fh=Af.networkError;if(!this.options.AutoSwitchHost||!ph||!ih||!fh)return!1;var Ah=/^https?:\/\/[^\/]*\.cos\.[^\/]*\.myqcloud\.com(\/.*)?$/,Ch=/^https?:\/\/[^\/]*\.cos\.accelerate\.myqcloud\.com(\/.*)?$/,Bh=Ah.test(ph)&&!Ch.test(ph);return Bh}function Hm(Af,ph){var ih=this;!Af.headers&&(Af.headers={}),!Af.qs&&(Af.qs={}),Af.VersionId&&(Af.qs.versionId=Af.VersionId),Af.qs=ld.clearKey(Af.qs),Af.headers&&(Af.headers=ld.clearKey(Af.headers)),Af.qs&&(Af.qs=ld.clearKey(Af.qs));var fh=ld.clone(Af.qs);Af.action&&(fh[Af.action]="");var Ah=Af.url||Af.Url,Ch=Af.SignHost||Z_.call(this,{Bucket:Af.Bucket,Region:Af.Region,Url:Ah}),Bh=Af.tracker,Rh=function gh(dm){var cm=ih.options.SystemClockOffset;Bh&&Bh.setParams({signStartTime:new Date().getTime(),httpRetryTimes:dm-1}),Af.SwitchHost&&(Ch=Ch.replace(/myqcloud.com/,"tencentcos.cn")),t1.call(ih,{Bucket:Af.Bucket||"",Region:Af.Region||"",Method:Af.method,Key:Af.Key,Query:fh,Headers:Af.headers,SignHost:Ch,Action:Af.Action,ResourceKey:Af.ResourceKey,Scope:Af.Scope,ForceSignHost:ih.options.ForceSignHost,SwitchHost:Af.SwitchHost},function(_m,Gf){if(_m){ph(_m);return}Bh&&Bh.setParams({signEndTime:new Date().getTime(),httpStartTime:new Date().getTime()}),Af.AuthData=Gf,v_.call(ih,Af,function(Mm,g_){Bh&&Bh.setParams({httpEndTime:new Date().getTime()});var m_=!1,s_=!1;if(Mm){var Rm=j_.call(ih,Mm);m_=Rm.canRetry||cm!==ih.options.SystemClockOffset,s_=Rm.networkError}if(Mm&&dm<2&&m_){Af.headers&&(delete Af.headers.Authorization,delete Af.headers.token,delete Af.headers.clientIP,delete Af.headers.clientUA,Af.headers["x-cos-security-token"]&&delete Af.headers["x-cos-security-token"],Af.headers["x-ci-security-token"]&&delete Af.headers["x-ci-security-token"]);var T_=$_.call(ih,{requestUrl:(Mm==null?void 0:Mm.url)||"",clientCalcSign:Gf.SignFrom==="client",networkError:s_});Af.SwitchHost=T_,Af.retry=!0,gh(dm+1)}else ph(Mm,g_)})})};Rh(1)}function v_(Af,ph){var ih=this,fh=Af.TaskId;if(!(fh&&!ih._isRunningTask(fh))){var Ah=Af.Bucket,Ch=Af.Region,Bh=Af.Key,Rh=Af.method||"GET",gh=Af.Url||Af.url,dm=Af.body,cm=Af.rawBody;ih.options.UseAccelerate&&(Ch="accelerate"),gh=gh||V_({ForcePathStyle:ih.options.ForcePathStyle,protocol:ih.options.Protocol,domain:ih.options.Domain,bucket:Ah,region:Ch,object:Bh}),Af.SwitchHost&&(gh=gh.replace(/myqcloud.com/,"tencentcos.cn"));var _m=Bh?gh:"";Af.action&&(gh=gh+"?"+(ld.isIOS_QQ?"".concat(Af.action,"="):Af.action)),Af.qsStr&&(gh.indexOf("?")>-1?gh=gh+"&"+Af.qsStr:gh=gh+"?"+Af.qsStr);var Gf={method:Rh,url:gh,headers:Af.headers,qs:Af.qs,body:dm},Mm="x-cos-security-token";if(ld.isCIHost(gh)&&(Mm="x-ci-security-token"),Gf.headers.Authorization=Af.AuthData.Authorization,Af.AuthData.Token&&(Gf.headers.token=Af.AuthData.Token),Af.AuthData.ClientIP&&(Gf.headers.clientIP=Af.AuthData.ClientIP),Af.AuthData.ClientUA&&(Gf.headers.clientUA=Af.AuthData.ClientUA),Af.AuthData.SecurityToken&&(Gf.headers[Mm]=Af.AuthData.SecurityToken),Gf.headers&&(Gf.headers=ld.clearKey(Gf.headers)),Af.retry&&(Gf.headers["x-cos-sdk-retry"]=!0),Gf=ld.clearKey(Gf),Af.onProgress&&typeof Af.onProgress=="function"){var g_=dm&&(dm.size||dm.length)||0;Gf.onProgress=function(Vm){if(!(fh&&!ih._isRunningTask(fh))){var D_=Vm?Vm.loaded:0;Af.onProgress({loaded:D_,total:g_})}}}Af.onDownloadProgress&&(Gf.onDownloadProgress=Af.onDownloadProgress),Af.DataType&&(Gf.dataType=Af.DataType),this.options.Timeout&&(Gf.timeout=this.options.Timeout),ih.options.ForcePathStyle&&(Gf.pathStyle=ih.options.ForcePathStyle),ih.emit("before-send",Gf);var m_=Gf.url.includes("accelerate."),s_=Gf.qs?Object.keys(Gf.qs).map(function(Vm){return"".concat(Vm,"=").concat(Gf.qs[Vm])}).join("&"):"",Rm=s_?Gf.url+"?"+s_:Gf.url;if(Af.tracker){var T_;Af.tracker.setParams({url:Rm,httpMethod:Gf.method,accelerate:m_,httpSize:((T_=Gf.body)===null||T_===void 0?void 0:T_.size)||0}),Af.tracker.parent&&!Af.tracker.parent.params.url&&Af.tracker.parent.setParams({url:_m,accelerate:m_})}var p_=(ih.options.Request||od)(Gf,function(Vm){if(!(Vm&&Vm.error==="abort")){var D_={options:Gf,error:Vm&&Vm.error,statusCode:Vm&&Vm.statusCode||0,statusMessage:Vm&&Vm.statusMessage||"",headers:Vm&&Vm.headers||{},body:Vm&&Vm.body};ih.emit("after-receive",D_);var tg=D_.error,rg=D_.body,Sm={statusCode:D_.statusCode,statusMessage:D_.statusMessage,headers:D_.headers},f_,I1=function(U1,L_){if(fh&&ih.off("inner-kill-task",l_),!f_){f_=!0;var y1={};if(Sm&&Sm.statusCode&&(y1.statusCode=Sm.statusCode),Sm&&Sm.headers&&(y1.headers=Sm.headers),U1)Gf.url&&(y1.url=Gf.url),Gf.method&&(y1.method=Gf.method),U1=ld.extend(U1||{},y1),ph(U1,null);else{if(Af.Action==="name/cos:PutObject"){var c1={};for(var ng in Af.headers){var Eg=ng.toLowerCase();c1[Eg]=Af.headers[ng]}c1["x-cos-callback"]?L_.Error?(L_.CallbackError=ld.clone(L_.Error),delete L_.Error):L_.CallbackBody=ld.clone(L_):c1["x-cos-return-body"]&&(L_.Error?(L_.ReturnError=ld.clone(L_.Error),delete L_.Error):L_.ReturnBody=ld.clone(L_))}L_=ld.extend(L_||{},y1),ph(null,L_)}p_=null}};if(tg)return I1(ld.error(tg));var ug=Sm.statusCode,l1=Math.floor(ug/100)===2;if(cm){if(l1)return I1(null,{body:rg});if(rg instanceof Blob){ld.readAsBinaryString(rg,function(h_){var U1=ld.parseResBody(h_),L_=U1.Error||U1;return I1(ld.error(new Error(L_.Message||"response body error"),{code:L_.Code,error:L_}))});return}}var j1=ld.parseResBody(rg),_1=j1.Error||j1;l1?I1(null,j1):_1?I1(ld.error(new Error(_1.Message),{code:_1.Code,error:_1})):ug?I1(ld.error(new Error(Sm.statusMessage),{code:""+ug})):ug&&I1(ld.error(new Error("statusCode error")))}}),l_=function Vm(D_){D_.TaskId===fh&&(p_&&p_.abort&&p_.abort(),ih.off("inner-kill-task",Vm))};fh&&ih.on("inner-kill-task",l_)}}var m1={getService:cd,putBucket:ud,headBucket:_d,getBucket:Ed,deleteBucket:Ad,putBucketAcl:Td,getBucketAcl:Nd,putBucketCors:Rd,getBucketCors:Bd,deleteBucketCors:kd,getBucketLocation:Od,getBucketPolicy:Pd,putBucketPolicy:Md,deleteBucketPolicy:Fd,putBucketTagging:Ud,getBucketTagging:Gd,deleteBucketTagging:Qd,putBucketLifecycle:Vd,getBucketLifecycle:zd,deleteBucketLifecycle:Xd,putBucketVersioning:df,getBucketVersioning:mf,putBucketReplication:_f,getBucketReplication:hf,deleteBucketReplication:gf,putBucketWebsite:yf,getBucketWebsite:Nf,deleteBucketWebsite:Pf,putBucketReferer:Yf,getBucketReferer:Uf,putBucketDomain:Lf,getBucketDomain:xf,deleteBucketDomain:wf,putBucketOrigin:Jf,getBucketOrigin:Qf,deleteBucketOrigin:Ef,putBucketLogging:bf,getBucketLogging:Bf,putBucketInventory:nh,postBucketInventory:zf,getBucketInventory:$f,listBucketInventory:th,deleteBucketInventory:hh,putBucketAccelerate:Xf,getBucketAccelerate:Df,putBucketEncryption:Of,getBucketEncryption:Vf,deleteBucketEncryption:dh,getObject:Ih,headObject:bh,listObjectVersions:oh,putObject:_h,deleteObject:Dh,getObjectAcl:Xh,putObjectAcl:qh,optionsObject:sm,putObjectCopy:hm,deleteMultipleObject:kf,restoreObject:If,putObjectTagging:rh,getObjectTagging:ch,deleteObjectTagging:wh,selectObjectContent:$h,appendObject:F_,uploadPartCopy:ah,multipartInit:om,multipartUpload:Tm,multipartComplete:um,multipartList:Wm,multipartListPart:Fm,multipartAbort:Lm,request:e_,getObjectUrl:w_,getAuth:R_};function am(Af,ph,ih){ld.each(["Cors","Acl"],function(fh){if(Af.slice(-fh.length)===fh){var Ah=Af.slice(0,-fh.length)+fh.toUpperCase(),Ch=ld.apiWrapper(Af,ph),Bh=!1;ih[Ah]=function(){!Bh&&console.warn("warning: cos."+Ah+" has been deprecated. Please Use cos."+Af+" instead."),Bh=!0,Ch.apply(this,arguments)}}})}ed.exports.init=function(Af,ph){ph.transferToTaskMethod(m1,"putObject"),ld.each(m1,function(ih,fh){Af.prototype[fh]=ld.apiWrapper(fh,ih),am(fh,ih,Af.prototype)})}},"./src/cos.js":function(ed,td,rd){var sd=rd("./src/util.js"),od=rd("./src/event.js"),ld=rd("./src/task.js"),cd=rd("./src/base.js"),ud=rd("./src/advance.js"),_d=rd("./package.json"),Ed={AppId:"",SecretId:"",SecretKey:"",SecurityToken:"",StartTime:0,ExpiredTime:0,ChunkRetryTimes:2,FileParallelLimit:3,ChunkParallelLimit:3,ChunkSize:1024*1024,SliceSize:1024*1024,CopyChunkParallelLimit:20,CopyChunkSize:1024*1024*10,CopySliceSize:1024*1024*10,MaxPartNumber:1e4,ProgressInterval:1e3,Domain:"",ServiceDomain:"",Protocol:"",CompatibilityMode:!1,ForcePathStyle:!1,UseRawKey:!1,Timeout:0,CorrectClockSkew:!0,SystemClockOffset:0,UploadCheckContentMd5:!1,UploadQueueSize:1e4,UploadAddMetaMd5:!1,UploadIdCacheLimit:50,UseAccelerate:!1,ForceSignHost:!0,AutoSwitchHost:!0,CopySourceParser:null,ObjectKeySimplifyCheck:!0,DeepTracker:!1,TrackerDelay:5e3,CustomId:"",BeaconReporter:null,ClsReporter:null},Ad=function(Nd){if(this.options=sd.extend(sd.clone(Ed),Nd||{}),this.options.FileParallelLimit=Math.max(1,this.options.FileParallelLimit),this.options.ChunkParallelLimit=Math.max(1,this.options.ChunkParallelLimit),this.options.ChunkRetryTimes=Math.max(0,this.options.ChunkRetryTimes),this.options.ChunkSize=Math.max(1024*1024,this.options.ChunkSize),this.options.CopyChunkParallelLimit=Math.max(1,this.options.CopyChunkParallelLimit),this.options.CopyChunkSize=Math.max(1024*1024,this.options.CopyChunkSize),this.options.CopySliceSize=Math.max(0,this.options.CopySliceSize),this.options.MaxPartNumber=Math.max(1024,Math.min(1e4,this.options.MaxPartNumber)),this.options.Timeout=Math.max(0,this.options.Timeout),this.options.EnableReporter=this.options.BeaconReporter||this.options.ClsReporter,this.options.AppId&&console.warn('warning: AppId has been deprecated, Please put it at the end of parameter Bucket(E.g: "test-1250000000").'),this.options.SecretId&&this.options.SecretId.indexOf(" ")>-1&&(console.error("error: SecretId格式错误,请检查"),console.error("error: SecretId format is incorrect. Please check")),this.options.SecretKey&&this.options.SecretKey.indexOf(" ")>-1&&(console.error("error: SecretKey格式错误,请检查"),console.error("error: SecretKey format is incorrect. Please check")),sd.isNode()&&(console.log("Tip: Next.js、Nuxt.js 等服务端渲染技术可正常使用JavaScript SDK,请忽略下方 nodejs 环境警告"),console.warn("warning: cos-js-sdk-v5 不支持 nodejs 环境使用,请改用 cos-nodejs-sdk-v5,参考文档: https://cloud.tencent.com/document/product/436/8629"),console.warn("warning: cos-js-sdk-v5 does not support nodejs environment. Please use cos-nodejs-sdk-v5 instead. See: https://cloud.tencent.com/document/product/436/8629")),this.options.ForcePathStyle)throw console.warn("cos-js-sdk-v5不再支持使用path-style,仅支持使用virtual-hosted-style,参考文档:https://cloud.tencent.com/document/product/436/96243"),new Error("ForcePathStyle is not supported");od.init(this),ld.init(this)};cd.init(Ad,ld),ud.init(Ad,ld),Ad.util={md5:sd.md5,xml2json:sd.xml2json,json2xml:sd.json2xml,encodeBase64:sd.encodeBase64},Ad.getAuthorization=sd.getAuth,Ad.version=_d.version,ed.exports=Ad},"./src/event.js":function(ed,td){var rd=function(ld){var cd={},ud=function(Ed){return!cd[Ed]&&(cd[Ed]=[]),cd[Ed]};ld.on=function(_d,Ed){_d==="task-list-update"&&console.warn('warning: Event "'+_d+'" has been deprecated. Please use "list-update" instead.'),ud(_d).push(Ed)},ld.off=function(_d,Ed){for(var Ad=ud(_d),Td=Ad.length-1;Td>=0;Td--)Ed===Ad[Td]&&Ad.splice(Td,1)},ld.emit=function(_d,Ed){for(var Ad=ud(_d).map(function(Nd){return Nd}),Td=0;Td=0;Od--){var Md=cd[Od][2];(!Md||Md+ld=0;Md--){var Pd=cd[Md];Pd[0]===Bd&&Pd[1]===kd&&cd.splice(Md,1)}cd.unshift([Bd,kd,Math.round(Date.now()/1e3)]),cd.length>Od&&cd.splice(Od),Td()}},removeUploadId:function(Bd){Ad.call(this),delete Nd.using[Bd];for(var kd=cd.length-1;kd>=0;kd--)cd[kd][1]===Bd&&cd.splice(kd,1);Td()}};ed.exports=Nd},"./src/task.js":function(ed,td,rd){var sd=rd("./src/session.js"),od=rd("./src/util.js"),ld={},cd=function(Ed,Ad){ld[Ad]=Ed[Ad],Ed[Ad]=function(Td,Nd){Td.SkipTask?ld[Ad].call(this,Td,Nd):this._addTask(Ad,Td,Nd)}},ud=function(Ed){var Ad=[],Td={},Nd=0,Rd=0,Bd=function(Gd){var Qd={id:Gd.id,Bucket:Gd.Bucket,Region:Gd.Region,Key:Gd.Key,FilePath:Gd.FilePath,state:Gd.state,loaded:Gd.loaded,size:Gd.size,speed:Gd.speed,percent:Gd.percent,hashPercent:Gd.hashPercent,error:Gd.error};return Gd.FilePath&&(Qd.FilePath=Gd.FilePath),Gd._custom&&(Qd._custom=Gd._custom),Qd},kd=function(){var Ud,Gd=function(){Ud=0,Ed.emit("task-list-update",{list:od.map(Ad,Bd)}),Ed.emit("list-update",{list:od.map(Ad,Bd)})};return function(){Ud||(Ud=setTimeout(Gd))}}(),Od=function(){if(!(Ad.length<=Ed.options.UploadQueueSize)){for(var Gd=0;GdEd.options.UploadQueueSize;){var Qd=Ad[Gd].state==="waiting"||Ad[Gd].state==="checking"||Ad[Gd].state==="uploading";!Ad[Gd]||!Qd?(Td[Ad[Gd].id]&&delete Td[Ad[Gd].id],Ad.splice(Gd,1),Rd--):Gd++}kd()}},Md=function Ud(){if(!(Nd>=Ed.options.FileParallelLimit)){for(;Ad[Rd]&&Ad[Rd].state!=="waiting";)Rd++;if(!(Rd>=Ad.length)){var Gd=Ad[Rd];Rd++,Nd++,Gd.state="checking",Gd.params.onTaskStart&&Gd.params.onTaskStart(Bd(Gd)),!Gd.params.UploadData&&(Gd.params.UploadData={});var Qd=od.formatParams(Gd.api,Gd.params);ld[Gd.api].call(Ed,Qd,function(Vd,zd){Ed._isRunningTask(Gd.id)&&((Gd.state==="checking"||Gd.state==="uploading")&&(Gd.state=Vd?"error":"success",Vd&&(Gd.error=Vd),Nd--,kd(),Ud(),Gd.callback&&Gd.callback(Vd,zd),Gd.state==="success"&&(Gd.params&&(delete Gd.params.UploadData,delete Gd.params.Body,delete Gd.params),delete Gd.callback)),Od())}),kd(),setTimeout(Ud)}}},Pd=function(Gd,Qd){var Vd=Td[Gd];if(Vd){var zd=Vd&&Vd.state==="waiting",Xd=Vd&&(Vd.state==="checking"||Vd.state==="uploading");if(Qd==="canceled"&&Vd.state!=="canceled"||Qd==="paused"&&zd||Qd==="paused"&&Xd){Vd.state=Qd,Ed.emit("inner-kill-task",{TaskId:Gd,toState:Qd});try{var df=Vd&&Vd.params&&Vd.params.UploadData.UploadId}catch{}Qd==="canceled"&&df&&sd.removeUsing(df),kd(),Xd&&(Nd--,Md()),Qd==="canceled"&&(Vd.params&&(delete Vd.params.UploadData,delete Vd.params.Body,delete Vd.params),delete Vd.callback)}Od()}};Ed._addTasks=function(Ud){od.each(Ud,function(Gd){Ed._addTask(Gd.api,Gd.params,Gd.callback,!0)}),kd()};var Fd=!0;Ed._addTask=function(Ud,Gd,Qd,Vd){Gd=od.formatParams(Ud,Gd);var zd=od.uuid();Gd.TaskId=zd,Gd.onTaskReady&&Gd.onTaskReady(zd),Gd.TaskReady&&(Gd.TaskReady(zd),Fd&&console.warn('warning: Param "TaskReady" has been deprecated. Please use "onTaskReady" instead.'),Fd=!1);var Xd={params:Gd,callback:Qd,api:Ud,index:Ad.length,id:zd,Bucket:Gd.Bucket,Region:Gd.Region,Key:Gd.Key,FilePath:Gd.FilePath||"",state:"waiting",loaded:0,size:0,speed:0,percent:0,hashPercent:0,error:null,_custom:Gd._custom},df=Gd.onHashProgress;Gd.onHashProgress=function(_f){Ed._isRunningTask(Xd.id)&&(Xd.hashPercent=_f.percent,df&&df(_f),kd())};var mf=Gd.onProgress;return Gd.onProgress=function(_f){Ed._isRunningTask(Xd.id)&&(Xd.state==="checking"&&(Xd.state="uploading"),Xd.loaded=_f.loaded,Xd.speed=_f.speed,Xd.percent=_f.percent,mf&&mf(_f),kd())},od.getFileSize(Ud,Gd,function(_f,hf){if(_f)return Qd(od.error(_f));Td[zd]=Xd,Ad.push(Xd),Xd.size=hf,!Vd&&kd(),Md(),Od()}),zd},Ed._isRunningTask=function(Ud){var Gd=Td[Ud];return!!(Gd&&(Gd.state==="checking"||Gd.state==="uploading"))},Ed.getTaskList=function(){return od.map(Ad,Bd)},Ed.cancelTask=function(Ud){Pd(Ud,"canceled")},Ed.pauseTask=function(Ud){Pd(Ud,"paused")},Ed.restartTask=function(Ud){var Gd=Td[Ud];Gd&&(Gd.state==="paused"||Gd.state==="error")&&(Gd.state="waiting",kd(),Rd=Math.min(Rd,Gd.index),Md())},Ed.isUploadRunning=function(){return Nd||Rd"u"?"undefined":ld(navigator))==="object"){var Qd=navigator.connection||navigator.mozConnection||navigator.webkitConnection;return(Qd==null?void 0:Qd.type)||(Qd==null?void 0:Qd.effectiveType)||"unknown"}return"unknown"},getProtocol:function(){return(typeof location>"u"?"undefined":ld(location))==="object"?location.protocol.replace(/:/,""):"unknown protocol"},getOsType:function(){if((typeof navigator>"u"?"undefined":ld(navigator))!=="object")return"unknown os";var Qd=navigator.userAgent.toLowerCase(),Vd=/macintosh|mac os x/i.test(navigator.userAgent);return Qd.indexOf("win32")>=0||Qd.indexOf("wow32")>=0?"win32":Qd.indexOf("win64")>=0||Qd.indexOf("wow64")>=0?"win64":Vd?"mac":"unknown os"},isMobile:function(){var Qd=/(phone|pad|pod|iPhone|iPod|ios|iPad|Android|Mobile|BlackBerry|IEMobile|MQQBrowser|JUC|Fennec|wOSBrowser|BrowserNG|WebOS|Symbian|Windows Phone)/i;return!!((typeof navigator>"u"?"undefined":ld(navigator))==="object"&&navigator.userAgent.match(Qd))},isAndroid:function(){var Qd=/(Android|Adr|Linux)/i;return!!((typeof navigator>"u"?"undefined":ld(navigator))==="object"&&navigator.userAgent.match(Qd))},isIOS:function(){var Qd=/(iPhone|iPod|iPad|iOS)/i;return!!((typeof navigator>"u"?"undefined":ld(navigator))==="object"&&navigator.userAgent.match(Qd))},isOtherMobile:function(){return Td&&!isAndroid&&!isIOS},getUA:function(){if((typeof navigator>"u"?"undefined":ld(navigator))!=="object")return"unknown device";var Qd=navigator.userAgent;return Qd}},Td=Ad.isMobile(),Nd=Ad.isAndroid()?"android":Ad.isIOS?"ios":"other_mobile",Rd=Ad.getOsType(),Bd=Td?Nd:Rd,kd=Ad.getUA(),Od=Ad.getProtocol(),Md=function(Qd){return["putObject","sliceUploadFile","uploadFile","uploadFiles"].includes(Qd)?"UploadTask":Qd==="getObject"?"DownloadTask":["putObjectCopy","sliceCopyFile"].includes(Qd)?"CopyTask":Qd};function Pd(Gd){return Gd.replace(/([A-Z])/g,"_$1").toLowerCase()}function Fd(Gd){var Qd={},Vd=["sdkVersionName","sdkVersionCode","osName","networkType","requestName","requestResult","bucket","region","appid","accelerate","url","host","requestPath","userAgent","networkProtocol","httpMethod","httpSize","httpSpeed","httpTookTime","httpMd5","httpSign","httpFullTime","httpDomain","partNumber","httpRetryTimes","customId","traceId","realApi"],zd=[].concat(Vd,["errorNode","errorCode","errorName","errorMessage","errorRequestId","errorHttpCode","errorServiceName","errorType","fullError"]),Xd=Gd.requestResult==="Success"?Vd:zd;for(var df in Gd)if(Xd.includes(df)){var mf=Pd(df);Qd[mf]=Gd[df]}return Qd.request_name=Gd.realApi?Md(Gd.realApi):Gd.requestName,Qd}var Ud=function(){function Gd(Qd){sd(this,Gd);var Vd=Qd.parent,zd=Qd.traceId,Xd=Qd.bucket,df=Qd.region,mf=Qd.apiName,_f=Qd.realApi,hf=Qd.httpMethod,gf=Qd.fileKey,yf=Qd.fileSize,Nf=Qd.accelerate,Pf=Qd.customId,Yf=Qd.delay,Uf=Qd.deepTracker,Lf=Qd.Beacon,xf=Qd.clsReporter,wf=Xd&&Xd.substr(Xd.lastIndexOf("-")+1)||"";this.parent=Vd,this.deepTracker=Uf,this.delay=Yf,xf&&!this.clsReporter&&(this.clsReporter=xf),this.params={sdkVersionName:"cos-js-sdk-v5",sdkVersionCode:cd.version,osName:Bd,networkType:"",requestName:mf||"",requestResult:"",realApi:_f,bucket:Xd,region:df,accelerate:Nf,httpMethod:hf,url:"",host:"",httpDomain:"",requestPath:gf||"",userAgent:kd,networkProtocol:Od,errorType:"",errorCode:"",errorName:"",errorMessage:"",errorRequestId:"",errorHttpCode:0,errorServiceName:"",errorNode:"",httpTookTime:0,httpSize:yf||0,httpMd5:0,httpSign:0,httpFullTime:0,httpSpeed:0,md5StartTime:0,md5EndTime:0,signStartTime:0,signEndTime:0,httpStartTime:0,httpEndTime:0,startTime:new Date().getTime(),endTime:0,traceId:zd||Ad.getUid(),appid:wf,partNumber:0,httpRetryTimes:0,customId:Pf||"",partTime:0},Lf&&(this.beacon=_d(Lf,Yf))}return od(Gd,[{key:"formatResult",value:function(Vd,zd){var Xd,df,mf,_f,hf,gf,yf=new Date().getTime(),Nf=Ad.getNetType(),Pf=Vd?(Vd==null?void 0:Vd.code)||(Vd==null||(Xd=Vd.error)===null||Xd===void 0?void 0:Xd.code)||(Vd==null||(df=Vd.error)===null||df===void 0?void 0:df.Code):"",Yf=Vd?(Vd==null?void 0:Vd.message)||(Vd==null||(mf=Vd.error)===null||mf===void 0?void 0:mf.message)||(Vd==null||(_f=Vd.error)===null||_f===void 0?void 0:_f.Message):"",Uf=Yf,Lf=Vd?(Vd==null?void 0:Vd.resource)||(Vd==null||(hf=Vd.error)===null||hf===void 0?void 0:hf.resource)||(Vd==null||(gf=Vd.error)===null||gf===void 0?void 0:gf.Resource):"",xf=Vd?Vd==null?void 0:Vd.statusCode:zd.statusCode,wf=Vd?(Vd==null?void 0:Vd.headers)&&(Vd==null?void 0:Vd.headers["x-cos-request-id"]):(zd==null?void 0:zd.headers)&&(zd==null?void 0:zd.headers["x-cos-request-id"]),Jf=Vd?wf?"Server":"Client":"";this.params.requestName==="getObject"&&(this.params.httpSize=zd?zd.headers&&zd.headers["content-length"]:0);var Qf=this.params.realApi==="sliceUploadFile",Ef=this.params.realApi==="sliceCopyFile";if(Qf||Ef){var bf=this.params.httpSize/1024/this.params.partTime;Object.assign(this.params,{httpSpeed:bf<0?0:bf.toFixed(3)})}else{var Bf=yf-this.params.startTime,Kf=this.params.httpEndTime-this.params.httpStartTime,nh=this.params.httpSize/1024/(Kf/1e3),zf=this.params.md5EndTime-this.params.md5StartTime,$f=this.params.signEndTime-this.params.signStartTime;this.parent&&(this.parent.addParamValue("httpTookTime",Ed(Kf)),this.parent.addParamValue("httpFullTime",Ed(Bf)),this.parent.addParamValue("httpMd5",Ed(zf)),this.parent.addParamValue("httpSign",Ed($f)),["multipartUpload","uploadPartCopy","putObjectCopy"].includes(this.params.requestName)&&this.parent.addParamValue("partTime",Ed(Kf))),Object.assign(this.params,{httpFullTime:Ed(Bf),httpMd5:Ed(zf),httpSign:Ed($f),httpTookTime:Ed(Kf),httpSpeed:nh<0?0:nh.toFixed(3)})}if(Object.assign(this.params,{networkType:Nf,requestResult:Vd?"Failure":"Success",errorType:Jf,errorCode:Pf,errorHttpCode:xf,errorName:Uf,errorMessage:Yf,errorServiceName:Lf,errorRequestId:wf}),Vd&&(!Pf||!Yf)&&(this.params.fullError=Vd?JSON.stringify(Vd):""),this.params.url){try{var th=/^http(s)?:\/\/(.*?)\//.exec(this.params.url);this.params.host=th[2]}catch{this.params.host=this.params.url}this.params.httpDomain=this.params.host}}},{key:"report",value:function(Vd,zd){if(!(!this.beacon&&!this.clsReporter)){this.formatResult(Vd,zd);var Xd=Fd(this.params);this.beacon&&this.sendEventsToBeacon(Xd),this.clsReporter&&this.sendEventsToCLS(Xd)}}},{key:"setParams",value:function(Vd){Object.assign(this.params,Vd)}},{key:"addParamValue",value:function(Vd,zd){this.params[Vd]=(+this.params[Vd]+ +zd).toFixed(3)}},{key:"sendEventsToBeacon",value:function(Vd){var zd=this.params.requestName==="sliceUploadFile"||this.params.realApi==="sliceUploadFile";if(!(zd&&!this.deepTracker)){var Xd="qcloud_track_cos_sdk";this.delay===0?this.beacon&&this.beacon.onDirectUserAction(Xd,Vd):this.beacon&&this.beacon.onUserAction(Xd,Vd)}}},{key:"sendEventsToCLS",value:function(Vd){var zd=this.delay===0;this.clsReporter.log(Vd,zd)}},{key:"generateSubTracker",value:function(Vd){return Object.assign(Vd,{parent:this,deepTracker:this.deepTracker,traceId:this.params.traceId,bucket:this.params.bucket,region:this.params.region,accelerate:this.params.accelerate,fileKey:this.params.requestPath,customId:this.params.customId,delay:this.delay,clsReporter:this.clsReporter}),new Gd(Vd)}}]),Gd}();ed.exports=Ud},"./src/util.js":function(ed,td,rd){(function(sd){var od=rd("./node_modules/@babel/runtime/helpers/typeof.js");function ld(kf,If){var rh=typeof Symbol<"u"&&kf[Symbol.iterator]||kf["@@iterator"];if(!rh){if(Array.isArray(kf)||(rh=cd(kf))||If){rh&&(kf=rh);var ch=0,wh=function(){};return{s:wh,n:function(){return ch>=kf.length?{done:!0}:{done:!1,value:kf[ch++]}},e:function(Wm){throw Wm},f:wh}}throw new TypeError(`Invalid attempt to iterate non-iterable instance. In order to be iterable, non-array objects must have a [Symbol.iterator]() method.`)}var $h=!0,om=!1,Tm;return{s:function(){rh=rh.call(kf)},n:function(){var Wm=rh.next();return $h=Wm.done,Wm},e:function(Wm){om=!0,Tm=Wm},f:function(){try{!$h&&rh.return!=null&&rh.return()}finally{if(om)throw Tm}}}}function cd(kf,If){if(kf){if(typeof kf=="string")return ud(kf,If);var rh=Object.prototype.toString.call(kf).slice(8,-1);if(rh==="Object"&&kf.constructor&&(rh=kf.constructor.name),rh==="Map"||rh==="Set")return Array.from(kf);if(rh==="Arguments"||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(rh))return ud(kf,If)}}function ud(kf,If){(If==null||If>kf.length)&&(If=kf.length);for(var rh=0,ch=new Array(If);rh$h?1:-1})}var Gd=function(If,rh){var ch,wh,$h,om=[],Tm=Ud(If);for(ch=0;ch-1||Qd.indexOf(wh)>-1)&&(rh[ch]=If[ch])}return rh},zd=function(If){If=If||{};var rh=If.SecretId,ch=If.SecretKey,wh=If.KeyTime,$h=(If.method||If.Method||"get").toLowerCase(),om=xf(If.Query||If.params||{}),Tm=Vd(xf(If.Headers||If.headers||{})),um=If.Key||"",Wm;If.UseRawKey?Wm=If.Pathname||If.pathname||"/"+um:(Wm=If.Pathname||If.pathname||um,Wm.indexOf("/")!==0&&(Wm="/"+Wm));var Fm=If.ForceSignHost!==!1;if(!Tm.Host&&!Tm.host&&If.Bucket&&If.Region&&Fm&&(Tm.Host=If.Bucket+".cos."+If.Region+".myqcloud.com"),!rh)throw new Error("missing param SecretId");if(!ch)throw new Error("missing param SecretKey");var Lm=Math.round(Vf(If.SystemClockOffset)/1e3)-1,e_=Lm,F_=If.Expires||If.expires;F_===void 0?e_+=900:e_+=F_*1||0;var R_="sha1",w_=rh,Qm=wh||Lm+";"+e_,P_=wh||Lm+";"+e_,V_=Ud(Tm,!0).join(";").toLowerCase(),Z_=Ud(om,!0).join(";").toLowerCase(),t1=Ed.HmacSHA1(P_,ch).toString(),j_=[$h,Wm,ah.obj2str(om,!0),ah.obj2str(Tm,!0),""].join(` `),$_=["sha1",Qm,Ed.SHA1(j_).toString(),""].join(` `),Hm=Ed.HmacSHA1($_,t1).toString(),v_=["q-sign-algorithm="+R_,"q-ak="+w_,"q-sign-time="+Qm,"q-key-time="+P_,"q-header-list="+V_,"q-url-param-list="+Z_,"q-signature="+Hm].join("&");return v_},Xd=function(If,rh,ch){var wh=rh/8,$h=If.slice(ch,ch+wh);return new Uint8Array($h).reverse(),new{8:Uint8Array,16:Uint16Array,32:Uint32Array}[rh]($h)[0]},df=function(If,rh,ch,wh){var $h=If.slice(rh,ch),om="";return new Uint8Array($h).forEach(function(Tm){om+=String.fromCharCode(Tm)}),wh&&(om=decodeURIComponent(escape(om))),om},mf=function(If){for(var rh={},ch=df(If),wh={records:[]};If.byteLength;){var $h=Xd(If,32,0),om=Xd(If,32,4),Tm=$h-om-16,um=0,Wm;for(If=If.slice(12);umparseInt(wh[$h])?1:-1;return 0},If=function(ch){if(!ch)return!1;var wh=(ch.match(/Chrome\/([.\d]+)/)||[])[1],$h=(ch.match(/QBCore\/([.\d]+)/)||[])[1],om=(ch.match(/QQBrowser\/([.\d]+)/)||[])[1],Tm=wh&&kf(wh,"53.0.2785.116")<0&&$h&&kf($h,"3.53.991.400")<0&&om&&kf(om,"9.0.2524.400")<=0||!1;return Tm};return If(typeof navigator<"u"&&navigator.userAgent)}(),Pf=function(If,rh,ch,wh,$h){var om;if(If.slice?om=If.slice(rh,ch):If.mozSlice?om=If.mozSlice(rh,ch):If.webkitSlice&&(om=If.webkitSlice(rh,ch)),wh&&Nf){var Tm=new FileReader;Tm.onload=function(um){om=null,$h(new Blob([Tm.result]))},Tm.readAsArrayBuffer(om)}else $h(om)},Yf=function(If,rh,ch,wh){ch=ch||hf,If?typeof rh=="string"?ch(ah.md5(rh,!0)):Blob&&rh instanceof Blob?ah.getFileMd5(rh,function($h,om){ch(om)},wh):ch():ch()},Uf=1024*1024,Lf=function(If,rh,ch){var wh=If.size,$h=0,om=_d.getCtx(),Tm=function um(Wm){if(Wm>=wh){var Fm=om.digest("hex");rh(null,Fm);return}var Lm=Math.min(wh,Wm+Uf);ah.fileSlice(If,Wm,Lm,!1,function(e_){yf(e_,function(F_){e_=null,om=om.update(F_,!0),$h+=F_.length,F_=null,ch&&ch({loaded:$h,total:wh,percent:Math.round($h/wh*1e4)/1e4}),um(Wm+Uf)})})};Tm(0)};function xf(kf){return Kf(kf,function(If){return od(If)==="object"&&If!==null?xf(If):If})}function wf(kf,If,rh){return kf&&If in kf?kf[If]:rh}function Jf(kf,If){return Bf(If,function(rh,ch){kf[ch]=If[ch]}),kf}function Qf(kf){return kf instanceof Array}function Ef(kf,If){for(var rh=!1,ch=0;ch-1,um=!om||typeof om=="string"&&om.indexOf("{Region}")>-1;if(If.indexOf("Bucket")>-1||If==="deleteMultipleObject"||If==="multipartList"||If==="listObjectVersions"){if(Tm&&!ch)return"Bucket";if(um&&!wh)return"Region"}else if(If.indexOf("Object")>-1||If.indexOf("multipart")>-1||If==="sliceUploadFile"||If==="abortUploadTask"||If==="uploadFile"){if(Tm&&!ch)return"Bucket";if(um&&!wh)return"Region";if(!$h)return"Key"}return!1},hh=function(If,rh){if(rh=Jf({},rh),If!=="getAuth"&&If!=="getV4Auth"&&If!=="getObjectUrl"){var ch=rh.Headers||{};if(rh&&od(rh)==="object"){(function(){for(var $h in rh)rh.hasOwnProperty($h)&&$h.indexOf("x-cos-")>-1&&(ch[$h]=rh[$h])})();var wh={"x-cos-mfa":"MFA","Content-MD5":"ContentMD5","Content-Length":"ContentLength","Content-Type":"ContentType",Expect:"Expect",Expires:"Expires","Cache-Control":"CacheControl","Content-Disposition":"ContentDisposition","Content-Encoding":"ContentEncoding",Range:"Range","If-Modified-Since":"IfModifiedSince","If-Unmodified-Since":"IfUnmodifiedSince","If-Match":"IfMatch","If-None-Match":"IfNoneMatch","x-cos-copy-source":"CopySource","x-cos-copy-source-Range":"CopySourceRange","x-cos-metadata-directive":"MetadataDirective","x-cos-copy-source-If-Modified-Since":"CopySourceIfModifiedSince","x-cos-copy-source-If-Unmodified-Since":"CopySourceIfUnmodifiedSince","x-cos-copy-source-If-Match":"CopySourceIfMatch","x-cos-copy-source-If-None-Match":"CopySourceIfNoneMatch","x-cos-acl":"ACL","x-cos-grant-read":"GrantRead","x-cos-grant-write":"GrantWrite","x-cos-grant-full-control":"GrantFullControl","x-cos-grant-read-acp":"GrantReadAcp","x-cos-grant-write-acp":"GrantWriteAcp","x-cos-storage-class":"StorageClass","x-cos-traffic-limit":"TrafficLimit","x-cos-mime-limit":"MimeLimit","x-cos-server-side-encryption-customer-algorithm":"SSECustomerAlgorithm","x-cos-server-side-encryption-customer-key":"SSECustomerKey","x-cos-server-side-encryption-customer-key-MD5":"SSECustomerKeyMD5","x-cos-server-side-encryption":"ServerSideEncryption","x-cos-server-side-encryption-cos-kms-key-id":"SSEKMSKeyId","x-cos-server-side-encryption-context":"SSEContext","Pic-Operations":"PicOperations","x-cos-callback":"Callback","x-cos-callback-var":"CallbackVar","x-cos-return-body":"ReturnBody"};ah.each(wh,function($h,om){rh[$h]!==void 0&&(ch[om]=rh[$h])}),rh.Headers=gf(ch)}}return rh},Xf=function(If,rh){return function(ch,wh){var $h=this;typeof ch=="function"&&(wh=ch,ch={}),ch=hh(If,ch);var om;if($h.options.EnableReporter)if(ch.calledBySdk==="sliceUploadFile"||ch.calledBySdk==="sliceCopyFile")om=ch.tracker&&ch.tracker.generateSubTracker({apiName:If});else if(["uploadFile","uploadFiles"].includes(If))om=null;else{var Tm=0;ch.Body&&(Tm=typeof ch.Body=="string"?ch.Body.length:ch.Body.size||ch.Body.byteLength||0);var um=$h.options.UseAccelerate||typeof $h.options.Domain=="string"&&$h.options.Domain.includes("accelerate.");om=new Od({Beacon:$h.options.BeaconReporter,clsReporter:$h.options.ClsReporter,bucket:ch.Bucket,region:ch.Region,apiName:If,realApi:If,accelerate:um,fileKey:ch.Key,fileSize:Tm,deepTracker:$h.options.DeepTracker,customId:$h.options.CustomId,delay:$h.options.TrackerDelay})}ch.tracker=om;var Wm=function(Qm){return Qm&&Qm.headers&&(Qm.headers["x-cos-request-id"]&&(Qm.RequestId=Qm.headers["x-cos-request-id"]),Qm.headers["x-ci-request-id"]&&(Qm.RequestId=Qm.headers["x-ci-request-id"]),Qm.headers["x-cos-version-id"]&&(Qm.VersionId=Qm.headers["x-cos-version-id"]),Qm.headers["x-cos-delete-marker"]&&(Qm.DeleteMarker=Qm.headers["x-cos-delete-marker"])),Qm},Fm=function(Qm,P_){om&&om.report(Qm,P_),wh&&wh(Wm(Qm),Wm(P_))},Lm=function(){if(If!=="getService"&&If!=="abortUploadTask"){var Qm=th.call($h,If,ch);if(Qm)return"missing param "+Qm;if(ch.Region){if($h.options.CompatibilityMode){if(!/^([a-z\d-.]+)$/.test(ch.Region))return"Region format error."}else{if(ch.Region.indexOf("cos.")>-1)return'param Region should not be start with "cos."';if(!/^([a-z\d-]+)$/.test(ch.Region))return"Region format error."}!$h.options.CompatibilityMode&&ch.Region.indexOf("-")===-1&&ch.Region!=="yfb"&&ch.Region!=="default"&&ch.Region!=="accelerate"&&console.warn("warning: param Region format error, find help here: https://cloud.tencent.com/document/product/436/6224")}if(ch.Bucket){if(!/^([a-z\d-]+)-(\d+)$/.test(ch.Bucket))if(ch.AppId)ch.Bucket=ch.Bucket+"-"+ch.AppId;else if($h.options.AppId)ch.Bucket=ch.Bucket+"-"+$h.options.AppId;else return'Bucket should format as "test-1250000000".';ch.AppId&&(console.warn('warning: AppId has been deprecated, Please put it at the end of parameter Bucket(E.g Bucket:"test-1250000000" ).'),delete ch.AppId)}!$h.options.UseRawKey&&ch.Key&&ch.Key.substr(0,1)==="/"&&(ch.Key=ch.Key.substr(1))}},e_=Lm(),F_=["getAuth","getObjectUrl"].includes(If);if(typeof Promise=="function"&&!F_&&!wh)return new Promise(function(w_,Qm){if(wh=function(V_,Z_){V_?Qm(V_):w_(Z_)},e_)return Fm(ah.error(new Error(e_)));rh.call($h,ch,Fm)});if(e_)return Fm(ah.error(new Error(e_)));var R_=rh.call($h,ch,Fm);if(F_)return R_}},Df=function(If,rh){var ch=this,wh=0,$h=0,om=Date.now(),Tm,um;function Wm(){if(um=0,rh&&typeof rh=="function"){Tm=Date.now();var Fm=Math.max(0,Math.round(($h-wh)/((Tm-om)/1e3)*100)/100)||0,Lm;$h===0&&If===0?Lm=1:Lm=Math.floor($h/If*100)/100||0,om=Tm,wh=$h;try{rh({loaded:$h,total:If,speed:Fm,percent:Lm})}catch{}}}return function(Fm,Lm){if(Fm&&($h=Fm.loaded,If=Fm.total),Lm)clearTimeout(um),Wm();else{if(um)return;um=setTimeout(Wm,ch.options.ProgressInterval)}}},Of=function(If,rh,ch){var wh;if(typeof rh.Body=="string"?rh.Body=new Blob([rh.Body],{type:"text/plain"}):rh.Body instanceof ArrayBuffer&&(rh.Body=new Blob([rh.Body])),rh.Body&&(rh.Body instanceof Blob||rh.Body.toString()==="[object File]"||rh.Body.toString()==="[object Blob]"))wh=rh.Body.size;else{ch(ah.error(new Error("params body format error, Only allow File|Blob|String.")));return}rh.ContentLength=wh,ch(null,wh)},Vf=function(If){return Date.now()+(If||0)},dh=function(If,rh){var ch=If;return If.message=If.message||null,typeof rh=="string"?(If.error=rh,If.message=rh):od(rh)==="object"&&rh!==null&&(Jf(If,rh),(rh.code||rh.name)&&(If.code=rh.code||rh.name),rh.message&&(If.message=rh.message),rh.stack&&(If.stack=rh.stack)),typeof Object.defineProperty=="function"&&(Object.defineProperty(If,"name",{writable:!0,enumerable:!1}),Object.defineProperty(If,"message",{enumerable:!0})),If.name=rh&&rh.name||If.name||If.code||"Error",If.code||(If.code=If.name),If.error||(If.error=xf(ch)),If},bh=function(){return(typeof globalThis>"u"?"undefined":od(globalThis))==="object"&&(globalThis.constructor.name==="DedicatedWorkerGlobalScope"||globalThis.FileReaderSync)},oh=function(){return(typeof window>"u"?"undefined":od(window))!=="object"&&(typeof sd>"u"?"undefined":od(sd))==="object"&&!0&&!bh()},Ih=function(If){return/^https?:\/\/([^/]+\.)?ci\.[^/]+/.test(If)},_h=function(){if((typeof navigator>"u"?"undefined":od(navigator))!=="object")return!1;var kf=navigator.userAgent,If=!!kf.match(/\(i[^;]+;( U;)? CPU.+Mac OS X/);return If}(),Dh=function(){return(typeof navigator>"u"?"undefined":od(navigator))!=="object"?!1:/\sQQ/i.test(navigator.userAgent)}(),Xh=function(If,rh){var ch=kd.encode(If);return rh&&(ch=ch.replaceAll("+","-").replaceAll("/","_").replaceAll("=","")),ch},qh=function(If){return If?kd.decode(If):""},sm=function(If){var rh=If.split("/"),ch=[],wh=ld(rh),$h;try{for(wh.s();!($h=wh.n()).done;){var om=$h.value;om===".."?ch.length&&ch.pop():om.length&&om!=="."&&ch.push(om)}}catch(Tm){wh.e(Tm)}finally{wh.f()}return"/"+ch.join("/")},hm=function(If){var rh;if(If&&typeof If=="string"){var ch=If.trim(),wh=ch.indexOf("<")===0,$h=ch.indexOf("{")===0;if(wh)rh=ah.xml2json(If)||{};else if($h)try{var om=If.replace(/\n/g," "),Tm=JSON.parse(om);Object.prototype.toString.call(Tm)==="[object Object]"?rh=Tm:rh=If}catch{rh=If}else rh=If}else rh=If||{};return rh},ah={noop:hf,formatParams:hh,apiWrapper:Xf,xml2json:Md,json2xml:Pd,md5:_d,clearKey:gf,fileSlice:Pf,getBodyMd5:Yf,getFileMd5:Lf,b64:zf,extend:Jf,isArray:Qf,isInArray:Ef,makeArray:bf,each:Bf,map:Kf,filter:nh,clone:xf,attr:wf,uuid:$f,camSafeUrlEncode:Fd,throttleOnProgress:Df,getFileSize:Of,getSkewTime:Vf,error:dh,obj2str:Gd,getAuth:zd,parseSelectPayload:mf,getSourceParams:_f,isBrowser:!0,isNode:oh,isCIHost:Ih,isIOS_QQ:_h&&Dh,encodeBase64:Xh,decodeBase64:qh,simplifyPath:sm,readAsBinaryString:yf,parseResBody:hm};ed.exports=ah}).call(this,rd("./node_modules/process/browser.js"))}})})})(cosJsSdkV5);var cosJsSdkV5Exports=cosJsSdkV5.exports;const COS=getDefaultExportFromCjs(cosJsSdkV5Exports);var toString$2=Object.prototype.toString,isModern=typeof Buffer$2<"u"&&typeof Buffer$2.alloc=="function"&&typeof Buffer$2.allocUnsafe=="function"&&typeof Buffer$2.from=="function";function isArrayBuffer$1(Ra){return toString$2.call(Ra).slice(8,-1)==="ArrayBuffer"}function fromArrayBuffer(Ra,qa,ed){qa>>>=0;var td=Ra.byteLength-qa;if(td<0)throw new RangeError("'offset' is out of bounds");if(ed===void 0)ed=td;else if(ed>>>=0,ed>td)throw new RangeError("'length' is out of bounds");return isModern?Buffer$2.from(Ra.slice(qa,qa+ed)):new Buffer$2(new Uint8Array(Ra.slice(qa,qa+ed)))}function fromString(Ra,qa){if((typeof qa!="string"||qa==="")&&(qa="utf8"),!Buffer$2.isEncoding(qa))throw new TypeError('"encoding" must be a valid string encoding');return isModern?Buffer$2.from(Ra,qa):new Buffer$2(Ra,qa)}function bufferFrom(Ra,qa,ed){if(typeof Ra=="number")throw new TypeError('"value" argument must not be a number');return isArrayBuffer$1(Ra)?fromArrayBuffer(Ra,qa,ed):typeof Ra=="string"?fromString(Ra,qa):isModern?Buffer$2.from(Ra):new Buffer$2(Ra)}var bufferFrom_1=bufferFrom;const Buffer=getDefaultExportFromCjs(bufferFrom_1);var byteToHex=[];for(var i$2=0;i$2<256;++i$2)byteToHex.push((i$2+256).toString(16).slice(1));function unsafeStringify(Ra,qa=0){return(byteToHex[Ra[qa+0]]+byteToHex[Ra[qa+1]]+byteToHex[Ra[qa+2]]+byteToHex[Ra[qa+3]]+"-"+byteToHex[Ra[qa+4]]+byteToHex[Ra[qa+5]]+"-"+byteToHex[Ra[qa+6]]+byteToHex[Ra[qa+7]]+"-"+byteToHex[Ra[qa+8]]+byteToHex[Ra[qa+9]]+"-"+byteToHex[Ra[qa+10]]+byteToHex[Ra[qa+11]]+byteToHex[Ra[qa+12]]+byteToHex[Ra[qa+13]]+byteToHex[Ra[qa+14]]+byteToHex[Ra[qa+15]]).toLowerCase()}var getRandomValues,rnds8=new Uint8Array(16);function rng(){if(!getRandomValues&&(getRandomValues=typeof crypto<"u"&&crypto.getRandomValues&&crypto.getRandomValues.bind(crypto),!getRandomValues))throw new Error("crypto.getRandomValues() not supported. See https://github.com/uuidjs/uuid#getrandomvalues-not-supported");return getRandomValues(rnds8)}var randomUUID=typeof crypto<"u"&&crypto.randomUUID&&crypto.randomUUID.bind(crypto);const native={randomUUID};function v4(Ra,qa,ed){if(native.randomUUID&&!qa&&!Ra)return native.randomUUID();Ra=Ra||{};var td=Ra.random||(Ra.rng||rng)();if(td[6]=td[6]&15|64,td[8]=td[8]&63|128,qa){ed=ed||0;for(var rd=0;rd<16;++rd)qa[ed+rd]=td[rd];return qa}return unsafeStringify(td)}var __extends$4=function(){var Ra=function(qa,ed){return Ra=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(td,rd){td.__proto__=rd}||function(td,rd){for(var sd in rd)rd.hasOwnProperty(sd)&&(td[sd]=rd[sd])},Ra(qa,ed)};return function(qa,ed){Ra(qa,ed);function td(){this.constructor=qa}qa.prototype=ed===null?Object.create(ed):(td.prototype=ed.prototype,new td)}}(),QiniuErrorName;(function(Ra){Ra.InvalidFile="InvalidFile",Ra.InvalidToken="InvalidToken",Ra.InvalidMetadata="InvalidMetadata",Ra.InvalidChunkSize="InvalidChunkSize",Ra.InvalidCustomVars="InvalidCustomVars",Ra.NotAvailableUploadHost="NotAvailableUploadHost",Ra.ReadCacheFailed="ReadCacheFailed",Ra.InvalidCacheData="InvalidCacheData",Ra.WriteCacheFailed="WriteCacheFailed",Ra.RemoveCacheFailed="RemoveCacheFailed",Ra.GetCanvasContextFailed="GetCanvasContextFailed",Ra.UnsupportedFileType="UnsupportedFileType",Ra.FileReaderReadFailed="FileReaderReadFailed",Ra.NotAvailableXMLHttpRequest="NotAvailableXMLHttpRequest",Ra.InvalidProgressEventTarget="InvalidProgressEventTarget",Ra.RequestError="RequestError"})(QiniuErrorName||(QiniuErrorName={}));var QiniuError=function(){function Ra(qa,ed){this.name=qa,this.message=ed,this.stack=new Error().stack}return Ra}(),QiniuRequestError=function(Ra){__extends$4(qa,Ra);function qa(ed,td,rd,sd){var od=Ra.call(this,QiniuErrorName.RequestError,rd)||this;return od.code=ed,od.reqId=td,od.isRequestError=!0,od.data=sd,od}return qa}(QiniuError),QiniuNetworkError=function(Ra){__extends$4(qa,Ra);function qa(ed,td){return td===void 0&&(td=""),Ra.call(this,0,td,ed)||this}return qa}(QiniuRequestError),Pool=function(){function Ra(qa,ed){this.runTask=qa,this.limit=ed,this.aborted=!1,this.queue=[],this.processing=[]}return Ra.prototype.enqueue=function(qa){var ed=this;return new Promise(function(td,rd){ed.queue.push({task:qa,resolve:td,reject:rd}),ed.check()})},Ra.prototype.run=function(qa){var ed=this;this.queue=this.queue.filter(function(td){return td!==qa}),this.processing.push(qa),this.runTask(qa.task).then(function(){ed.processing=ed.processing.filter(function(td){return td!==qa}),qa.resolve(),ed.check()},function(td){return qa.reject(td)})},Ra.prototype.check=function(){var qa=this;if(!this.aborted){var ed=this.processing.length,td=this.limit-ed;this.queue.slice(0,td).forEach(function(rd){qa.run(rd)})}},Ra.prototype.abort=function(){this.queue=[],this.aborted=!0},Ra}(),__extends$3=function(){var Ra=function(qa,ed){return Ra=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(td,rd){td.__proto__=rd}||function(td,rd){for(var sd in rd)rd.hasOwnProperty(sd)&&(td[sd]=rd[sd])},Ra(qa,ed)};return function(qa,ed){Ra(qa,ed);function td(){this.constructor=qa}qa.prototype=ed===null?Object.create(ed):(td.prototype=ed.prototype,new td)}}(),__assign$7=function(){return __assign$7=Object.assign||function(Ra){for(var qa,ed=1,td=arguments.length;ed"u")return"";var qa=Ra+"",ed="",td,rd,sd=0;td=rd=0,sd=qa.length;for(var od=0;od127&&ld<2048)cd=String.fromCharCode(ld>>6|192,ld&63|128);else if((ld&63488^55296)>0)cd=String.fromCharCode(ld>>12|224,ld>>6&63|128,ld&63|128);else{if((ld&64512^55296)>0)throw new RangeError("Unmatched trail surrogate at "+od);var ud=qa.charCodeAt(++od);if((ud&64512^56320)>0)throw new RangeError("Unmatched lead surrogate at "+(od-1));ld=((ld&1023)<<10)+(ud&1023)+65536,cd=String.fromCharCode(ld>>18|240,ld>>12&63|128,ld>>6&63|128,ld&63|128)}cd!==null&&(rd>td&&(ed+=qa.slice(td,rd)),ed+=cd,td=rd=od+1)}return rd>td&&(ed+=qa.slice(td,sd)),ed}function utf8Decode(Ra){var qa=[],ed=0,td=0,rd=0;for(Ra+="";ed>10&1023)),qa.push(String.fromCharCode(56320|td&1023))):qa.push(String.fromCharCode(td)),ed+=rd}return qa.join("")}function base64Encode(Ra){var qa="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=",ed,td,rd,sd,od,ld,cd,ud,_d=0,Ed=0,Ad="",Td=[];if(!Ra)return Ra;Ra=utf8Encode(Ra+"");do ed=Ra.charCodeAt(_d++),td=Ra.charCodeAt(_d++),rd=Ra.charCodeAt(_d++),ud=ed<<16|td<<8|rd,sd=ud>>18&63,od=ud>>12&63,ld=ud>>6&63,cd=ud&63,Td[Ed++]=qa.charAt(sd)+qa.charAt(od)+qa.charAt(ld)+qa.charAt(cd);while(_d>16&255,td=ud>>8&255,rd=ud&255,ld===64?Td[Ed++]=String.fromCharCode(ed):cd===64?Td[Ed++]=String.fromCharCode(ed,td):Td[Ed++]=String.fromCharCode(ed,td,rd);while(_d>>25)+Pd|0,Ud+=(Md&Pd|~Md&Fd)+Od[1]-389564586|0,Ud=(Ud<<12|Ud>>>20)+Md|0,Fd+=(Ud&Md|~Ud&Pd)+Od[2]+606105819|0,Fd=(Fd<<17|Fd>>>15)+Ud|0,Pd+=(Fd&Ud|~Fd&Md)+Od[3]-1044525330|0,Pd=(Pd<<22|Pd>>>10)+Fd|0,Md+=(Pd&Fd|~Pd&Ud)+Od[4]-176418897|0,Md=(Md<<7|Md>>>25)+Pd|0,Ud+=(Md&Pd|~Md&Fd)+Od[5]+1200080426|0,Ud=(Ud<<12|Ud>>>20)+Md|0,Fd+=(Ud&Md|~Ud&Pd)+Od[6]-1473231341|0,Fd=(Fd<<17|Fd>>>15)+Ud|0,Pd+=(Fd&Ud|~Fd&Md)+Od[7]-45705983|0,Pd=(Pd<<22|Pd>>>10)+Fd|0,Md+=(Pd&Fd|~Pd&Ud)+Od[8]+1770035416|0,Md=(Md<<7|Md>>>25)+Pd|0,Ud+=(Md&Pd|~Md&Fd)+Od[9]-1958414417|0,Ud=(Ud<<12|Ud>>>20)+Md|0,Fd+=(Ud&Md|~Ud&Pd)+Od[10]-42063|0,Fd=(Fd<<17|Fd>>>15)+Ud|0,Pd+=(Fd&Ud|~Fd&Md)+Od[11]-1990404162|0,Pd=(Pd<<22|Pd>>>10)+Fd|0,Md+=(Pd&Fd|~Pd&Ud)+Od[12]+1804603682|0,Md=(Md<<7|Md>>>25)+Pd|0,Ud+=(Md&Pd|~Md&Fd)+Od[13]-40341101|0,Ud=(Ud<<12|Ud>>>20)+Md|0,Fd+=(Ud&Md|~Ud&Pd)+Od[14]-1502002290|0,Fd=(Fd<<17|Fd>>>15)+Ud|0,Pd+=(Fd&Ud|~Fd&Md)+Od[15]+1236535329|0,Pd=(Pd<<22|Pd>>>10)+Fd|0,Md+=(Pd&Ud|Fd&~Ud)+Od[1]-165796510|0,Md=(Md<<5|Md>>>27)+Pd|0,Ud+=(Md&Fd|Pd&~Fd)+Od[6]-1069501632|0,Ud=(Ud<<9|Ud>>>23)+Md|0,Fd+=(Ud&Pd|Md&~Pd)+Od[11]+643717713|0,Fd=(Fd<<14|Fd>>>18)+Ud|0,Pd+=(Fd&Md|Ud&~Md)+Od[0]-373897302|0,Pd=(Pd<<20|Pd>>>12)+Fd|0,Md+=(Pd&Ud|Fd&~Ud)+Od[5]-701558691|0,Md=(Md<<5|Md>>>27)+Pd|0,Ud+=(Md&Fd|Pd&~Fd)+Od[10]+38016083|0,Ud=(Ud<<9|Ud>>>23)+Md|0,Fd+=(Ud&Pd|Md&~Pd)+Od[15]-660478335|0,Fd=(Fd<<14|Fd>>>18)+Ud|0,Pd+=(Fd&Md|Ud&~Md)+Od[4]-405537848|0,Pd=(Pd<<20|Pd>>>12)+Fd|0,Md+=(Pd&Ud|Fd&~Ud)+Od[9]+568446438|0,Md=(Md<<5|Md>>>27)+Pd|0,Ud+=(Md&Fd|Pd&~Fd)+Od[14]-1019803690|0,Ud=(Ud<<9|Ud>>>23)+Md|0,Fd+=(Ud&Pd|Md&~Pd)+Od[3]-187363961|0,Fd=(Fd<<14|Fd>>>18)+Ud|0,Pd+=(Fd&Md|Ud&~Md)+Od[8]+1163531501|0,Pd=(Pd<<20|Pd>>>12)+Fd|0,Md+=(Pd&Ud|Fd&~Ud)+Od[13]-1444681467|0,Md=(Md<<5|Md>>>27)+Pd|0,Ud+=(Md&Fd|Pd&~Fd)+Od[2]-51403784|0,Ud=(Ud<<9|Ud>>>23)+Md|0,Fd+=(Ud&Pd|Md&~Pd)+Od[7]+1735328473|0,Fd=(Fd<<14|Fd>>>18)+Ud|0,Pd+=(Fd&Md|Ud&~Md)+Od[12]-1926607734|0,Pd=(Pd<<20|Pd>>>12)+Fd|0,Md+=(Pd^Fd^Ud)+Od[5]-378558|0,Md=(Md<<4|Md>>>28)+Pd|0,Ud+=(Md^Pd^Fd)+Od[8]-2022574463|0,Ud=(Ud<<11|Ud>>>21)+Md|0,Fd+=(Ud^Md^Pd)+Od[11]+1839030562|0,Fd=(Fd<<16|Fd>>>16)+Ud|0,Pd+=(Fd^Ud^Md)+Od[14]-35309556|0,Pd=(Pd<<23|Pd>>>9)+Fd|0,Md+=(Pd^Fd^Ud)+Od[1]-1530992060|0,Md=(Md<<4|Md>>>28)+Pd|0,Ud+=(Md^Pd^Fd)+Od[4]+1272893353|0,Ud=(Ud<<11|Ud>>>21)+Md|0,Fd+=(Ud^Md^Pd)+Od[7]-155497632|0,Fd=(Fd<<16|Fd>>>16)+Ud|0,Pd+=(Fd^Ud^Md)+Od[10]-1094730640|0,Pd=(Pd<<23|Pd>>>9)+Fd|0,Md+=(Pd^Fd^Ud)+Od[13]+681279174|0,Md=(Md<<4|Md>>>28)+Pd|0,Ud+=(Md^Pd^Fd)+Od[0]-358537222|0,Ud=(Ud<<11|Ud>>>21)+Md|0,Fd+=(Ud^Md^Pd)+Od[3]-722521979|0,Fd=(Fd<<16|Fd>>>16)+Ud|0,Pd+=(Fd^Ud^Md)+Od[6]+76029189|0,Pd=(Pd<<23|Pd>>>9)+Fd|0,Md+=(Pd^Fd^Ud)+Od[9]-640364487|0,Md=(Md<<4|Md>>>28)+Pd|0,Ud+=(Md^Pd^Fd)+Od[12]-421815835|0,Ud=(Ud<<11|Ud>>>21)+Md|0,Fd+=(Ud^Md^Pd)+Od[15]+530742520|0,Fd=(Fd<<16|Fd>>>16)+Ud|0,Pd+=(Fd^Ud^Md)+Od[2]-995338651|0,Pd=(Pd<<23|Pd>>>9)+Fd|0,Md+=(Fd^(Pd|~Ud))+Od[0]-198630844|0,Md=(Md<<6|Md>>>26)+Pd|0,Ud+=(Pd^(Md|~Fd))+Od[7]+1126891415|0,Ud=(Ud<<10|Ud>>>22)+Md|0,Fd+=(Md^(Ud|~Pd))+Od[14]-1416354905|0,Fd=(Fd<<15|Fd>>>17)+Ud|0,Pd+=(Ud^(Fd|~Md))+Od[5]-57434055|0,Pd=(Pd<<21|Pd>>>11)+Fd|0,Md+=(Fd^(Pd|~Ud))+Od[12]+1700485571|0,Md=(Md<<6|Md>>>26)+Pd|0,Ud+=(Pd^(Md|~Fd))+Od[3]-1894986606|0,Ud=(Ud<<10|Ud>>>22)+Md|0,Fd+=(Md^(Ud|~Pd))+Od[10]-1051523|0,Fd=(Fd<<15|Fd>>>17)+Ud|0,Pd+=(Ud^(Fd|~Md))+Od[1]-2054922799|0,Pd=(Pd<<21|Pd>>>11)+Fd|0,Md+=(Fd^(Pd|~Ud))+Od[8]+1873313359|0,Md=(Md<<6|Md>>>26)+Pd|0,Ud+=(Pd^(Md|~Fd))+Od[15]-30611744|0,Ud=(Ud<<10|Ud>>>22)+Md|0,Fd+=(Md^(Ud|~Pd))+Od[6]-1560198380|0,Fd=(Fd<<15|Fd>>>17)+Ud|0,Pd+=(Ud^(Fd|~Md))+Od[13]+1309151649|0,Pd=(Pd<<21|Pd>>>11)+Fd|0,Md+=(Fd^(Pd|~Ud))+Od[4]-145523070|0,Md=(Md<<6|Md>>>26)+Pd|0,Ud+=(Pd^(Md|~Fd))+Od[11]-1120210379|0,Ud=(Ud<<10|Ud>>>22)+Md|0,Fd+=(Md^(Ud|~Pd))+Od[2]+718787259|0,Fd=(Fd<<15|Fd>>>17)+Ud|0,Pd+=(Ud^(Fd|~Md))+Od[9]-343485551|0,Pd=(Pd<<21|Pd>>>11)+Fd|0,kd[0]=Md+kd[0]|0,kd[1]=Pd+kd[1]|0,kd[2]=Fd+kd[2]|0,kd[3]=Ud+kd[3]|0}function sd(kd){var Od=[],Md;for(Md=0;Md<64;Md+=4)Od[Md>>2]=kd.charCodeAt(Md)+(kd.charCodeAt(Md+1)<<8)+(kd.charCodeAt(Md+2)<<16)+(kd.charCodeAt(Md+3)<<24);return Od}function od(kd){var Od=[],Md;for(Md=0;Md<64;Md+=4)Od[Md>>2]=kd[Md]+(kd[Md+1]<<8)+(kd[Md+2]<<16)+(kd[Md+3]<<24);return Od}function ld(kd){var Od=kd.length,Md=[1732584193,-271733879,-1732584194,271733878],Pd,Fd,Ud,Gd,Qd,Vd;for(Pd=64;Pd<=Od;Pd+=64)rd(Md,sd(kd.substring(Pd-64,Pd)));for(kd=kd.substring(Pd-64),Fd=kd.length,Ud=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],Pd=0;Pd>2]|=kd.charCodeAt(Pd)<<(Pd%4<<3);if(Ud[Pd>>2]|=128<<(Pd%4<<3),Pd>55)for(rd(Md,Ud),Pd=0;Pd<16;Pd+=1)Ud[Pd]=0;return Gd=Od*8,Gd=Gd.toString(16).match(/(.*?)(.{0,8})$/),Qd=parseInt(Gd[2],16),Vd=parseInt(Gd[1],16)||0,Ud[14]=Qd,Ud[15]=Vd,rd(Md,Ud),Md}function cd(kd){var Od=kd.length,Md=[1732584193,-271733879,-1732584194,271733878],Pd,Fd,Ud,Gd,Qd,Vd;for(Pd=64;Pd<=Od;Pd+=64)rd(Md,od(kd.subarray(Pd-64,Pd)));for(kd=Pd-64>2]|=kd[Pd]<<(Pd%4<<3);if(Ud[Pd>>2]|=128<<(Pd%4<<3),Pd>55)for(rd(Md,Ud),Pd=0;Pd<16;Pd+=1)Ud[Pd]=0;return Gd=Od*8,Gd=Gd.toString(16).match(/(.*?)(.{0,8})$/),Qd=parseInt(Gd[2],16),Vd=parseInt(Gd[1],16)||0,Ud[14]=Qd,Ud[15]=Vd,rd(Md,Ud),Md}function ud(kd){var Od="",Md;for(Md=0;Md<4;Md+=1)Od+=td[kd>>Md*8+4&15]+td[kd>>Md*8&15];return Od}function _d(kd){var Od;for(Od=0;OdUd?new ArrayBuffer(0):(Gd=Ud-Fd,Qd=new ArrayBuffer(Gd),Vd=new Uint8Array(Qd),zd=new Uint8Array(this,Fd,Gd),Vd.set(zd),Qd)}}();function Ed(kd){return/[\u0080-\uFFFF]/.test(kd)&&(kd=unescape(encodeURIComponent(kd))),kd}function Ad(kd,Od){var Md=kd.length,Pd=new ArrayBuffer(Md),Fd=new Uint8Array(Pd),Ud;for(Ud=0;Ud>2]|=Od.charCodeAt(Pd)<<(Pd%4<<3);return this._finish(Fd,Md),Ud=_d(this._hash),kd&&(Ud=Rd(Ud)),this.reset(),Ud},Bd.prototype.reset=function(){return this._buff="",this._length=0,this._hash=[1732584193,-271733879,-1732584194,271733878],this},Bd.prototype.getState=function(){return{buff:this._buff,length:this._length,hash:this._hash.slice()}},Bd.prototype.setState=function(kd){return this._buff=kd.buff,this._length=kd.length,this._hash=kd.hash,this},Bd.prototype.destroy=function(){delete this._hash,delete this._buff,delete this._length},Bd.prototype._finish=function(kd,Od){var Md=Od,Pd,Fd,Ud;if(kd[Md>>2]|=128<<(Md%4<<3),Md>55)for(rd(this._hash,kd),Md=0;Md<16;Md+=1)kd[Md]=0;Pd=this._length*8,Pd=Pd.toString(16).match(/(.*?)(.{0,8})$/),Fd=parseInt(Pd[2],16),Ud=parseInt(Pd[1],16)||0,kd[14]=Fd,kd[15]=Ud,rd(this._hash,kd)},Bd.hash=function(kd,Od){return Bd.hashBinary(Ed(kd),Od)},Bd.hashBinary=function(kd,Od){var Md=ld(kd),Pd=_d(Md);return Od?Rd(Pd):Pd},Bd.ArrayBuffer=function(){this.reset()},Bd.ArrayBuffer.prototype.append=function(kd){var Od=Nd(this._buff.buffer,kd),Md=Od.length,Pd;for(this._length+=kd.byteLength,Pd=64;Pd<=Md;Pd+=64)rd(this._hash,od(Od.subarray(Pd-64,Pd)));return this._buff=Pd-64>2]|=Od[Fd]<<(Fd%4<<3);return this._finish(Pd,Md),Ud=_d(this._hash),kd&&(Ud=Rd(Ud)),this.reset(),Ud},Bd.ArrayBuffer.prototype.reset=function(){return this._buff=new Uint8Array(0),this._length=0,this._hash=[1732584193,-271733879,-1732584194,271733878],this},Bd.ArrayBuffer.prototype.getState=function(){var kd=Bd.prototype.getState.call(this);return kd.buff=Td(kd.buff),kd},Bd.ArrayBuffer.prototype.setState=function(kd){return kd.buff=Ad(kd.buff,!0),Bd.prototype.setState.call(this,kd)},Bd.ArrayBuffer.prototype.destroy=Bd.prototype.destroy,Bd.ArrayBuffer.prototype._finish=Bd.prototype._finish,Bd.ArrayBuffer.hash=function(kd,Od){var Md=cd(new Uint8Array(kd)),Pd=_d(Md);return Od?Rd(Pd):Pd},Bd})})(sparkMd5);var sparkMd5Exports=sparkMd5.exports;const SparkMD5=getDefaultExportFromCjs(sparkMd5Exports);var __assign$6=function(){return __assign$6=Object.assign||function(Ra){for(var qa,ed=1,td=arguments.length;ed0&&sd[sd.length-1])&&(ud[0]===6||ud[0]===2)){ed=0;continue}if(ud[0]===3&&(!sd||ud[1]>sd[0]&&ud[1]Ra.size)ed=Ra.size;else for(;Ra.size>ed*1e4;)ed*=2;for(var td=[],rd=Math.ceil(Ra.size/ed),sd=0;sd3?qa[1]:qa[0];if(!ed)throw new QiniuError(QiniuErrorName.InvalidToken,"missing assess key field.");var td=null;try{td=JSON.parse(urlSafeBase64Decode(qa[qa.length-1]))}catch{throw new QiniuError(QiniuErrorName.InvalidToken,"token parse failed.")}if(td==null)throw new QiniuError(QiniuErrorName.InvalidToken,"putPolicy is null.");if(td.scope==null)throw new QiniuError(QiniuErrorName.InvalidToken,"scope field is null.");var rd=td.scope.split(":")[0];if(!rd)throw new QiniuError(QiniuErrorName.InvalidToken,"resolve bucketName failed.");return{assessKey:ed,bucketName:rd,scope:td.scope}}function createObjectURL(Ra){var qa=window.URL||window.webkitURL||window.mozURL;return qa.createObjectURL(Ra)}var _a$2,region={z0:"z0",z1:"z1",z2:"z2",na0:"na0",as0:"as0",cnEast2:"cn-east-2"},regionUphostMap=(_a$2={},_a$2[region.z0]={srcUphost:["up.qiniup.com"],cdnUphost:["upload.qiniup.com"]},_a$2[region.z1]={srcUphost:["up-z1.qiniup.com"],cdnUphost:["upload-z1.qiniup.com"]},_a$2[region.z2]={srcUphost:["up-z2.qiniup.com"],cdnUphost:["upload-z2.qiniup.com"]},_a$2[region.na0]={srcUphost:["up-na0.qiniup.com"],cdnUphost:["upload-na0.qiniup.com"]},_a$2[region.as0]={srcUphost:["up-as0.qiniup.com"],cdnUphost:["upload-as0.qiniup.com"]},_a$2[region.cnEast2]={srcUphost:["up-cn-east-2.qiniup.com"],cdnUphost:["upload-cn-east-2.qiniup.com"]},_a$2),stringifyPrimitive=function(Ra){switch(typeof Ra){case"string":return Ra;case"boolean":return Ra?"true":"false";case"number":return isFinite(Ra)?Ra:"";default:return""}},encode$3=function(Ra,qa,ed,td){return qa=qa||"&",ed=ed||"=",Ra===null&&(Ra=void 0),typeof Ra=="object"?Object.keys(Ra).map(function(rd){var sd=encodeURIComponent(stringifyPrimitive(rd))+ed;return Array.isArray(Ra[rd])?Ra[rd].map(function(od){return sd+encodeURIComponent(stringifyPrimitive(od))}).join(qa):sd+encodeURIComponent(stringifyPrimitive(Ra[rd]))}).filter(Boolean).join(qa):td?encodeURIComponent(stringifyPrimitive(td))+ed+encodeURIComponent(stringifyPrimitive(Ra)):""},stringify$4;stringify$4=encode$3;var __assign$5=function(){return __assign$5=Object.assign||function(Ra){for(var qa,ed=1,td=arguments.length;ed0&&sd[sd.length-1])&&(ud[0]===6||ud[0]===2)){ed=0;continue}if(ud[0]===3&&(!sd||ud[1]>sd[0]&&ud[1]0?[2,td+"://"+ed.uphost[0]]:(rd=getPutPolicy(qa),[4,getUpHosts(rd.assessKey,rd.bucketName,td)]);case 1:return sd=ld.sent(),od=sd.data.up.acc.main,[2,td+"://"+od[0]]}})})}var __assign$4=function(){return __assign$4=Object.assign||function(Ra){for(var qa,ed=1,td=arguments.length;ed0&&sd[sd.length-1])&&(ud[0]===6||ud[0]===2)){ed=0;continue}if(ud[0]===3&&(!sd||ud[1]>sd[0]&&ud[1]0)&&!(rd=td.next()).done;)sd.push(rd.value)}catch(ld){od={error:ld}}finally{try{rd&&!rd.done&&(ed=td.return)&&ed.call(td)}finally{if(od)throw od.error}}return sd},__spread$4=function(){for(var Ra=[],qa=0;qa1e4*GB)return this.handleError(new QiniuError(QiniuErrorName.InvalidFile,"file size exceed maximum value 10000G")),[2];if(this.putExtra.customVars&&!isCustomVarsValid(this.putExtra.customVars))return this.handleError(new QiniuError(QiniuErrorName.InvalidCustomVars,"customVars key should start width x:")),[2];if(this.putExtra.metadata&&!isMetaDataValid(this.putExtra.metadata))return this.handleError(new QiniuError(QiniuErrorName.InvalidMetadata,"metadata key should start with x-qn-meta-")),[2];sd.label=1;case 1:return sd.trys.push([1,4,,5]),this.uploadAt=new Date().getTime(),[4,this.checkAndUpdateUploadHost()];case 2:return sd.sent(),[4,this.run()];case 3:return qa=sd.sent(),this.onComplete(qa.data),this.checkAndUnfreezeHost(),this.sendLog(qa.reqId,200),[2];case 4:return ed=sd.sent(),this.aborted?(this.logger.warn("upload is aborted."),this.sendLog("",-2),[2]):(this.clear(),this.logger.error(ed),ed instanceof QiniuRequestError&&(this.sendLog(ed.reqId,ed.code),this.checkAndFreezeHost(ed),td=++this.retryCount<=this.config.retryCount,rd=RETRY_CODE_LIST.includes(ed.code),rd&&td)?(this.logger.warn("error auto retry: "+this.retryCount+"/"+this.config.retryCount+"."),this.putFile(),[2]):(this.onError(ed),[3,5]));case 5:return[2]}})})},Ra.prototype.clear=function(){this.xhrList.forEach(function(qa){qa.onreadystatechange=null,qa.abort()}),this.xhrList=[],this.logger.info("cleanup uploading xhr.")},Ra.prototype.stop=function(){this.logger.info("aborted."),this.clear(),this.aborted=!0},Ra.prototype.addXhr=function(qa){this.xhrList.push(qa)},Ra.prototype.sendLog=function(qa,ed){var td,rd;this.logger.report({code:ed,reqId:qa,remoteIp:"",upType:"jssdk-h5",size:this.file.size,time:Math.floor(this.uploadAt/1e3),port:getPortFromUrl((td=this.uploadHost)===null||td===void 0?void 0:td.getUrl()),host:getDomainFromUrl((rd=this.uploadHost)===null||rd===void 0?void 0:rd.getUrl()),bytesSent:this.progress?this.progress.total.loaded:0,duration:Math.floor((new Date().getTime()-this.uploadAt)/1e3)})},Ra.prototype.getProgressInfoItem=function(qa,ed,td){return __assign$4({size:ed,loaded:qa,percent:qa/ed*100},td==null?{}:{fromCache:td})},Ra}(),__extends$2=function(){var Ra=function(qa,ed){return Ra=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(td,rd){td.__proto__=rd}||function(td,rd){for(var sd in rd)rd.hasOwnProperty(sd)&&(td[sd]=rd[sd])},Ra(qa,ed)};return function(qa,ed){Ra(qa,ed);function td(){this.constructor=qa}qa.prototype=ed===null?Object.create(ed):(td.prototype=ed.prototype,new td)}}(),__assign$3=function(){return __assign$3=Object.assign||function(Ra){for(var qa,ed=1,td=arguments.length;ed0&&sd[sd.length-1])&&(ud[0]===6||ud[0]===2)){ed=0;continue}if(ud[0]===3&&(!sd||ud[1]>sd[0]&&ud[1]1024)throw new QiniuError(QiniuErrorName.InvalidChunkSize,"chunkSize maximum value is 1024");return[4,this.initBeforeUploadChunks()];case 1:cd.sent(),ed=new Pool(function(ud){return __awaiter$5(ld,void 0,void 0,function(){return __generator$5(this,function(_d){switch(_d.label){case 0:if(this.aborted)throw ed.abort(),new Error("pool is aborted");return[4,this.uploadChunk(ud)];case 1:return _d.sent(),[2]}})})},this.config.concurrentRequestLimit),td=null,rd=this.getLocalKey(),sd=this.chunks.map(function(ud,_d){return ed.enqueue({chunk:ud,index:_d})}),cd.label=2;case 2:return cd.trys.push([2,5,,6]),[4,Promise.all(sd)];case 3:return cd.sent(),[4,this.mkFileReq()];case 4:return td=cd.sent(),[3,6];case 5:throw od=cd.sent(),od instanceof QiniuRequestError&&(od.code===612||od.code===400)&&removeLocalFileInfo(rd,this.logger),od;case 6:return removeLocalFileInfo(rd,this.logger),[2,td]}})})},qa.prototype.uploadChunk=function(ed){return __awaiter$5(this,void 0,void 0,function(){var td,rd,sd,od,ld,cd,ud,_d,Ed,Ad=this;return __generator$5(this,function(Td){switch(Td.label){case 0:return td=ed.index,rd=ed.chunk,sd=this.cachedUploadedList[td],this.logger.info("upload part "+td+", cache:",sd),od=this.config.checkByMD5,ld=function(){Ad.usedCacheList[td]=!0,Ad.updateChunkProgress(rd.size,td),Ad.uploadedList[td]=sd,Ad.updateLocalCache()},sd&&!od?(ld(),[2]):[4,computeMd5(rd)];case 1:return cd=Td.sent(),this.logger.info("computed part md5.",cd),sd&&cd===sd.md5?(ld(),[2]):(this.usedCacheList[td]=!1,ud=function(Nd){Ad.updateChunkProgress(Nd.loaded,td)},_d={body:rd,md5:this.config.checkByServer?cd:void 0,onProgress:ud,onCreate:function(Nd){return Ad.addXhr(Nd)}},this.logger.info("part "+td+" start uploading."),[4,uploadChunk(this.token,this.key,ed.index+1,this.getUploadInfo(),_d)]);case 2:return Ed=Td.sent(),this.logger.info("part "+td+" upload completed."),ud({loaded:rd.size,total:rd.size}),this.uploadedList[td]={etag:Ed.data.etag,md5:Ed.data.md5,size:rd.size},this.updateLocalCache(),[2]}})})},qa.prototype.mkFileReq=function(){return __awaiter$5(this,void 0,void 0,function(){var ed,td,rd=this;return __generator$5(this,function(sd){switch(sd.label){case 0:return ed=__assign$3(__assign$3(__assign$3({parts:this.uploadedList.map(function(od,ld){return{etag:od.etag,partNumber:ld+1}}),fname:this.putExtra.fname},this.putExtra.mimeType&&{mimeType:this.putExtra.mimeType}),this.putExtra.customVars&&{customVars:this.putExtra.customVars}),this.putExtra.metadata&&{metadata:this.putExtra.metadata}),this.logger.info("parts upload completed, make file.",ed),[4,uploadComplete(this.token,this.key,this.getUploadInfo(),{onCreate:function(od){return rd.addXhr(od)},body:JSON.stringify(ed)})];case 1:return td=sd.sent(),this.logger.info("finish Resume Progress."),this.updateMkFileProgress(1),[2,td]}})})},qa.prototype.initBeforeUploadChunks=function(){return __awaiter$5(this,void 0,void 0,function(){var ed,td,rd;return __generator$5(this,function(sd){switch(sd.label){case 0:return this.uploadedList=[],this.usedCacheList=[],ed=getLocalFileInfo(this.getLocalKey(),this.logger),ed?[3,2]:(this.logger.info("init upload parts from api."),[4,initUploadParts(this.token,this.bucketName,this.key,this.uploadHost.getUrl())]);case 1:return td=sd.sent(),this.logger.info("initd upload parts of id: "+td.data.uploadId+"."),this.uploadId=td.data.uploadId,this.cachedUploadedList=[],[3,3];case 2:rd=["resume upload parts from local cache,","total "+ed.data.length+" part,","id is "+ed.id+"."],this.logger.info(rd.join(" ")),this.cachedUploadedList=ed.data,this.uploadId=ed.id,sd.label=3;case 3:return this.chunks=getChunks(this.file,this.config.chunkSize),this.loaded={mkFileProgress:0,chunks:this.chunks.map(function(od){return 0})},this.notifyResumeProgress(),[2]}})})},qa.prototype.getUploadInfo=function(){return{id:this.uploadId,url:this.uploadHost.getUrl()}},qa.prototype.getLocalKey=function(){return createLocalKey(this.file.name,this.key,this.file.size)},qa.prototype.updateLocalCache=function(){setLocalFileInfo(this.getLocalKey(),{id:this.uploadId,data:this.uploadedList},this.logger)},qa.prototype.updateChunkProgress=function(ed,td){this.loaded.chunks[td]=ed,this.notifyResumeProgress()},qa.prototype.updateMkFileProgress=function(ed){this.loaded.mkFileProgress=ed,this.notifyResumeProgress()},qa.prototype.notifyResumeProgress=function(){var ed=this;this.progress={total:this.getProgressInfoItem(sum$2(this.loaded.chunks)+this.loaded.mkFileProgress,this.file.size+1),chunks:this.chunks.map(function(td,rd){var sd=ed.usedCacheList[rd];return ed.getProgressInfoItem(ed.loaded.chunks[rd],td.size,sd)}),uploadInfo:{id:this.uploadId,url:this.uploadHost.getUrl()}},this.onData(this.progress)},qa}(Base),__awaiter$4=function(Ra,qa,ed,td){function rd(sd){return sd instanceof ed?sd:new ed(function(od){od(sd)})}return new(ed||(ed=Promise))(function(sd,od){function ld(_d){try{ud(td.next(_d))}catch(Ed){od(Ed)}}function cd(_d){try{ud(td.throw(_d))}catch(Ed){od(Ed)}}function ud(_d){_d.done?sd(_d.value):rd(_d.value).then(ld,cd)}ud((td=td.apply(Ra,qa||[])).next())})},__generator$4=function(Ra,qa){var ed={label:0,sent:function(){if(sd[0]&1)throw sd[1];return sd[1]},trys:[],ops:[]},td,rd,sd,od;return od={next:ld(0),throw:ld(1),return:ld(2)},typeof Symbol=="function"&&(od[Symbol.iterator]=function(){return this}),od;function ld(ud){return function(_d){return cd([ud,_d])}}function cd(ud){if(td)throw new TypeError("Generator is already executing.");for(;ed;)try{if(td=1,rd&&(sd=ud[0]&2?rd.return:ud[0]?rd.throw||((sd=rd.return)&&sd.call(rd),0):rd.next)&&!(sd=sd.call(rd,ud[1])).done)return sd;switch(rd=0,sd&&(ud=[ud[0]&2,sd.value]),ud[0]){case 0:case 1:sd=ud;break;case 4:return ed.label++,{value:ud[1],done:!1};case 5:ed.label++,rd=ud[1],ud=[0];continue;case 7:ud=ed.ops.pop(),ed.trys.pop();continue;default:if(sd=ed.trys,!(sd=sd.length>0&&sd[sd.length-1])&&(ud[0]===6||ud[0]===2)){ed=0;continue}if(ud[0]===3&&(!sd||ud[1]>sd[0]&&ud[1]>>1^3988292384:td>>>=1;qa[ed]=td}return qa},Ra.prototype.append=function(qa){for(var ed=this.crc,td=0;td>>8^this.table[(ed^qa[td])&255];this.crc=ed},Ra.prototype.compute=function(){return(this.crc^-1)>>>0},Ra.prototype.readAsUint8Array=function(qa){return __awaiter$4(this,void 0,void 0,function(){var ed;return __generator$4(this,function(td){switch(td.label){case 0:return typeof qa.arrayBuffer!="function"?[3,2]:(ed=Uint8Array.bind,[4,qa.arrayBuffer()]);case 1:return[2,new(ed.apply(Uint8Array,[void 0,td.sent()]))];case 2:return[2,new Promise(function(rd,sd){var od=new FileReader;od.onload=function(){if(od.result==null){sd();return}if(typeof od.result=="string"){sd();return}rd(new Uint8Array(od.result))},od.readAsArrayBuffer(qa)})]}})})},Ra.prototype.file=function(qa){return __awaiter$4(this,void 0,void 0,function(){var ed,td,rd,sd,od,ld;return __generator$4(this,function(cd){switch(cd.label){case 0:return qa.size<=MB?(ed=this.append,[4,this.readAsUint8Array(qa)]):[3,2];case 1:return ed.apply(this,[cd.sent()]),[2,this.compute()];case 2:td=Math.ceil(qa.size/MB),rd=0,cd.label=3;case 3:return rd0&&sd[sd.length-1])&&(ud[0]===6||ud[0]===2)){ed=0;continue}if(ud[0]===3&&(!sd||ud[1]>sd[0]&&ud[1]0&&reportV3(Ra,qa,ed-1)};var rd=[qa.code||"",qa.reqId||"",qa.host||"",qa.remoteIp||"",qa.port||"",qa.duration||"",qa.time||"",qa.bytesSent||"",qa.upType||"",qa.size||""].join(",");td.send(rd)}var __read$3=function(Ra,qa){var ed=typeof Symbol=="function"&&Ra[Symbol.iterator];if(!ed)return Ra;var td=ed.call(Ra),rd,sd=[],od;try{for(;(qa===void 0||qa-- >0)&&!(rd=td.next()).done;)sd.push(rd.value)}catch(ld){od={error:ld}}finally{try{rd&&!rd.done&&(ed=td.return)&&ed.call(td)}finally{if(od)throw od.error}}return sd},__spread$3=function(){for(var Ra=[],qa=0;qa0&&sd[sd.length-1])&&(ud[0]===6||ud[0]===2)){ed=0;continue}if(ud[0]===3&&(!sd||ud[1]>sd[0]&&ud[1]0)&&!(rd=td.next()).done;)sd.push(rd.value)}catch(ld){od={error:ld}}finally{try{rd&&!rd.done&&(ed=td.return)&&ed.call(td)}finally{if(od)throw od.error}}return sd},__spread$2=function(){for(var Ra=[],qa=0;qa=qa},Ra.prototype.freeze=function(qa){qa===void 0&&(qa=20);var ed=new Date().getTime()+qa*1e3;unfreezeTimeMap.set(this.host,ed)},Ra.prototype.unfreeze=function(){unfreezeTimeMap.delete(this.host)},Ra.prototype.getUrl=function(){return this.protocol+"://"+this.host},Ra.prototype.getUnfreezeTime=function(){return unfreezeTimeMap.get(this.host)},Ra}(),HostPool=function(){function Ra(qa){qa===void 0&&(qa=[]),this.initHosts=qa,this.cachedHostsMap=new Map}return Ra.prototype.register=function(qa,ed,td,rd){this.cachedHostsMap.set(qa+"@"+ed,td.map(function(sd){return new Host(sd,rd)}))},Ra.prototype.refresh=function(qa,ed,td){var rd,sd,od,ld;return __awaiter$2(this,void 0,void 0,function(){var cd,ud,_d;return __generator$2(this,function(Ed){switch(Ed.label){case 0:return cd=this.cachedHostsMap.get(qa+"@"+ed)||[],cd.length>0?[2]:this.initHosts.length>0?(this.register(qa,ed,this.initHosts,td),[2]):[4,getUpHosts(qa,ed,td)];case 1:return ud=Ed.sent(),(ud==null?void 0:ud.data)!=null&&(_d=__spread$2(((sd=(rd=ud.data.up)===null||rd===void 0?void 0:rd.acc)===null||sd===void 0?void 0:sd.main)||[],((ld=(od=ud.data.up)===null||od===void 0?void 0:od.acc)===null||ld===void 0?void 0:ld.backup)||[]),this.register(qa,ed,_d,td)),[2]}})})},Ra.prototype.getUp=function(qa,ed,td){return __awaiter$2(this,void 0,void 0,function(){var rd,sd,od;return __generator$2(this,function(ld){switch(ld.label){case 0:return[4,this.refresh(qa,ed,td)];case 1:return ld.sent(),rd=this.cachedHostsMap.get(qa+"@"+ed)||[],rd.length===0?[2,null]:(sd=rd.filter(function(cd){return!cd.isFrozen()}),sd.length>0?[2,sd[0]]:(od=rd.slice().sort(function(cd,ud){return(cd.getUnfreezeTime()||0)-(ud.getUnfreezeTime()||0)}),[2,od[0]]))}})})},Ra}();function createUploadManager(Ra,qa,ed,td){return Ra.config&&Ra.config.forceDirect?(td.info("ues forceDirect mode."),new Direct(Ra,qa,ed,td)):Ra.file.size>4*MB?(td.info("file size over 4M, use Resume."),new Resume(Ra,qa,ed,td)):(td.info("file size less or equal than 4M, use Direct."),new Direct(Ra,qa,ed,td))}function upload(Ra,qa,ed,td,rd){var sd=new Logger(ed,rd==null?void 0:rd.disableStatisticsReport,rd==null?void 0:rd.debugLogLevel,Ra.name),od={file:Ra,key:qa,token:ed,putExtra:td,config:normalizeUploadConfig(rd,sd)},ld=new HostPool(od.config.uphost);return new Observable(function(cd){var ud=createUploadManager(od,{onData:function(_d){return cd.next(_d)},onError:function(_d){return cd.error(_d)},onComplete:function(_d){return cd.complete(_d)}},ld,sd);return ud.putFile(),ud.stop.bind(ud)})}var __assign$2=function(){return __assign$2=Object.assign||function(Ra){for(var qa,ed=1,td=arguments.length;ed0)&&!(rd=td.next()).done;)sd.push(rd.value)}catch(ld){od={error:ld}}finally{try{rd&&!rd.done&&(ed=td.return)&&ed.call(td)}finally{if(od)throw od.error}}return sd},__spread$1=function(){for(var Ra=[],qa=0;qa0&&sd[sd.length-1])&&(ud[0]===6||ud[0]===2)){ed=0;continue}if(ud[0]===3&&(!sd||ud[1]>sd[0]&&ud[1]this.file.size&&this.config.noCompressIfLarger?[2,{dist:this.file,width:qa.width,height:qa.height}]:[2,{dist:od,width:sd.width,height:sd.height}]}})})},Ra.prototype.clear=function(qa,ed,td){this.outputType===defaultType?(qa.fillStyle="#fff",qa.fillRect(0,0,ed,td)):qa.clearRect(0,0,ed,td)},Ra.prototype.getOriginImage=function(){var qa=this;return new Promise(function(ed,td){var rd=createObjectURL(qa.file),sd=new Image;sd.onload=function(){ed(sd)},sd.onerror=function(){td("image load error")},sd.src=rd})},Ra.prototype.getCanvas=function(qa){var ed=this;return new Promise(function(td,rd){var sd=document.createElement("canvas"),od=sd.getContext("2d");if(!od){rd(new QiniuError(QiniuErrorName.GetCanvasContextFailed,"context is null"));return}var ld=qa.width,cd=qa.height;sd.height=cd,sd.width=ld,ed.clear(od,ld,cd),od.drawImage(qa,0,0),td(sd)})},Ra.prototype.doScale=function(qa,ed){return __awaiter$1(this,void 0,void 0,function(){var td,rd,sd,od,ld,cd,ud,_d,Ed,Ad,Td,Nd,Rd,Bd,kd,Od;return __generator$1(this,function(Md){if(ed===1)return[2,qa];if(td=qa.getContext("2d"),rd=Math.min(maxSteps,Math.ceil(1/ed/scaleFactor)),sd=Math.pow(ed,1/rd),od=document.createElement("canvas"),ld=od.getContext("2d"),cd=qa.width,ud=qa.height,_d=cd,Ed=ud,od.width=cd,od.height=ud,!ld||!td)throw new QiniuError(QiniuErrorName.GetCanvasContextFailed,"mctx or sctx can't be null");for(Nd=0;Ndqa=>{const ed=toString$1.call(qa);return Ra[ed]||(Ra[ed]=ed.slice(8,-1).toLowerCase())})(Object.create(null)),kindOfTest=Ra=>(Ra=Ra.toLowerCase(),qa=>kindOf(qa)===Ra),typeOfTest=Ra=>qa=>typeof qa===Ra,{isArray}=Array,isUndefined=typeOfTest("undefined");function isBuffer(Ra){return Ra!==null&&!isUndefined(Ra)&&Ra.constructor!==null&&!isUndefined(Ra.constructor)&&isFunction(Ra.constructor.isBuffer)&&Ra.constructor.isBuffer(Ra)}const isArrayBuffer=kindOfTest("ArrayBuffer");function isArrayBufferView(Ra){let qa;return typeof ArrayBuffer<"u"&&ArrayBuffer.isView?qa=ArrayBuffer.isView(Ra):qa=Ra&&Ra.buffer&&isArrayBuffer(Ra.buffer),qa}const isString=typeOfTest("string"),isFunction=typeOfTest("function"),isNumber$1=typeOfTest("number"),isObject$2=Ra=>Ra!==null&&typeof Ra=="object",isBoolean$1=Ra=>Ra===!0||Ra===!1,isPlainObject=Ra=>{if(kindOf(Ra)!=="object")return!1;const qa=getPrototypeOf$1(Ra);return(qa===null||qa===Object.prototype||Object.getPrototypeOf(qa)===null)&&!(Symbol.toStringTag in Ra)&&!(Symbol.iterator in Ra)},isDate=kindOfTest("Date"),isFile=kindOfTest("File"),isBlob=kindOfTest("Blob"),isFileList=kindOfTest("FileList"),isStream=Ra=>isObject$2(Ra)&&isFunction(Ra.pipe),isFormData=Ra=>{let qa;return Ra&&(typeof FormData=="function"&&Ra instanceof FormData||isFunction(Ra.append)&&((qa=kindOf(Ra))==="formdata"||qa==="object"&&isFunction(Ra.toString)&&Ra.toString()==="[object FormData]"))},isURLSearchParams=kindOfTest("URLSearchParams"),[isReadableStream,isRequest,isResponse,isHeaders]=["ReadableStream","Request","Response","Headers"].map(kindOfTest),trim$1=Ra=>Ra.trim?Ra.trim():Ra.replace(/^[\s\uFEFF\xA0]+|[\s\uFEFF\xA0]+$/g,"");function forEach(Ra,qa,{allOwnKeys:ed=!1}={}){if(Ra===null||typeof Ra>"u")return;let td,rd;if(typeof Ra!="object"&&(Ra=[Ra]),isArray(Ra))for(td=0,rd=Ra.length;td0;)if(rd=ed[td],qa===rd.toLowerCase())return rd;return null}const _global$1=typeof globalThis<"u"?globalThis:typeof self<"u"?self:typeof window<"u"?window:global$1,isContextDefined=Ra=>!isUndefined(Ra)&&Ra!==_global$1;function merge$2(){const{caseless:Ra}=isContextDefined(this)&&this||{},qa={},ed=(td,rd)=>{const sd=Ra&&findKey(qa,rd)||rd;isPlainObject(qa[sd])&&isPlainObject(td)?qa[sd]=merge$2(qa[sd],td):isPlainObject(td)?qa[sd]=merge$2({},td):isArray(td)?qa[sd]=td.slice():qa[sd]=td};for(let td=0,rd=arguments.length;td(forEach(qa,(rd,sd)=>{ed&&isFunction(rd)?Ra[sd]=bind(rd,ed):Ra[sd]=rd},{allOwnKeys:td}),Ra),stripBOM=Ra=>(Ra.charCodeAt(0)===65279&&(Ra=Ra.slice(1)),Ra),inherits=(Ra,qa,ed,td)=>{Ra.prototype=Object.create(qa.prototype,td),Ra.prototype.constructor=Ra,Object.defineProperty(Ra,"super",{value:qa.prototype}),ed&&Object.assign(Ra.prototype,ed)},toFlatObject=(Ra,qa,ed,td)=>{let rd,sd,od;const ld={};if(qa=qa||{},Ra==null)return qa;do{for(rd=Object.getOwnPropertyNames(Ra),sd=rd.length;sd-- >0;)od=rd[sd],(!td||td(od,Ra,qa))&&!ld[od]&&(qa[od]=Ra[od],ld[od]=!0);Ra=ed!==!1&&getPrototypeOf$1(Ra)}while(Ra&&(!ed||ed(Ra,qa))&&Ra!==Object.prototype);return qa},endsWith=(Ra,qa,ed)=>{Ra=String(Ra),(ed===void 0||ed>Ra.length)&&(ed=Ra.length),ed-=qa.length;const td=Ra.indexOf(qa,ed);return td!==-1&&td===ed},toArray$1=Ra=>{if(!Ra)return null;if(isArray(Ra))return Ra;let qa=Ra.length;if(!isNumber$1(qa))return null;const ed=new Array(qa);for(;qa-- >0;)ed[qa]=Ra[qa];return ed},isTypedArray$1=(Ra=>qa=>Ra&&qa instanceof Ra)(typeof Uint8Array<"u"&&getPrototypeOf$1(Uint8Array)),forEachEntry=(Ra,qa)=>{const td=(Ra&&Ra[Symbol.iterator]).call(Ra);let rd;for(;(rd=td.next())&&!rd.done;){const sd=rd.value;qa.call(Ra,sd[0],sd[1])}},matchAll=(Ra,qa)=>{let ed;const td=[];for(;(ed=Ra.exec(qa))!==null;)td.push(ed);return td},isHTMLForm=kindOfTest("HTMLFormElement"),toCamelCase=Ra=>Ra.toLowerCase().replace(/[-_\s]([a-z\d])(\w*)/g,function(ed,td,rd){return td.toUpperCase()+rd}),hasOwnProperty$1=(({hasOwnProperty:Ra})=>(qa,ed)=>Ra.call(qa,ed))(Object.prototype),isRegExp=kindOfTest("RegExp"),reduceDescriptors=(Ra,qa)=>{const ed=Object.getOwnPropertyDescriptors(Ra),td={};forEach(ed,(rd,sd)=>{let od;(od=qa(rd,sd,Ra))!==!1&&(td[sd]=od||rd)}),Object.defineProperties(Ra,td)},freezeMethods=Ra=>{reduceDescriptors(Ra,(qa,ed)=>{if(isFunction(Ra)&&["arguments","caller","callee"].indexOf(ed)!==-1)return!1;const td=Ra[ed];if(isFunction(td)){if(qa.enumerable=!1,"writable"in qa){qa.writable=!1;return}qa.set||(qa.set=()=>{throw Error("Can not rewrite read-only method '"+ed+"'")})}})},toObjectSet=(Ra,qa)=>{const ed={},td=rd=>{rd.forEach(sd=>{ed[sd]=!0})};return isArray(Ra)?td(Ra):td(String(Ra).split(qa)),ed},noop$3=()=>{},toFiniteNumber=(Ra,qa)=>Ra!=null&&Number.isFinite(Ra=+Ra)?Ra:qa,ALPHA="abcdefghijklmnopqrstuvwxyz",DIGIT="0123456789",ALPHABET={DIGIT,ALPHA,ALPHA_DIGIT:ALPHA+ALPHA.toUpperCase()+DIGIT},generateString=(Ra=16,qa=ALPHABET.ALPHA_DIGIT)=>{let ed="";const{length:td}=qa;for(;Ra--;)ed+=qa[Math.random()*td|0];return ed};function isSpecCompliantForm(Ra){return!!(Ra&&isFunction(Ra.append)&&Ra[Symbol.toStringTag]==="FormData"&&Ra[Symbol.iterator])}const toJSONObject=Ra=>{const qa=new Array(10),ed=(td,rd)=>{if(isObject$2(td)){if(qa.indexOf(td)>=0)return;if(!("toJSON"in td)){qa[rd]=td;const sd=isArray(td)?[]:{};return forEach(td,(od,ld)=>{const cd=ed(od,rd+1);!isUndefined(cd)&&(sd[ld]=cd)}),qa[rd]=void 0,sd}}return td};return ed(Ra,0)},isAsyncFn=kindOfTest("AsyncFunction"),isThenable=Ra=>Ra&&(isObject$2(Ra)||isFunction(Ra))&&isFunction(Ra.then)&&isFunction(Ra.catch),_setImmediate=((Ra,qa)=>Ra?setImmediate:qa?((ed,td)=>(_global$1.addEventListener("message",({source:rd,data:sd})=>{rd===_global$1&&sd===ed&&td.length&&td.shift()()},!1),rd=>{td.push(rd),_global$1.postMessage(ed,"*")}))(`axios@${Math.random()}`,[]):ed=>setTimeout(ed))(typeof setImmediate=="function",isFunction(_global$1.postMessage)),asap=typeof queueMicrotask<"u"?queueMicrotask.bind(_global$1):typeof define_process_default<"u"&&define_process_default.nextTick||_setImmediate,utils$4={isArray,isArrayBuffer,isBuffer,isFormData,isArrayBufferView,isString,isNumber:isNumber$1,isBoolean:isBoolean$1,isObject:isObject$2,isPlainObject,isReadableStream,isRequest,isResponse,isHeaders,isUndefined,isDate,isFile,isBlob,isRegExp,isFunction,isStream,isURLSearchParams,isTypedArray:isTypedArray$1,isFileList,forEach,merge:merge$2,extend:extend$2,trim:trim$1,stripBOM,inherits,toFlatObject,kindOf,kindOfTest,endsWith,toArray:toArray$1,forEachEntry,matchAll,isHTMLForm,hasOwnProperty:hasOwnProperty$1,hasOwnProp:hasOwnProperty$1,reduceDescriptors,freezeMethods,toObjectSet,toCamelCase,noop:noop$3,toFiniteNumber,findKey,global:_global$1,isContextDefined,ALPHABET,generateString,isSpecCompliantForm,toJSONObject,isAsyncFn,isThenable,setImmediate:_setImmediate,asap};function AxiosError(Ra,qa,ed,td,rd){Error.call(this),Error.captureStackTrace?Error.captureStackTrace(this,this.constructor):this.stack=new Error().stack,this.message=Ra,this.name="AxiosError",qa&&(this.code=qa),ed&&(this.config=ed),td&&(this.request=td),rd&&(this.response=rd,this.status=rd.status?rd.status:null)}utils$4.inherits(AxiosError,Error,{toJSON:function(){return{message:this.message,name:this.name,description:this.description,number:this.number,fileName:this.fileName,lineNumber:this.lineNumber,columnNumber:this.columnNumber,stack:this.stack,config:utils$4.toJSONObject(this.config),code:this.code,status:this.status}}});const prototype$1=AxiosError.prototype,descriptors={};["ERR_BAD_OPTION_VALUE","ERR_BAD_OPTION","ECONNABORTED","ETIMEDOUT","ERR_NETWORK","ERR_FR_TOO_MANY_REDIRECTS","ERR_DEPRECATED","ERR_BAD_RESPONSE","ERR_BAD_REQUEST","ERR_CANCELED","ERR_NOT_SUPPORT","ERR_INVALID_URL"].forEach(Ra=>{descriptors[Ra]={value:Ra}});Object.defineProperties(AxiosError,descriptors);Object.defineProperty(prototype$1,"isAxiosError",{value:!0});AxiosError.from=(Ra,qa,ed,td,rd,sd)=>{const od=Object.create(prototype$1);return utils$4.toFlatObject(Ra,od,function(cd){return cd!==Error.prototype},ld=>ld!=="isAxiosError"),AxiosError.call(od,Ra.message,qa,ed,td,rd),od.cause=Ra,od.name=Ra.name,sd&&Object.assign(od,sd),od};const httpAdapter=null;function isVisitable(Ra){return utils$4.isPlainObject(Ra)||utils$4.isArray(Ra)}function removeBrackets(Ra){return utils$4.endsWith(Ra,"[]")?Ra.slice(0,-2):Ra}function renderKey(Ra,qa,ed){return Ra?Ra.concat(qa).map(function(rd,sd){return rd=removeBrackets(rd),!ed&&sd?"["+rd+"]":rd}).join(ed?".":""):qa}function isFlatArray(Ra){return utils$4.isArray(Ra)&&!Ra.some(isVisitable)}const predicates=utils$4.toFlatObject(utils$4,{},null,function(qa){return/^is[A-Z]/.test(qa)});function toFormData(Ra,qa,ed){if(!utils$4.isObject(Ra))throw new TypeError("target must be an object");qa=qa||new FormData,ed=utils$4.toFlatObject(ed,{metaTokens:!0,dots:!1,indexes:!1},!1,function(Rd,Bd){return!utils$4.isUndefined(Bd[Rd])});const td=ed.metaTokens,rd=ed.visitor||_d,sd=ed.dots,od=ed.indexes,cd=(ed.Blob||typeof Blob<"u"&&Blob)&&utils$4.isSpecCompliantForm(qa);if(!utils$4.isFunction(rd))throw new TypeError("visitor must be a function");function ud(Nd){if(Nd===null)return"";if(utils$4.isDate(Nd))return Nd.toISOString();if(!cd&&utils$4.isBlob(Nd))throw new AxiosError("Blob is not supported. Use a Buffer instead.");return utils$4.isArrayBuffer(Nd)||utils$4.isTypedArray(Nd)?cd&&typeof Blob=="function"?new Blob([Nd]):Buffer$2.from(Nd):Nd}function _d(Nd,Rd,Bd){let kd=Nd;if(Nd&&!Bd&&typeof Nd=="object"){if(utils$4.endsWith(Rd,"{}"))Rd=td?Rd:Rd.slice(0,-2),Nd=JSON.stringify(Nd);else if(utils$4.isArray(Nd)&&isFlatArray(Nd)||(utils$4.isFileList(Nd)||utils$4.endsWith(Rd,"[]"))&&(kd=utils$4.toArray(Nd)))return Rd=removeBrackets(Rd),kd.forEach(function(Md,Pd){!(utils$4.isUndefined(Md)||Md===null)&&qa.append(od===!0?renderKey([Rd],Pd,sd):od===null?Rd:Rd+"[]",ud(Md))}),!1}return isVisitable(Nd)?!0:(qa.append(renderKey(Bd,Rd,sd),ud(Nd)),!1)}const Ed=[],Ad=Object.assign(predicates,{defaultVisitor:_d,convertValue:ud,isVisitable});function Td(Nd,Rd){if(!utils$4.isUndefined(Nd)){if(Ed.indexOf(Nd)!==-1)throw Error("Circular reference detected in "+Rd.join("."));Ed.push(Nd),utils$4.forEach(Nd,function(kd,Od){(!(utils$4.isUndefined(kd)||kd===null)&&rd.call(qa,kd,utils$4.isString(Od)?Od.trim():Od,Rd,Ad))===!0&&Td(kd,Rd?Rd.concat(Od):[Od])}),Ed.pop()}}if(!utils$4.isObject(Ra))throw new TypeError("data must be an object");return Td(Ra),qa}function encode$2(Ra){const qa={"!":"%21","'":"%27","(":"%28",")":"%29","~":"%7E","%20":"+","%00":"\0"};return encodeURIComponent(Ra).replace(/[!'()~]|%20|%00/g,function(td){return qa[td]})}function AxiosURLSearchParams(Ra,qa){this._pairs=[],Ra&&toFormData(Ra,this,qa)}const prototype=AxiosURLSearchParams.prototype;prototype.append=function(qa,ed){this._pairs.push([qa,ed])};prototype.toString=function(qa){const ed=qa?function(td){return qa.call(this,td,encode$2)}:encode$2;return this._pairs.map(function(rd){return ed(rd[0])+"="+ed(rd[1])},"").join("&")};function encode$1(Ra){return encodeURIComponent(Ra).replace(/%3A/gi,":").replace(/%24/g,"$").replace(/%2C/gi,",").replace(/%20/g,"+").replace(/%5B/gi,"[").replace(/%5D/gi,"]")}function buildURL(Ra,qa,ed){if(!qa)return Ra;const td=ed&&ed.encode||encode$1,rd=ed&&ed.serialize;let sd;if(rd?sd=rd(qa,ed):sd=utils$4.isURLSearchParams(qa)?qa.toString():new AxiosURLSearchParams(qa,ed).toString(td),sd){const od=Ra.indexOf("#");od!==-1&&(Ra=Ra.slice(0,od)),Ra+=(Ra.indexOf("?")===-1?"?":"&")+sd}return Ra}class InterceptorManager{constructor(){this.handlers=[]}use(qa,ed,td){return this.handlers.push({fulfilled:qa,rejected:ed,synchronous:td?td.synchronous:!1,runWhen:td?td.runWhen:null}),this.handlers.length-1}eject(qa){this.handlers[qa]&&(this.handlers[qa]=null)}clear(){this.handlers&&(this.handlers=[])}forEach(qa){utils$4.forEach(this.handlers,function(td){td!==null&&qa(td)})}}const transitionalDefaults={silentJSONParsing:!0,forcedJSONParsing:!0,clarifyTimeoutError:!1},URLSearchParams$1=typeof URLSearchParams<"u"?URLSearchParams:AxiosURLSearchParams,FormData$1=typeof FormData<"u"?FormData:null,Blob$1=typeof Blob<"u"?Blob:null,platform$1={isBrowser:!0,classes:{URLSearchParams:URLSearchParams$1,FormData:FormData$1,Blob:Blob$1},protocols:["http","https","file","blob","url","data"]},hasBrowserEnv=typeof window<"u"&&typeof document<"u",_navigator=typeof navigator=="object"&&navigator||void 0,hasStandardBrowserEnv=hasBrowserEnv&&(!_navigator||["ReactNative","NativeScript","NS"].indexOf(_navigator.product)<0),hasStandardBrowserWebWorkerEnv=typeof WorkerGlobalScope<"u"&&self instanceof WorkerGlobalScope&&typeof self.importScripts=="function",origin=hasBrowserEnv&&window.location.href||"http://localhost",utils$3=Object.freeze(Object.defineProperty({__proto__:null,hasBrowserEnv,hasStandardBrowserEnv,hasStandardBrowserWebWorkerEnv,navigator:_navigator,origin},Symbol.toStringTag,{value:"Module"})),platform={...utils$3,...platform$1};function toURLEncodedForm(Ra,qa){return toFormData(Ra,new platform.classes.URLSearchParams,Object.assign({visitor:function(ed,td,rd,sd){return platform.isNode&&utils$4.isBuffer(ed)?(this.append(td,ed.toString("base64")),!1):sd.defaultVisitor.apply(this,arguments)}},qa))}function parsePropPath(Ra){return utils$4.matchAll(/\w+|\[(\w*)]/g,Ra).map(qa=>qa[0]==="[]"?"":qa[1]||qa[0])}function arrayToObject(Ra){const qa={},ed=Object.keys(Ra);let td;const rd=ed.length;let sd;for(td=0;td=ed.length;return od=!od&&utils$4.isArray(rd)?rd.length:od,cd?(utils$4.hasOwnProp(rd,od)?rd[od]=[rd[od],td]:rd[od]=td,!ld):((!rd[od]||!utils$4.isObject(rd[od]))&&(rd[od]=[]),qa(ed,td,rd[od],sd)&&utils$4.isArray(rd[od])&&(rd[od]=arrayToObject(rd[od])),!ld)}if(utils$4.isFormData(Ra)&&utils$4.isFunction(Ra.entries)){const ed={};return utils$4.forEachEntry(Ra,(td,rd)=>{qa(parsePropPath(td),rd,ed,0)}),ed}return null}function stringifySafely(Ra,qa,ed){if(utils$4.isString(Ra))try{return(qa||JSON.parse)(Ra),utils$4.trim(Ra)}catch(td){if(td.name!=="SyntaxError")throw td}return(ed||JSON.stringify)(Ra)}const defaults={transitional:transitionalDefaults,adapter:["xhr","http","fetch"],transformRequest:[function(qa,ed){const td=ed.getContentType()||"",rd=td.indexOf("application/json")>-1,sd=utils$4.isObject(qa);if(sd&&utils$4.isHTMLForm(qa)&&(qa=new FormData(qa)),utils$4.isFormData(qa))return rd?JSON.stringify(formDataToJSON(qa)):qa;if(utils$4.isArrayBuffer(qa)||utils$4.isBuffer(qa)||utils$4.isStream(qa)||utils$4.isFile(qa)||utils$4.isBlob(qa)||utils$4.isReadableStream(qa))return qa;if(utils$4.isArrayBufferView(qa))return qa.buffer;if(utils$4.isURLSearchParams(qa))return ed.setContentType("application/x-www-form-urlencoded;charset=utf-8",!1),qa.toString();let ld;if(sd){if(td.indexOf("application/x-www-form-urlencoded")>-1)return toURLEncodedForm(qa,this.formSerializer).toString();if((ld=utils$4.isFileList(qa))||td.indexOf("multipart/form-data")>-1){const cd=this.env&&this.env.FormData;return toFormData(ld?{"files[]":qa}:qa,cd&&new cd,this.formSerializer)}}return sd||rd?(ed.setContentType("application/json",!1),stringifySafely(qa)):qa}],transformResponse:[function(qa){const ed=this.transitional||defaults.transitional,td=ed&&ed.forcedJSONParsing,rd=this.responseType==="json";if(utils$4.isResponse(qa)||utils$4.isReadableStream(qa))return qa;if(qa&&utils$4.isString(qa)&&(td&&!this.responseType||rd)){const od=!(ed&&ed.silentJSONParsing)&&rd;try{return JSON.parse(qa)}catch(ld){if(od)throw ld.name==="SyntaxError"?AxiosError.from(ld,AxiosError.ERR_BAD_RESPONSE,this,null,this.response):ld}}return qa}],timeout:0,xsrfCookieName:"XSRF-TOKEN",xsrfHeaderName:"X-XSRF-TOKEN",maxContentLength:-1,maxBodyLength:-1,env:{FormData:platform.classes.FormData,Blob:platform.classes.Blob},validateStatus:function(qa){return qa>=200&&qa<300},headers:{common:{Accept:"application/json, text/plain, */*","Content-Type":void 0}}};utils$4.forEach(["delete","get","head","post","put","patch"],Ra=>{defaults.headers[Ra]={}});const ignoreDuplicateOf=utils$4.toObjectSet(["age","authorization","content-length","content-type","etag","expires","from","host","if-modified-since","if-unmodified-since","last-modified","location","max-forwards","proxy-authorization","referer","retry-after","user-agent"]),parseHeaders=Ra=>{const qa={};let ed,td,rd;return Ra&&Ra.split(` `).forEach(function(od){rd=od.indexOf(":"),ed=od.substring(0,rd).trim().toLowerCase(),td=od.substring(rd+1).trim(),!(!ed||qa[ed]&&ignoreDuplicateOf[ed])&&(ed==="set-cookie"?qa[ed]?qa[ed].push(td):qa[ed]=[td]:qa[ed]=qa[ed]?qa[ed]+", "+td:td)}),qa},$internals=Symbol("internals");function normalizeHeader(Ra){return Ra&&String(Ra).trim().toLowerCase()}function normalizeValue(Ra){return Ra===!1||Ra==null?Ra:utils$4.isArray(Ra)?Ra.map(normalizeValue):String(Ra)}function parseTokens(Ra){const qa=Object.create(null),ed=/([^\s,;=]+)\s*(?:=\s*([^,;]+))?/g;let td;for(;td=ed.exec(Ra);)qa[td[1]]=td[2];return qa}const isValidHeaderName=Ra=>/^[-_a-zA-Z0-9^`|~,!#$%&'*+.]+$/.test(Ra.trim());function matchHeaderValue(Ra,qa,ed,td,rd){if(utils$4.isFunction(td))return td.call(this,qa,ed);if(rd&&(qa=ed),!!utils$4.isString(qa)){if(utils$4.isString(td))return qa.indexOf(td)!==-1;if(utils$4.isRegExp(td))return td.test(qa)}}function formatHeader(Ra){return Ra.trim().toLowerCase().replace(/([a-z\d])(\w*)/g,(qa,ed,td)=>ed.toUpperCase()+td)}function buildAccessors(Ra,qa){const ed=utils$4.toCamelCase(" "+qa);["get","set","has"].forEach(td=>{Object.defineProperty(Ra,td+ed,{value:function(rd,sd,od){return this[td].call(this,qa,rd,sd,od)},configurable:!0})})}class AxiosHeaders{constructor(qa){qa&&this.set(qa)}set(qa,ed,td){const rd=this;function sd(ld,cd,ud){const _d=normalizeHeader(cd);if(!_d)throw new Error("header name must be a non-empty string");const Ed=utils$4.findKey(rd,_d);(!Ed||rd[Ed]===void 0||ud===!0||ud===void 0&&rd[Ed]!==!1)&&(rd[Ed||cd]=normalizeValue(ld))}const od=(ld,cd)=>utils$4.forEach(ld,(ud,_d)=>sd(ud,_d,cd));if(utils$4.isPlainObject(qa)||qa instanceof this.constructor)od(qa,ed);else if(utils$4.isString(qa)&&(qa=qa.trim())&&!isValidHeaderName(qa))od(parseHeaders(qa),ed);else if(utils$4.isHeaders(qa))for(const[ld,cd]of qa.entries())sd(cd,ld,td);else qa!=null&&sd(ed,qa,td);return this}get(qa,ed){if(qa=normalizeHeader(qa),qa){const td=utils$4.findKey(this,qa);if(td){const rd=this[td];if(!ed)return rd;if(ed===!0)return parseTokens(rd);if(utils$4.isFunction(ed))return ed.call(this,rd,td);if(utils$4.isRegExp(ed))return ed.exec(rd);throw new TypeError("parser must be boolean|regexp|function")}}}has(qa,ed){if(qa=normalizeHeader(qa),qa){const td=utils$4.findKey(this,qa);return!!(td&&this[td]!==void 0&&(!ed||matchHeaderValue(this,this[td],td,ed)))}return!1}delete(qa,ed){const td=this;let rd=!1;function sd(od){if(od=normalizeHeader(od),od){const ld=utils$4.findKey(td,od);ld&&(!ed||matchHeaderValue(td,td[ld],ld,ed))&&(delete td[ld],rd=!0)}}return utils$4.isArray(qa)?qa.forEach(sd):sd(qa),rd}clear(qa){const ed=Object.keys(this);let td=ed.length,rd=!1;for(;td--;){const sd=ed[td];(!qa||matchHeaderValue(this,this[sd],sd,qa,!0))&&(delete this[sd],rd=!0)}return rd}normalize(qa){const ed=this,td={};return utils$4.forEach(this,(rd,sd)=>{const od=utils$4.findKey(td,sd);if(od){ed[od]=normalizeValue(rd),delete ed[sd];return}const ld=qa?formatHeader(sd):String(sd).trim();ld!==sd&&delete ed[sd],ed[ld]=normalizeValue(rd),td[ld]=!0}),this}concat(...qa){return this.constructor.concat(this,...qa)}toJSON(qa){const ed=Object.create(null);return utils$4.forEach(this,(td,rd)=>{td!=null&&td!==!1&&(ed[rd]=qa&&utils$4.isArray(td)?td.join(", "):td)}),ed}[Symbol.iterator](){return Object.entries(this.toJSON())[Symbol.iterator]()}toString(){return Object.entries(this.toJSON()).map(([qa,ed])=>qa+": "+ed).join(` `)}get[Symbol.toStringTag](){return"AxiosHeaders"}static from(qa){return qa instanceof this?qa:new this(qa)}static concat(qa,...ed){const td=new this(qa);return ed.forEach(rd=>td.set(rd)),td}static accessor(qa){const td=(this[$internals]=this[$internals]={accessors:{}}).accessors,rd=this.prototype;function sd(od){const ld=normalizeHeader(od);td[ld]||(buildAccessors(rd,od),td[ld]=!0)}return utils$4.isArray(qa)?qa.forEach(sd):sd(qa),this}}AxiosHeaders.accessor(["Content-Type","Content-Length","Accept","Accept-Encoding","User-Agent","Authorization"]);utils$4.reduceDescriptors(AxiosHeaders.prototype,({value:Ra},qa)=>{let ed=qa[0].toUpperCase()+qa.slice(1);return{get:()=>Ra,set(td){this[ed]=td}}});utils$4.freezeMethods(AxiosHeaders);function transformData(Ra,qa){const ed=this||defaults,td=qa||ed,rd=AxiosHeaders.from(td.headers);let sd=td.data;return utils$4.forEach(Ra,function(ld){sd=ld.call(ed,sd,rd.normalize(),qa?qa.status:void 0)}),rd.normalize(),sd}function isCancel(Ra){return!!(Ra&&Ra.__CANCEL__)}function CanceledError(Ra,qa,ed){AxiosError.call(this,Ra??"canceled",AxiosError.ERR_CANCELED,qa,ed),this.name="CanceledError"}utils$4.inherits(CanceledError,AxiosError,{__CANCEL__:!0});function settle(Ra,qa,ed){const td=ed.config.validateStatus;!ed.status||!td||td(ed.status)?Ra(ed):qa(new AxiosError("Request failed with status code "+ed.status,[AxiosError.ERR_BAD_REQUEST,AxiosError.ERR_BAD_RESPONSE][Math.floor(ed.status/100)-4],ed.config,ed.request,ed))}function parseProtocol(Ra){const qa=/^([-+\w]{1,25})(:?\/\/|:)/.exec(Ra);return qa&&qa[1]||""}function speedometer(Ra,qa){Ra=Ra||10;const ed=new Array(Ra),td=new Array(Ra);let rd=0,sd=0,od;return qa=qa!==void 0?qa:1e3,function(cd){const ud=Date.now(),_d=td[sd];od||(od=ud),ed[rd]=cd,td[rd]=ud;let Ed=sd,Ad=0;for(;Ed!==rd;)Ad+=ed[Ed++],Ed=Ed%Ra;if(rd=(rd+1)%Ra,rd===sd&&(sd=(sd+1)%Ra),ud-od{ed=_d,rd=null,sd&&(clearTimeout(sd),sd=null),Ra.apply(null,ud)};return[(...ud)=>{const _d=Date.now(),Ed=_d-ed;Ed>=td?od(ud,_d):(rd=ud,sd||(sd=setTimeout(()=>{sd=null,od(rd)},td-Ed)))},()=>rd&&od(rd)]}const progressEventReducer=(Ra,qa,ed=3)=>{let td=0;const rd=speedometer(50,250);return throttle(sd=>{const od=sd.loaded,ld=sd.lengthComputable?sd.total:void 0,cd=od-td,ud=rd(cd),_d=od<=ld;td=od;const Ed={loaded:od,total:ld,progress:ld?od/ld:void 0,bytes:cd,rate:ud||void 0,estimated:ud&&ld&&_d?(ld-od)/ud:void 0,event:sd,lengthComputable:ld!=null,[qa?"download":"upload"]:!0};Ra(Ed)},ed)},progressEventDecorator=(Ra,qa)=>{const ed=Ra!=null;return[td=>qa[0]({lengthComputable:ed,total:Ra,loaded:td}),qa[1]]},asyncDecorator=Ra=>(...qa)=>utils$4.asap(()=>Ra(...qa)),isURLSameOrigin=platform.hasStandardBrowserEnv?function(){const qa=platform.navigator&&/(msie|trident)/i.test(platform.navigator.userAgent),ed=document.createElement("a");let td;function rd(sd){let od=sd;return qa&&(ed.setAttribute("href",od),od=ed.href),ed.setAttribute("href",od),{href:ed.href,protocol:ed.protocol?ed.protocol.replace(/:$/,""):"",host:ed.host,search:ed.search?ed.search.replace(/^\?/,""):"",hash:ed.hash?ed.hash.replace(/^#/,""):"",hostname:ed.hostname,port:ed.port,pathname:ed.pathname.charAt(0)==="/"?ed.pathname:"/"+ed.pathname}}return td=rd(window.location.href),function(od){const ld=utils$4.isString(od)?rd(od):od;return ld.protocol===td.protocol&&ld.host===td.host}}():function(){return function(){return!0}}(),cookies=platform.hasStandardBrowserEnv?{write(Ra,qa,ed,td,rd,sd){const od=[Ra+"="+encodeURIComponent(qa)];utils$4.isNumber(ed)&&od.push("expires="+new Date(ed).toGMTString()),utils$4.isString(td)&&od.push("path="+td),utils$4.isString(rd)&&od.push("domain="+rd),sd===!0&&od.push("secure"),document.cookie=od.join("; ")},read(Ra){const qa=document.cookie.match(new RegExp("(^|;\\s*)("+Ra+")=([^;]*)"));return qa?decodeURIComponent(qa[3]):null},remove(Ra){this.write(Ra,"",Date.now()-864e5)}}:{write(){},read(){return null},remove(){}};function isAbsoluteURL(Ra){return/^([a-z][a-z\d+\-.]*:)?\/\//i.test(Ra)}function combineURLs(Ra,qa){return qa?Ra.replace(/\/?\/$/,"")+"/"+qa.replace(/^\/+/,""):Ra}function buildFullPath(Ra,qa){return Ra&&!isAbsoluteURL(qa)?combineURLs(Ra,qa):qa}const headersToObject=Ra=>Ra instanceof AxiosHeaders?{...Ra}:Ra;function mergeConfig(Ra,qa){qa=qa||{};const ed={};function td(ud,_d,Ed){return utils$4.isPlainObject(ud)&&utils$4.isPlainObject(_d)?utils$4.merge.call({caseless:Ed},ud,_d):utils$4.isPlainObject(_d)?utils$4.merge({},_d):utils$4.isArray(_d)?_d.slice():_d}function rd(ud,_d,Ed){if(utils$4.isUndefined(_d)){if(!utils$4.isUndefined(ud))return td(void 0,ud,Ed)}else return td(ud,_d,Ed)}function sd(ud,_d){if(!utils$4.isUndefined(_d))return td(void 0,_d)}function od(ud,_d){if(utils$4.isUndefined(_d)){if(!utils$4.isUndefined(ud))return td(void 0,ud)}else return td(void 0,_d)}function ld(ud,_d,Ed){if(Ed in qa)return td(ud,_d);if(Ed in Ra)return td(void 0,ud)}const cd={url:sd,method:sd,data:sd,baseURL:od,transformRequest:od,transformResponse:od,paramsSerializer:od,timeout:od,timeoutMessage:od,withCredentials:od,withXSRFToken:od,adapter:od,responseType:od,xsrfCookieName:od,xsrfHeaderName:od,onUploadProgress:od,onDownloadProgress:od,decompress:od,maxContentLength:od,maxBodyLength:od,beforeRedirect:od,transport:od,httpAgent:od,httpsAgent:od,cancelToken:od,socketPath:od,responseEncoding:od,validateStatus:ld,headers:(ud,_d)=>rd(headersToObject(ud),headersToObject(_d),!0)};return utils$4.forEach(Object.keys(Object.assign({},Ra,qa)),function(_d){const Ed=cd[_d]||rd,Ad=Ed(Ra[_d],qa[_d],_d);utils$4.isUndefined(Ad)&&Ed!==ld||(ed[_d]=Ad)}),ed}const resolveConfig=Ra=>{const qa=mergeConfig({},Ra);let{data:ed,withXSRFToken:td,xsrfHeaderName:rd,xsrfCookieName:sd,headers:od,auth:ld}=qa;qa.headers=od=AxiosHeaders.from(od),qa.url=buildURL(buildFullPath(qa.baseURL,qa.url),Ra.params,Ra.paramsSerializer),ld&&od.set("Authorization","Basic "+btoa((ld.username||"")+":"+(ld.password?unescape(encodeURIComponent(ld.password)):"")));let cd;if(utils$4.isFormData(ed)){if(platform.hasStandardBrowserEnv||platform.hasStandardBrowserWebWorkerEnv)od.setContentType(void 0);else if((cd=od.getContentType())!==!1){const[ud,..._d]=cd?cd.split(";").map(Ed=>Ed.trim()).filter(Boolean):[];od.setContentType([ud||"multipart/form-data",..._d].join("; "))}}if(platform.hasStandardBrowserEnv&&(td&&utils$4.isFunction(td)&&(td=td(qa)),td||td!==!1&&isURLSameOrigin(qa.url))){const ud=rd&&sd&&cookies.read(sd);ud&&od.set(rd,ud)}return qa},isXHRAdapterSupported=typeof XMLHttpRequest<"u",xhrAdapter=isXHRAdapterSupported&&function(Ra){return new Promise(function(ed,td){const rd=resolveConfig(Ra);let sd=rd.data;const od=AxiosHeaders.from(rd.headers).normalize();let{responseType:ld,onUploadProgress:cd,onDownloadProgress:ud}=rd,_d,Ed,Ad,Td,Nd;function Rd(){Td&&Td(),Nd&&Nd(),rd.cancelToken&&rd.cancelToken.unsubscribe(_d),rd.signal&&rd.signal.removeEventListener("abort",_d)}let Bd=new XMLHttpRequest;Bd.open(rd.method.toUpperCase(),rd.url,!0),Bd.timeout=rd.timeout;function kd(){if(!Bd)return;const Md=AxiosHeaders.from("getAllResponseHeaders"in Bd&&Bd.getAllResponseHeaders()),Fd={data:!ld||ld==="text"||ld==="json"?Bd.responseText:Bd.response,status:Bd.status,statusText:Bd.statusText,headers:Md,config:Ra,request:Bd};settle(function(Gd){ed(Gd),Rd()},function(Gd){td(Gd),Rd()},Fd),Bd=null}"onloadend"in Bd?Bd.onloadend=kd:Bd.onreadystatechange=function(){!Bd||Bd.readyState!==4||Bd.status===0&&!(Bd.responseURL&&Bd.responseURL.indexOf("file:")===0)||setTimeout(kd)},Bd.onabort=function(){Bd&&(td(new AxiosError("Request aborted",AxiosError.ECONNABORTED,Ra,Bd)),Bd=null)},Bd.onerror=function(){td(new AxiosError("Network Error",AxiosError.ERR_NETWORK,Ra,Bd)),Bd=null},Bd.ontimeout=function(){let Pd=rd.timeout?"timeout of "+rd.timeout+"ms exceeded":"timeout exceeded";const Fd=rd.transitional||transitionalDefaults;rd.timeoutErrorMessage&&(Pd=rd.timeoutErrorMessage),td(new AxiosError(Pd,Fd.clarifyTimeoutError?AxiosError.ETIMEDOUT:AxiosError.ECONNABORTED,Ra,Bd)),Bd=null},sd===void 0&&od.setContentType(null),"setRequestHeader"in Bd&&utils$4.forEach(od.toJSON(),function(Pd,Fd){Bd.setRequestHeader(Fd,Pd)}),utils$4.isUndefined(rd.withCredentials)||(Bd.withCredentials=!!rd.withCredentials),ld&&ld!=="json"&&(Bd.responseType=rd.responseType),ud&&([Ad,Nd]=progressEventReducer(ud,!0),Bd.addEventListener("progress",Ad)),cd&&Bd.upload&&([Ed,Td]=progressEventReducer(cd),Bd.upload.addEventListener("progress",Ed),Bd.upload.addEventListener("loadend",Td)),(rd.cancelToken||rd.signal)&&(_d=Md=>{Bd&&(td(!Md||Md.type?new CanceledError(null,Ra,Bd):Md),Bd.abort(),Bd=null)},rd.cancelToken&&rd.cancelToken.subscribe(_d),rd.signal&&(rd.signal.aborted?_d():rd.signal.addEventListener("abort",_d)));const Od=parseProtocol(rd.url);if(Od&&platform.protocols.indexOf(Od)===-1){td(new AxiosError("Unsupported protocol "+Od+":",AxiosError.ERR_BAD_REQUEST,Ra));return}Bd.send(sd||null)})},composeSignals=(Ra,qa)=>{const{length:ed}=Ra=Ra?Ra.filter(Boolean):[];if(qa||ed){let td=new AbortController,rd;const sd=function(ud){if(!rd){rd=!0,ld();const _d=ud instanceof Error?ud:this.reason;td.abort(_d instanceof AxiosError?_d:new CanceledError(_d instanceof Error?_d.message:_d))}};let od=qa&&setTimeout(()=>{od=null,sd(new AxiosError(`timeout ${qa} of ms exceeded`,AxiosError.ETIMEDOUT))},qa);const ld=()=>{Ra&&(od&&clearTimeout(od),od=null,Ra.forEach(ud=>{ud.unsubscribe?ud.unsubscribe(sd):ud.removeEventListener("abort",sd)}),Ra=null)};Ra.forEach(ud=>ud.addEventListener("abort",sd));const{signal:cd}=td;return cd.unsubscribe=()=>utils$4.asap(ld),cd}},streamChunk=function*(Ra,qa){let ed=Ra.byteLength;if(!qa||ed{const rd=readBytes(Ra,qa);let sd=0,od,ld=cd=>{od||(od=!0,td&&td(cd))};return new ReadableStream({async pull(cd){try{const{done:ud,value:_d}=await rd.next();if(ud){ld(),cd.close();return}let Ed=_d.byteLength;if(ed){let Ad=sd+=Ed;ed(Ad)}cd.enqueue(new Uint8Array(_d))}catch(ud){throw ld(ud),ud}},cancel(cd){return ld(cd),rd.return()}},{highWaterMark:2})},isFetchSupported=typeof fetch=="function"&&typeof Request=="function"&&typeof Response=="function",isReadableStreamSupported=isFetchSupported&&typeof ReadableStream=="function",encodeText=isFetchSupported&&(typeof TextEncoder=="function"?(Ra=>qa=>Ra.encode(qa))(new TextEncoder):async Ra=>new Uint8Array(await new Response(Ra).arrayBuffer())),test=(Ra,...qa)=>{try{return!!Ra(...qa)}catch{return!1}},supportsRequestStream=isReadableStreamSupported&&test(()=>{let Ra=!1;const qa=new Request(platform.origin,{body:new ReadableStream,method:"POST",get duplex(){return Ra=!0,"half"}}).headers.has("Content-Type");return Ra&&!qa}),DEFAULT_CHUNK_SIZE=64*1024,supportsResponseStream=isReadableStreamSupported&&test(()=>utils$4.isReadableStream(new Response("").body)),resolvers={stream:supportsResponseStream&&(Ra=>Ra.body)};isFetchSupported&&(Ra=>{["text","arrayBuffer","blob","formData","stream"].forEach(qa=>{!resolvers[qa]&&(resolvers[qa]=utils$4.isFunction(Ra[qa])?ed=>ed[qa]():(ed,td)=>{throw new AxiosError(`Response type '${qa}' is not supported`,AxiosError.ERR_NOT_SUPPORT,td)})})})(new Response);const getBodyLength=async Ra=>{if(Ra==null)return 0;if(utils$4.isBlob(Ra))return Ra.size;if(utils$4.isSpecCompliantForm(Ra))return(await new Request(platform.origin,{method:"POST",body:Ra}).arrayBuffer()).byteLength;if(utils$4.isArrayBufferView(Ra)||utils$4.isArrayBuffer(Ra))return Ra.byteLength;if(utils$4.isURLSearchParams(Ra)&&(Ra=Ra+""),utils$4.isString(Ra))return(await encodeText(Ra)).byteLength},resolveBodyLength=async(Ra,qa)=>{const ed=utils$4.toFiniteNumber(Ra.getContentLength());return ed??getBodyLength(qa)},fetchAdapter=isFetchSupported&&(async Ra=>{let{url:qa,method:ed,data:td,signal:rd,cancelToken:sd,timeout:od,onDownloadProgress:ld,onUploadProgress:cd,responseType:ud,headers:_d,withCredentials:Ed="same-origin",fetchOptions:Ad}=resolveConfig(Ra);ud=ud?(ud+"").toLowerCase():"text";let Td=composeSignals([rd,sd&&sd.toAbortSignal()],od),Nd;const Rd=Td&&Td.unsubscribe&&(()=>{Td.unsubscribe()});let Bd;try{if(cd&&supportsRequestStream&&ed!=="get"&&ed!=="head"&&(Bd=await resolveBodyLength(_d,td))!==0){let Fd=new Request(qa,{method:"POST",body:td,duplex:"half"}),Ud;if(utils$4.isFormData(td)&&(Ud=Fd.headers.get("content-type"))&&_d.setContentType(Ud),Fd.body){const[Gd,Qd]=progressEventDecorator(Bd,progressEventReducer(asyncDecorator(cd)));td=trackStream(Fd.body,DEFAULT_CHUNK_SIZE,Gd,Qd)}}utils$4.isString(Ed)||(Ed=Ed?"include":"omit");const kd="credentials"in Request.prototype;Nd=new Request(qa,{...Ad,signal:Td,method:ed.toUpperCase(),headers:_d.normalize().toJSON(),body:td,duplex:"half",credentials:kd?Ed:void 0});let Od=await fetch(Nd);const Md=supportsResponseStream&&(ud==="stream"||ud==="response");if(supportsResponseStream&&(ld||Md&&Rd)){const Fd={};["status","statusText","headers"].forEach(Vd=>{Fd[Vd]=Od[Vd]});const Ud=utils$4.toFiniteNumber(Od.headers.get("content-length")),[Gd,Qd]=ld&&progressEventDecorator(Ud,progressEventReducer(asyncDecorator(ld),!0))||[];Od=new Response(trackStream(Od.body,DEFAULT_CHUNK_SIZE,Gd,()=>{Qd&&Qd(),Rd&&Rd()}),Fd)}ud=ud||"text";let Pd=await resolvers[utils$4.findKey(resolvers,ud)||"text"](Od,Ra);return!Md&&Rd&&Rd(),await new Promise((Fd,Ud)=>{settle(Fd,Ud,{data:Pd,headers:AxiosHeaders.from(Od.headers),status:Od.status,statusText:Od.statusText,config:Ra,request:Nd})})}catch(kd){throw Rd&&Rd(),kd&&kd.name==="TypeError"&&/fetch/i.test(kd.message)?Object.assign(new AxiosError("Network Error",AxiosError.ERR_NETWORK,Ra,Nd),{cause:kd.cause||kd}):AxiosError.from(kd,kd&&kd.code,Ra,Nd)}}),knownAdapters={http:httpAdapter,xhr:xhrAdapter,fetch:fetchAdapter};utils$4.forEach(knownAdapters,(Ra,qa)=>{if(Ra){try{Object.defineProperty(Ra,"name",{value:qa})}catch{}Object.defineProperty(Ra,"adapterName",{value:qa})}});const renderReason=Ra=>`- ${Ra}`,isResolvedHandle=Ra=>utils$4.isFunction(Ra)||Ra===null||Ra===!1,adapters={getAdapter:Ra=>{Ra=utils$4.isArray(Ra)?Ra:[Ra];const{length:qa}=Ra;let ed,td;const rd={};for(let sd=0;sd`adapter ${ld} `+(cd===!1?"is not supported by the environment":"is not available in the build"));let od=qa?sd.length>1?`since : `+sd.map(renderReason).join(` `):" "+renderReason(sd[0]):"as no adapter specified";throw new AxiosError("There is no suitable adapter to dispatch the request "+od,"ERR_NOT_SUPPORT")}return td},adapters:knownAdapters};function throwIfCancellationRequested(Ra){if(Ra.cancelToken&&Ra.cancelToken.throwIfRequested(),Ra.signal&&Ra.signal.aborted)throw new CanceledError(null,Ra)}function dispatchRequest(Ra){return throwIfCancellationRequested(Ra),Ra.headers=AxiosHeaders.from(Ra.headers),Ra.data=transformData.call(Ra,Ra.transformRequest),["post","put","patch"].indexOf(Ra.method)!==-1&&Ra.headers.setContentType("application/x-www-form-urlencoded",!1),adapters.getAdapter(Ra.adapter||defaults.adapter)(Ra).then(function(td){return throwIfCancellationRequested(Ra),td.data=transformData.call(Ra,Ra.transformResponse,td),td.headers=AxiosHeaders.from(td.headers),td},function(td){return isCancel(td)||(throwIfCancellationRequested(Ra),td&&td.response&&(td.response.data=transformData.call(Ra,Ra.transformResponse,td.response),td.response.headers=AxiosHeaders.from(td.response.headers))),Promise.reject(td)})}const VERSION="1.7.7",validators$1={};["object","boolean","number","function","string","symbol"].forEach((Ra,qa)=>{validators$1[Ra]=function(td){return typeof td===Ra||"a"+(qa<1?"n ":" ")+Ra}});const deprecatedWarnings={};validators$1.transitional=function(qa,ed,td){function rd(sd,od){return"[Axios v"+VERSION+"] Transitional option '"+sd+"'"+od+(td?". "+td:"")}return(sd,od,ld)=>{if(qa===!1)throw new AxiosError(rd(od," has been removed"+(ed?" in "+ed:"")),AxiosError.ERR_DEPRECATED);return ed&&!deprecatedWarnings[od]&&(deprecatedWarnings[od]=!0,console.warn(rd(od," has been deprecated since v"+ed+" and will be removed in the near future"))),qa?qa(sd,od,ld):!0}};function assertOptions(Ra,qa,ed){if(typeof Ra!="object")throw new AxiosError("options must be an object",AxiosError.ERR_BAD_OPTION_VALUE);const td=Object.keys(Ra);let rd=td.length;for(;rd-- >0;){const sd=td[rd],od=qa[sd];if(od){const ld=Ra[sd],cd=ld===void 0||od(ld,sd,Ra);if(cd!==!0)throw new AxiosError("option "+sd+" must be "+cd,AxiosError.ERR_BAD_OPTION_VALUE);continue}if(ed!==!0)throw new AxiosError("Unknown option "+sd,AxiosError.ERR_BAD_OPTION)}}const validator={assertOptions,validators:validators$1},validators=validator.validators;class Axios{constructor(qa){this.defaults=qa,this.interceptors={request:new InterceptorManager,response:new InterceptorManager}}async request(qa,ed){try{return await this._request(qa,ed)}catch(td){if(td instanceof Error){let rd;Error.captureStackTrace?Error.captureStackTrace(rd={}):rd=new Error;const sd=rd.stack?rd.stack.replace(/^.+\n/,""):"";try{td.stack?sd&&!String(td.stack).endsWith(sd.replace(/^.+\n.+\n/,""))&&(td.stack+=` `+sd):td.stack=sd}catch{}}throw td}}_request(qa,ed){typeof qa=="string"?(ed=ed||{},ed.url=qa):ed=qa||{},ed=mergeConfig(this.defaults,ed);const{transitional:td,paramsSerializer:rd,headers:sd}=ed;td!==void 0&&validator.assertOptions(td,{silentJSONParsing:validators.transitional(validators.boolean),forcedJSONParsing:validators.transitional(validators.boolean),clarifyTimeoutError:validators.transitional(validators.boolean)},!1),rd!=null&&(utils$4.isFunction(rd)?ed.paramsSerializer={serialize:rd}:validator.assertOptions(rd,{encode:validators.function,serialize:validators.function},!0)),ed.method=(ed.method||this.defaults.method||"get").toLowerCase();let od=sd&&utils$4.merge(sd.common,sd[ed.method]);sd&&utils$4.forEach(["delete","get","head","post","put","patch","common"],Nd=>{delete sd[Nd]}),ed.headers=AxiosHeaders.concat(od,sd);const ld=[];let cd=!0;this.interceptors.request.forEach(function(Rd){typeof Rd.runWhen=="function"&&Rd.runWhen(ed)===!1||(cd=cd&&Rd.synchronous,ld.unshift(Rd.fulfilled,Rd.rejected))});const ud=[];this.interceptors.response.forEach(function(Rd){ud.push(Rd.fulfilled,Rd.rejected)});let _d,Ed=0,Ad;if(!cd){const Nd=[dispatchRequest.bind(this),void 0];for(Nd.unshift.apply(Nd,ld),Nd.push.apply(Nd,ud),Ad=Nd.length,_d=Promise.resolve(ed);Ed{if(!td._listeners)return;let sd=td._listeners.length;for(;sd-- >0;)td._listeners[sd](rd);td._listeners=null}),this.promise.then=rd=>{let sd;const od=new Promise(ld=>{td.subscribe(ld),sd=ld}).then(rd);return od.cancel=function(){td.unsubscribe(sd)},od},qa(function(sd,od,ld){td.reason||(td.reason=new CanceledError(sd,od,ld),ed(td.reason))})}throwIfRequested(){if(this.reason)throw this.reason}subscribe(qa){if(this.reason){qa(this.reason);return}this._listeners?this._listeners.push(qa):this._listeners=[qa]}unsubscribe(qa){if(!this._listeners)return;const ed=this._listeners.indexOf(qa);ed!==-1&&this._listeners.splice(ed,1)}toAbortSignal(){const qa=new AbortController,ed=td=>{qa.abort(td)};return this.subscribe(ed),qa.signal.unsubscribe=()=>this.unsubscribe(ed),qa.signal}static source(){let qa;return{token:new CancelToken(function(rd){qa=rd}),cancel:qa}}}function spread(Ra){return function(ed){return Ra.apply(null,ed)}}function isAxiosError(Ra){return utils$4.isObject(Ra)&&Ra.isAxiosError===!0}const HttpStatusCode={Continue:100,SwitchingProtocols:101,Processing:102,EarlyHints:103,Ok:200,Created:201,Accepted:202,NonAuthoritativeInformation:203,NoContent:204,ResetContent:205,PartialContent:206,MultiStatus:207,AlreadyReported:208,ImUsed:226,MultipleChoices:300,MovedPermanently:301,Found:302,SeeOther:303,NotModified:304,UseProxy:305,Unused:306,TemporaryRedirect:307,PermanentRedirect:308,BadRequest:400,Unauthorized:401,PaymentRequired:402,Forbidden:403,NotFound:404,MethodNotAllowed:405,NotAcceptable:406,ProxyAuthenticationRequired:407,RequestTimeout:408,Conflict:409,Gone:410,LengthRequired:411,PreconditionFailed:412,PayloadTooLarge:413,UriTooLong:414,UnsupportedMediaType:415,RangeNotSatisfiable:416,ExpectationFailed:417,ImATeapot:418,MisdirectedRequest:421,UnprocessableEntity:422,Locked:423,FailedDependency:424,TooEarly:425,UpgradeRequired:426,PreconditionRequired:428,TooManyRequests:429,RequestHeaderFieldsTooLarge:431,UnavailableForLegalReasons:451,InternalServerError:500,NotImplemented:501,BadGateway:502,ServiceUnavailable:503,GatewayTimeout:504,HttpVersionNotSupported:505,VariantAlsoNegotiates:506,InsufficientStorage:507,LoopDetected:508,NotExtended:510,NetworkAuthenticationRequired:511};Object.entries(HttpStatusCode).forEach(([Ra,qa])=>{HttpStatusCode[qa]=Ra});function createInstance(Ra){const qa=new Axios(Ra),ed=bind(Axios.prototype.request,qa);return utils$4.extend(ed,Axios.prototype,qa,{allOwnKeys:!0}),utils$4.extend(ed,qa,null,{allOwnKeys:!0}),ed.create=function(rd){return createInstance(mergeConfig(Ra,rd))},ed}const axios=createInstance(defaults);axios.Axios=Axios;axios.CanceledError=CanceledError;axios.CancelToken=CancelToken;axios.isCancel=isCancel;axios.VERSION=VERSION;axios.toFormData=toFormData;axios.AxiosError=AxiosError;axios.Cancel=axios.CanceledError;axios.all=function(qa){return Promise.all(qa)};axios.spread=spread;axios.isAxiosError=isAxiosError;axios.mergeConfig=mergeConfig;axios.AxiosHeaders=AxiosHeaders;axios.formToJSON=Ra=>formDataToJSON(utils$4.isHTMLForm(Ra)?new FormData(Ra):Ra);axios.getAdapter=adapters.getAdapter;axios.HttpStatusCode=HttpStatusCode;axios.default=axios;const service=axios.create({baseURL:"",timeout:30*1e3});service.interceptors.request.use(Ra=>(/^(?:post|put|delete)$/i.test(`${Ra.method}`)&&Ra.data&&Ra.data.upload&&(Ra.headers["Content-Type"]="multipart/form-data"),Ra),Ra=>{Promise.reject(Ra)});service.interceptors.response.use(Ra=>Ra.data?Ra.data:Promise.reject(Ra),Ra=>Promise.reject(Ra));function utf16to8(Ra){let qa="";const ed=Ra.length;for(let td=0;td=1&&rd<=127?qa+=Ra.charAt(td):rd>2047?(qa+=String.fromCharCode(224|rd>>12&15),qa+=String.fromCharCode(128|rd>>6&63),qa+=String.fromCharCode(128|rd&63)):(qa+=String.fromCharCode(192|rd>>6&31),qa+=String.fromCharCode(128|rd&63))}return qa}function utf8to16(Ra){let qa="",ed=0;const td=Ra.length;for(;ed>4){case 0:case 1:case 2:case 3:case 4:case 5:case 6:case 7:qa+=Ra.charAt(ed-1);break;case 12:case 13:sd=Ra.charCodeAt(ed++),qa+=String.fromCharCode((rd&31)<<6|sd&63);break;case 14:sd=Ra.charCodeAt(ed++),od=Ra.charCodeAt(ed++),qa+=String.fromCharCode((rd&15)<<12|(sd&63)<<6|od&63);break}}return qa}const base64EncodeChars="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789-_",base64DecodeChars=[-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,62,-1,-1,-1,63,52,53,54,55,56,57,58,59,60,61,-1,-1,-1,-1,-1,-1,-1,0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,-1,-1,-1,-1,-1,-1,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,-1,-1,-1,-1,-1];function base64encode(Ra){let qa="",ed=0;const td=Ra.length;for(;ed>2),qa+=base64EncodeChars.charAt((rd&3)<<4),qa+="==";break}const sd=Ra.charCodeAt(ed++);if(ed===td){qa+=base64EncodeChars.charAt(rd>>2),qa+=base64EncodeChars.charAt((rd&3)<<4|(sd&240)>>4),qa+=base64EncodeChars.charAt((sd&15)<<2),qa+="=";break}const od=Ra.charCodeAt(ed++);qa+=base64EncodeChars.charAt(rd>>2),qa+=base64EncodeChars.charAt((rd&3)<<4|(sd&240)>>4),qa+=base64EncodeChars.charAt((sd&15)<<2|(od&192)>>6),qa+=base64EncodeChars.charAt(od&63)}return qa}function base64decode(Ra){let qa,ed,td,rd,sd=0;const od=Ra.length;let ld="";for(;sd>4);do{if(td=Ra.charCodeAt(sd++)&255,td===61)return ld;td=base64DecodeChars[td]}while(sd>2);do{if(rd=Ra.charCodeAt(sd++)&255,rd===61)return ld;rd=base64DecodeChars[rd]}while(sd`img${qa}`),branch:"main",accessTokenList:["ghp_sqQg5y7XC7Fy8XdoocsmdVEYRiRiTZPvbwzTL4MRjQc","ghp_jB5JXzBjpGbgzdoocsmdogWfSHhfCKGVstozw1cAsPv","ghp_zvy8wkHo259g7doocsmdJnUKOQd1WO1SPzZ9G0O9cJD","ghp_DnCJc2Ms0RVZ1doocsmdiWOAN78FurfSeD1Pv2Y28pO","ghp_EsMYDv9WVjXWP5doocsmd1nnDml2DEP95rOiz44bSo0","ghp_L4isHf01nllOOdoocsmdHBGoDG6jscCA09WV44QDvlg","ghp_qWciwYXHPakAUGdoocsmdBOBZdRcV08JThKey3mBZNJ","ghp_rxkvIO08wVL2DMdoocsmd2jDEhcatp2rfVyhd3A7RiS","ghp_1RvkWKboSxr0yVdoocsmd7OtBCpecYwoV6deh3utifJ","ghp_cduanDnAug60ngdoocsmdF1uDstXUi6S9RMhY1qdada","ghp_q6mxuJIkqAcsCXdoocsmdkkjWvzGlMVRuy5zI0IWNDx","ghp_Pv4npPeJpChKFMTdoocsmdCQneopUcqJrqrjl3vrt9A","ghp_gKMCFqMaQiLTqhjdoocsmd7BJE8RyK6AdRw4b42CutS","ghp_2oShgb33qFlqBmadoocsmdludmuLYxBFY5bao1XrsVo","ghp_eYyd3kxWTZmsV8doocsmdDFbAa7AEGQTJgmOd0GUmtY"]},giteeConfig={username:"filesss",repoList:Array.from({length:20},(Ra,qa)=>`img${qa}`),branch:"main",accessTokenList:["ed5fc9866bd6c2fdoocsmddd433f806fd2f399c","5448ffebbbf1151doocsmdc4e337cf814fc8a62","25b05efd2557ca2doocsmd75b5c0835e3395911","11628c7a5aef015doocsmd2eeff9fb9566f0458","cb2f5145ed938dedoocsmdbd063b4ed244eecf8","d8c0b57500672c1doocsmd55f48b866b5ebcd98","78c56eadb88e453doocsmd43ddd95753351771a","03e1a688003948fdoocsmda16fcf41e6f03f1f0","c49121cf4d191fbdoocsmdd6a7877ed537e474a","adfeb2fadcdc4aadoocsmdfe1ee869ac9c968ff","116c94549ca4a0ddoocsmd192653af5c0694616","ecf30ed7f2eb184doocsmd51ea4ec8300371d9e","5837cf2bd5afd93doocsmd73904bed31934949e","b5b7e1c7d57e01fdoocsmd5266f552574297d78","684d55564ffbd0bdoocsmd7d747e5cc23aed6d6","3fc04a9d272ab71doocsmd010c56cb57d88d2ba"]},fontFamilyOptions=[{label:"无衬线",value:"-apple-system-font,BlinkMacSystemFont, Helvetica Neue, PingFang SC, Hiragino Sans GB , Microsoft YaHei UI , Microsoft YaHei ,Arial,sans-serif",desc:"字体123Abc"},{label:"衬线",value:"Optima-Regular, Optima, PingFangSC-light, PingFangTC-light, 'PingFang SC', Cambria, Cochin, Georgia, Times, 'Times New Roman', serif",desc:"字体123Abc"},{label:"等宽",value:"Menlo, Monaco, 'Courier New', monospace",desc:"字体123Abc"}],fontSizeOptions=[{label:"12px",value:"12px",desc:"更小"},{label:"13px",value:"13px",desc:"稍小"},{label:"14px",value:"14px",desc:"推荐"},{label:"15px",value:"15px",desc:"稍大"},{label:"16px",value:"16px",desc:"更大"}],colorOptions=[{label:"经典蓝",value:"#0F4C81",desc:"稳重冷静"},{label:"翡翠绿",value:"#009874",desc:"自然平衡"},{label:"活力橘",value:"#FA5151",desc:"热情活力"},{label:"柠檬黄",value:"#FECE00",desc:"明亮温暖"},{label:"薰衣紫",value:"#92617E",desc:"优雅神秘"},{label:"天空蓝",value:"#55C9EA",desc:"清爽自由"},{label:"玫瑰金",value:"#B76E79",desc:"奢华现代"},{label:"橄榄绿",value:"#556B2F",desc:"沉稳自然"},{label:"石墨黑",value:"#333333",desc:"内敛极简"},{label:"雾烟灰",value:"#A9A9A9",desc:"柔和低调"},{label:"樱花粉",value:"#FFB7C5",desc:"浪漫甜美"}],codeBlockUrlPrefix="https://cdn.bootcdn.net/ajax/libs/highlight.js/11.10.0/styles/",codeBlockThemeList=["1c-light.min.css","a11y-dark.min.css","a11y-light.min.css","agate.min.css","an-old-hope.min.css","androidstudio.min.css","arduino-light.min.css","arta.min.css","ascetic.min.css","atom-one-dark-reasonable.min.css","atom-one-dark.min.css","atom-one-light.min.css","brown-paper.min.css","codepen-embed.min.css","color-brewer.min.css","dark.min.css","default.min.css","devibeans.min.css","docco.min.css","far.min.css","felipec.min.css","foundation.min.css","github-dark-dimmed.min.css","github-dark.min.css","github.min.css","gml.min.css","googlecode.min.css","gradient-dark.min.css","gradient-light.min.css","grayscale.min.css","hybrid.min.css","idea.min.css","intellij-light.min.css","ir-black.min.css","isbl-editor-dark.min.css","isbl-editor-light.min.css","kimbie-dark.min.css","kimbie-light.min.css","lightfair.min.css","lioshi.min.css","magula.min.css","mono-blue.min.css","monokai-sublime.min.css","monokai.min.css","night-owl.min.css","nnfx-dark.min.css","nnfx-light.min.css","nord.min.css","obsidian.min.css","panda-syntax-dark.min.css","panda-syntax-light.min.css","paraiso-dark.min.css","paraiso-light.min.css","pojoaque.min.css","purebasic.min.css","qtcreator-dark.min.css","qtcreator-light.min.css","rainbow.min.css","routeros.min.css","school-book.min.css","shades-of-purple.min.css","srcery.min.css","stackoverflow-dark.min.css","stackoverflow-light.min.css","sunburst.min.css","tokyo-night-dark.min.css","tokyo-night-light.min.css","tomorrow-night-blue.min.css","tomorrow-night-bright.min.css","vs.min.css","vs2015.min.css","xcode.min.css","xt256.min.css"].sort(),codeBlockThemeOptions=codeBlockThemeList.map(Ra=>{const qa=Ra.replace(".min.css",""),ed=`${codeBlockUrlPrefix}${Ra}`;return{label:qa,value:ed,desc:""}}),legendOptions=[{label:"title 优先",value:"title-alt",desc:""},{label:"alt 优先",value:"alt-title",desc:""},{label:"只显示 title",value:"title",desc:""},{label:"只显示 alt",value:"alt",desc:""},{label:"不显示",value:"none",desc:""}];function isPrimitive(Ra){return Ra==null||typeof Ra!="object"&&typeof Ra!="function"}function isTypedArray(Ra){return Ra instanceof Uint8Array||Ra instanceof Uint8ClampedArray||Ra instanceof Uint16Array||Ra instanceof Uint32Array||Ra instanceof BigUint64Array||Ra instanceof Int8Array||Ra instanceof Int16Array||Ra instanceof Int32Array||Ra instanceof BigInt64Array||Ra instanceof Float32Array||Ra instanceof Float64Array}function cloneDeep(Ra){return cloneDeepImpl(Ra)}function cloneDeepImpl(Ra,qa=new Map){if(isPrimitive(Ra))return Ra;if(qa.has(Ra))return qa.get(Ra);if(Array.isArray(Ra)){const ed=new Array(Ra.length);qa.set(Ra,ed);for(let td=0;td{_d.subscribe({next:Td=>{console.log(Td)},error:Td=>{Ad(Td.message)},complete:Td=>{Ed(`${od}/${Td.key}`)}})})}async function aliOSSFileUpload(Ra){const qa=getDateFilename(Ra.name),{region:ed,bucket:td,accessKeyId:rd,accessKeySecret:sd,useSSL:od,cdnHost:ld,path:cd}=JSON.parse(localStorage.getItem("aliOSSConfig")),ud=cd?`${cd}/${qa}`:qa,_d=od===void 0||od,Ed=_d?"https":"http",Ad=new OSS({region:ed,bucket:td,accessKeyId:rd,accessKeySecret:sd,secure:_d});try{return await Ad.put(ud,Ra),ld?`${ld}/${ud}`:`${Ed}://${td}.${ed}.aliyuncs.com/${ud}`}catch(Td){return Promise.reject(Td)}}async function txCOSFileUpload(Ra){const qa=getDateFilename(Ra.name),{secretId:ed,secretKey:td,bucket:rd,region:sd,path:od,cdnHost:ld}=JSON.parse(localStorage.getItem("txCOSConfig")),cd=new COS({SecretId:ed,SecretKey:td});return new Promise((ud,_d)=>{cd.putObject({Bucket:rd,Region:sd,Key:`${od}/${qa}`,Body:Ra},(Ed,Ad)=>{Ed?_d(Ed):ud(ld?od===""?`${ld}/${qa}`:`${ld}/${od}/${qa}`:`https://${Ad.Location}`)})})}async function minioFileUpload(Ra,qa){const ed=getDateFilename(qa),{endpoint:td,port:rd,useSSL:sd,bucket:od,accessKey:ld,secretKey:cd}=JSON.parse(localStorage.getItem("minioConfig")),ud=Buffer(Ra,"base64"),_d={endPoint:td,useSSL:sd,accessKey:ld,secretKey:cd},Ed=Number(rd||0),Ad=Ed>0&&Ed!==80&&Ed!==443;return Ad&&(_d.port=Ed),new Promise((Td,Nd)=>{const Rd=new Client(_d);try{Rd.putObject(od,ed,ud,Bd=>{Bd&&Nd(Bd);const Od=`${`${sd?"https://":"http://"}${td}${Ad?`:${rd}`:""}`}/${od}/${ed}`;Td(Od)})}catch(Bd){Nd(Bd)}})}async function formCustomUpload(content,file){const str=` async (CUSTOM_ARG) => { ${localStorage.getItem("formCustomConfig")} } `;return new Promise((resolve,reject)=>{const exportObj={content,file,util:{axios:service,CryptoJS,OSS,COS,Buffer,uuidv4:v4,qiniu,tokenTools,getDir,getDateFilename},okCb:resolve,errCb:reject};eval(str)(exportObj).catch(Ra=>{console.error(Ra),reject(Ra)})})}function fileUpload(Ra,qa){const ed=localStorage.getItem("imgHost");switch(ed||localStorage.setItem("imgHost","default"),ed){case"aliOSS":return aliOSSFileUpload(qa);case"minio":return minioFileUpload(Ra,qa.name);case"txCOS":return txCOSFileUpload(qa);case"qiniu":return qiniuUpload(qa);case"gitee":return giteeUpload(Ra,qa.name);case"github":return ghFileUpload(Ra,qa.name);case"formCustom":return formCustomUpload(Ra,qa);default:return ghFileUpload(Ra,qa.name)}}const fileApi={fileUpload};function _getDefaults$1(){return{async:!1,breaks:!1,extensions:null,gfm:!0,hooks:null,pedantic:!1,renderer:null,silent:!1,tokenizer:null,walkTokens:null}}let _defaults$1=_getDefaults$1();function changeDefaults$1(Ra){_defaults$1=Ra}const escapeTest$1=/[&<>"']/,escapeReplace$1=new RegExp(escapeTest$1.source,"g"),escapeTestNoEncode$1=/[<>"']|&(?!(#\d{1,7}|#[Xx][a-fA-F0-9]{1,6}|\w+);)/,escapeReplaceNoEncode$1=new RegExp(escapeTestNoEncode$1.source,"g"),escapeReplacements$1={"&":"&","<":"<",">":">",'"':""","'":"'"},getEscapeReplacement$1=Ra=>escapeReplacements$1[Ra];function escape$1$2(Ra,qa){if(qa){if(escapeTest$1.test(Ra))return Ra.replace(escapeReplace$1,getEscapeReplacement$1)}else if(escapeTestNoEncode$1.test(Ra))return Ra.replace(escapeReplaceNoEncode$1,getEscapeReplacement$1);return Ra}const caret$4=/(^|[^\[])\^/g;function edit$1(Ra,qa){let ed=typeof Ra=="string"?Ra:Ra.source;qa=qa||"";const td={replace:(rd,sd)=>{let od=typeof sd=="string"?sd:sd.source;return od=od.replace(caret$4,"$1"),ed=ed.replace(rd,od),td},getRegex:()=>new RegExp(ed,qa)};return td}function cleanUrl$1(Ra){try{Ra=encodeURI(Ra).replace(/%25/g,"%")}catch{return null}return Ra}const noopTest$1={exec:()=>null};function splitCells$1(Ra,qa){const ed=Ra.replace(/\|/g,(sd,od,ld)=>{let cd=!1,ud=od;for(;--ud>=0&&ld[ud]==="\\";)cd=!cd;return cd?"|":" |"}),td=ed.split(/ \|/);let rd=0;if(td[0].trim()||td.shift(),td.length>0&&!td[td.length-1].trim()&&td.pop(),qa)if(td.length>qa)td.splice(qa);else for(;td.length{const sd=rd.match(/^\s+/);if(sd===null)return rd;const[od]=sd;return od.length>=td.length?rd.slice(td.length):rd}).join(` `)}let _Tokenizer$1=class{constructor(qa){n_(this,"options");n_(this,"rules");n_(this,"lexer");this.options=qa||_defaults$1}space(qa){const ed=this.rules.block.newline.exec(qa);if(ed&&ed[0].length>0)return{type:"space",raw:ed[0]}}code(qa){const ed=this.rules.block.code.exec(qa);if(ed){const td=ed[0].replace(/^(?: {1,4}| {0,3}\t)/gm,"");return{type:"code",raw:ed[0],codeBlockStyle:"indented",text:this.options.pedantic?td:rtrim$1(td,` `)}}}fences(qa){const ed=this.rules.block.fences.exec(qa);if(ed){const td=ed[0],rd=indentCodeCompensation$1(td,ed[3]||"");return{type:"code",raw:td,lang:ed[2]?ed[2].trim().replace(this.rules.inline.anyPunctuation,"$1"):ed[2],text:rd}}}heading(qa){const ed=this.rules.block.heading.exec(qa);if(ed){let td=ed[2].trim();if(/#$/.test(td)){const rd=rtrim$1(td,"#");(this.options.pedantic||!rd||/ $/.test(rd))&&(td=rd.trim())}return{type:"heading",raw:ed[0],depth:ed[1].length,text:td,tokens:this.lexer.inline(td)}}}hr(qa){const ed=this.rules.block.hr.exec(qa);if(ed)return{type:"hr",raw:rtrim$1(ed[0],` `)}}blockquote(qa){const ed=this.rules.block.blockquote.exec(qa);if(ed){let td=rtrim$1(ed[0],` `).split(` `),rd="",sd="";const od=[];for(;td.length>0;){let ld=!1;const cd=[];let ud;for(ud=0;ud/.test(td[ud]))cd.push(td[ud]),ld=!0;else if(!ld)cd.push(td[ud]);else break;td=td.slice(ud);const _d=cd.join(` `),Ed=_d.replace(/\n {0,3}((?:=+|-+) *)(?=\n|$)/g,` $1`).replace(/^ {0,3}>[ \t]?/gm,"");rd=rd?`${rd} ${_d}`:_d,sd=sd?`${sd} ${Ed}`:Ed;const Ad=this.lexer.state.top;if(this.lexer.state.top=!0,this.lexer.blockTokens(Ed,od,!0),this.lexer.state.top=Ad,td.length===0)break;const Td=od[od.length-1];if((Td==null?void 0:Td.type)==="code")break;if((Td==null?void 0:Td.type)==="blockquote"){const Nd=Td,Rd=Nd.raw+` `+td.join(` `),Bd=this.blockquote(Rd);od[od.length-1]=Bd,rd=rd.substring(0,rd.length-Nd.raw.length)+Bd.raw,sd=sd.substring(0,sd.length-Nd.text.length)+Bd.text;break}else if((Td==null?void 0:Td.type)==="list"){const Nd=Td,Rd=Nd.raw+` `+td.join(` `),Bd=this.list(Rd);od[od.length-1]=Bd,rd=rd.substring(0,rd.length-Td.raw.length)+Bd.raw,sd=sd.substring(0,sd.length-Nd.raw.length)+Bd.raw,td=Rd.substring(od[od.length-1].raw.length).split(` `);continue}}return{type:"blockquote",raw:rd,tokens:od,text:sd}}}list(qa){let ed=this.rules.block.list.exec(qa);if(ed){let td=ed[1].trim();const rd=td.length>1,sd={type:"list",raw:"",ordered:rd,start:rd?+td.slice(0,-1):"",loose:!1,items:[]};td=rd?`\\d{1,9}\\${td.slice(-1)}`:`\\${td}`,this.options.pedantic&&(td=rd?td:"[*+-]");const od=new RegExp(`^( {0,3}${td})((?:[ ][^\\n]*)?(?:\\n|$))`);let ld=!1;for(;qa;){let cd=!1,ud="",_d="";if(!(ed=od.exec(qa))||this.rules.block.hr.test(qa))break;ud=ed[0],qa=qa.substring(ud.length);let Ed=ed[2].split(` `,1)[0].replace(/^\t+/,kd=>" ".repeat(3*kd.length)),Ad=qa.split(` `,1)[0],Td=!Ed.trim(),Nd=0;if(this.options.pedantic?(Nd=2,_d=Ed.trimStart()):Td?Nd=ed[1].length+1:(Nd=ed[2].search(/[^ ]/),Nd=Nd>4?1:Nd,_d=Ed.slice(Nd),Nd+=ed[1].length),Td&&/^[ \t]*$/.test(Ad)&&(ud+=Ad+` `,qa=qa.substring(Ad.length+1),cd=!0),!cd){const kd=new RegExp(`^ {0,${Math.min(3,Nd-1)}}(?:[*+-]|\\d{1,9}[.)])((?:[ ][^\\n]*)?(?:\\n|$))`),Od=new RegExp(`^ {0,${Math.min(3,Nd-1)}}((?:- *){3,}|(?:_ *){3,}|(?:\\* *){3,})(?:\\n+|$)`),Md=new RegExp(`^ {0,${Math.min(3,Nd-1)}}(?:\`\`\`|~~~)`),Pd=new RegExp(`^ {0,${Math.min(3,Nd-1)}}#`);for(;qa;){const Fd=qa.split(` `,1)[0];let Ud;if(Ad=Fd,this.options.pedantic?(Ad=Ad.replace(/^ {1,4}(?=( {4})*[^ ])/g," "),Ud=Ad):Ud=Ad.replace(/\t/g," "),Md.test(Ad)||Pd.test(Ad)||kd.test(Ad)||Od.test(Ad))break;if(Ud.search(/[^ ]/)>=Nd||!Ad.trim())_d+=` `+Ud.slice(Nd);else{if(Td||Ed.replace(/\t/g," ").search(/[^ ]/)>=4||Md.test(Ed)||Pd.test(Ed)||Od.test(Ed))break;_d+=` `+Ad}!Td&&!Ad.trim()&&(Td=!0),ud+=Fd+` `,qa=qa.substring(Fd.length+1),Ed=Ud.slice(Nd)}}sd.loose||(ld?sd.loose=!0:/\n[ \t]*\n[ \t]*$/.test(ud)&&(ld=!0));let Rd=null,Bd;this.options.gfm&&(Rd=/^\[[ xX]\] /.exec(_d),Rd&&(Bd=Rd[0]!=="[ ] ",_d=_d.replace(/^\[[ xX]\] +/,""))),sd.items.push({type:"list_item",raw:ud,task:!!Rd,checked:Bd,loose:!1,text:_d,tokens:[]}),sd.raw+=ud}sd.items[sd.items.length-1].raw=sd.items[sd.items.length-1].raw.trimEnd(),sd.items[sd.items.length-1].text=sd.items[sd.items.length-1].text.trimEnd(),sd.raw=sd.raw.trimEnd();for(let cd=0;cdEd.type==="space"),_d=ud.length>0&&ud.some(Ed=>/\n.*\n/.test(Ed.raw));sd.loose=_d}if(sd.loose)for(let cd=0;cd$/,"$1").replace(this.rules.inline.anyPunctuation,"$1"):"",sd=ed[3]?ed[3].substring(1,ed[3].length-1).replace(this.rules.inline.anyPunctuation,"$1"):ed[3];return{type:"def",tag:td,raw:ed[0],href:rd,title:sd}}}table(qa){const ed=this.rules.block.table.exec(qa);if(!ed||!/[:|]/.test(ed[2]))return;const td=splitCells$1(ed[1]),rd=ed[2].replace(/^\||\| *$/g,"").split("|"),sd=ed[3]&&ed[3].trim()?ed[3].replace(/\n[ \t]*$/,"").split(` `):[],od={type:"table",raw:ed[0],header:[],align:[],rows:[]};if(td.length===rd.length){for(const ld of rd)/^ *-+: *$/.test(ld)?od.align.push("right"):/^ *:-+: *$/.test(ld)?od.align.push("center"):/^ *:-+ *$/.test(ld)?od.align.push("left"):od.align.push(null);for(let ld=0;ld({text:cd,tokens:this.lexer.inline(cd),header:!1,align:od.align[ud]})));return od}}lheading(qa){const ed=this.rules.block.lheading.exec(qa);if(ed)return{type:"heading",raw:ed[0],depth:ed[2].charAt(0)==="="?1:2,text:ed[1],tokens:this.lexer.inline(ed[1])}}paragraph(qa){const ed=this.rules.block.paragraph.exec(qa);if(ed){const td=ed[1].charAt(ed[1].length-1)===` `?ed[1].slice(0,-1):ed[1];return{type:"paragraph",raw:ed[0],text:td,tokens:this.lexer.inline(td)}}}text(qa){const ed=this.rules.block.text.exec(qa);if(ed)return{type:"text",raw:ed[0],text:ed[0],tokens:this.lexer.inline(ed[0])}}escape(qa){const ed=this.rules.inline.escape.exec(qa);if(ed)return{type:"escape",raw:ed[0],text:escape$1$2(ed[1])}}tag(qa){const ed=this.rules.inline.tag.exec(qa);if(ed)return!this.lexer.state.inLink&&/^/i.test(ed[0])&&(this.lexer.state.inLink=!1),!this.lexer.state.inRawBlock&&/^<(pre|code|kbd|script)(\s|>)/i.test(ed[0])?this.lexer.state.inRawBlock=!0:this.lexer.state.inRawBlock&&/^<\/(pre|code|kbd|script)(\s|>)/i.test(ed[0])&&(this.lexer.state.inRawBlock=!1),{type:"html",raw:ed[0],inLink:this.lexer.state.inLink,inRawBlock:this.lexer.state.inRawBlock,block:!1,text:ed[0]}}link(qa){const ed=this.rules.inline.link.exec(qa);if(ed){const td=ed[2].trim();if(!this.options.pedantic&&/^$/.test(td))return;const od=rtrim$1(td.slice(0,-1),"\\");if((td.length-od.length)%2===0)return}else{const od=findClosingBracket$1(ed[2],"()");if(od>-1){const cd=(ed[0].indexOf("!")===0?5:4)+ed[1].length+od;ed[2]=ed[2].substring(0,od),ed[0]=ed[0].substring(0,cd).trim(),ed[3]=""}}let rd=ed[2],sd="";if(this.options.pedantic){const od=/^([^'"]*[^\s])\s+(['"])(.*)\2/.exec(rd);od&&(rd=od[1],sd=od[3])}else sd=ed[3]?ed[3].slice(1,-1):"";return rd=rd.trim(),/^$/.test(td)?rd=rd.slice(1):rd=rd.slice(1,-1)),outputLink$1(ed,{href:rd&&rd.replace(this.rules.inline.anyPunctuation,"$1"),title:sd&&sd.replace(this.rules.inline.anyPunctuation,"$1")},ed[0],this.lexer)}}reflink(qa,ed){let td;if((td=this.rules.inline.reflink.exec(qa))||(td=this.rules.inline.nolink.exec(qa))){const rd=(td[2]||td[1]).replace(/\s+/g," "),sd=ed[rd.toLowerCase()];if(!sd){const od=td[0].charAt(0);return{type:"text",raw:od,text:od}}return outputLink$1(td,sd,td[0],this.lexer)}}emStrong(qa,ed,td=""){let rd=this.rules.inline.emStrongLDelim.exec(qa);if(!rd||rd[3]&&td.match(/[\p{L}\p{N}]/u))return;if(!(rd[1]||rd[2]||"")||!td||this.rules.inline.punctuation.exec(td)){const od=[...rd[0]].length-1;let ld,cd,ud=od,_d=0;const Ed=rd[0][0]==="*"?this.rules.inline.emStrongRDelimAst:this.rules.inline.emStrongRDelimUnd;for(Ed.lastIndex=0,ed=ed.slice(-1*qa.length+od);(rd=Ed.exec(ed))!=null;){if(ld=rd[1]||rd[2]||rd[3]||rd[4]||rd[5]||rd[6],!ld)continue;if(cd=[...ld].length,rd[3]||rd[4]){ud+=cd;continue}else if((rd[5]||rd[6])&&od%3&&!((od+cd)%3)){_d+=cd;continue}if(ud-=cd,ud>0)continue;cd=Math.min(cd,cd+ud+_d);const Ad=[...rd[0]][0].length,Td=qa.slice(0,od+rd.index+Ad+cd);if(Math.min(od,cd)%2){const Rd=Td.slice(1,-1);return{type:"em",raw:Td,text:Rd,tokens:this.lexer.inlineTokens(Rd)}}const Nd=Td.slice(2,-2);return{type:"strong",raw:Td,text:Nd,tokens:this.lexer.inlineTokens(Nd)}}}}codespan(qa){const ed=this.rules.inline.code.exec(qa);if(ed){let td=ed[2].replace(/\n/g," ");const rd=/[^ ]/.test(td),sd=/^ /.test(td)&&/ $/.test(td);return rd&&sd&&(td=td.substring(1,td.length-1)),td=escape$1$2(td,!0),{type:"codespan",raw:ed[0],text:td}}}br(qa){const ed=this.rules.inline.br.exec(qa);if(ed)return{type:"br",raw:ed[0]}}del(qa){const ed=this.rules.inline.del.exec(qa);if(ed)return{type:"del",raw:ed[0],text:ed[2],tokens:this.lexer.inlineTokens(ed[2])}}autolink(qa){const ed=this.rules.inline.autolink.exec(qa);if(ed){let td,rd;return ed[2]==="@"?(td=escape$1$2(ed[1]),rd="mailto:"+td):(td=escape$1$2(ed[1]),rd=td),{type:"link",raw:ed[0],text:td,href:rd,tokens:[{type:"text",raw:td,text:td}]}}}url(qa){var td;let ed;if(ed=this.rules.inline.url.exec(qa)){let rd,sd;if(ed[2]==="@")rd=escape$1$2(ed[0]),sd="mailto:"+rd;else{let od;do od=ed[0],ed[0]=((td=this.rules.inline._backpedal.exec(ed[0]))==null?void 0:td[0])??"";while(od!==ed[0]);rd=escape$1$2(ed[0]),ed[1]==="www."?sd="http://"+ed[0]:sd=ed[0]}return{type:"link",raw:ed[0],text:rd,href:sd,tokens:[{type:"text",raw:rd,text:rd}]}}}inlineText(qa){const ed=this.rules.inline.text.exec(qa);if(ed){let td;return this.lexer.state.inRawBlock?td=ed[0]:td=escape$1$2(ed[0]),{type:"text",raw:ed[0],text:td}}}};const newline$1=/^(?:[ \t]*(?:\n|$))+/,blockCode$1=/^((?: {4}| {0,3}\t)[^\n]+(?:\n(?:[ \t]*(?:\n|$))*)?)+/,fences$1=/^ {0,3}(`{3,}(?=[^`\n]*(?:\n|$))|~{3,})([^\n]*)(?:\n|$)(?:|([\s\S]*?)(?:\n|$))(?: {0,3}\1[~`]* *(?=\n|$)|$)/,hr$3=/^ {0,3}((?:-[\t ]*){3,}|(?:_[ \t]*){3,}|(?:\*[ \t]*){3,})(?:\n+|$)/,heading$1=/^ {0,3}(#{1,6})(?=\s|$)(.*)(?:\n+|$)/,bullet$3=/(?:[*+-]|\d{1,9}[.)])/,lheading$1=edit$1(/^(?!bull |blockCode|fences|blockquote|heading|html)((?:.|\n(?!\s*?\n|bull |blockCode|fences|blockquote|heading|html))+?)\n {0,3}(=+|-+) *(?:\n+|$)/).replace(/bull/g,bullet$3).replace(/blockCode/g,/(?: {4}| {0,3}\t)/).replace(/fences/g,/ {0,3}(?:`{3,}|~{3,})/).replace(/blockquote/g,/ {0,3}>/).replace(/heading/g,/ {0,3}#{1,6}/).replace(/html/g,/ {0,3}<[^\n>]+>\n/).getRegex(),_paragraph$1=/^([^\n]+(?:\n(?!hr|heading|lheading|blockquote|fences|list|html|table| +\n)[^\n]+)*)/,blockText$1=/^[^\n]+/,_blockLabel$1=/(?!\s*\])(?:\\.|[^\[\]\\])+/,def$1=edit$1(/^ {0,3}\[(label)\]: *(?:\n[ \t]*)?([^<\s][^\s]*|<.*?>)(?:(?: +(?:\n[ \t]*)?| *\n[ \t]*)(title))? *(?:\n+|$)/).replace("label",_blockLabel$1).replace("title",/(?:"(?:\\"?|[^"\\])*"|'[^'\n]*(?:\n[^'\n]+)*\n?'|\([^()]*\))/).getRegex(),list$1=edit$1(/^( {0,3}bull)([ \t][^\n]+?)?(?:\n|$)/).replace(/bull/g,bullet$3).getRegex(),_tag$1="address|article|aside|base|basefont|blockquote|body|caption|center|col|colgroup|dd|details|dialog|dir|div|dl|dt|fieldset|figcaption|figure|footer|form|frame|frameset|h[1-6]|head|header|hr|html|iframe|legend|li|link|main|menu|menuitem|meta|nav|noframes|ol|optgroup|option|p|param|search|section|summary|table|tbody|td|tfoot|th|thead|title|tr|track|ul",_comment$1=/|$))/,html$3=edit$1("^ {0,3}(?:<(script|pre|style|textarea)[\\s>][\\s\\S]*?(?:[^\\n]*\\n+|$)|comment[^\\n]*(\\n+|$)|<\\?[\\s\\S]*?(?:\\?>\\n*|$)|\\n*|$)|\\n*|$)|)[\\s\\S]*?(?:(?:\\n[ ]*)+\\n|$)|<(?!script|pre|style|textarea)([a-z][\\w-]*)(?:attribute)*? */?>(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n[ ]*)+\\n|$)|(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n[ ]*)+\\n|$))","i").replace("comment",_comment$1).replace("tag",_tag$1).replace("attribute",/ +[a-zA-Z:_][\w.:-]*(?: *= *"[^"\n]*"| *= *'[^'\n]*'| *= *[^\s"'=<>`]+)?/).getRegex(),paragraph$1=edit$1(_paragraph$1).replace("hr",hr$3).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("|lheading","").replace("|table","").replace("blockquote"," {0,3}>").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html",")|<(?:script|pre|style|textarea|!--)").replace("tag",_tag$1).getRegex(),blockquote$1=edit$1(/^( {0,3}> ?(paragraph|[^\n]*)(?:\n|$))+/).replace("paragraph",paragraph$1).getRegex(),blockNormal$1={blockquote:blockquote$1,code:blockCode$1,def:def$1,fences:fences$1,heading:heading$1,hr:hr$3,html:html$3,lheading:lheading$1,list:list$1,newline:newline$1,paragraph:paragraph$1,table:noopTest$1,text:blockText$1},gfmTable$1=edit$1("^ *([^\\n ].*)\\n {0,3}((?:\\| *)?:?-+:? *(?:\\| *:?-+:? *)*(?:\\| *)?)(?:\\n((?:(?! *\\n|hr|heading|blockquote|code|fences|list|html).*(?:\\n|$))*)\\n*|$)").replace("hr",hr$3).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("blockquote"," {0,3}>").replace("code","(?: {4}| {0,3} )[^\\n]").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html",")|<(?:script|pre|style|textarea|!--)").replace("tag",_tag$1).getRegex(),blockGfm$1={...blockNormal$1,table:gfmTable$1,paragraph:edit$1(_paragraph$1).replace("hr",hr$3).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("|lheading","").replace("table",gfmTable$1).replace("blockquote"," {0,3}>").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html",")|<(?:script|pre|style|textarea|!--)").replace("tag",_tag$1).getRegex()},blockPedantic$1={...blockNormal$1,html:edit$1(`^ *(?:comment *(?:\\n|\\s*$)|<(tag)[\\s\\S]+? *(?:\\n{2,}|\\s*$)|\\s]*)*?/?> *(?:\\n{2,}|\\s*$))`).replace("comment",_comment$1).replace(/tag/g,"(?!(?:a|em|strong|small|s|cite|q|dfn|abbr|data|time|code|var|samp|kbd|sub|sup|i|b|u|mark|ruby|rt|rp|bdi|bdo|span|br|wbr|ins|del|img)\\b)\\w+(?!:|[^\\w\\s@]*@)\\b").getRegex(),def:/^ *\[([^\]]+)\]: *]+)>?(?: +(["(][^\n]+[")]))? *(?:\n+|$)/,heading:/^(#{1,6})(.*)(?:\n+|$)/,fences:noopTest$1,lheading:/^(.+?)\n {0,3}(=+|-+) *(?:\n+|$)/,paragraph:edit$1(_paragraph$1).replace("hr",hr$3).replace("heading",` *#{1,6} *[^ ]`).replace("lheading",lheading$1).replace("|table","").replace("blockquote"," {0,3}>").replace("|fences","").replace("|list","").replace("|html","").replace("|tag","").getRegex()},escape$4=/^\\([!"#$%&'()*+,\-./:;<=>?@\[\]\\^_`{|}~])/,inlineCode$1=/^(`+)([^`]|[^`][\s\S]*?[^`])\1(?!`)/,br$4=/^( {2,}|\\)\n(?!\s*$)/,inlineText$1=/^(`+|[^`])(?:(?= {2,}\n)|[\s\S]*?(?:(?=[\\]*?>/g,emStrongLDelim$1=edit$1(/^(?:\*+(?:((?!\*)[punct])|[^\s*]))|^_+(?:((?!_)[punct])|([^\s_]))/,"u").replace(/punct/g,_punctuation$1).getRegex(),emStrongRDelimAst$1=edit$1("^[^_*]*?__[^_*]*?\\*[^_*]*?(?=__)|[^*]+(?=[^*])|(?!\\*)[punct](\\*+)(?=[\\s]|$)|[^punct\\s](\\*+)(?!\\*)(?=[punct\\s]|$)|(?!\\*)[punct\\s](\\*+)(?=[^punct\\s])|[\\s](\\*+)(?!\\*)(?=[punct])|(?!\\*)[punct](\\*+)(?!\\*)(?=[punct])|[^punct\\s](\\*+)(?=[^punct\\s])","gu").replace(/punct/g,_punctuation$1).getRegex(),emStrongRDelimUnd$1=edit$1("^[^_*]*?\\*\\*[^_*]*?_[^_*]*?(?=\\*\\*)|[^_]+(?=[^_])|(?!_)[punct](_+)(?=[\\s]|$)|[^punct\\s](_+)(?!_)(?=[punct\\s]|$)|(?!_)[punct\\s](_+)(?=[^punct\\s])|[\\s](_+)(?!_)(?=[punct])|(?!_)[punct](_+)(?!_)(?=[punct])","gu").replace(/punct/g,_punctuation$1).getRegex(),anyPunctuation$1=edit$1(/\\([punct])/,"gu").replace(/punct/g,_punctuation$1).getRegex(),autolink$1=edit$1(/^<(scheme:[^\s\x00-\x1f<>]*|email)>/).replace("scheme",/[a-zA-Z][a-zA-Z0-9+.-]{1,31}/).replace("email",/[a-zA-Z0-9.!#$%&'*+/=?^_`{|}~-]+(@)[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)+(?![-_])/).getRegex(),_inlineComment$1=edit$1(_comment$1).replace("(?:-->|$)","-->").getRegex(),tag$1=edit$1("^comment|^|^<[a-zA-Z][\\w-]*(?:attribute)*?\\s*/?>|^<\\?[\\s\\S]*?\\?>|^|^").replace("comment",_inlineComment$1).replace("attribute",/\s+[a-zA-Z:_][\w.:-]*(?:\s*=\s*"[^"]*"|\s*=\s*'[^']*'|\s*=\s*[^\s"'=<>`]+)?/).getRegex(),_inlineLabel$1=/(?:\[(?:\\.|[^\[\]\\])*\]|\\.|`[^`]*`|[^\[\]\\`])*?/,link$1=edit$1(/^!?\[(label)\]\(\s*(href)(?:\s+(title))?\s*\)/).replace("label",_inlineLabel$1).replace("href",/<(?:\\.|[^\n<>\\])+>|[^\s\x00-\x1f]*/).replace("title",/"(?:\\"?|[^"\\])*"|'(?:\\'?|[^'\\])*'|\((?:\\\)?|[^)\\])*\)/).getRegex(),reflink$1=edit$1(/^!?\[(label)\]\[(ref)\]/).replace("label",_inlineLabel$1).replace("ref",_blockLabel$1).getRegex(),nolink$1=edit$1(/^!?\[(ref)\](?:\[\])?/).replace("ref",_blockLabel$1).getRegex(),reflinkSearch$1=edit$1("reflink|nolink(?!\\()","g").replace("reflink",reflink$1).replace("nolink",nolink$1).getRegex(),inlineNormal$1={_backpedal:noopTest$1,anyPunctuation:anyPunctuation$1,autolink:autolink$1,blockSkip:blockSkip$1,br:br$4,code:inlineCode$1,del:noopTest$1,emStrongLDelim:emStrongLDelim$1,emStrongRDelimAst:emStrongRDelimAst$1,emStrongRDelimUnd:emStrongRDelimUnd$1,escape:escape$4,link:link$1,nolink:nolink$1,punctuation:punctuation$1,reflink:reflink$1,reflinkSearch:reflinkSearch$1,tag:tag$1,text:inlineText$1,url:noopTest$1},inlinePedantic$1={...inlineNormal$1,link:edit$1(/^!?\[(label)\]\((.*?)\)/).replace("label",_inlineLabel$1).getRegex(),reflink:edit$1(/^!?\[(label)\]\s*\[([^\]]*)\]/).replace("label",_inlineLabel$1).getRegex()},inlineGfm$1={...inlineNormal$1,escape:edit$1(escape$4).replace("])","~|])").getRegex(),url:edit$1(/^((?:ftp|https?):\/\/|www\.)(?:[a-zA-Z0-9\-]+\.?)+[^\s<]*|^email/,"i").replace("email",/[A-Za-z0-9._+-]+(@)[a-zA-Z0-9-_]+(?:\.[a-zA-Z0-9-_]*[a-zA-Z0-9])+(?![-_])/).getRegex(),_backpedal:/(?:[^?!.,:;*_'"~()&]+|\([^)]*\)|&(?![a-zA-Z0-9]+;$)|[?!.,:;*_'"~)]+(?!$))+/,del:/^(~~?)(?=[^\s~])([\s\S]*?[^\s~])\1(?=[^~]|$)/,text:/^([`~]+|[^`~])(?:(?= {2,}\n)|(?=[a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-]+@)|[\s\S]*?(?:(?=[\\(rd=ld.call({lexer:this},qa,ed))?(qa=qa.substring(rd.raw.length),ed.push(rd),!0):!1))){if(rd=this.tokenizer.space(qa)){qa=qa.substring(rd.raw.length),rd.raw.length===1&&ed.length>0?ed[ed.length-1].raw+=` `:ed.push(rd);continue}if(rd=this.tokenizer.code(qa)){qa=qa.substring(rd.raw.length),sd=ed[ed.length-1],sd&&(sd.type==="paragraph"||sd.type==="text")?(sd.raw+=` `+rd.raw,sd.text+=` `+rd.text,this.inlineQueue[this.inlineQueue.length-1].src=sd.text):ed.push(rd);continue}if(rd=this.tokenizer.fences(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.heading(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.hr(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.blockquote(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.list(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.html(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.def(qa)){qa=qa.substring(rd.raw.length),sd=ed[ed.length-1],sd&&(sd.type==="paragraph"||sd.type==="text")?(sd.raw+=` `+rd.raw,sd.text+=` `+rd.raw,this.inlineQueue[this.inlineQueue.length-1].src=sd.text):this.tokens.links[rd.tag]||(this.tokens.links[rd.tag]={href:rd.href,title:rd.title});continue}if(rd=this.tokenizer.table(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.lheading(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(od=qa,this.options.extensions&&this.options.extensions.startBlock){let ld=1/0;const cd=qa.slice(1);let ud;this.options.extensions.startBlock.forEach(_d=>{ud=_d.call({lexer:this},cd),typeof ud=="number"&&ud>=0&&(ld=Math.min(ld,ud))}),ld<1/0&&ld>=0&&(od=qa.substring(0,ld+1))}if(this.state.top&&(rd=this.tokenizer.paragraph(od))){sd=ed[ed.length-1],td&&(sd==null?void 0:sd.type)==="paragraph"?(sd.raw+=` `+rd.raw,sd.text+=` `+rd.text,this.inlineQueue.pop(),this.inlineQueue[this.inlineQueue.length-1].src=sd.text):ed.push(rd),td=od.length!==qa.length,qa=qa.substring(rd.raw.length);continue}if(rd=this.tokenizer.text(qa)){qa=qa.substring(rd.raw.length),sd=ed[ed.length-1],sd&&sd.type==="text"?(sd.raw+=` `+rd.raw,sd.text+=` `+rd.text,this.inlineQueue.pop(),this.inlineQueue[this.inlineQueue.length-1].src=sd.text):ed.push(rd);continue}if(qa){const ld="Infinite loop on byte: "+qa.charCodeAt(0);if(this.options.silent){console.error(ld);break}else throw new Error(ld)}}return this.state.top=!0,ed}inline(qa,ed=[]){return this.inlineQueue.push({src:qa,tokens:ed}),ed}inlineTokens(qa,ed=[]){let td,rd,sd,od=qa,ld,cd,ud;if(this.tokens.links){const _d=Object.keys(this.tokens.links);if(_d.length>0)for(;(ld=this.tokenizer.rules.inline.reflinkSearch.exec(od))!=null;)_d.includes(ld[0].slice(ld[0].lastIndexOf("[")+1,-1))&&(od=od.slice(0,ld.index)+"["+"a".repeat(ld[0].length-2)+"]"+od.slice(this.tokenizer.rules.inline.reflinkSearch.lastIndex))}for(;(ld=this.tokenizer.rules.inline.blockSkip.exec(od))!=null;)od=od.slice(0,ld.index)+"["+"a".repeat(ld[0].length-2)+"]"+od.slice(this.tokenizer.rules.inline.blockSkip.lastIndex);for(;(ld=this.tokenizer.rules.inline.anyPunctuation.exec(od))!=null;)od=od.slice(0,ld.index)+"++"+od.slice(this.tokenizer.rules.inline.anyPunctuation.lastIndex);for(;qa;)if(cd||(ud=""),cd=!1,!(this.options.extensions&&this.options.extensions.inline&&this.options.extensions.inline.some(_d=>(td=_d.call({lexer:this},qa,ed))?(qa=qa.substring(td.raw.length),ed.push(td),!0):!1))){if(td=this.tokenizer.escape(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.tag(qa)){qa=qa.substring(td.raw.length),rd=ed[ed.length-1],rd&&td.type==="text"&&rd.type==="text"?(rd.raw+=td.raw,rd.text+=td.text):ed.push(td);continue}if(td=this.tokenizer.link(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.reflink(qa,this.tokens.links)){qa=qa.substring(td.raw.length),rd=ed[ed.length-1],rd&&td.type==="text"&&rd.type==="text"?(rd.raw+=td.raw,rd.text+=td.text):ed.push(td);continue}if(td=this.tokenizer.emStrong(qa,od,ud)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.codespan(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.br(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.del(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.autolink(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(!this.state.inLink&&(td=this.tokenizer.url(qa))){qa=qa.substring(td.raw.length),ed.push(td);continue}if(sd=qa,this.options.extensions&&this.options.extensions.startInline){let _d=1/0;const Ed=qa.slice(1);let Ad;this.options.extensions.startInline.forEach(Td=>{Ad=Td.call({lexer:this},Ed),typeof Ad=="number"&&Ad>=0&&(_d=Math.min(_d,Ad))}),_d<1/0&&_d>=0&&(sd=qa.substring(0,_d+1))}if(td=this.tokenizer.inlineText(sd)){qa=qa.substring(td.raw.length),td.raw.slice(-1)!=="_"&&(ud=td.raw.slice(-1)),cd=!0,rd=ed[ed.length-1],rd&&rd.type==="text"?(rd.raw+=td.raw,rd.text+=td.text):ed.push(td);continue}if(qa){const _d="Infinite loop on byte: "+qa.charCodeAt(0);if(this.options.silent){console.error(_d);break}else throw new Error(_d)}}return ed}},_Renderer$1=class{constructor(qa){n_(this,"options");n_(this,"parser");this.options=qa||_defaults$1}space(qa){return""}code({text:qa,lang:ed,escaped:td}){var od;const rd=(od=(ed||"").match(/^\S*/))==null?void 0:od[0],sd=qa.replace(/\n$/,"")+` `;return rd?'
'+(td?sd:escape$1$2(sd,!0))+`
`:"
"+(td?sd:escape$1$2(sd,!0))+`
`}blockquote({tokens:qa}){return`
${this.parser.parse(qa)}
`}html({text:qa}){return qa}heading({tokens:qa,depth:ed}){return`${this.parser.parseInline(qa)} `}hr(qa){return`
`}list(qa){const ed=qa.ordered,td=qa.start;let rd="";for(let ld=0;ld `+rd+" `}listitem(qa){let ed="";if(qa.task){const td=this.checkbox({checked:!!qa.checked});qa.loose?qa.tokens.length>0&&qa.tokens[0].type==="paragraph"?(qa.tokens[0].text=td+" "+qa.tokens[0].text,qa.tokens[0].tokens&&qa.tokens[0].tokens.length>0&&qa.tokens[0].tokens[0].type==="text"&&(qa.tokens[0].tokens[0].text=td+" "+qa.tokens[0].tokens[0].text)):qa.tokens.unshift({type:"text",raw:td+" ",text:td+" "}):ed+=td+" "}return ed+=this.parser.parse(qa.tokens,!!qa.loose),`
  • ${ed}
  • `}checkbox({checked:qa}){return"'}paragraph({tokens:qa}){return`

    ${this.parser.parseInline(qa)}

    `}table(qa){let ed="",td="";for(let sd=0;sd${rd}`),` `+ed+` `+rd+`
    `}tablerow({text:qa}){return` ${qa} `}tablecell(qa){const ed=this.parser.parseInline(qa.tokens),td=qa.header?"th":"td";return(qa.align?`<${td} align="${qa.align}">`:`<${td}>`)+ed+` `}strong({tokens:qa}){return`${this.parser.parseInline(qa)}`}em({tokens:qa}){return`${this.parser.parseInline(qa)}`}codespan({text:qa}){return`${qa}`}br(qa){return"
    "}del({tokens:qa}){return`${this.parser.parseInline(qa)}`}link({href:qa,title:ed,tokens:td}){const rd=this.parser.parseInline(td),sd=cleanUrl$1(qa);if(sd===null)return rd;qa=sd;let od='
    ",od}image({href:qa,title:ed,text:td}){const rd=cleanUrl$1(qa);if(rd===null)return td;qa=rd;let sd=`${td}{const ud=ld[cd].flat(1/0);td=td.concat(this.walkTokens(ud,ed))}):ld.tokens&&(td=td.concat(this.walkTokens(ld.tokens,ed)))}}return td}use(...qa){const ed=this.defaults.extensions||{renderers:{},childTokens:{}};return qa.forEach(td=>{const rd={...td};if(rd.async=this.defaults.async||rd.async||!1,td.extensions&&(td.extensions.forEach(sd=>{if(!sd.name)throw new Error("extension name required");if("renderer"in sd){const od=ed.renderers[sd.name];od?ed.renderers[sd.name]=function(...ld){let cd=sd.renderer.apply(this,ld);return cd===!1&&(cd=od.apply(this,ld)),cd}:ed.renderers[sd.name]=sd.renderer}if("tokenizer"in sd){if(!sd.level||sd.level!=="block"&&sd.level!=="inline")throw new Error("extension level must be 'block' or 'inline'");const od=ed[sd.level];od?od.unshift(sd.tokenizer):ed[sd.level]=[sd.tokenizer],sd.start&&(sd.level==="block"?ed.startBlock?ed.startBlock.push(sd.start):ed.startBlock=[sd.start]:sd.level==="inline"&&(ed.startInline?ed.startInline.push(sd.start):ed.startInline=[sd.start]))}"childTokens"in sd&&sd.childTokens&&(ed.childTokens[sd.name]=sd.childTokens)}),rd.extensions=ed),td.renderer){const sd=this.defaults.renderer||new _Renderer$1(this.defaults);for(const od in td.renderer){if(!(od in sd))throw new Error(`renderer '${od}' does not exist`);if(["options","parser"].includes(od))continue;const ld=od,cd=td.renderer[ld],ud=sd[ld];sd[ld]=(..._d)=>{let Ed=cd.apply(sd,_d);return Ed===!1&&(Ed=ud.apply(sd,_d)),Ed||""}}rd.renderer=sd}if(td.tokenizer){const sd=this.defaults.tokenizer||new _Tokenizer$1(this.defaults);for(const od in td.tokenizer){if(!(od in sd))throw new Error(`tokenizer '${od}' does not exist`);if(["options","rules","lexer"].includes(od))continue;const ld=od,cd=td.tokenizer[ld],ud=sd[ld];sd[ld]=(..._d)=>{let Ed=cd.apply(sd,_d);return Ed===!1&&(Ed=ud.apply(sd,_d)),Ed}}rd.tokenizer=sd}if(td.hooks){const sd=this.defaults.hooks||new _Hooks$1;for(const od in td.hooks){if(!(od in sd))throw new Error(`hook '${od}' does not exist`);if(["options","block"].includes(od))continue;const ld=od,cd=td.hooks[ld],ud=sd[ld];_Hooks$1.passThroughHooks.has(od)?sd[ld]=_d=>{if(this.defaults.async)return Promise.resolve(cd.call(sd,_d)).then(Ad=>ud.call(sd,Ad));const Ed=cd.call(sd,_d);return ud.call(sd,Ed)}:sd[ld]=(..._d)=>{let Ed=cd.apply(sd,_d);return Ed===!1&&(Ed=ud.apply(sd,_d)),Ed}}rd.hooks=sd}if(td.walkTokens){const sd=this.defaults.walkTokens,od=td.walkTokens;rd.walkTokens=function(ld){let cd=[];return cd.push(od.call(this,ld)),sd&&(cd=cd.concat(sd.call(this,ld))),cd}}this.defaults={...this.defaults,...rd}}),this}setOptions(qa){return this.defaults={...this.defaults,...qa},this}lexer(qa,ed){return _Lexer$1.lex(qa,ed??this.defaults)}parser(qa,ed){return _Parser$1.parse(qa,ed??this.defaults)}parseMarkdown(qa){return(td,rd)=>{const sd={...rd},od={...this.defaults,...sd},ld=this.onError(!!od.silent,!!od.async);if(this.defaults.async===!0&&sd.async===!1)return ld(new Error("marked(): The async option was set to true by an extension. Remove async: false from the parse options object to return a Promise."));if(typeof td>"u"||td===null)return ld(new Error("marked(): input parameter is undefined or null"));if(typeof td!="string")return ld(new Error("marked(): input parameter is of type "+Object.prototype.toString.call(td)+", string expected"));od.hooks&&(od.hooks.options=od,od.hooks.block=qa);const cd=od.hooks?od.hooks.provideLexer():qa?_Lexer$1.lex:_Lexer$1.lexInline,ud=od.hooks?od.hooks.provideParser():qa?_Parser$1.parse:_Parser$1.parseInline;if(od.async)return Promise.resolve(od.hooks?od.hooks.preprocess(td):td).then(_d=>cd(_d,od)).then(_d=>od.hooks?od.hooks.processAllTokens(_d):_d).then(_d=>od.walkTokens?Promise.all(this.walkTokens(_d,od.walkTokens)).then(()=>_d):_d).then(_d=>ud(_d,od)).then(_d=>od.hooks?od.hooks.postprocess(_d):_d).catch(ld);try{od.hooks&&(td=od.hooks.preprocess(td));let _d=cd(td,od);od.hooks&&(_d=od.hooks.processAllTokens(_d)),od.walkTokens&&this.walkTokens(_d,od.walkTokens);let Ed=ud(_d,od);return od.hooks&&(Ed=od.hooks.postprocess(Ed)),Ed}catch(_d){return ld(_d)}}}onError(qa,ed){return td=>{if(td.message+=` Please report this to https://github.com/markedjs/marked.`,qa){const rd="

    An error occurred:

    "+escape$1$2(td.message+"",!0)+"
    ";return ed?Promise.resolve(rd):rd}if(ed)return Promise.reject(td);throw td}}};const markedInstance$1=new Marked$1;function marked$1(Ra,qa){return markedInstance$1.parse(Ra,qa)}marked$1.options=marked$1.setOptions=function(Ra){return markedInstance$1.setOptions(Ra),marked$1.defaults=markedInstance$1.defaults,changeDefaults$1(marked$1.defaults),marked$1};marked$1.getDefaults=_getDefaults$1;marked$1.defaults=_defaults$1;marked$1.use=function(...Ra){return markedInstance$1.use(...Ra),marked$1.defaults=markedInstance$1.defaults,changeDefaults$1(marked$1.defaults),marked$1};marked$1.walkTokens=function(Ra,qa){return markedInstance$1.walkTokens(Ra,qa)};marked$1.parseInline=markedInstance$1.parseInline;marked$1.Parser=_Parser$1;marked$1.parser=_Parser$1.parse;marked$1.Renderer=_Renderer$1;marked$1.TextRenderer=_TextRenderer$1;marked$1.Lexer=_Lexer$1;marked$1.lexer=_Lexer$1.lex;marked$1.Tokenizer=_Tokenizer$1;marked$1.Hooks=_Hooks$1;marked$1.parse=marked$1;marked$1.options;marked$1.setOptions;marked$1.use;marked$1.walkTokens;marked$1.parseInline;_Parser$1.parse;_Lexer$1.lex;function tryOnScopeDispose(Ra){return getCurrentScope()?(onScopeDispose(Ra),!0):!1}function toValue$2(Ra){return typeof Ra=="function"?Ra():unref(Ra)}const isClient=typeof window<"u"&&typeof document<"u";typeof WorkerGlobalScope<"u"&&globalThis instanceof WorkerGlobalScope;const toString=Object.prototype.toString,isObject$1=Ra=>toString.call(Ra)==="[object Object]",noop$2=()=>{};function createFilterWrapper(Ra,qa){function ed(...td){return new Promise((rd,sd)=>{Promise.resolve(Ra(()=>qa.apply(this,td),{fn:qa,thisArg:this,args:td})).then(rd).catch(sd)})}return ed}const bypassFilter=Ra=>Ra();function pausableFilter(Ra=bypassFilter){const qa=ref$1(!0);function ed(){qa.value=!1}function td(){qa.value=!0}const rd=(...sd)=>{qa.value&&Ra(...sd)};return{isActive:readonly(qa),pause:ed,resume:td,eventFilter:rd}}function getLifeCycleTarget(Ra){return getCurrentInstance()}function toRef(...Ra){if(Ra.length!==1)return toRef$1(...Ra);const qa=Ra[0];return typeof qa=="function"?readonly(customRef(()=>({get:qa,set:noop$2}))):ref$1(qa)}function watchWithFilter(Ra,qa,ed={}){const{eventFilter:td=bypassFilter,...rd}=ed;return watch(Ra,createFilterWrapper(td,qa),rd)}function watchPausable(Ra,qa,ed={}){const{eventFilter:td,...rd}=ed,{eventFilter:sd,pause:od,resume:ld,isActive:cd}=pausableFilter(td);return{stop:watchWithFilter(Ra,qa,{...rd,eventFilter:sd}),pause:od,resume:ld,isActive:cd}}function tryOnMounted(Ra,qa=!0,ed){getLifeCycleTarget()?onMounted(Ra,ed):qa?Ra():nextTick$1(Ra)}function useToggle(Ra=!1,qa={}){const{truthyValue:ed=!0,falsyValue:td=!1}=qa,rd=isRef(Ra),sd=ref$1(Ra);function od(ld){if(arguments.length)return sd.value=ld,sd.value;{const cd=toValue$2(ed);return sd.value=sd.value===cd?toValue$2(td):cd,sd.value}}return rd?od:[sd,od]}function unrefElement(Ra){var qa;const ed=toValue$2(Ra);return(qa=ed==null?void 0:ed.$el)!=null?qa:ed}const defaultWindow=isClient?window:void 0;function useEventListener(...Ra){let qa,ed,td,rd;if(typeof Ra[0]=="string"||Array.isArray(Ra[0])?([ed,td,rd]=Ra,qa=defaultWindow):[qa,ed,td,rd]=Ra,!qa)return noop$2;Array.isArray(ed)||(ed=[ed]),Array.isArray(td)||(td=[td]);const sd=[],od=()=>{sd.forEach(_d=>_d()),sd.length=0},ld=(_d,Ed,Ad,Td)=>(_d.addEventListener(Ed,Ad,Td),()=>_d.removeEventListener(Ed,Ad,Td)),cd=watch(()=>[unrefElement(qa),toValue$2(rd)],([_d,Ed])=>{if(od(),!_d)return;const Ad=isObject$1(Ed)?{...Ed}:Ed;sd.push(...ed.flatMap(Td=>td.map(Nd=>ld(_d,Td,Nd,Ad))))},{immediate:!0,flush:"post"}),ud=()=>{cd(),od()};return tryOnScopeDispose(ud),ud}function useMounted(){const Ra=ref$1(!1),qa=getCurrentInstance();return qa&&onMounted(()=>{Ra.value=!0},qa),Ra}function useSupported(Ra){const qa=useMounted();return computed(()=>(qa.value,!!Ra()))}function useMediaQuery(Ra,qa={}){const{window:ed=defaultWindow}=qa,td=useSupported(()=>ed&&"matchMedia"in ed&&typeof ed.matchMedia=="function");let rd;const sd=ref$1(!1),od=ud=>{sd.value=ud.matches},ld=()=>{rd&&("removeEventListener"in rd?rd.removeEventListener("change",od):rd.removeListener(od))},cd=watchEffect(()=>{td.value&&(ld(),rd=ed.matchMedia(toValue$2(Ra)),"addEventListener"in rd?rd.addEventListener("change",od):rd.addListener(od),sd.value=rd.matches)});return tryOnScopeDispose(()=>{cd(),ld(),rd=void 0}),sd}const _global=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global$1<"u"?global$1:typeof self<"u"?self:{},globalKey="__vueuse_ssr_handlers__",handlers=getHandlers();function getHandlers(){return globalKey in _global||(_global[globalKey]=_global[globalKey]||{}),_global[globalKey]}function getSSRHandler(Ra,qa){return handlers[Ra]||qa}function guessSerializerType(Ra){return Ra==null?"any":Ra instanceof Set?"set":Ra instanceof Map?"map":Ra instanceof Date?"date":typeof Ra=="boolean"?"boolean":typeof Ra=="string"?"string":typeof Ra=="object"?"object":Number.isNaN(Ra)?"any":"number"}const StorageSerializers={boolean:{read:Ra=>Ra==="true",write:Ra=>String(Ra)},object:{read:Ra=>JSON.parse(Ra),write:Ra=>JSON.stringify(Ra)},number:{read:Ra=>Number.parseFloat(Ra),write:Ra=>String(Ra)},any:{read:Ra=>Ra,write:Ra=>String(Ra)},string:{read:Ra=>Ra,write:Ra=>String(Ra)},map:{read:Ra=>new Map(JSON.parse(Ra)),write:Ra=>JSON.stringify(Array.from(Ra.entries()))},set:{read:Ra=>new Set(JSON.parse(Ra)),write:Ra=>JSON.stringify(Array.from(Ra))},date:{read:Ra=>new Date(Ra),write:Ra=>Ra.toISOString()}},customStorageEventName="vueuse-storage";function useStorage(Ra,qa,ed,td={}){var rd;const{flush:sd="pre",deep:od=!0,listenToStorageChanges:ld=!0,writeDefaults:cd=!0,mergeDefaults:ud=!1,shallow:_d,window:Ed=defaultWindow,eventFilter:Ad,onError:Td=zd=>{console.error(zd)},initOnMounted:Nd}=td,Rd=(_d?shallowRef:ref$1)(typeof qa=="function"?qa():qa);if(!ed)try{ed=getSSRHandler("getDefaultStorage",()=>{var zd;return(zd=defaultWindow)==null?void 0:zd.localStorage})()}catch(zd){Td(zd)}if(!ed)return Rd;const Bd=toValue$2(qa),kd=guessSerializerType(Bd),Od=(rd=td.serializer)!=null?rd:StorageSerializers[kd],{pause:Md,resume:Pd}=watchPausable(Rd,()=>Ud(Rd.value),{flush:sd,deep:od,eventFilter:Ad});Ed&&ld&&tryOnMounted(()=>{ed instanceof Storage?useEventListener(Ed,"storage",Qd):useEventListener(Ed,customStorageEventName,Vd),Nd&&Qd()}),Nd||Qd();function Fd(zd,Xd){if(Ed){const df={key:Ra,oldValue:zd,newValue:Xd,storageArea:ed};Ed.dispatchEvent(ed instanceof Storage?new StorageEvent("storage",df):new CustomEvent(customStorageEventName,{detail:df}))}}function Ud(zd){try{const Xd=ed.getItem(Ra);if(zd==null)Fd(Xd,null),ed.removeItem(Ra);else{const df=Od.write(zd);Xd!==df&&(ed.setItem(Ra,df),Fd(Xd,df))}}catch(Xd){Td(Xd)}}function Gd(zd){const Xd=zd?zd.newValue:ed.getItem(Ra);if(Xd==null)return cd&&Bd!=null&&ed.setItem(Ra,Od.write(Bd)),Bd;if(!zd&&ud){const df=Od.read(Xd);return typeof ud=="function"?ud(df,Bd):kd==="object"&&!Array.isArray(df)?{...Bd,...df}:df}else return typeof Xd!="string"?Xd:Od.read(Xd)}function Qd(zd){if(!(zd&&zd.storageArea!==ed)){if(zd&&zd.key==null){Rd.value=Bd;return}if(!(zd&&zd.key!==Ra)){Md();try{(zd==null?void 0:zd.newValue)!==Od.write(Rd.value)&&(Rd.value=Gd(zd))}catch(Xd){Td(Xd)}finally{zd?nextTick$1(Pd):Pd()}}}}function Vd(zd){Qd(zd.detail)}return Rd}function usePreferredDark(Ra){return useMediaQuery("(prefers-color-scheme: dark)",Ra)}const CSS_DISABLE_TRANS="*,*::before,*::after{-webkit-transition:none!important;-moz-transition:none!important;-o-transition:none!important;-ms-transition:none!important;transition:none!important}";function useColorMode(Ra={}){const{selector:qa="html",attribute:ed="class",initialValue:td="auto",window:rd=defaultWindow,storage:sd,storageKey:od="vueuse-color-scheme",listenToStorageChanges:ld=!0,storageRef:cd,emitAuto:ud,disableTransition:_d=!0}=Ra,Ed={auto:"",light:"light",dark:"dark",...Ra.modes||{}},Ad=usePreferredDark({window:rd}),Td=computed(()=>Ad.value?"dark":"light"),Nd=cd||(od==null?toRef(td):useStorage(od,td,sd,{window:rd,listenToStorageChanges:ld})),Rd=computed(()=>Nd.value==="auto"?Td.value:Nd.value),Bd=getSSRHandler("updateHTMLAttrs",(Pd,Fd,Ud)=>{const Gd=typeof Pd=="string"?rd==null?void 0:rd.document.querySelector(Pd):unrefElement(Pd);if(!Gd)return;const Qd=new Set,Vd=new Set;let zd=null;if(Fd==="class"){const df=Ud.split(/\s/g);Object.values(Ed).flatMap(mf=>(mf||"").split(/\s/g)).filter(Boolean).forEach(mf=>{df.includes(mf)?Qd.add(mf):Vd.add(mf)})}else zd={key:Fd,value:Ud};if(Qd.size===0&&Vd.size===0&&zd===null)return;let Xd;_d&&(Xd=rd.document.createElement("style"),Xd.appendChild(document.createTextNode(CSS_DISABLE_TRANS)),rd.document.head.appendChild(Xd));for(const df of Qd)Gd.classList.add(df);for(const df of Vd)Gd.classList.remove(df);zd&&Gd.setAttribute(zd.key,zd.value),_d&&(rd.getComputedStyle(Xd).opacity,document.head.removeChild(Xd))});function kd(Pd){var Fd;Bd(qa,ed,(Fd=Ed[Pd])!=null?Fd:Pd)}function Od(Pd){Ra.onChanged?Ra.onChanged(Pd,kd):kd(Pd)}watch(Rd,Od,{flush:"post",immediate:!0}),tryOnMounted(()=>Od(Rd.value));const Md=computed({get(){return ud?Nd.value:Rd.value},set(Pd){Nd.value=Pd}});try{return Object.assign(Md,{store:Nd,system:Td,state:Rd})}catch{return Md}}function useDark(Ra={}){const{valueDark:qa="dark",valueLight:ed="",window:td=defaultWindow}=Ra,rd=useColorMode({...Ra,onChanged:(ld,cd)=>{var ud;Ra.onChanged?(ud=Ra.onChanged)==null||ud.call(Ra,ld==="dark",cd,ld):cd(ld)},modes:{dark:qa,light:ed}}),sd=computed(()=>rd.system?rd.system.value:usePreferredDark({window:td}).value?"dark":"light");return computed({get(){return rd.value==="dark"},set(ld){const cd=ld?"dark":"light";sd.value===cd?rd.value="auto":rd.value=cd}})}function deepFreeze(Ra){return Ra instanceof Map?Ra.clear=Ra.delete=Ra.set=function(){throw new Error("map is read-only")}:Ra instanceof Set&&(Ra.add=Ra.clear=Ra.delete=function(){throw new Error("set is read-only")}),Object.freeze(Ra),Object.getOwnPropertyNames(Ra).forEach(qa=>{const ed=Ra[qa],td=typeof ed;(td==="object"||td==="function")&&!Object.isFrozen(ed)&&deepFreeze(ed)}),Ra}let Response$1=class{constructor(qa){qa.data===void 0&&(qa.data={}),this.data=qa.data,this.isMatchIgnored=!1}ignoreMatch(){this.isMatchIgnored=!0}};function escapeHTML(Ra){return Ra.replace(/&/g,"&").replace(//g,">").replace(/"/g,""").replace(/'/g,"'")}function inherit$1(Ra,...qa){const ed=Object.create(null);for(const td in Ra)ed[td]=Ra[td];return qa.forEach(function(td){for(const rd in td)ed[rd]=td[rd]}),ed}const SPAN_CLOSE="",emitsWrappingTags=Ra=>!!Ra.scope,scopeToCSSClass=(Ra,{prefix:qa})=>{if(Ra.startsWith("language:"))return Ra.replace("language:","language-");if(Ra.includes(".")){const ed=Ra.split(".");return[`${qa}${ed.shift()}`,...ed.map((td,rd)=>`${td}${"_".repeat(rd+1)}`)].join(" ")}return`${qa}${Ra}`};class HTMLRenderer{constructor(qa,ed){this.buffer="",this.classPrefix=ed.classPrefix,qa.walk(this)}addText(qa){this.buffer+=escapeHTML(qa)}openNode(qa){if(!emitsWrappingTags(qa))return;const ed=scopeToCSSClass(qa.scope,{prefix:this.classPrefix});this.span(ed)}closeNode(qa){emitsWrappingTags(qa)&&(this.buffer+=SPAN_CLOSE)}value(){return this.buffer}span(qa){this.buffer+=``}}const newNode=(Ra={})=>{const qa={children:[]};return Object.assign(qa,Ra),qa};class TokenTree{constructor(){this.rootNode=newNode(),this.stack=[this.rootNode]}get top(){return this.stack[this.stack.length-1]}get root(){return this.rootNode}add(qa){this.top.children.push(qa)}openNode(qa){const ed=newNode({scope:qa});this.add(ed),this.stack.push(ed)}closeNode(){if(this.stack.length>1)return this.stack.pop()}closeAllNodes(){for(;this.closeNode(););}toJSON(){return JSON.stringify(this.rootNode,null,4)}walk(qa){return this.constructor._walk(qa,this.rootNode)}static _walk(qa,ed){return typeof ed=="string"?qa.addText(ed):ed.children&&(qa.openNode(ed),ed.children.forEach(td=>this._walk(qa,td)),qa.closeNode(ed)),qa}static _collapse(qa){typeof qa!="string"&&qa.children&&(qa.children.every(ed=>typeof ed=="string")?qa.children=[qa.children.join("")]:qa.children.forEach(ed=>{TokenTree._collapse(ed)}))}}class TokenTreeEmitter extends TokenTree{constructor(qa){super(),this.options=qa}addText(qa){qa!==""&&this.add(qa)}startScope(qa){this.openNode(qa)}endScope(){this.closeNode()}__addSublanguage(qa,ed){const td=qa.root;ed&&(td.scope=`language:${ed}`),this.add(td)}toHTML(){return new HTMLRenderer(this,this.options).value()}finalize(){return this.closeAllNodes(),!0}}function source(Ra){return Ra?typeof Ra=="string"?Ra:Ra.source:null}function lookahead(Ra){return concat("(?=",Ra,")")}function anyNumberOfTimes(Ra){return concat("(?:",Ra,")*")}function optional(Ra){return concat("(?:",Ra,")?")}function concat(...Ra){return Ra.map(ed=>source(ed)).join("")}function stripOptionsFromArgs(Ra){const qa=Ra[Ra.length-1];return typeof qa=="object"&&qa.constructor===Object?(Ra.splice(Ra.length-1,1),qa):{}}function either(...Ra){return"("+(stripOptionsFromArgs(Ra).capture?"":"?:")+Ra.map(td=>source(td)).join("|")+")"}function countMatchGroups(Ra){return new RegExp(Ra.toString()+"|").exec("").length-1}function startsWith(Ra,qa){const ed=Ra&&Ra.exec(qa);return ed&&ed.index===0}const BACKREF_RE=/\[(?:[^\\\]]|\\.)*\]|\(\??|\\([1-9][0-9]*)|\\./;function _rewriteBackreferences(Ra,{joinWith:qa}){let ed=0;return Ra.map(td=>{ed+=1;const rd=ed;let sd=source(td),od="";for(;sd.length>0;){const ld=BACKREF_RE.exec(sd);if(!ld){od+=sd;break}od+=sd.substring(0,ld.index),sd=sd.substring(ld.index+ld[0].length),ld[0][0]==="\\"&&ld[1]?od+="\\"+String(Number(ld[1])+rd):(od+=ld[0],ld[0]==="("&&ed++)}return od}).map(td=>`(${td})`).join(qa)}const MATCH_NOTHING_RE=/\b\B/,IDENT_RE="[a-zA-Z]\\w*",UNDERSCORE_IDENT_RE="[a-zA-Z_]\\w*",NUMBER_RE="\\b\\d+(\\.\\d+)?",C_NUMBER_RE="(-?)(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",BINARY_NUMBER_RE="\\b(0b[01]+)",RE_STARTERS_RE="!|!=|!==|%|%=|&|&&|&=|\\*|\\*=|\\+|\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\?|\\[|\\{|\\(|\\^|\\^=|\\||\\|=|\\|\\||~",SHEBANG=(Ra={})=>{const qa=/^#![ ]*\//;return Ra.binary&&(Ra.begin=concat(qa,/.*\b/,Ra.binary,/\b.*/)),inherit$1({scope:"meta",begin:qa,end:/$/,relevance:0,"on:begin":(ed,td)=>{ed.index!==0&&td.ignoreMatch()}},Ra)},BACKSLASH_ESCAPE={begin:"\\\\[\\s\\S]",relevance:0},APOS_STRING_MODE={scope:"string",begin:"'",end:"'",illegal:"\\n",contains:[BACKSLASH_ESCAPE]},QUOTE_STRING_MODE={scope:"string",begin:'"',end:'"',illegal:"\\n",contains:[BACKSLASH_ESCAPE]},PHRASAL_WORDS_MODE={begin:/\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\b/},COMMENT$1=function(Ra,qa,ed={}){const td=inherit$1({scope:"comment",begin:Ra,end:qa,contains:[]},ed);td.contains.push({scope:"doctag",begin:"[ ]*(?=(TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):)",end:/(TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):/,excludeBegin:!0,relevance:0});const rd=either("I","a","is","so","us","to","at","if","in","it","on",/[A-Za-z]+['](d|ve|re|ll|t|s|n)/,/[A-Za-z]+[-][a-z]+/,/[A-Za-z][a-z]{2,}/);return td.contains.push({begin:concat(/[ ]+/,"(",rd,/[.]?[:]?([.][ ]|[ ])/,"){3}")}),td},C_LINE_COMMENT_MODE=COMMENT$1("//","$"),C_BLOCK_COMMENT_MODE=COMMENT$1("/\\*","\\*/"),HASH_COMMENT_MODE=COMMENT$1("#","$"),NUMBER_MODE={scope:"number",begin:NUMBER_RE,relevance:0},C_NUMBER_MODE={scope:"number",begin:C_NUMBER_RE,relevance:0},BINARY_NUMBER_MODE={scope:"number",begin:BINARY_NUMBER_RE,relevance:0},REGEXP_MODE={scope:"regexp",begin:/\/(?=[^/\n]*\/)/,end:/\/[gimuy]*/,contains:[BACKSLASH_ESCAPE,{begin:/\[/,end:/\]/,relevance:0,contains:[BACKSLASH_ESCAPE]}]},TITLE_MODE={scope:"title",begin:IDENT_RE,relevance:0},UNDERSCORE_TITLE_MODE={scope:"title",begin:UNDERSCORE_IDENT_RE,relevance:0},METHOD_GUARD={begin:"\\.\\s*"+UNDERSCORE_IDENT_RE,relevance:0},END_SAME_AS_BEGIN=function(Ra){return Object.assign(Ra,{"on:begin":(qa,ed)=>{ed.data._beginMatch=qa[1]},"on:end":(qa,ed)=>{ed.data._beginMatch!==qa[1]&&ed.ignoreMatch()}})};var MODES=Object.freeze({__proto__:null,APOS_STRING_MODE,BACKSLASH_ESCAPE,BINARY_NUMBER_MODE,BINARY_NUMBER_RE,COMMENT:COMMENT$1,C_BLOCK_COMMENT_MODE,C_LINE_COMMENT_MODE,C_NUMBER_MODE,C_NUMBER_RE,END_SAME_AS_BEGIN,HASH_COMMENT_MODE,IDENT_RE,MATCH_NOTHING_RE,METHOD_GUARD,NUMBER_MODE,NUMBER_RE,PHRASAL_WORDS_MODE,QUOTE_STRING_MODE,REGEXP_MODE,RE_STARTERS_RE,SHEBANG,TITLE_MODE,UNDERSCORE_IDENT_RE,UNDERSCORE_TITLE_MODE});function skipIfHasPrecedingDot(Ra,qa){Ra.input[Ra.index-1]==="."&&qa.ignoreMatch()}function scopeClassName(Ra,qa){Ra.className!==void 0&&(Ra.scope=Ra.className,delete Ra.className)}function beginKeywords(Ra,qa){qa&&Ra.beginKeywords&&(Ra.begin="\\b("+Ra.beginKeywords.split(" ").join("|")+")(?!\\.)(?=\\b|\\s)",Ra.__beforeBegin=skipIfHasPrecedingDot,Ra.keywords=Ra.keywords||Ra.beginKeywords,delete Ra.beginKeywords,Ra.relevance===void 0&&(Ra.relevance=0))}function compileIllegal(Ra,qa){Array.isArray(Ra.illegal)&&(Ra.illegal=either(...Ra.illegal))}function compileMatch(Ra,qa){if(Ra.match){if(Ra.begin||Ra.end)throw new Error("begin & end are not supported with match");Ra.begin=Ra.match,delete Ra.match}}function compileRelevance(Ra,qa){Ra.relevance===void 0&&(Ra.relevance=1)}const beforeMatchExt=(Ra,qa)=>{if(!Ra.beforeMatch)return;if(Ra.starts)throw new Error("beforeMatch cannot be used with starts");const ed=Object.assign({},Ra);Object.keys(Ra).forEach(td=>{delete Ra[td]}),Ra.keywords=ed.keywords,Ra.begin=concat(ed.beforeMatch,lookahead(ed.begin)),Ra.starts={relevance:0,contains:[Object.assign(ed,{endsParent:!0})]},Ra.relevance=0,delete ed.beforeMatch},COMMON_KEYWORDS=["of","and","for","in","not","or","if","then","parent","list","value"],DEFAULT_KEYWORD_SCOPE="keyword";function compileKeywords(Ra,qa,ed=DEFAULT_KEYWORD_SCOPE){const td=Object.create(null);return typeof Ra=="string"?rd(ed,Ra.split(" ")):Array.isArray(Ra)?rd(ed,Ra):Object.keys(Ra).forEach(function(sd){Object.assign(td,compileKeywords(Ra[sd],qa,sd))}),td;function rd(sd,od){qa&&(od=od.map(ld=>ld.toLowerCase())),od.forEach(function(ld){const cd=ld.split("|");td[cd[0]]=[sd,scoreForKeyword(cd[0],cd[1])]})}}function scoreForKeyword(Ra,qa){return qa?Number(qa):commonKeyword(Ra)?0:1}function commonKeyword(Ra){return COMMON_KEYWORDS.includes(Ra.toLowerCase())}const seenDeprecations={},error=Ra=>{console.error(Ra)},warn=(Ra,...qa)=>{console.log(`WARN: ${Ra}`,...qa)},deprecated=(Ra,qa)=>{seenDeprecations[`${Ra}/${qa}`]||(console.log(`Deprecated as of ${Ra}. ${qa}`),seenDeprecations[`${Ra}/${qa}`]=!0)},MultiClassError=new Error;function remapScopeNames(Ra,qa,{key:ed}){let td=0;const rd=Ra[ed],sd={},od={};for(let ld=1;ld<=qa.length;ld++)od[ld+td]=rd[ld],sd[ld+td]=!0,td+=countMatchGroups(qa[ld-1]);Ra[ed]=od,Ra[ed]._emit=sd,Ra[ed]._multi=!0}function beginMultiClass(Ra){if(Array.isArray(Ra.begin)){if(Ra.skip||Ra.excludeBegin||Ra.returnBegin)throw error("skip, excludeBegin, returnBegin not compatible with beginScope: {}"),MultiClassError;if(typeof Ra.beginScope!="object"||Ra.beginScope===null)throw error("beginScope must be object"),MultiClassError;remapScopeNames(Ra,Ra.begin,{key:"beginScope"}),Ra.begin=_rewriteBackreferences(Ra.begin,{joinWith:""})}}function endMultiClass(Ra){if(Array.isArray(Ra.end)){if(Ra.skip||Ra.excludeEnd||Ra.returnEnd)throw error("skip, excludeEnd, returnEnd not compatible with endScope: {}"),MultiClassError;if(typeof Ra.endScope!="object"||Ra.endScope===null)throw error("endScope must be object"),MultiClassError;remapScopeNames(Ra,Ra.end,{key:"endScope"}),Ra.end=_rewriteBackreferences(Ra.end,{joinWith:""})}}function scopeSugar(Ra){Ra.scope&&typeof Ra.scope=="object"&&Ra.scope!==null&&(Ra.beginScope=Ra.scope,delete Ra.scope)}function MultiClass(Ra){scopeSugar(Ra),typeof Ra.beginScope=="string"&&(Ra.beginScope={_wrap:Ra.beginScope}),typeof Ra.endScope=="string"&&(Ra.endScope={_wrap:Ra.endScope}),beginMultiClass(Ra),endMultiClass(Ra)}function compileLanguage(Ra){function qa(od,ld){return new RegExp(source(od),"m"+(Ra.case_insensitive?"i":"")+(Ra.unicodeRegex?"u":"")+(ld?"g":""))}class ed{constructor(){this.matchIndexes={},this.regexes=[],this.matchAt=1,this.position=0}addRule(ld,cd){cd.position=this.position++,this.matchIndexes[this.matchAt]=cd,this.regexes.push([cd,ld]),this.matchAt+=countMatchGroups(ld)+1}compile(){this.regexes.length===0&&(this.exec=()=>null);const ld=this.regexes.map(cd=>cd[1]);this.matcherRe=qa(_rewriteBackreferences(ld,{joinWith:"|"}),!0),this.lastIndex=0}exec(ld){this.matcherRe.lastIndex=this.lastIndex;const cd=this.matcherRe.exec(ld);if(!cd)return null;const ud=cd.findIndex((Ed,Ad)=>Ad>0&&Ed!==void 0),_d=this.matchIndexes[ud];return cd.splice(0,ud),Object.assign(cd,_d)}}class td{constructor(){this.rules=[],this.multiRegexes=[],this.count=0,this.lastIndex=0,this.regexIndex=0}getMatcher(ld){if(this.multiRegexes[ld])return this.multiRegexes[ld];const cd=new ed;return this.rules.slice(ld).forEach(([ud,_d])=>cd.addRule(ud,_d)),cd.compile(),this.multiRegexes[ld]=cd,cd}resumingScanAtSamePosition(){return this.regexIndex!==0}considerAll(){this.regexIndex=0}addRule(ld,cd){this.rules.push([ld,cd]),cd.type==="begin"&&this.count++}exec(ld){const cd=this.getMatcher(this.regexIndex);cd.lastIndex=this.lastIndex;let ud=cd.exec(ld);if(this.resumingScanAtSamePosition()&&!(ud&&ud.index===this.lastIndex)){const _d=this.getMatcher(0);_d.lastIndex=this.lastIndex+1,ud=_d.exec(ld)}return ud&&(this.regexIndex+=ud.position+1,this.regexIndex===this.count&&this.considerAll()),ud}}function rd(od){const ld=new td;return od.contains.forEach(cd=>ld.addRule(cd.begin,{rule:cd,type:"begin"})),od.terminatorEnd&&ld.addRule(od.terminatorEnd,{type:"end"}),od.illegal&&ld.addRule(od.illegal,{type:"illegal"}),ld}function sd(od,ld){const cd=od;if(od.isCompiled)return cd;[scopeClassName,compileMatch,MultiClass,beforeMatchExt].forEach(_d=>_d(od,ld)),Ra.compilerExtensions.forEach(_d=>_d(od,ld)),od.__beforeBegin=null,[beginKeywords,compileIllegal,compileRelevance].forEach(_d=>_d(od,ld)),od.isCompiled=!0;let ud=null;return typeof od.keywords=="object"&&od.keywords.$pattern&&(od.keywords=Object.assign({},od.keywords),ud=od.keywords.$pattern,delete od.keywords.$pattern),ud=ud||/\w+/,od.keywords&&(od.keywords=compileKeywords(od.keywords,Ra.case_insensitive)),cd.keywordPatternRe=qa(ud,!0),ld&&(od.begin||(od.begin=/\B|\b/),cd.beginRe=qa(cd.begin),!od.end&&!od.endsWithParent&&(od.end=/\B|\b/),od.end&&(cd.endRe=qa(cd.end)),cd.terminatorEnd=source(cd.end)||"",od.endsWithParent&&ld.terminatorEnd&&(cd.terminatorEnd+=(od.end?"|":"")+ld.terminatorEnd)),od.illegal&&(cd.illegalRe=qa(od.illegal)),od.contains||(od.contains=[]),od.contains=[].concat(...od.contains.map(function(_d){return expandOrCloneMode(_d==="self"?od:_d)})),od.contains.forEach(function(_d){sd(_d,cd)}),od.starts&&sd(od.starts,ld),cd.matcher=rd(cd),cd}if(Ra.compilerExtensions||(Ra.compilerExtensions=[]),Ra.contains&&Ra.contains.includes("self"))throw new Error("ERR: contains `self` is not supported at the top-level of a language. See documentation.");return Ra.classNameAliases=inherit$1(Ra.classNameAliases||{}),sd(Ra)}function dependencyOnParent(Ra){return Ra?Ra.endsWithParent||dependencyOnParent(Ra.starts):!1}function expandOrCloneMode(Ra){return Ra.variants&&!Ra.cachedVariants&&(Ra.cachedVariants=Ra.variants.map(function(qa){return inherit$1(Ra,{variants:null},qa)})),Ra.cachedVariants?Ra.cachedVariants:dependencyOnParent(Ra)?inherit$1(Ra,{starts:Ra.starts?inherit$1(Ra.starts):null}):Object.isFrozen(Ra)?inherit$1(Ra):Ra}var version$1="11.10.0";class HTMLInjectionError extends Error{constructor(qa,ed){super(qa),this.name="HTMLInjectionError",this.html=ed}}const escape$3=escapeHTML,inherit$2=inherit$1,NO_MATCH=Symbol("nomatch"),MAX_KEYWORD_HITS=7,HLJS=function(Ra){const qa=Object.create(null),ed=Object.create(null),td=[];let rd=!0;const sd="Could not find the language '{}', did you forget to load/include a language module?",od={disableAutodetect:!0,name:"Plain text",contains:[]};let ld={ignoreUnescapedHTML:!1,throwUnescapedHTML:!1,noHighlightRe:/^(no-?highlight)$/i,languageDetectRe:/\blang(?:uage)?-([\w-]+)\b/i,classPrefix:"hljs-",cssSelector:"pre code",languages:null,__emitter:TokenTreeEmitter};function cd(yf){return ld.noHighlightRe.test(yf)}function ud(yf){let Nf=yf.className+" ";Nf+=yf.parentNode?yf.parentNode.className:"";const Pf=ld.languageDetectRe.exec(Nf);if(Pf){const Yf=Vd(Pf[1]);return Yf||(warn(sd.replace("{}",Pf[1])),warn("Falling back to no-highlight mode for this block.",yf)),Yf?Pf[1]:"no-highlight"}return Nf.split(/\s+/).find(Yf=>cd(Yf)||Vd(Yf))}function _d(yf,Nf,Pf){let Yf="",Uf="";typeof Nf=="object"?(Yf=yf,Pf=Nf.ignoreIllegals,Uf=Nf.language):(deprecated("10.7.0","highlight(lang, code, ...args) has been deprecated."),deprecated("10.7.0",`Please use highlight(code, options) instead. https://github.com/highlightjs/highlight.js/issues/2277`),Uf=yf,Yf=Nf),Pf===void 0&&(Pf=!0);const Lf={code:Yf,language:Uf};hf("before:highlight",Lf);const xf=Lf.result?Lf.result:Ed(Lf.language,Lf.code,Pf);return xf.code=Lf.code,hf("after:highlight",xf),xf}function Ed(yf,Nf,Pf,Yf){const Uf=Object.create(null);function Lf(qh,sm){return qh.keywords[sm]}function xf(){if(!Vf.keywords){bh.addText(oh);return}let qh=0;Vf.keywordPatternRe.lastIndex=0;let sm=Vf.keywordPatternRe.exec(oh),hm="";for(;sm;){hm+=oh.substring(qh,sm.index);const ah=Xf.case_insensitive?sm[0].toLowerCase():sm[0],kf=Lf(Vf,ah);if(kf){const[If,rh]=kf;if(bh.addText(hm),hm="",Uf[ah]=(Uf[ah]||0)+1,Uf[ah]<=MAX_KEYWORD_HITS&&(Ih+=rh),If.startsWith("_"))hm+=sm[0];else{const ch=Xf.classNameAliases[If]||If;Qf(sm[0],ch)}}else hm+=sm[0];qh=Vf.keywordPatternRe.lastIndex,sm=Vf.keywordPatternRe.exec(oh)}hm+=oh.substring(qh),bh.addText(hm)}function wf(){if(oh==="")return;let qh=null;if(typeof Vf.subLanguage=="string"){if(!qa[Vf.subLanguage]){bh.addText(oh);return}qh=Ed(Vf.subLanguage,oh,!0,dh[Vf.subLanguage]),dh[Vf.subLanguage]=qh._top}else qh=Td(oh,Vf.subLanguage.length?Vf.subLanguage:null);Vf.relevance>0&&(Ih+=qh.relevance),bh.__addSublanguage(qh._emitter,qh.language)}function Jf(){Vf.subLanguage!=null?wf():xf(),oh=""}function Qf(qh,sm){qh!==""&&(bh.startScope(sm),bh.addText(qh),bh.endScope())}function Ef(qh,sm){let hm=1;const ah=sm.length-1;for(;hm<=ah;){if(!qh._emit[hm]){hm++;continue}const kf=Xf.classNameAliases[qh[hm]]||qh[hm],If=sm[hm];kf?Qf(If,kf):(oh=If,xf(),oh=""),hm++}}function bf(qh,sm){return qh.scope&&typeof qh.scope=="string"&&bh.openNode(Xf.classNameAliases[qh.scope]||qh.scope),qh.beginScope&&(qh.beginScope._wrap?(Qf(oh,Xf.classNameAliases[qh.beginScope._wrap]||qh.beginScope._wrap),oh=""):qh.beginScope._multi&&(Ef(qh.beginScope,sm),oh="")),Vf=Object.create(qh,{parent:{value:Vf}}),Vf}function Bf(qh,sm,hm){let ah=startsWith(qh.endRe,hm);if(ah){if(qh["on:end"]){const kf=new Response$1(qh);qh["on:end"](sm,kf),kf.isMatchIgnored&&(ah=!1)}if(ah){for(;qh.endsParent&&qh.parent;)qh=qh.parent;return qh}}if(qh.endsWithParent)return Bf(qh.parent,sm,hm)}function Kf(qh){return Vf.matcher.regexIndex===0?(oh+=qh[0],1):(Xh=!0,0)}function nh(qh){const sm=qh[0],hm=qh.rule,ah=new Response$1(hm),kf=[hm.__beforeBegin,hm["on:begin"]];for(const If of kf)if(If&&(If(qh,ah),ah.isMatchIgnored))return Kf(sm);return hm.skip?oh+=sm:(hm.excludeBegin&&(oh+=sm),Jf(),!hm.returnBegin&&!hm.excludeBegin&&(oh=sm)),bf(hm,qh),hm.returnBegin?0:sm.length}function zf(qh){const sm=qh[0],hm=Nf.substring(qh.index),ah=Bf(Vf,qh,hm);if(!ah)return NO_MATCH;const kf=Vf;Vf.endScope&&Vf.endScope._wrap?(Jf(),Qf(sm,Vf.endScope._wrap)):Vf.endScope&&Vf.endScope._multi?(Jf(),Ef(Vf.endScope,qh)):kf.skip?oh+=sm:(kf.returnEnd||kf.excludeEnd||(oh+=sm),Jf(),kf.excludeEnd&&(oh=sm));do Vf.scope&&bh.closeNode(),!Vf.skip&&!Vf.subLanguage&&(Ih+=Vf.relevance),Vf=Vf.parent;while(Vf!==ah.parent);return ah.starts&&bf(ah.starts,qh),kf.returnEnd?0:sm.length}function $f(){const qh=[];for(let sm=Vf;sm!==Xf;sm=sm.parent)sm.scope&&qh.unshift(sm.scope);qh.forEach(sm=>bh.openNode(sm))}let th={};function hh(qh,sm){const hm=sm&&sm[0];if(oh+=qh,hm==null)return Jf(),0;if(th.type==="begin"&&sm.type==="end"&&th.index===sm.index&&hm===""){if(oh+=Nf.slice(sm.index,sm.index+1),!rd){const ah=new Error(`0 width match regex (${yf})`);throw ah.languageName=yf,ah.badRule=th.rule,ah}return 1}if(th=sm,sm.type==="begin")return nh(sm);if(sm.type==="illegal"&&!Pf){const ah=new Error('Illegal lexeme "'+hm+'" for mode "'+(Vf.scope||"")+'"');throw ah.mode=Vf,ah}else if(sm.type==="end"){const ah=zf(sm);if(ah!==NO_MATCH)return ah}if(sm.type==="illegal"&&hm==="")return 1;if(Dh>1e5&&Dh>sm.index*3)throw new Error("potential infinite loop, way more iterations than matches");return oh+=hm,hm.length}const Xf=Vd(yf);if(!Xf)throw error(sd.replace("{}",yf)),new Error('Unknown language: "'+yf+'"');const Df=compileLanguage(Xf);let Of="",Vf=Yf||Df;const dh={},bh=new ld.__emitter(ld);$f();let oh="",Ih=0,_h=0,Dh=0,Xh=!1;try{if(Xf.__emitTokens)Xf.__emitTokens(Nf,bh);else{for(Vf.matcher.considerAll();;){Dh++,Xh?Xh=!1:Vf.matcher.considerAll(),Vf.matcher.lastIndex=_h;const qh=Vf.matcher.exec(Nf);if(!qh)break;const sm=Nf.substring(_h,qh.index),hm=hh(sm,qh);_h=qh.index+hm}hh(Nf.substring(_h))}return bh.finalize(),Of=bh.toHTML(),{language:yf,value:Of,relevance:Ih,illegal:!1,_emitter:bh,_top:Vf}}catch(qh){if(qh.message&&qh.message.includes("Illegal"))return{language:yf,value:escape$3(Nf),illegal:!0,relevance:0,_illegalBy:{message:qh.message,index:_h,context:Nf.slice(_h-100,_h+100),mode:qh.mode,resultSoFar:Of},_emitter:bh};if(rd)return{language:yf,value:escape$3(Nf),illegal:!1,relevance:0,errorRaised:qh,_emitter:bh,_top:Vf};throw qh}}function Ad(yf){const Nf={value:escape$3(yf),illegal:!1,relevance:0,_top:od,_emitter:new ld.__emitter(ld)};return Nf._emitter.addText(yf),Nf}function Td(yf,Nf){Nf=Nf||ld.languages||Object.keys(qa);const Pf=Ad(yf),Yf=Nf.filter(Vd).filter(Xd).map(Jf=>Ed(Jf,yf,!1));Yf.unshift(Pf);const Uf=Yf.sort((Jf,Qf)=>{if(Jf.relevance!==Qf.relevance)return Qf.relevance-Jf.relevance;if(Jf.language&&Qf.language){if(Vd(Jf.language).supersetOf===Qf.language)return 1;if(Vd(Qf.language).supersetOf===Jf.language)return-1}return 0}),[Lf,xf]=Uf,wf=Lf;return wf.secondBest=xf,wf}function Nd(yf,Nf,Pf){const Yf=Nf&&ed[Nf]||Pf;yf.classList.add("hljs"),yf.classList.add(`language-${Yf}`)}function Rd(yf){let Nf=null;const Pf=ud(yf);if(cd(Pf))return;if(hf("before:highlightElement",{el:yf,language:Pf}),yf.dataset.highlighted){console.log("Element previously highlighted. To highlight again, first unset `dataset.highlighted`.",yf);return}if(yf.children.length>0&&(ld.ignoreUnescapedHTML||(console.warn("One of your code blocks includes unescaped HTML. This is a potentially serious security risk."),console.warn("https://github.com/highlightjs/highlight.js/wiki/security"),console.warn("The element with unescaped HTML:"),console.warn(yf)),ld.throwUnescapedHTML))throw new HTMLInjectionError("One of your code blocks includes unescaped HTML.",yf.innerHTML);Nf=yf;const Yf=Nf.textContent,Uf=Pf?_d(Yf,{language:Pf,ignoreIllegals:!0}):Td(Yf);yf.innerHTML=Uf.value,yf.dataset.highlighted="yes",Nd(yf,Pf,Uf.language),yf.result={language:Uf.language,re:Uf.relevance,relevance:Uf.relevance},Uf.secondBest&&(yf.secondBest={language:Uf.secondBest.language,relevance:Uf.secondBest.relevance}),hf("after:highlightElement",{el:yf,result:Uf,text:Yf})}function Bd(yf){ld=inherit$2(ld,yf)}const kd=()=>{Pd(),deprecated("10.6.0","initHighlighting() deprecated. Use highlightAll() now.")};function Od(){Pd(),deprecated("10.6.0","initHighlightingOnLoad() deprecated. Use highlightAll() now.")}let Md=!1;function Pd(){if(document.readyState==="loading"){Md=!0;return}document.querySelectorAll(ld.cssSelector).forEach(Rd)}function Fd(){Md&&Pd()}typeof window<"u"&&window.addEventListener&&window.addEventListener("DOMContentLoaded",Fd,!1);function Ud(yf,Nf){let Pf=null;try{Pf=Nf(Ra)}catch(Yf){if(error("Language definition for '{}' could not be registered.".replace("{}",yf)),rd)error(Yf);else throw Yf;Pf=od}Pf.name||(Pf.name=yf),qa[yf]=Pf,Pf.rawDefinition=Nf.bind(null,Ra),Pf.aliases&&zd(Pf.aliases,{languageName:yf})}function Gd(yf){delete qa[yf];for(const Nf of Object.keys(ed))ed[Nf]===yf&&delete ed[Nf]}function Qd(){return Object.keys(qa)}function Vd(yf){return yf=(yf||"").toLowerCase(),qa[yf]||qa[ed[yf]]}function zd(yf,{languageName:Nf}){typeof yf=="string"&&(yf=[yf]),yf.forEach(Pf=>{ed[Pf.toLowerCase()]=Nf})}function Xd(yf){const Nf=Vd(yf);return Nf&&!Nf.disableAutodetect}function df(yf){yf["before:highlightBlock"]&&!yf["before:highlightElement"]&&(yf["before:highlightElement"]=Nf=>{yf["before:highlightBlock"](Object.assign({block:Nf.el},Nf))}),yf["after:highlightBlock"]&&!yf["after:highlightElement"]&&(yf["after:highlightElement"]=Nf=>{yf["after:highlightBlock"](Object.assign({block:Nf.el},Nf))})}function mf(yf){df(yf),td.push(yf)}function _f(yf){const Nf=td.indexOf(yf);Nf!==-1&&td.splice(Nf,1)}function hf(yf,Nf){const Pf=yf;td.forEach(function(Yf){Yf[Pf]&&Yf[Pf](Nf)})}function gf(yf){return deprecated("10.7.0","highlightBlock will be removed entirely in v12.0"),deprecated("10.7.0","Please use highlightElement now."),Rd(yf)}Object.assign(Ra,{highlight:_d,highlightAuto:Td,highlightAll:Pd,highlightElement:Rd,highlightBlock:gf,configure:Bd,initHighlighting:kd,initHighlightingOnLoad:Od,registerLanguage:Ud,unregisterLanguage:Gd,listLanguages:Qd,getLanguage:Vd,registerAliases:zd,autoDetection:Xd,inherit:inherit$2,addPlugin:mf,removePlugin:_f}),Ra.debugMode=function(){rd=!1},Ra.safeMode=function(){rd=!0},Ra.versionString=version$1,Ra.regex={concat,lookahead,either,optional,anyNumberOfTimes};for(const yf in MODES)typeof MODES[yf]=="object"&&deepFreeze(MODES[yf]);return Object.assign(Ra,MODES),Ra},highlight=HLJS({});highlight.newInstance=()=>HLJS({});var core$1=highlight;highlight.HighlightJS=highlight;highlight.default=highlight;var _1c_1,hasRequired_1c;function require_1c(){if(hasRequired_1c)return _1c_1;hasRequired_1c=1;function Ra(qa){const ed="[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]+",sd="далее "+"возврат вызватьисключение выполнить для если и из или иначе иначеесли исключение каждого конецесли конецпопытки конеццикла не новый перейти перем по пока попытка прервать продолжить тогда цикл экспорт ",cd="загрузитьизфайла "+"вебклиент вместо внешнеесоединение клиент конецобласти мобильноеприложениеклиент мобильноеприложениесервер наклиенте наклиентенасервере наклиентенасерверебезконтекста насервере насерверебезконтекста область перед после сервер толстыйклиентобычноеприложение толстыйклиентуправляемоеприложение тонкийклиент ",Td="разделительстраниц разделительстрок символтабуляции "+"ansitooem oemtoansi ввестивидсубконто ввестиперечисление ввестипериод ввестиплансчетов выбранныйплансчетов датагод датамесяц датачисло заголовоксистемы значениевстроку значениеизстроки каталогиб каталогпользователя кодсимв конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид назначитьсчет найтиссылки началопериодаби началостандартногоинтервала начгода начквартала начмесяца начнедели номерднягода номерднянедели номернеделигода обработкаожидания основнойжурналрасчетов основнойплансчетов основнойязык очиститьокносообщений периодстр получитьвремята получитьдатута получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта префиксавтонумерации пропись пустоезначение разм разобратьпозициюдокумента рассчитатьрегистрына рассчитатьрегистрыпо симв создатьобъект статусвозврата стрколичествострок сформироватьпозициюдокумента счетпокоду текущеевремя типзначения типзначениястр установитьтана установитьтапо фиксшаблон шаблон "+"acos asin atan base64значение base64строка cos exp log log10 pow sin sqrt tan xmlзначение xmlстрока xmlтип xmlтипзнч активноеокно безопасныйрежим безопасныйрежимразделенияданных булево ввестидату ввестизначение ввестистроку ввестичисло возможностьчтенияxml вопрос восстановитьзначение врег выгрузитьжурналрегистрации выполнитьобработкуоповещения выполнитьпроверкуправдоступа вычислить год данныеформывзначение дата день деньгода деньнедели добавитьмесяц заблокироватьданныедляредактирования заблокироватьработупользователя завершитьработусистемы загрузитьвнешнююкомпоненту закрытьсправку записатьjson записатьxml записатьдатуjson записьжурналарегистрации заполнитьзначениясвойств запроситьразрешениепользователя запуститьприложение запуститьсистему зафиксироватьтранзакцию значениевданныеформы значениевстрокувнутр значениевфайл значениезаполнено значениеизстрокивнутр значениеизфайла изxmlтипа импортмоделиxdto имякомпьютера имяпользователя инициализироватьпредопределенныеданные информацияобошибке каталогбиблиотекимобильногоустройства каталогвременныхфайлов каталогдокументов каталогпрограммы кодироватьстроку кодлокализацииинформационнойбазы кодсимвола командасистемы конецгода конецдня конецквартала конецмесяца конецминуты конецнедели конецчаса конфигурациябазыданныхизмененадинамически конфигурацияизменена копироватьданныеформы копироватьфайл краткоепредставлениеошибки лев макс местноевремя месяц мин минута монопольныйрежим найти найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы началогода началодня началоквартала началомесяца началоминуты началонедели началочаса начатьзапросразрешенияпользователя начатьзапускприложения начатькопированиефайла начатьперемещениефайла начатьподключениевнешнейкомпоненты начатьподключениерасширенияработыскриптографией начатьподключениерасширенияработысфайлами начатьпоискфайлов начатьполучениекаталогавременныхфайлов начатьполучениекаталогадокументов начатьполучениерабочегокаталогаданныхпользователя начатьполучениефайлов начатьпомещениефайла начатьпомещениефайлов начатьсозданиедвоичныхданныхизфайла начатьсозданиекаталога начатьтранзакцию начатьудалениефайлов начатьустановкувнешнейкомпоненты начатьустановкурасширенияработыскриптографией начатьустановкурасширенияработысфайлами неделягода необходимостьзавершениясоединения номерсеансаинформационнойбазы номерсоединенияинформационнойбазы нрег нстр обновитьинтерфейс обновитьнумерациюобъектов обновитьповторноиспользуемыезначения обработкапрерыванияпользователя объединитьфайлы окр описаниеошибки оповестить оповеститьобизменении отключитьобработчикзапросанастроекклиенталицензирования отключитьобработчикожидания отключитьобработчикоповещения открытьзначение открытьиндекссправки открытьсодержаниесправки открытьсправку открытьформу открытьформумодально отменитьтранзакцию очиститьжурналрегистрации очиститьнастройкипользователя очиститьсообщения параметрыдоступа перейтипонавигационнойссылке переместитьфайл подключитьвнешнююкомпоненту подключитьобработчикзапросанастроекклиенталицензирования подключитьобработчикожидания подключитьобработчикоповещения подключитьрасширениеработыскриптографией подключитьрасширениеработысфайлами подробноепредставлениеошибки показатьвводдаты показатьвводзначения показатьвводстроки показатьвводчисла показатьвопрос показатьзначение показатьинформациюобошибке показатьнакарте показатьоповещениепользователя показатьпредупреждение полноеимяпользователя получитьcomобъект получитьxmlтип получитьадреспоместоположению получитьблокировкусеансов получитьвремязавершенияспящегосеанса получитьвремязасыпанияпассивногосеанса получитьвремяожиданияблокировкиданных получитьданныевыбора получитьдополнительныйпараметрклиенталицензирования получитьдопустимыекодылокализации получитьдопустимыечасовыепояса получитьзаголовокклиентскогоприложения получитьзаголовоксистемы получитьзначенияотборажурналарегистрации получитьидентификаторконфигурации получитьизвременногохранилища получитьимявременногофайла получитьимяклиенталицензирования получитьинформациюэкрановклиента получитьиспользованиежурналарегистрации получитьиспользованиесобытияжурналарегистрации получитькраткийзаголовокприложения получитьмакетоформления получитьмаскувсефайлы получитьмаскувсефайлыклиента получитьмаскувсефайлысервера получитьместоположениепоадресу получитьминимальнуюдлинупаролейпользователей получитьнавигационнуюссылку получитьнавигационнуюссылкуинформационнойбазы получитьобновлениеконфигурациибазыданных получитьобновлениепредопределенныхданныхинформационнойбазы получитьобщиймакет получитьобщуюформу получитьокна получитьоперативнуюотметкувремени получитьотключениебезопасногорежима получитьпараметрыфункциональныхопцийинтерфейса получитьполноеимяпредопределенногозначения получитьпредставлениянавигационныхссылок получитьпроверкусложностипаролейпользователей получитьразделительпути получитьразделительпутиклиента получитьразделительпутисервера получитьсеансыинформационнойбазы получитьскоростьклиентскогосоединения получитьсоединенияинформационнойбазы получитьсообщенияпользователю получитьсоответствиеобъектаиформы получитьсоставстандартногоинтерфейсаodata получитьструктурухранениябазыданных получитьтекущийсеансинформационнойбазы получитьфайл получитьфайлы получитьформу получитьфункциональнуюопцию получитьфункциональнуюопциюинтерфейса получитьчасовойпоясинформационнойбазы пользователиос поместитьвовременноехранилище поместитьфайл поместитьфайлы прав праводоступа предопределенноезначение представлениекодалокализации представлениепериода представлениеправа представлениеприложения представлениесобытияжурналарегистрации представлениечасовогопояса предупреждение прекратитьработусистемы привилегированныйрежим продолжитьвызов прочитатьjson прочитатьxml прочитатьдатуjson пустаястрока рабочийкаталогданныхпользователя разблокироватьданныедляредактирования разделитьфайл разорватьсоединениесвнешнимисточникомданных раскодироватьстроку рольдоступна секунда сигнал символ скопироватьжурналрегистрации смещениелетнеговремени смещениестандартноговремени соединитьбуферыдвоичныхданных создатькаталог создатьфабрикуxdto сокрл сокрлп сокрп сообщить состояние сохранитьзначение сохранитьнастройкипользователя сред стрдлина стрзаканчиваетсяна стрзаменить стрнайти стрначинаетсяс строка строкасоединенияинформационнойбазы стрполучитьстроку стрразделить стрсоединить стрсравнить стрчисловхождений стрчислострок стршаблон текущаядата текущаядатасеанса текущаяуниверсальнаядата текущаяуниверсальнаядатавмиллисекундах текущийвариантинтерфейсаклиентскогоприложения текущийвариантосновногошрифтаклиентскогоприложения текущийкодлокализации текущийрежимзапуска текущийязык текущийязыксистемы тип типзнч транзакцияактивна трег удалитьданныеинформационнойбазы удалитьизвременногохранилища удалитьобъекты удалитьфайлы универсальноевремя установитьбезопасныйрежим установитьбезопасныйрежимразделенияданных установитьблокировкусеансов установитьвнешнююкомпоненту установитьвремязавершенияспящегосеанса установитьвремязасыпанияпассивногосеанса установитьвремяожиданияблокировкиданных установитьзаголовокклиентскогоприложения установитьзаголовоксистемы установитьиспользованиежурналарегистрации установитьиспользованиесобытияжурналарегистрации установитькраткийзаголовокприложения установитьминимальнуюдлинупаролейпользователей установитьмонопольныйрежим установитьнастройкиклиенталицензирования установитьобновлениепредопределенныхданныхинформационнойбазы установитьотключениебезопасногорежима установитьпараметрыфункциональныхопцийинтерфейса установитьпривилегированныйрежим установитьпроверкусложностипаролейпользователей установитьрасширениеработыскриптографией установитьрасширениеработысфайлами установитьсоединениесвнешнимисточникомданных установитьсоответствиеобъектаиформы установитьсоставстандартногоинтерфейсаodata установитьчасовойпоясинформационнойбазы установитьчасовойпояссеанса формат цел час часовойпояс часовойпояссеанса число числопрописью этоадресвременногохранилища "+"wsссылки библиотекакартинок библиотекамакетовоформлениякомпоновкиданных библиотекастилей бизнеспроцессы внешниеисточникиданных внешниеобработки внешниеотчеты встроенныепокупки главныйинтерфейс главныйстиль документы доставляемыеуведомления журналыдокументов задачи информацияобинтернетсоединении использованиерабочейдаты историяработыпользователя константы критерииотбора метаданные обработки отображениерекламы отправкадоставляемыхуведомлений отчеты панельзадачос параметрзапуска параметрысеанса перечисления планывидоврасчета планывидовхарактеристик планыобмена планысчетов полнотекстовыйпоиск пользователиинформационнойбазы последовательности проверкавстроенныхпокупок рабочаядата расширенияконфигурации регистрыбухгалтерии регистрынакопления регистрырасчета регистрысведений регламентныезадания сериализаторxdto справочники средствагеопозиционирования средствакриптографии средствамультимедиа средстваотображениярекламы средствапочты средствателефонии фабрикаxdto файловыепотоки фоновыезадания хранилищанастроек хранилищевариантовотчетов хранилищенастроекданныхформ хранилищеобщихнастроек хранилищепользовательскихнастроекдинамическихсписков хранилищепользовательскихнастроекотчетов хранилищесистемныхнастроек ",Yf="webцвета windowsцвета windowsшрифты библиотекакартинок рамкистиля символы цветастиля шрифтыстиля "+"автоматическоесохранениеданныхформывнастройках автонумерациявформе автораздвижениесерий анимациядиаграммы вариантвыравниванияэлементовизаголовков вариантуправлениявысотойтаблицы вертикальнаяпрокруткаформы вертикальноеположение вертикальноеположениеэлемента видгруппыформы виддекорацииформы виддополненияэлементаформы видизмененияданных видкнопкиформы видпереключателя видподписейкдиаграмме видполяформы видфлажка влияниеразмеранапузырекдиаграммы горизонтальноеположение горизонтальноеположениеэлемента группировкаколонок группировкаподчиненныхэлементовформы группыиэлементы действиеперетаскивания дополнительныйрежимотображения допустимыедействияперетаскивания интервалмеждуэлементамиформы использованиевывода использованиеполосыпрокрутки используемоезначениеточкибиржевойдиаграммы историявыборапривводе источникзначенийоситочекдиаграммы источникзначенияразмерапузырькадиаграммы категориягруппыкоманд максимумсерий начальноеотображениедерева начальноеотображениесписка обновлениетекстаредактирования ориентациядендрограммы ориентациядиаграммы ориентацияметокдиаграммы ориентацияметоксводнойдиаграммы ориентацияэлементаформы отображениевдиаграмме отображениевлегендедиаграммы отображениегруппыкнопок отображениезаголовкашкалыдиаграммы отображениезначенийсводнойдиаграммы отображениезначенияизмерительнойдиаграммы отображениеинтерваладиаграммыганта отображениекнопки отображениекнопкивыбора отображениеобсужденийформы отображениеобычнойгруппы отображениеотрицательныхзначенийпузырьковойдиаграммы отображениепанелипоиска отображениеподсказки отображениепредупрежденияприредактировании отображениеразметкиполосырегулирования отображениестраницформы отображениетаблицы отображениетекстазначениядиаграммыганта отображениеуправленияобычнойгруппы отображениефигурыкнопки палитрацветовдиаграммы поведениеобычнойгруппы поддержкамасштабадендрограммы поддержкамасштабадиаграммыганта поддержкамасштабасводнойдиаграммы поисквтаблицепривводе положениезаголовкаэлементаформы положениекартинкикнопкиформы положениекартинкиэлементаграфическойсхемы положениекоманднойпанелиформы положениекоманднойпанелиэлементаформы положениеопорнойточкиотрисовки положениеподписейкдиаграмме положениеподписейшкалызначенийизмерительнойдиаграммы положениесостоянияпросмотра положениестрокипоиска положениетекстасоединительнойлинии положениеуправленияпоиском положениешкалывремени порядокотображенияточекгоризонтальнойгистограммы порядоксерийвлегендедиаграммы размеркартинки расположениезаголовкашкалыдиаграммы растягиваниеповертикалидиаграммыганта режимавтоотображениясостояния режимвводастроктаблицы режимвыборанезаполненного режимвыделениядаты режимвыделениястрокитаблицы режимвыделениятаблицы режимизмененияразмера режимизменениясвязанногозначения режимиспользованиядиалогапечати режимиспользованияпараметракоманды режиммасштабированияпросмотра режимосновногоокнаклиентскогоприложения режимоткрытияокнаформы режимотображениявыделения режимотображениягеографическойсхемы режимотображениязначенийсерии режимотрисовкисеткиграфическойсхемы режимполупрозрачностидиаграммы режимпробеловдиаграммы режимразмещениянастранице режимредактированияколонки режимсглаживаниядиаграммы режимсглаживанияиндикатора режимсписказадач сквозноевыравнивание сохранениеданныхформывнастройках способзаполнениятекстазаголовкашкалыдиаграммы способопределенияограничивающегозначениядиаграммы стандартнаягруппакоманд стандартноеоформление статусоповещенияпользователя стильстрелки типаппроксимациилиниитрендадиаграммы типдиаграммы типединицышкалывремени типимпортасерийслоягеографическойсхемы типлиниигеографическойсхемы типлиниидиаграммы типмаркерагеографическойсхемы типмаркерадиаграммы типобластиоформления типорганизацииисточникаданныхгеографическойсхемы типотображениясериислоягеографическойсхемы типотображенияточечногообъектагеографическойсхемы типотображенияшкалыэлементалегендыгеографическойсхемы типпоискаобъектовгеографическойсхемы типпроекциигеографическойсхемы типразмещенияизмерений типразмещенияреквизитовизмерений типрамкиэлементауправления типсводнойдиаграммы типсвязидиаграммыганта типсоединениязначенийпосериямдиаграммы типсоединенияточекдиаграммы типсоединительнойлинии типстороныэлементаграфическойсхемы типформыотчета типшкалырадарнойдиаграммы факторлиниитрендадиаграммы фигуракнопки фигурыграфическойсхемы фиксациявтаблице форматдняшкалывремени форматкартинки ширинаподчиненныхэлементовформы "+"виддвижениябухгалтерии виддвижениянакопления видпериодарегистрарасчета видсчета видточкимаршрутабизнеспроцесса использованиеагрегатарегистранакопления использованиегруппиэлементов использованиережимапроведения использованиесреза периодичностьагрегатарегистранакопления режимавтовремя режимзаписидокумента режимпроведениядокумента "+"авторегистрацияизменений допустимыйномерсообщения отправкаэлементаданных получениеэлементаданных "+"использованиерасшифровкитабличногодокумента ориентациястраницы положениеитоговколоноксводнойтаблицы положениеитоговстроксводнойтаблицы положениетекстаотносительнокартинки расположениезаголовкагруппировкитабличногодокумента способчтениязначенийтабличногодокумента типдвустороннейпечати типзаполненияобластитабличногодокумента типкурсоровтабличногодокумента типлиниирисункатабличногодокумента типлинииячейкитабличногодокумента типнаправленияпереходатабличногодокумента типотображениявыделениятабличногодокумента типотображениялинийсводнойтаблицы типразмещениятекстатабличногодокумента типрисункатабличногодокумента типсмещениятабличногодокумента типузоратабличногодокумента типфайлатабличногодокумента точностьпечати чередованиерасположениястраниц "+"отображениевремениэлементовпланировщика "+"типфайлаформатированногодокумента "+"обходрезультатазапроса типзаписизапроса "+"видзаполнениярасшифровкипостроителяотчета типдобавленияпредставлений типизмеренияпостроителяотчета типразмещенияитогов "+"доступкфайлу режимдиалогавыборафайла режимоткрытияфайла "+"типизмеренияпостроителязапроса "+"видданныханализа методкластеризации типединицыинтервалавременианализаданных типзаполнениятаблицырезультатаанализаданных типиспользованиячисловыхзначенийанализаданных типисточникаданныхпоискаассоциаций типколонкианализаданныхдереворешений типколонкианализаданныхкластеризация типколонкианализаданныхобщаястатистика типколонкианализаданныхпоискассоциаций типколонкианализаданныхпоискпоследовательностей типколонкимоделипрогноза типмерырасстоянияанализаданных типотсеченияправилассоциации типполяанализаданных типстандартизациианализаданных типупорядочиванияправилассоциациианализаданных типупорядочиванияшаблоновпоследовательностейанализаданных типупрощениядереварешений "+"wsнаправлениепараметра вариантxpathxs вариантзаписидатыjson вариантпростоготипаxs видгруппымоделиxs видфасетаxdto действиепостроителяdom завершенностьпростоготипаxs завершенностьсоставноготипаxs завершенностьсхемыxs запрещенныеподстановкиxs исключениягруппподстановкиxs категорияиспользованияатрибутаxs категорияограниченияидентичностиxs категорияограниченияпространствименxs методнаследованияxs модельсодержимогоxs назначениетипаxml недопустимыеподстановкиxs обработкапробельныхсимволовxs обработкасодержимогоxs ограничениезначенияxs параметрыотбораузловdom переносстрокjson позициявдокументеdom пробельныесимволыxml типатрибутаxml типзначенияjson типканоническогоxml типкомпонентыxs типпроверкиxml типрезультатаdomxpath типузлаdom типузлаxml формаxml формапредставленияxs форматдатыjson экранированиесимволовjson "+"видсравнениякомпоновкиданных действиеобработкирасшифровкикомпоновкиданных направлениесортировкикомпоновкиданных расположениевложенныхэлементоврезультатакомпоновкиданных расположениеитоговкомпоновкиданных расположениегруппировкикомпоновкиданных расположениеполейгруппировкикомпоновкиданных расположениеполякомпоновкиданных расположениереквизитовкомпоновкиданных расположениересурсовкомпоновкиданных типбухгалтерскогоостаткакомпоновкиданных типвыводатекстакомпоновкиданных типгруппировкикомпоновкиданных типгруппыэлементовотборакомпоновкиданных типдополненияпериодакомпоновкиданных типзаголовкаполейкомпоновкиданных типмакетагруппировкикомпоновкиданных типмакетаобластикомпоновкиданных типостаткакомпоновкиданных типпериодакомпоновкиданных типразмещениятекстакомпоновкиданных типсвязинаборовданныхкомпоновкиданных типэлементарезультатакомпоновкиданных расположениелегендыдиаграммыкомпоновкиданных типпримененияотборакомпоновкиданных режимотображенияэлементанастройкикомпоновкиданных режимотображениянастроеккомпоновкиданных состояниеэлементанастройкикомпоновкиданных способвосстановлениянастроеккомпоновкиданных режимкомпоновкирезультата использованиепараметракомпоновкиданных автопозицияресурсовкомпоновкиданных вариантиспользованиягруппировкикомпоновкиданных расположениересурсоввдиаграммекомпоновкиданных фиксациякомпоновкиданных использованиеусловногооформлениякомпоновкиданных "+"важностьинтернетпочтовогосообщения обработкатекстаинтернетпочтовогосообщения способкодированияинтернетпочтовоговложения способкодированиянеasciiсимволовинтернетпочтовогосообщения типтекстапочтовогосообщения протоколинтернетпочты статусразборапочтовогосообщения "+"режимтранзакциизаписижурналарегистрации статустранзакциизаписижурналарегистрации уровеньжурналарегистрации "+"расположениехранилищасертификатовкриптографии режимвключениясертификатовкриптографии режимпроверкисертификатакриптографии типхранилищасертификатовкриптографии "+"кодировкаименфайловвzipфайле методсжатияzip методшифрованияzip режимвосстановленияпутейфайловzip режимобработкиподкаталоговzip режимсохраненияпутейzip уровеньсжатияzip "+"звуковоеоповещение направлениепереходакстроке позициявпотоке порядокбайтов режимблокировкиданных режимуправленияблокировкойданных сервисвстроенныхпокупок состояниефоновогозадания типподписчикадоставляемыхуведомлений уровеньиспользованиязащищенногосоединенияftp "+"направлениепорядкасхемызапроса типдополненияпериодамисхемызапроса типконтрольнойточкисхемызапроса типобъединениясхемызапроса типпараметрадоступнойтаблицысхемызапроса типсоединениясхемызапроса "+"httpметод автоиспользованиеобщегореквизита автопрефиксномеразадачи вариантвстроенногоязыка видиерархии видрегистранакопления видтаблицывнешнегоисточникаданных записьдвиженийприпроведении заполнениепоследовательностей индексирование использованиебазыпланавидоврасчета использованиебыстроговыбора использованиеобщегореквизита использованиеподчинения использованиеполнотекстовогопоиска использованиеразделяемыхданныхобщегореквизита использованиереквизита назначениеиспользованияприложения назначениерасширенияконфигурации направлениепередачи обновлениепредопределенныхданных оперативноепроведение основноепредставлениевидарасчета основноепредставлениевидахарактеристики основноепредставлениезадачи основноепредставлениепланаобмена основноепредставлениесправочника основноепредставлениесчета перемещениеграницыприпроведении периодичностьномерабизнеспроцесса периодичностьномерадокумента периодичностьрегистрарасчета периодичностьрегистрасведений повторноеиспользованиевозвращаемыхзначений полнотекстовыйпоискпривводепостроке принадлежностьобъекта проведение разделениеаутентификацииобщегореквизита разделениеданныхобщегореквизита разделениерасширенийконфигурацииобщегореквизита режимавтонумерацииобъектов режимзаписирегистра режимиспользованиямодальности режимиспользованиясинхронныхвызововрасширенийплатформыивнешнихкомпонент режимповторногоиспользованиясеансов режимполученияданныхвыборапривводепостроке режимсовместимости режимсовместимостиинтерфейса режимуправленияблокировкойданныхпоумолчанию сериикодовпланавидовхарактеристик сериикодовпланасчетов сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования типданныхтаблицывнешнегоисточникаданных типкодапланавидоврасчета типкодасправочника типмакета типномерабизнеспроцесса типномерадокумента типномеразадачи типформы удалениедвижений "+"важностьпроблемыприменениярасширенияконфигурации вариантинтерфейсаклиентскогоприложения вариантмасштабаформклиентскогоприложения вариантосновногошрифтаклиентскогоприложения вариантстандартногопериода вариантстандартнойдатыначала видграницы видкартинки видотображенияполнотекстовогопоиска видрамки видсравнения видцвета видчисловогозначения видшрифта допустимаядлина допустимыйзнак использованиеbyteordermark использованиеметаданныхполнотекстовогопоиска источникрасширенийконфигурации клавиша кодвозвратадиалога кодировкаxbase кодировкатекста направлениепоиска направлениесортировки обновлениепредопределенныхданных обновлениеприизмененииданных отображениепанелиразделов проверказаполнения режимдиалогавопрос режимзапускаклиентскогоприложения режимокругления режимоткрытияформприложения режимполнотекстовогопоиска скоростьклиентскогосоединения состояниевнешнегоисточникаданных состояниеобновленияконфигурациибазыданных способвыборасертификатаwindows способкодированиястроки статуссообщения типвнешнейкомпоненты типплатформы типповеденияклавишиenter типэлементаинформацииовыполненииобновленияконфигурациибазыданных уровеньизоляциитранзакций хешфункция частидаты",xf="comобъект ftpсоединение httpзапрос httpсервисответ httpсоединение wsопределения wsпрокси xbase анализданных аннотацияxs блокировкаданных буфердвоичныхданных включениеxs выражениекомпоновкиданных генераторслучайныхчисел географическаясхема географическиекоординаты графическаясхема группамоделиxs данныерасшифровкикомпоновкиданных двоичныеданные дендрограмма диаграмма диаграммаганта диалогвыборафайла диалогвыборацвета диалогвыборашрифта диалограсписаниярегламентногозадания диалогредактированиястандартногопериода диапазон документdom документhtml документацияxs доставляемоеуведомление записьdom записьfastinfoset записьhtml записьjson записьxml записьzipфайла записьданных записьтекста записьузловdom запрос защищенноесоединениеopenssl значенияполейрасшифровкикомпоновкиданных извлечениетекста импортxs интернетпочта интернетпочтовоесообщение интернетпочтовыйпрофиль интернетпрокси интернетсоединение информациядляприложенияxs использованиеатрибутаxs использованиесобытияжурналарегистрации источникдоступныхнастроеккомпоновкиданных итераторузловdom картинка квалификаторыдаты квалификаторыдвоичныхданных квалификаторыстроки квалификаторычисла компоновщикмакетакомпоновкиданных компоновщикнастроеккомпоновкиданных конструктормакетаоформлениякомпоновкиданных конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных макетоформлениякомпоновкиданных маскаxs менеджеркриптографии наборсхемxml настройкикомпоновкиданных настройкисериализацииjson обработкакартинок обработкарасшифровкикомпоновкиданных обходдереваdom объявлениеатрибутаxs объявлениенотацииxs объявлениеэлементаxs описаниеиспользованиясобытиядоступжурналарегистрации описаниеиспользованиясобытияотказвдоступежурналарегистрации описаниеобработкирасшифровкикомпоновкиданных описаниепередаваемогофайла описаниетипов определениегруппыатрибутовxs определениегруппымоделиxs определениеограниченияидентичностиxs определениепростоготипаxs определениесоставноготипаxs определениетипадокументаdom определенияxpathxs отборкомпоновкиданных пакетотображаемыхдокументов параметрвыбора параметркомпоновкиданных параметрызаписиjson параметрызаписиxml параметрычтенияxml переопределениеxs планировщик полеанализаданных полекомпоновкиданных построительdom построительзапроса построительотчета построительотчетаанализаданных построительсхемxml поток потоквпамяти почта почтовоесообщение преобразованиеxsl преобразованиекканоническомуxml процессорвыводарезультатакомпоновкиданныхвколлекциюзначений процессорвыводарезультатакомпоновкиданныхвтабличныйдокумент процессоркомпоновкиданных разыменовательпространствименdom рамка расписаниерегламентногозадания расширенноеимяxml результатчтенияданных своднаядиаграмма связьпараметравыбора связьпотипу связьпотипукомпоновкиданных сериализаторxdto сертификатклиентаwindows сертификатклиентафайл сертификаткриптографии сертификатыудостоверяющихцентровwindows сертификатыудостоверяющихцентровфайл сжатиеданных системнаяинформация сообщениепользователю сочетаниеклавиш сравнениезначений стандартнаядатаначала стандартныйпериод схемаxml схемакомпоновкиданных табличныйдокумент текстовыйдокумент тестируемоеприложение типданныхxml уникальныйидентификатор фабрикаxdto файл файловыйпоток фасетдлиныxs фасетколичестваразрядовдробнойчастиxs фасетмаксимальноговключающегозначенияxs фасетмаксимальногоисключающегозначенияxs фасетмаксимальнойдлиныxs фасетминимальноговключающегозначенияxs фасетминимальногоисключающегозначенияxs фасетминимальнойдлиныxs фасетобразцаxs фасетобщегоколичестваразрядовxs фасетперечисленияxs фасетпробельныхсимволовxs фильтрузловdom форматированнаястрока форматированныйдокумент фрагментxs хешированиеданных хранилищезначения цвет чтениеfastinfoset чтениеhtml чтениеjson чтениеxml чтениеzipфайла чтениеданных чтениетекста чтениеузловdom шрифт элементрезультатакомпоновкиданных "+"comsafearray деревозначений массив соответствие списокзначений структура таблицазначений фиксированнаяструктура фиксированноесоответствие фиксированныймассив ",wf="null истина ложь неопределено",Jf=qa.inherit(qa.NUMBER_MODE),Qf={className:"string",begin:'"|\\|',end:'"|$',contains:[{begin:'""'}]},Ef={begin:"'",end:"'",excludeBegin:!0,excludeEnd:!0,contains:[{className:"number",begin:"\\d{4}([\\.\\\\/:-]?\\d{2}){0,5}"}]},bf={match:/[;()+\-:=,]/,className:"punctuation",relevance:0},Bf=qa.inherit(qa.C_LINE_COMMENT_MODE),Kf={className:"meta",begin:"#|&",end:"$",keywords:{$pattern:ed,keyword:sd+cd},contains:[Bf]},nh={className:"symbol",begin:"~",end:";|:",excludeEnd:!0},zf={className:"function",variants:[{begin:"процедура|функция",end:"\\)",keywords:"процедура функция"},{begin:"конецпроцедуры|конецфункции",keywords:"конецпроцедуры конецфункции"}],contains:[{begin:"\\(",end:"\\)",endsParent:!0,contains:[{className:"params",begin:ed,end:",",excludeEnd:!0,endsWithParent:!0,keywords:{$pattern:ed,keyword:"знач",literal:wf},contains:[Jf,Qf,Ef]},Bf]},qa.inherit(qa.TITLE_MODE,{begin:ed})]};return{name:"1C:Enterprise",case_insensitive:!0,keywords:{$pattern:ed,keyword:sd,built_in:Td,class:Yf,type:xf,literal:wf},contains:[Kf,zf,Bf,nh,Jf,Qf,Ef,bf]}}return _1c_1=Ra,_1c_1}var abnf_1,hasRequiredAbnf;function requireAbnf(){if(hasRequiredAbnf)return abnf_1;hasRequiredAbnf=1;function Ra(qa){const ed=qa.regex,td=/^[a-zA-Z][a-zA-Z0-9-]*/,rd=["ALPHA","BIT","CHAR","CR","CRLF","CTL","DIGIT","DQUOTE","HEXDIG","HTAB","LF","LWSP","OCTET","SP","VCHAR","WSP"],sd=qa.COMMENT(/;/,/$/),od={scope:"symbol",match:/%b[0-1]+(-[0-1]+|(\.[0-1]+)+)?/},ld={scope:"symbol",match:/%d[0-9]+(-[0-9]+|(\.[0-9]+)+)?/},cd={scope:"symbol",match:/%x[0-9A-F]+(-[0-9A-F]+|(\.[0-9A-F]+)+)?/},ud={scope:"symbol",match:/%[si](?=".*")/},_d={scope:"attribute",match:ed.concat(td,/(?=\s*=)/)};return{name:"Augmented Backus-Naur Form",illegal:/[!@#$^&',?+~`|:]/,keywords:rd,contains:[{scope:"operator",match:/=\/?/},_d,sd,od,ld,cd,ud,qa.QUOTE_STRING_MODE,qa.NUMBER_MODE]}}return abnf_1=Ra,abnf_1}var accesslog_1,hasRequiredAccesslog;function requireAccesslog(){if(hasRequiredAccesslog)return accesslog_1;hasRequiredAccesslog=1;function Ra(qa){const ed=qa.regex,td=["GET","POST","HEAD","PUT","DELETE","CONNECT","OPTIONS","PATCH","TRACE"];return{name:"Apache Access Log",contains:[{className:"number",begin:/^\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(:\d{1,5})?\b/,relevance:5},{className:"number",begin:/\b\d+\b/,relevance:0},{className:"string",begin:ed.concat(/"/,ed.either(...td)),end:/"/,keywords:td,illegal:/\n/,relevance:5,contains:[{begin:/HTTP\/[12]\.\d'/,relevance:5}]},{className:"string",begin:/\[\d[^\]\n]{8,}\]/,illegal:/\n/,relevance:1},{className:"string",begin:/\[/,end:/\]/,illegal:/\n/,relevance:0},{className:"string",begin:/"Mozilla\/\d\.\d \(/,end:/"/,illegal:/\n/,relevance:3},{className:"string",begin:/"/,end:/"/,illegal:/\n/,relevance:0}]}}return accesslog_1=Ra,accesslog_1}var actionscript_1,hasRequiredActionscript;function requireActionscript(){if(hasRequiredActionscript)return actionscript_1;hasRequiredActionscript=1;function Ra(qa){const ed=qa.regex,td=/[a-zA-Z_$][a-zA-Z0-9_$]*/,rd=ed.concat(td,ed.concat("(\\.",td,")*")),sd=/([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)/,od={className:"rest_arg",begin:/[.]{3}/,end:td,relevance:10};return{name:"ActionScript",aliases:["as"],keywords:{keyword:["as","break","case","catch","class","const","continue","default","delete","do","dynamic","each","else","extends","final","finally","for","function","get","if","implements","import","in","include","instanceof","interface","internal","is","namespace","native","new","override","package","private","protected","public","return","set","static","super","switch","this","throw","try","typeof","use","var","void","while","with"],literal:["true","false","null","undefined"]},contains:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.C_NUMBER_MODE,{match:[/\bpackage/,/\s+/,rd],className:{1:"keyword",3:"title.class"}},{match:[/\b(?:class|interface|extends|implements)/,/\s+/,td],className:{1:"keyword",3:"title.class"}},{className:"meta",beginKeywords:"import include",end:/;/,keywords:{keyword:"import include"}},{beginKeywords:"function",end:/[{;]/,excludeEnd:!0,illegal:/\S/,contains:[qa.inherit(qa.TITLE_MODE,{className:"title.function"}),{className:"params",begin:/\(/,end:/\)/,contains:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,od]},{begin:ed.concat(/:\s*/,sd)}]},qa.METHOD_GUARD],illegal:/#/}}return actionscript_1=Ra,actionscript_1}var ada_1,hasRequiredAda;function requireAda(){if(hasRequiredAda)return ada_1;hasRequiredAda=1;function Ra(qa){const ed="\\d(_|\\d)*",td="[eE][-+]?"+ed,rd=ed+"(\\."+ed+")?("+td+")?",sd="\\w+",ld="\\b("+(ed+"#"+sd+"(\\."+sd+")?#("+td+")?")+"|"+rd+")",cd="[A-Za-z](_?[A-Za-z0-9.])*",ud=`[]\\{\\}%#'"`,_d=qa.COMMENT("--","$"),Ed={begin:"\\s+:\\s+",end:"\\s*(:=|;|\\)|=>|$)",illegal:ud,contains:[{beginKeywords:"loop for declare others",endsParent:!0},{className:"keyword",beginKeywords:"not null constant access function procedure in out aliased exception"},{className:"type",begin:cd,endsParent:!0,relevance:0}]};return{name:"Ada",case_insensitive:!0,keywords:{keyword:["abort","else","new","return","abs","elsif","not","reverse","abstract","end","accept","entry","select","access","exception","of","separate","aliased","exit","or","some","all","others","subtype","and","for","out","synchronized","array","function","overriding","at","tagged","generic","package","task","begin","goto","pragma","terminate","body","private","then","if","procedure","type","case","in","protected","constant","interface","is","raise","use","declare","range","delay","limited","record","when","delta","loop","rem","while","digits","renames","with","do","mod","requeue","xor"],literal:["True","False"]},contains:[_d,{className:"string",begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{className:"string",begin:/'.'/},{className:"number",begin:ld,relevance:0},{className:"symbol",begin:"'"+cd},{className:"title",begin:"(\\bwith\\s+)?(\\bprivate\\s+)?\\bpackage\\s+(\\bbody\\s+)?",end:"(is|$)",keywords:"package body",excludeBegin:!0,excludeEnd:!0,illegal:ud},{begin:"(\\b(with|overriding)\\s+)?\\b(function|procedure)\\s+",end:"(\\bis|\\bwith|\\brenames|\\)\\s*;)",keywords:"overriding function procedure with is renames return",returnBegin:!0,contains:[_d,{className:"title",begin:"(\\bwith\\s+)?\\b(function|procedure)\\s+",end:"(\\(|\\s+|$)",excludeBegin:!0,excludeEnd:!0,illegal:ud},Ed,{className:"type",begin:"\\breturn\\s+",end:"(\\s+|;|$)",keywords:"return",excludeBegin:!0,excludeEnd:!0,endsParent:!0,illegal:ud}]},{className:"type",begin:"\\b(sub)?type\\s+",end:"\\s+",keywords:"type",excludeBegin:!0,illegal:ud},Ed]}}return ada_1=Ra,ada_1}var angelscript_1,hasRequiredAngelscript;function requireAngelscript(){if(hasRequiredAngelscript)return angelscript_1;hasRequiredAngelscript=1;function Ra(qa){const ed={className:"built_in",begin:"\\b(void|bool|int8|int16|int32|int64|int|uint8|uint16|uint32|uint64|uint|string|ref|array|double|float|auto|dictionary)"},td={className:"symbol",begin:"[a-zA-Z0-9_]+@"},rd={className:"keyword",begin:"<",end:">",contains:[ed,td]};return ed.contains=[rd],td.contains=[rd],{name:"AngelScript",aliases:["asc"],keywords:["for","in|0","break","continue","while","do|0","return","if","else","case","switch","namespace","is","cast","or","and","xor","not","get|0","in","inout|10","out","override","set|0","private","public","const","default|0","final","shared","external","mixin|10","enum","typedef","funcdef","this","super","import","from","interface","abstract|0","try","catch","protected","explicit","property"],illegal:"(^using\\s+[A-Za-z0-9_\\.]+;$|\\bfunction\\s*[^\\(])",contains:[{className:"string",begin:"'",end:"'",illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE],relevance:0},{className:"string",begin:'"""',end:'"""'},{className:"string",begin:'"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE],relevance:0},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"string",begin:"^\\s*\\[",end:"\\]"},{beginKeywords:"interface namespace",end:/\{/,illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]},{beginKeywords:"class",end:/\{/,illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+",contains:[{begin:"[:,]\\s*",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]}]}]},ed,td,{className:"literal",begin:"\\b(null|true|false)"},{className:"number",relevance:0,begin:"(-?)(\\b0[xXbBoOdD][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?f?|\\.\\d+f?)([eE][-+]?\\d+f?)?)"}]}}return angelscript_1=Ra,angelscript_1}var apache_1,hasRequiredApache;function requireApache(){if(hasRequiredApache)return apache_1;hasRequiredApache=1;function Ra(qa){const ed={className:"number",begin:/[$%]\d+/},td={className:"number",begin:/\b\d+/},rd={className:"number",begin:/\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(:\d{1,5})?/},sd={className:"number",begin:/:\d{1,5}/};return{name:"Apache config",aliases:["apacheconf"],case_insensitive:!0,contains:[qa.HASH_COMMENT_MODE,{className:"section",begin:/<\/?/,end:/>/,contains:[rd,sd,qa.inherit(qa.QUOTE_STRING_MODE,{relevance:0})]},{className:"attribute",begin:/\w+/,relevance:0,keywords:{_:["order","deny","allow","setenv","rewriterule","rewriteengine","rewritecond","documentroot","sethandler","errordocument","loadmodule","options","header","listen","serverroot","servername"]},starts:{end:/$/,relevance:0,keywords:{literal:"on off all deny allow"},contains:[{className:"meta",begin:/\s\[/,end:/\]$/},{className:"variable",begin:/[\$%]\{/,end:/\}/,contains:["self",ed]},rd,td,qa.QUOTE_STRING_MODE]}}],illegal:/\S/}}return apache_1=Ra,apache_1}var applescript_1,hasRequiredApplescript;function requireApplescript(){if(hasRequiredApplescript)return applescript_1;hasRequiredApplescript=1;function Ra(qa){const ed=qa.regex,td=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),rd={className:"params",begin:/\(/,end:/\)/,contains:["self",qa.C_NUMBER_MODE,td]},sd=qa.COMMENT(/--/,/$/),od=qa.COMMENT(/\(\*/,/\*\)/,{contains:["self",sd]}),ld=[sd,od,qa.HASH_COMMENT_MODE],cd=[/apart from/,/aside from/,/instead of/,/out of/,/greater than/,/isn't|(doesn't|does not) (equal|come before|come after|contain)/,/(greater|less) than( or equal)?/,/(starts?|ends|begins?) with/,/contained by/,/comes (before|after)/,/a (ref|reference)/,/POSIX (file|path)/,/(date|time) string/,/quoted form/],ud=[/clipboard info/,/the clipboard/,/info for/,/list (disks|folder)/,/mount volume/,/path to/,/(close|open for) access/,/(get|set) eof/,/current date/,/do shell script/,/get volume settings/,/random number/,/set volume/,/system attribute/,/system info/,/time to GMT/,/(load|run|store) script/,/scripting components/,/ASCII (character|number)/,/localized string/,/choose (application|color|file|file name|folder|from list|remote application|URL)/,/display (alert|dialog)/];return{name:"AppleScript",aliases:["osascript"],keywords:{keyword:"about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without",literal:"AppleScript false linefeed return pi quote result space tab true",built_in:"alias application boolean class constant date file integer list number real record string text activate beep count delay launch log offset read round run say summarize write character characters contents day frontmost id item length month name|0 paragraph paragraphs rest reverse running time version weekday word words year"},contains:[td,qa.C_NUMBER_MODE,{className:"built_in",begin:ed.concat(/\b/,ed.either(...ud),/\b/)},{className:"built_in",begin:/^\s*return\b/},{className:"literal",begin:/\b(text item delimiters|current application|missing value)\b/},{className:"keyword",begin:ed.concat(/\b/,ed.either(...cd),/\b/)},{beginKeywords:"on",illegal:/[${=;\n]/,contains:[qa.UNDERSCORE_TITLE_MODE,rd]},...ld],illegal:/\/\/|->|=>|\[\[/}}return applescript_1=Ra,applescript_1}var arcade_1,hasRequiredArcade;function requireArcade(){if(hasRequiredArcade)return arcade_1;hasRequiredArcade=1;function Ra(qa){const ed=qa.regex,td="[A-Za-z_][0-9A-Za-z_]*",rd={keyword:["break","case","catch","continue","debugger","do","else","export","for","function","if","import","in","new","return","switch","try","var","void","while"],literal:["BackSlash","DoubleQuote","ForwardSlash","Infinity","NaN","NewLine","PI","SingleQuote","Tab","TextFormatting","false","null","true","undefined"],built_in:["Abs","Acos","All","Angle","Any","Area","AreaGeodetic","Array","Asin","Atan","Atan2","Attachments","Average","Back","Bearing","Boolean","Buffer","BufferGeodetic","Ceil","Centroid","ChangeTimeZone","Clip","Concatenate","Console","Constrain","Contains","ConvertDirection","ConvexHull","Cos","Count","Crosses","Cut","Date|0","DateAdd","DateDiff","DateOnly","Day","Decode","DefaultValue","Densify","DensifyGeodetic","Dictionary","Difference","Disjoint","Distance","DistanceGeodetic","Distinct","Domain","DomainCode","DomainName","EnvelopeIntersects","Equals","Erase","Exp","Expects","Extent","Feature","FeatureSet","FeatureSetByAssociation","FeatureSetById","FeatureSetByName","FeatureSetByPortalItem","FeatureSetByRelationshipClass","FeatureSetByRelationshipName","Filter","Find","First|0","Floor","FromCharCode","FromCodePoint","FromJSON","Front","GdbVersion","Generalize","Geometry","GetEnvironment","GetFeatureSet","GetFeatureSetInfo","GetUser","GroupBy","Guid","HasKey","HasValue","Hash","Hour","IIf","ISOMonth","ISOWeek","ISOWeekday","ISOYear","Includes","IndexOf","Insert","Intersection","Intersects","IsEmpty","IsNan","IsSelfIntersecting","IsSimple","Left|0","Length","Length3D","LengthGeodetic","Log","Lower","Map","Max","Mean","Mid","Millisecond","Min","Minute","Month","MultiPartToSinglePart","Multipoint","NearestCoordinate","NearestVertex","NextSequenceValue","None","Now","Number","Offset","OrderBy","Overlaps","Point","Polygon","Polyline","Pop","Portal","Pow","Proper","Push","Random","Reduce","Relate","Replace","Resize","Reverse","Right|0","RingIsClockwise","Rotate","Round","Schema","Second","SetGeometry","Simplify","Sin","Slice","Sort","Splice","Split","Sqrt","StandardizeGuid","Stdev","SubtypeCode","SubtypeName","Subtypes","Sum","SymmetricDifference","Tan","Text","Time","TimeZone","TimeZoneOffset","Timestamp","ToCharCode","ToCodePoint","ToHex","ToLocal","ToUTC","Today","Top|0","Touches","TrackAccelerationAt","TrackAccelerationWindow","TrackCurrentAcceleration","TrackCurrentDistance","TrackCurrentSpeed","TrackCurrentTime","TrackDistanceAt","TrackDistanceWindow","TrackDuration","TrackFieldWindow","TrackGeometryWindow","TrackIndex","TrackSpeedAt","TrackSpeedWindow","TrackStartTime","TrackWindow","Trim","TypeOf","Union","Upper","UrlEncode","Variance","Week","Weekday","When|0","Within","Year|0"]},sd=["aggregatedFeatures","analytic","config","datapoint","datastore","editcontext","feature","featureSet","feedfeature","fencefeature","fencenotificationtype","join","layer","locationupdate","map","measure","measure","originalFeature","record","reference","rowindex","sourcedatastore","sourcefeature","sourcelayer","target","targetdatastore","targetfeature","targetlayer","value","view"],od={className:"symbol",begin:"\\$"+ed.either(...sd)},ld={className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:qa.C_NUMBER_RE}],relevance:0},cd={className:"subst",begin:"\\$\\{",end:"\\}",keywords:rd,contains:[]},ud={className:"string",begin:"`",end:"`",contains:[qa.BACKSLASH_ESCAPE,cd]};cd.contains=[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,ud,ld,qa.REGEXP_MODE];const _d=cd.contains.concat([qa.C_BLOCK_COMMENT_MODE,qa.C_LINE_COMMENT_MODE]);return{name:"ArcGIS Arcade",case_insensitive:!0,keywords:rd,contains:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,ud,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,od,ld,{begin:/[{,]\s*/,relevance:0,contains:[{begin:td+"\\s*:",returnBegin:!0,relevance:0,contains:[{className:"attr",begin:td,relevance:0}]}]},{begin:"("+qa.RE_STARTERS_RE+"|\\b(return)\\b)\\s*",keywords:"return",contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+td+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:td},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:rd,contains:_d}]}]}],relevance:0},{beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[qa.inherit(qa.TITLE_MODE,{className:"title.function",begin:td}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:_d}],illegal:/\[|%/},{begin:/\$[(.]/}],illegal:/#(?!!)/}}return arcade_1=Ra,arcade_1}var arduino_1,hasRequiredArduino;function requireArduino(){if(hasRequiredArduino)return arduino_1;hasRequiredArduino=1;function Ra(ed){const td=ed.regex,rd=ed.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),sd="decltype\\(auto\\)",od="[a-zA-Z_]\\w*::",cd="(?!struct)("+sd+"|"+td.optional(od)+"[a-zA-Z_]\\w*"+td.optional("<[^<>]+>")+")",ud={className:"type",begin:"\\b[a-z\\d_]*_t\\b"},Ed={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[ed.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+"\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)"+"|.)",end:"'",illegal:"."},ed.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},Ad={className:"number",variants:[{begin:"[+-]?(?:(?:[0-9](?:'?[0-9])*\\.(?:[0-9](?:'?[0-9])*)?|\\.[0-9](?:'?[0-9])*)(?:[Ee][+-]?[0-9](?:'?[0-9])*)?|[0-9](?:'?[0-9])*[Ee][+-]?[0-9](?:'?[0-9])*|0[Xx](?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*(?:\\.(?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)?)?|\\.[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)[Pp][+-]?[0-9](?:'?[0-9])*)(?:[Ff](?:16|32|64|128)?|(BF|bf)16|[Ll]|)"},{begin:"[+-]?\\b(?:0[Bb][01](?:'?[01])*|0[Xx][0-9A-Fa-f](?:'?[0-9A-Fa-f])*|0(?:'?[0-7])*|[1-9](?:'?[0-9])*)(?:[Uu](?:LL?|ll?)|[Uu][Zz]?|(?:LL?|ll?)[Uu]?|[Zz][Uu]|)"}],relevance:0},Td={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},ed.inherit(Ed,{className:"string"}),{className:"string",begin:/<.*?>/},rd,ed.C_BLOCK_COMMENT_MODE]},Nd={className:"title",begin:td.optional(od)+ed.IDENT_RE,relevance:0},Rd=td.optional(od)+ed.IDENT_RE+"\\s*\\(",Bd=["alignas","alignof","and","and_eq","asm","atomic_cancel","atomic_commit","atomic_noexcept","auto","bitand","bitor","break","case","catch","class","co_await","co_return","co_yield","compl","concept","const_cast|10","consteval","constexpr","constinit","continue","decltype","default","delete","do","dynamic_cast|10","else","enum","explicit","export","extern","false","final","for","friend","goto","if","import","inline","module","mutable","namespace","new","noexcept","not","not_eq","nullptr","operator","or","or_eq","override","private","protected","public","reflexpr","register","reinterpret_cast|10","requires","return","sizeof","static_assert","static_cast|10","struct","switch","synchronized","template","this","thread_local","throw","transaction_safe","transaction_safe_dynamic","true","try","typedef","typeid","typename","union","using","virtual","volatile","while","xor","xor_eq"],kd=["bool","char","char16_t","char32_t","char8_t","double","float","int","long","short","void","wchar_t","unsigned","signed","const","static"],Od=["any","auto_ptr","barrier","binary_semaphore","bitset","complex","condition_variable","condition_variable_any","counting_semaphore","deque","false_type","future","imaginary","initializer_list","istringstream","jthread","latch","lock_guard","multimap","multiset","mutex","optional","ostringstream","packaged_task","pair","promise","priority_queue","queue","recursive_mutex","recursive_timed_mutex","scoped_lock","set","shared_future","shared_lock","shared_mutex","shared_timed_mutex","shared_ptr","stack","string_view","stringstream","timed_mutex","thread","true_type","tuple","unique_lock","unique_ptr","unordered_map","unordered_multimap","unordered_multiset","unordered_set","variant","vector","weak_ptr","wstring","wstring_view"],Md=["abort","abs","acos","apply","as_const","asin","atan","atan2","calloc","ceil","cerr","cin","clog","cos","cosh","cout","declval","endl","exchange","exit","exp","fabs","floor","fmod","forward","fprintf","fputs","free","frexp","fscanf","future","invoke","isalnum","isalpha","iscntrl","isdigit","isgraph","islower","isprint","ispunct","isspace","isupper","isxdigit","labs","launder","ldexp","log","log10","make_pair","make_shared","make_shared_for_overwrite","make_tuple","make_unique","malloc","memchr","memcmp","memcpy","memset","modf","move","pow","printf","putchar","puts","realloc","scanf","sin","sinh","snprintf","sprintf","sqrt","sscanf","std","stderr","stdin","stdout","strcat","strchr","strcmp","strcpy","strcspn","strlen","strncat","strncmp","strncpy","strpbrk","strrchr","strspn","strstr","swap","tan","tanh","terminate","to_underlying","tolower","toupper","vfprintf","visit","vprintf","vsprintf"],Ud={type:kd,keyword:Bd,literal:["NULL","false","nullopt","nullptr","true"],built_in:["_Pragma"],_type_hints:Od},Gd={className:"function.dispatch",relevance:0,keywords:{_hint:Md},begin:td.concat(/\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!switch)/,/(?!while)/,ed.IDENT_RE,td.lookahead(/(<[^<>]+>|)\s*\(/))},Qd=[Gd,Td,ud,rd,ed.C_BLOCK_COMMENT_MODE,Ad,Ed],Vd={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:Ud,contains:Qd.concat([{begin:/\(/,end:/\)/,keywords:Ud,contains:Qd.concat(["self"]),relevance:0}]),relevance:0},zd={className:"function",begin:"("+cd+"[\\*&\\s]+)+"+Rd,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:Ud,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:sd,keywords:Ud,relevance:0},{begin:Rd,returnBegin:!0,contains:[Nd],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[Ed,Ad]},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:Ud,relevance:0,contains:[rd,ed.C_BLOCK_COMMENT_MODE,Ed,Ad,ud,{begin:/\(/,end:/\)/,keywords:Ud,relevance:0,contains:["self",rd,ed.C_BLOCK_COMMENT_MODE,Ed,Ad,ud]}]},ud,rd,ed.C_BLOCK_COMMENT_MODE,Td]};return{name:"C++",aliases:["cc","c++","h++","hpp","hh","hxx","cxx"],keywords:Ud,illegal:"",keywords:Ud,contains:["self",ud]},{begin:ed.IDENT_RE+"::",keywords:Ud},{match:[/\b(?:enum(?:\s+(?:class|struct))?|class|struct|union)/,/\s+/,/\w+/],className:{1:"keyword",3:"title.class"}}])}}function qa(ed){const td={type:["boolean","byte","word","String"],built_in:["KeyboardController","MouseController","SoftwareSerial","EthernetServer","EthernetClient","LiquidCrystal","RobotControl","GSMVoiceCall","EthernetUDP","EsploraTFT","HttpClient","RobotMotor","WiFiClient","GSMScanner","FileSystem","Scheduler","GSMServer","YunClient","YunServer","IPAddress","GSMClient","GSMModem","Keyboard","Ethernet","Console","GSMBand","Esplora","Stepper","Process","WiFiUDP","GSM_SMS","Mailbox","USBHost","Firmata","PImage","Client","Server","GSMPIN","FileIO","Bridge","Serial","EEPROM","Stream","Mouse","Audio","Servo","File","Task","GPRS","WiFi","Wire","TFT","GSM","SPI","SD"],_hints:["setup","loop","runShellCommandAsynchronously","analogWriteResolution","retrieveCallingNumber","printFirmwareVersion","analogReadResolution","sendDigitalPortPair","noListenOnLocalhost","readJoystickButton","setFirmwareVersion","readJoystickSwitch","scrollDisplayRight","getVoiceCallStatus","scrollDisplayLeft","writeMicroseconds","delayMicroseconds","beginTransmission","getSignalStrength","runAsynchronously","getAsynchronously","listenOnLocalhost","getCurrentCarrier","readAccelerometer","messageAvailable","sendDigitalPorts","lineFollowConfig","countryNameWrite","runShellCommand","readStringUntil","rewindDirectory","readTemperature","setClockDivider","readLightSensor","endTransmission","analogReference","detachInterrupt","countryNameRead","attachInterrupt","encryptionType","readBytesUntil","robotNameWrite","readMicrophone","robotNameRead","cityNameWrite","userNameWrite","readJoystickY","readJoystickX","mouseReleased","openNextFile","scanNetworks","noInterrupts","digitalWrite","beginSpeaker","mousePressed","isActionDone","mouseDragged","displayLogos","noAutoscroll","addParameter","remoteNumber","getModifiers","keyboardRead","userNameRead","waitContinue","processInput","parseCommand","printVersion","readNetworks","writeMessage","blinkVersion","cityNameRead","readMessage","setDataMode","parsePacket","isListening","setBitOrder","beginPacket","isDirectory","motorsWrite","drawCompass","digitalRead","clearScreen","serialEvent","rightToLeft","setTextSize","leftToRight","requestFrom","keyReleased","compassRead","analogWrite","interrupts","WiFiServer","disconnect","playMelody","parseFloat","autoscroll","getPINUsed","setPINUsed","setTimeout","sendAnalog","readSlider","analogRead","beginWrite","createChar","motorsStop","keyPressed","tempoWrite","readButton","subnetMask","debugPrint","macAddress","writeGreen","randomSeed","attachGPRS","readString","sendString","remotePort","releaseAll","mouseMoved","background","getXChange","getYChange","answerCall","getResult","voiceCall","endPacket","constrain","getSocket","writeJSON","getButton","available","connected","findUntil","readBytes","exitValue","readGreen","writeBlue","startLoop","IPAddress","isPressed","sendSysex","pauseMode","gatewayIP","setCursor","getOemKey","tuneWrite","noDisplay","loadImage","switchPIN","onRequest","onReceive","changePIN","playFile","noBuffer","parseInt","overflow","checkPIN","knobRead","beginTFT","bitClear","updateIR","bitWrite","position","writeRGB","highByte","writeRed","setSpeed","readBlue","noStroke","remoteIP","transfer","shutdown","hangCall","beginSMS","endWrite","attached","maintain","noCursor","checkReg","checkPUK","shiftOut","isValid","shiftIn","pulseIn","connect","println","localIP","pinMode","getIMEI","display","noBlink","process","getBand","running","beginSD","drawBMP","lowByte","setBand","release","bitRead","prepare","pointTo","readRed","setMode","noFill","remove","listen","stroke","detach","attach","noTone","exists","buffer","height","bitSet","circle","config","cursor","random","IRread","setDNS","endSMS","getKey","micros","millis","begin","print","write","ready","flush","width","isPIN","blink","clear","press","mkdir","rmdir","close","point","yield","image","BSSID","click","delay","read","text","move","peek","beep","rect","line","open","seek","fill","size","turn","stop","home","find","step","tone","sqrt","RSSI","SSID","end","bit","tan","cos","sin","pow","map","abs","max","min","get","run","put"],literal:["DIGITAL_MESSAGE","FIRMATA_STRING","ANALOG_MESSAGE","REPORT_DIGITAL","REPORT_ANALOG","INPUT_PULLUP","SET_PIN_MODE","INTERNAL2V56","SYSTEM_RESET","LED_BUILTIN","INTERNAL1V1","SYSEX_START","INTERNAL","EXTERNAL","DEFAULT","OUTPUT","INPUT","HIGH","LOW"]},rd=Ra(ed),sd=rd.keywords;return sd.type=[...sd.type,...td.type],sd.literal=[...sd.literal,...td.literal],sd.built_in=[...sd.built_in,...td.built_in],sd._hints=td._hints,rd.name="Arduino",rd.aliases=["ino"],rd.supersetOf="cpp",rd}return arduino_1=qa,arduino_1}var armasm_1,hasRequiredArmasm;function requireArmasm(){if(hasRequiredArmasm)return armasm_1;hasRequiredArmasm=1;function Ra(qa){const ed={variants:[qa.COMMENT("^[ \\t]*(?=#)","$",{relevance:0,excludeBegin:!0}),qa.COMMENT("[;@]","$",{relevance:0}),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]};return{name:"ARM Assembly",case_insensitive:!0,aliases:["arm"],keywords:{$pattern:"\\.?"+qa.IDENT_RE,meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 w0 w1 w2 w3 w4 w5 w6 w7 w8 w9 w10 w11 w12 w13 w14 w15 w16 w17 w18 w19 w20 w21 w22 w23 w24 w25 w26 w27 w28 w29 w30 x0 x1 x2 x3 x4 x5 x6 x7 x8 x9 x10 x11 x12 x13 x14 x15 x16 x17 x18 x19 x20 x21 x22 x23 x24 x25 x26 x27 x28 x29 x30 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 {PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @"},contains:[{className:"keyword",begin:"\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?(?=\\s)"},ed,qa.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"[#$=]?0x[0-9a-f]+"},{begin:"[#$=]?0b[01]+"},{begin:"[#$=]\\d+"},{begin:"\\b\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^[ \\t]*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"^[a-z_\\.\\$][a-z0-9_\\.\\$]+"},{begin:"[=#]\\w+"}],relevance:0}]}}return armasm_1=Ra,armasm_1}var xml_1,hasRequiredXml$1;function requireXml$1(){if(hasRequiredXml$1)return xml_1;hasRequiredXml$1=1;function Ra(qa){const ed=qa.regex,td=ed.concat(/[\p{L}_]/u,ed.optional(/[\p{L}0-9_.-]*:/u),/[\p{L}0-9_.-]*/u),rd=/[\p{L}0-9._:-]+/u,sd={className:"symbol",begin:/&[a-z]+;|&#[0-9]+;|&#x[a-f0-9]+;/},od={begin:/\s/,contains:[{className:"keyword",begin:/#?[a-z_][a-z1-9_-]+/,illegal:/\n/}]},ld=qa.inherit(od,{begin:/\(/,end:/\)/}),cd=qa.inherit(qa.APOS_STRING_MODE,{className:"string"}),ud=qa.inherit(qa.QUOTE_STRING_MODE,{className:"string"}),_d={endsWithParent:!0,illegal:/`]+/}]}]}]};return{name:"HTML, XML",aliases:["html","xhtml","rss","atom","xjb","xsd","xsl","plist","wsf","svg"],case_insensitive:!0,unicodeRegex:!0,contains:[{className:"meta",begin://,relevance:10,contains:[od,ud,cd,ld,{begin:/\[/,end:/\]/,contains:[{className:"meta",begin://,contains:[od,ld,ud,cd]}]}]},qa.COMMENT(//,{relevance:10}),{begin://,relevance:10},sd,{className:"meta",end:/\?>/,variants:[{begin:/<\?xml/,relevance:10,contains:[ud]},{begin:/<\?[a-z][a-z0-9]+/}]},{className:"tag",begin:/)/,end:/>/,keywords:{name:"style"},contains:[_d],starts:{end:/<\/style>/,returnEnd:!0,subLanguage:["css","xml"]}},{className:"tag",begin:/)/,end:/>/,keywords:{name:"script"},contains:[_d],starts:{end:/<\/script>/,returnEnd:!0,subLanguage:["javascript","handlebars","xml"]}},{className:"tag",begin:/<>|<\/>/},{className:"tag",begin:ed.concat(//,/>/,/\s/)))),end:/\/?>/,contains:[{className:"name",begin:td,relevance:0,starts:_d}]},{className:"tag",begin:ed.concat(/<\//,ed.lookahead(ed.concat(td,/>/))),contains:[{className:"name",begin:td,relevance:0},{begin:/>/,relevance:0,endsParent:!0}]}]}}return xml_1=Ra,xml_1}var asciidoc_1,hasRequiredAsciidoc;function requireAsciidoc(){if(hasRequiredAsciidoc)return asciidoc_1;hasRequiredAsciidoc=1;function Ra(qa){const ed=qa.regex,td={begin:"^'{3,}[ \\t]*$",relevance:10},rd=[{begin:/\\[*_`]/},{begin:/\\\\\*{2}[^\n]*?\*{2}/},{begin:/\\\\_{2}[^\n]*_{2}/},{begin:/\\\\`{2}[^\n]*`{2}/},{begin:/[:;}][*_`](?![*_`])/}],sd=[{className:"strong",begin:/\*{2}([^\n]+?)\*{2}/},{className:"strong",begin:ed.concat(/\*\*/,/((\*(?!\*)|\\[^\n]|[^*\n\\])+\n)+/,/(\*(?!\*)|\\[^\n]|[^*\n\\])*/,/\*\*/),relevance:0},{className:"strong",begin:/\B\*(\S|\S[^\n]*?\S)\*(?!\w)/},{className:"strong",begin:/\*[^\s]([^\n]+\n)+([^\n]+)\*/}],od=[{className:"emphasis",begin:/_{2}([^\n]+?)_{2}/},{className:"emphasis",begin:ed.concat(/__/,/((_(?!_)|\\[^\n]|[^_\n\\])+\n)+/,/(_(?!_)|\\[^\n]|[^_\n\\])*/,/__/),relevance:0},{className:"emphasis",begin:/\b_(\S|\S[^\n]*?\S)_(?!\w)/},{className:"emphasis",begin:/_[^\s]([^\n]+\n)+([^\n]+)_/},{className:"emphasis",begin:"\\B'(?!['\\s])",end:"(\\n{2}|')",contains:[{begin:"\\\\'\\w",relevance:0}],relevance:0}],ld={className:"symbol",begin:"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\s+",relevance:10},cd={className:"bullet",begin:"^(\\*+|-+|\\.+|[^\\n]+?::)\\s+"};return{name:"AsciiDoc",aliases:["adoc"],contains:[qa.COMMENT("^/{4,}\\n","\\n/{4,}$",{relevance:10}),qa.COMMENT("^//","$",{relevance:0}),{className:"title",begin:"^\\.\\w.*$"},{begin:"^[=\\*]{4,}\\n",end:"\\n^[=\\*]{4,}$",relevance:10},{className:"section",relevance:10,variants:[{begin:"^(={1,6})[ ].+?([ ]\\1)?$"},{begin:"^[^\\[\\]\\n]+?\\n[=\\-~\\^\\+]{2,}$"}]},{className:"meta",begin:"^:.+?:",end:"\\s",excludeEnd:!0,relevance:10},{className:"meta",begin:"^\\[.+?\\]$",relevance:0},{className:"quote",begin:"^_{4,}\\n",end:"\\n_{4,}$",relevance:10},{className:"code",begin:"^[\\-\\.]{4,}\\n",end:"\\n[\\-\\.]{4,}$",relevance:10},{begin:"^\\+{4,}\\n",end:"\\n\\+{4,}$",contains:[{begin:"<",end:">",subLanguage:"xml",relevance:0}],relevance:10},cd,ld,...rd,...sd,...od,{className:"string",variants:[{begin:"``.+?''"},{begin:"`.+?'"}]},{className:"code",begin:/`{2}/,end:/(\n{2}|`{2})/},{className:"code",begin:"(`.+?`|\\+.+?\\+)",relevance:0},{className:"code",begin:"^[ \\t]",end:"$",relevance:0},td,{begin:"(link:)?(http|https|ftp|file|irc|image:?):\\S+?\\[[^[]*?\\]",returnBegin:!0,contains:[{begin:"(link|image:?):",relevance:0},{className:"link",begin:"\\w",end:"[^\\[]+",relevance:0},{className:"string",begin:"\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0,relevance:0}],relevance:10}]}}return asciidoc_1=Ra,asciidoc_1}var aspectj_1,hasRequiredAspectj;function requireAspectj(){if(hasRequiredAspectj)return aspectj_1;hasRequiredAspectj=1;function Ra(qa){const ed=qa.regex,td=["false","synchronized","int","abstract","float","private","char","boolean","static","null","if","const","for","true","while","long","throw","strictfp","finally","protected","import","native","final","return","void","enum","else","extends","implements","break","transient","new","catch","instanceof","byte","super","volatile","case","assert","short","package","default","double","public","try","this","switch","continue","throws","privileged","aspectOf","adviceexecution","proceed","cflowbelow","cflow","initialization","preinitialization","staticinitialization","withincode","target","within","execution","getWithinTypeName","handler","thisJoinPoint","thisJoinPointStaticPart","thisEnclosingJoinPointStaticPart","declare","parents","warning","error","soft","precedence","thisAspectInstance"],rd=["get","set","args","call"];return{name:"AspectJ",keywords:td,illegal:/<\/|#/,contains:[qa.COMMENT(/\/\*\*/,/\*\//,{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:/@[A-Za-z]+/}]}),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{className:"class",beginKeywords:"aspect",end:/[{;=]/,excludeEnd:!0,illegal:/[:;"\[\]]/,contains:[{beginKeywords:"extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton"},qa.UNDERSCORE_TITLE_MODE,{begin:/\([^\)]*/,end:/[)]+/,keywords:td.concat(rd),excludeEnd:!1}]},{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,relevance:0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},qa.UNDERSCORE_TITLE_MODE]},{beginKeywords:"pointcut after before around throwing returning",end:/[)]/,excludeEnd:!1,illegal:/["\[\]]/,contains:[{begin:ed.concat(qa.UNDERSCORE_IDENT_RE,/\s*\(/),returnBegin:!0,contains:[qa.UNDERSCORE_TITLE_MODE]}]},{begin:/[:]/,returnBegin:!0,end:/[{;]/,relevance:0,excludeEnd:!1,keywords:td,illegal:/["\[\]]/,contains:[{begin:ed.concat(qa.UNDERSCORE_IDENT_RE,/\s*\(/),keywords:td.concat(rd),relevance:0},qa.QUOTE_STRING_MODE]},{beginKeywords:"new throw",relevance:0},{className:"function",begin:/\w+ +\w+(\.\w+)?\s*\([^\)]*\)\s*((throws)[\w\s,]+)?[\{;]/,returnBegin:!0,end:/[{;=]/,keywords:td,excludeEnd:!0,contains:[{begin:ed.concat(qa.UNDERSCORE_IDENT_RE,/\s*\(/),returnBegin:!0,relevance:0,contains:[qa.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,relevance:0,keywords:td,contains:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},qa.C_NUMBER_MODE,{className:"meta",begin:/@[A-Za-z]+/}]}}return aspectj_1=Ra,aspectj_1}var autohotkey_1,hasRequiredAutohotkey;function requireAutohotkey(){if(hasRequiredAutohotkey)return autohotkey_1;hasRequiredAutohotkey=1;function Ra(qa){const ed={begin:"`[\\s\\S]"};return{name:"AutoHotkey",case_insensitive:!0,aliases:["ahk"],keywords:{keyword:"Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group",literal:"true false NOT AND OR",built_in:"ComSpec Clipboard ClipboardAll ErrorLevel"},contains:[ed,qa.inherit(qa.QUOTE_STRING_MODE,{contains:[ed]}),qa.COMMENT(";","$",{relevance:0}),qa.C_BLOCK_COMMENT_MODE,{className:"number",begin:qa.NUMBER_RE,relevance:0},{className:"variable",begin:"%[a-zA-Z0-9#_$@]+%"},{className:"built_in",begin:"^\\s*\\w+\\s*(,|%)"},{className:"title",variants:[{begin:'^[^\\n";]+::(?!=)'},{begin:'^[^\\n";]+:(?!=)',relevance:0}]},{className:"meta",begin:"^\\s*#\\w+",end:"$",relevance:0},{className:"built_in",begin:"A_[a-zA-Z0-9]+"},{begin:",\\s*,"}]}}return autohotkey_1=Ra,autohotkey_1}var autoit_1,hasRequiredAutoit;function requireAutoit(){if(hasRequiredAutoit)return autoit_1;hasRequiredAutoit=1;function Ra(qa){const ed="ByRef Case Const ContinueCase ContinueLoop Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With",td=["EndRegion","forcedef","forceref","ignorefunc","include","include-once","NoTrayIcon","OnAutoItStartRegister","pragma","Region","RequireAdmin","Tidy_Off","Tidy_On","Tidy_Parameters"],rd="True False And Null Not Or Default",sd="Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait WinWaitActive WinWaitClose WinWaitNotActive",od={variants:[qa.COMMENT(";","$",{relevance:0}),qa.COMMENT("#cs","#ce"),qa.COMMENT("#comments-start","#comments-end")]},ld={begin:"\\$[A-z0-9_]+"},cd={className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},ud={variants:[qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE]},_d={className:"meta",begin:"#",end:"$",keywords:{keyword:td},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",keywords:{keyword:"include"},end:"$",contains:[cd,{className:"string",variants:[{begin:"<",end:">"},{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]}]},cd,od]},Ed={className:"symbol",begin:"@[A-z0-9_]+"},Ad={beginKeywords:"Func",end:"$",illegal:"\\$|\\[|%",contains:[qa.inherit(qa.UNDERSCORE_TITLE_MODE,{className:"title.function"}),{className:"params",begin:"\\(",end:"\\)",contains:[ld,cd,ud]}]};return{name:"AutoIt",case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:ed,built_in:sd,literal:rd},contains:[od,ld,cd,ud,_d,Ed,Ad]}}return autoit_1=Ra,autoit_1}var avrasm_1,hasRequiredAvrasm;function requireAvrasm(){if(hasRequiredAvrasm)return avrasm_1;hasRequiredAvrasm=1;function Ra(qa){return{name:"AVR Assembly",case_insensitive:!0,keywords:{$pattern:"\\.?"+qa.IDENT_RE,keyword:"adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub subi swap tst wdr",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf",meta:".byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set"},contains:[qa.C_BLOCK_COMMENT_MODE,qa.COMMENT(";","$",{relevance:0}),qa.C_NUMBER_MODE,qa.BINARY_NUMBER_MODE,{className:"number",begin:"\\b(\\$[a-zA-Z0-9]+|0o[0-7]+)"},qa.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",illegal:"[^\\\\][^']"},{className:"symbol",begin:"^[A-Za-z0-9_.$]+:"},{className:"meta",begin:"#",end:"$"},{className:"subst",begin:"@[0-9]+"}]}}return avrasm_1=Ra,avrasm_1}var awk_1,hasRequiredAwk;function requireAwk(){if(hasRequiredAwk)return awk_1;hasRequiredAwk=1;function Ra(qa){const ed={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)\}/}]},td="BEGIN END if else while do for in break continue delete next nextfile function func exit|10",rd={className:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,relevance:10},{begin:/(u|b)?r?"""/,end:/"""/,relevance:10},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)"/,end:/"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)"/,end:/"/},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]};return{name:"Awk",keywords:{keyword:td},contains:[ed,rd,qa.REGEXP_MODE,qa.HASH_COMMENT_MODE,qa.NUMBER_MODE]}}return awk_1=Ra,awk_1}var axapta_1,hasRequiredAxapta;function requireAxapta(){if(hasRequiredAxapta)return axapta_1;hasRequiredAxapta=1;function Ra(qa){const ed=qa.UNDERSCORE_IDENT_RE,od={keyword:["abstract","as","asc","avg","break","breakpoint","by","byref","case","catch","changecompany","class","client","client","common","const","continue","count","crosscompany","delegate","delete_from","desc","display","div","do","edit","else","eventhandler","exists","extends","final","finally","firstfast","firstonly","firstonly1","firstonly10","firstonly100","firstonly1000","flush","for","forceliterals","forcenestedloop","forceplaceholders","forceselectorder","forupdate","from","generateonly","group","hint","if","implements","in","index","insert_recordset","interface","internal","is","join","like","maxof","minof","mod","namespace","new","next","nofetch","notexists","optimisticlock","order","outer","pessimisticlock","print","private","protected","public","readonly","repeatableread","retry","return","reverse","select","server","setting","static","sum","super","switch","this","throw","try","ttsabort","ttsbegin","ttscommit","unchecked","update_recordset","using","validtimestate","void","where","while"],built_in:["anytype","boolean","byte","char","container","date","double","enum","guid","int","int64","long","real","short","str","utcdatetime","var"],literal:["default","false","null","true"]},ld={variants:[{match:[/(class|interface)\s+/,ed,/\s+(extends|implements)\s+/,ed]},{match:[/class\s+/,ed]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:od};return{name:"X++",aliases:["x++"],keywords:od,contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},ld]}}return axapta_1=Ra,axapta_1}var bash_1,hasRequiredBash;function requireBash(){if(hasRequiredBash)return bash_1;hasRequiredBash=1;function Ra(qa){const ed=qa.regex,td={},rd={begin:/\$\{/,end:/\}/,contains:["self",{begin:/:-/,contains:[td]}]};Object.assign(td,{className:"variable",variants:[{begin:ed.concat(/\$[\w\d#@][\w\d_]*/,"(?![\\w\\d])(?![$])")},rd]});const sd={className:"subst",begin:/\$\(/,end:/\)/,contains:[qa.BACKSLASH_ESCAPE]},od=qa.inherit(qa.COMMENT(),{match:[/(^|\s)/,/#.*$/],scope:{2:"comment"}}),ld={begin:/<<-?\s*(?=\w+)/,starts:{contains:[qa.END_SAME_AS_BEGIN({begin:/(\w+)/,end:/(\w+)/,className:"string"})]}},cd={className:"string",begin:/"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE,td,sd]};sd.contains.push(cd);const ud={match:/\\"/},_d={className:"string",begin:/'/,end:/'/},Ed={match:/\\'/},Ad={begin:/\$?\(\(/,end:/\)\)/,contains:[{begin:/\d+#[0-9a-f]+/,className:"number"},qa.NUMBER_MODE,td]},Td=["fish","bash","zsh","sh","csh","ksh","tcsh","dash","scsh"],Nd=qa.SHEBANG({binary:`(${Td.join("|")})`,relevance:10}),Rd={className:"function",begin:/\w[\w\d_]*\s*\(\s*\)\s*\{/,returnBegin:!0,contains:[qa.inherit(qa.TITLE_MODE,{begin:/\w[\w\d_]*/})],relevance:0},Bd=["if","then","else","elif","fi","for","while","until","in","do","done","case","esac","function","select"],kd=["true","false"],Od={match:/(\/[a-z._-]+)+/},Md=["break","cd","continue","eval","exec","exit","export","getopts","hash","pwd","readonly","return","shift","test","times","trap","umask","unset"],Pd=["alias","bind","builtin","caller","command","declare","echo","enable","help","let","local","logout","mapfile","printf","read","readarray","source","sudo","type","typeset","ulimit","unalias"],Fd=["autoload","bg","bindkey","bye","cap","chdir","clone","comparguments","compcall","compctl","compdescribe","compfiles","compgroups","compquote","comptags","comptry","compvalues","dirs","disable","disown","echotc","echoti","emulate","fc","fg","float","functions","getcap","getln","history","integer","jobs","kill","limit","log","noglob","popd","print","pushd","pushln","rehash","sched","setcap","setopt","stat","suspend","ttyctl","unfunction","unhash","unlimit","unsetopt","vared","wait","whence","where","which","zcompile","zformat","zftp","zle","zmodload","zparseopts","zprof","zpty","zregexparse","zsocket","zstyle","ztcp"],Ud=["chcon","chgrp","chown","chmod","cp","dd","df","dir","dircolors","ln","ls","mkdir","mkfifo","mknod","mktemp","mv","realpath","rm","rmdir","shred","sync","touch","truncate","vdir","b2sum","base32","base64","cat","cksum","comm","csplit","cut","expand","fmt","fold","head","join","md5sum","nl","numfmt","od","paste","ptx","pr","sha1sum","sha224sum","sha256sum","sha384sum","sha512sum","shuf","sort","split","sum","tac","tail","tr","tsort","unexpand","uniq","wc","arch","basename","chroot","date","dirname","du","echo","env","expr","factor","groups","hostid","id","link","logname","nice","nohup","nproc","pathchk","pinky","printenv","printf","pwd","readlink","runcon","seq","sleep","stat","stdbuf","stty","tee","test","timeout","tty","uname","unlink","uptime","users","who","whoami","yes"];return{name:"Bash",aliases:["sh","zsh"],keywords:{$pattern:/\b[a-z][a-z0-9._-]+\b/,keyword:Bd,literal:kd,built_in:[...Md,...Pd,"set","shopt",...Fd,...Ud]},contains:[Nd,qa.SHEBANG(),Rd,Ad,od,ld,Od,cd,ud,_d,Ed,td]}}return bash_1=Ra,bash_1}var basic_1,hasRequiredBasic;function requireBasic(){if(hasRequiredBasic)return basic_1;hasRequiredBasic=1;function Ra(qa){return{name:"BASIC",case_insensitive:!0,illegal:"^.",keywords:{$pattern:"[a-zA-Z][a-zA-Z0-9_$%!#]*",keyword:["ABS","ASC","AND","ATN","AUTO|0","BEEP","BLOAD|10","BSAVE|10","CALL","CALLS","CDBL","CHAIN","CHDIR","CHR$|10","CINT","CIRCLE","CLEAR","CLOSE","CLS","COLOR","COM","COMMON","CONT","COS","CSNG","CSRLIN","CVD","CVI","CVS","DATA","DATE$","DEFDBL","DEFINT","DEFSNG","DEFSTR","DEF|0","SEG","USR","DELETE","DIM","DRAW","EDIT","END","ENVIRON","ENVIRON$","EOF","EQV","ERASE","ERDEV","ERDEV$","ERL","ERR","ERROR","EXP","FIELD","FILES","FIX","FOR|0","FRE","GET","GOSUB|10","GOTO","HEX$","IF","THEN","ELSE|0","INKEY$","INP","INPUT","INPUT#","INPUT$","INSTR","IMP","INT","IOCTL","IOCTL$","KEY","ON","OFF","LIST","KILL","LEFT$","LEN","LET","LINE","LLIST","LOAD","LOC","LOCATE","LOF","LOG","LPRINT","USING","LSET","MERGE","MID$","MKDIR","MKD$","MKI$","MKS$","MOD","NAME","NEW","NEXT","NOISE","NOT","OCT$","ON","OR","PEN","PLAY","STRIG","OPEN","OPTION","BASE","OUT","PAINT","PALETTE","PCOPY","PEEK","PMAP","POINT","POKE","POS","PRINT","PRINT]","PSET","PRESET","PUT","RANDOMIZE","READ","REM","RENUM","RESET|0","RESTORE","RESUME","RETURN|0","RIGHT$","RMDIR","RND","RSET","RUN","SAVE","SCREEN","SGN","SHELL","SIN","SOUND","SPACE$","SPC","SQR","STEP","STICK","STOP","STR$","STRING$","SWAP","SYSTEM","TAB","TAN","TIME$","TIMER","TROFF","TRON","TO","USR","VAL","VARPTR","VARPTR$","VIEW","WAIT","WHILE","WEND","WIDTH","WINDOW","WRITE","XOR"]},contains:[qa.QUOTE_STRING_MODE,qa.COMMENT("REM","$",{relevance:10}),qa.COMMENT("'","$",{relevance:0}),{className:"symbol",begin:"^[0-9]+ ",relevance:10},{className:"number",begin:"\\b\\d+(\\.\\d+)?([edED]\\d+)?[#!]?",relevance:0},{className:"number",begin:"(&[hH][0-9a-fA-F]{1,4})"},{className:"number",begin:"(&[oO][0-7]{1,6})"}]}}return basic_1=Ra,basic_1}var bnf_1,hasRequiredBnf;function requireBnf(){if(hasRequiredBnf)return bnf_1;hasRequiredBnf=1;function Ra(qa){return{name:"Backus–Naur Form",contains:[{className:"attribute",begin://},{begin:/::=/,end:/$/,contains:[{begin://},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]}]}}return bnf_1=Ra,bnf_1}var brainfuck_1,hasRequiredBrainfuck;function requireBrainfuck(){if(hasRequiredBrainfuck)return brainfuck_1;hasRequiredBrainfuck=1;function Ra(qa){const ed={className:"literal",begin:/[+-]+/,relevance:0};return{name:"Brainfuck",aliases:["bf"],contains:[qa.COMMENT(/[^\[\]\.,\+\-<> \r\n]/,/[\[\]\.,\+\-<> \r\n]/,{contains:[{match:/[ ]+[^\[\]\.,\+\-<> \r\n]/,relevance:0}],returnEnd:!0,relevance:0}),{className:"title",begin:"[\\[\\]]",relevance:0},{className:"string",begin:"[\\.,]",relevance:0},{begin:/(?=\+\+|--)/,contains:[ed]},ed]}}return brainfuck_1=Ra,brainfuck_1}var c_1,hasRequiredC;function requireC(){if(hasRequiredC)return c_1;hasRequiredC=1;function Ra(qa){const ed=qa.regex,td=qa.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),rd="decltype\\(auto\\)",sd="[a-zA-Z_]\\w*::",ld="("+rd+"|"+ed.optional(sd)+"[a-zA-Z_]\\w*"+ed.optional("<[^<>]+>")+")",cd={className:"type",variants:[{begin:"\\b[a-z\\d_]*_t\\b"},{match:/\batomic_[a-z]{3,6}\b/}]},_d={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+"\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)"+"|.)",end:"'",illegal:"."},qa.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},Ed={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},Ad={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef elifdef elifndef include"},contains:[{begin:/\\\n/,relevance:0},qa.inherit(_d,{className:"string"}),{className:"string",begin:/<.*?>/},td,qa.C_BLOCK_COMMENT_MODE]},Td={className:"title",begin:ed.optional(sd)+qa.IDENT_RE,relevance:0},Nd=ed.optional(sd)+qa.IDENT_RE+"\\s*\\(",kd={keyword:["asm","auto","break","case","continue","default","do","else","enum","extern","for","fortran","goto","if","inline","register","restrict","return","sizeof","typeof","typeof_unqual","struct","switch","typedef","union","volatile","while","_Alignas","_Alignof","_Atomic","_Generic","_Noreturn","_Static_assert","_Thread_local","alignas","alignof","noreturn","static_assert","thread_local","_Pragma"],type:["float","double","signed","unsigned","int","short","long","char","void","_Bool","_BitInt","_Complex","_Imaginary","_Decimal32","_Decimal64","_Decimal96","_Decimal128","_Decimal64x","_Decimal128x","_Float16","_Float32","_Float64","_Float128","_Float32x","_Float64x","_Float128x","const","static","constexpr","complex","bool","imaginary"],literal:"true false NULL",built_in:"std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set pair bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap priority_queue make_pair array shared_ptr abort terminate abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf endl initializer_list unique_ptr"},Od=[Ad,cd,td,qa.C_BLOCK_COMMENT_MODE,Ed,_d],Md={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:kd,contains:Od.concat([{begin:/\(/,end:/\)/,keywords:kd,contains:Od.concat(["self"]),relevance:0}]),relevance:0},Pd={begin:"("+ld+"[\\*&\\s]+)+"+Nd,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:kd,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:rd,keywords:kd,relevance:0},{begin:Nd,returnBegin:!0,contains:[qa.inherit(Td,{className:"title.function"})],relevance:0},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:kd,relevance:0,contains:[td,qa.C_BLOCK_COMMENT_MODE,_d,Ed,cd,{begin:/\(/,end:/\)/,keywords:kd,relevance:0,contains:["self",td,qa.C_BLOCK_COMMENT_MODE,_d,Ed,cd]}]},cd,td,qa.C_BLOCK_COMMENT_MODE,Ad]};return{name:"C",aliases:["h"],keywords:kd,disableAutodetect:!0,illegal:"=]/,contains:[{beginKeywords:"final class struct"},qa.TITLE_MODE]}]),exports:{preprocessor:Ad,strings:_d,keywords:kd}}}return c_1=Ra,c_1}var cal_1,hasRequiredCal;function requireCal(){if(hasRequiredCal)return cal_1;hasRequiredCal=1;function Ra(qa){const ed=qa.regex,td=["div","mod","in","and","or","not","xor","asserterror","begin","case","do","downto","else","end","exit","for","local","if","of","repeat","then","to","until","while","with","var"],rd="false true",sd=[qa.C_LINE_COMMENT_MODE,qa.COMMENT(/\{/,/\}/,{relevance:0}),qa.COMMENT(/\(\*/,/\*\)/,{relevance:10})],od={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},ld={className:"string",begin:/(#\d+)+/},cd={className:"number",begin:"\\b\\d+(\\.\\d+)?(DT|D|T)",relevance:0},ud={className:"string",begin:'"',end:'"'},_d={match:[/procedure/,/\s+/,/[a-zA-Z_][\w@]*/,/\s*/],scope:{1:"keyword",3:"title.function"},contains:[{className:"params",begin:/\(/,end:/\)/,keywords:td,contains:[od,ld,qa.NUMBER_MODE]},...sd]},Ed=["Table","Form","Report","Dataport","Codeunit","XMLport","MenuSuite","Page","Query"],Ad={match:[/OBJECT/,/\s+/,ed.either(...Ed),/\s+/,/\d+/,/\s+(?=[^\s])/,/.*/,/$/],relevance:3,scope:{1:"keyword",3:"type",5:"number",7:"title"}};return{name:"C/AL",case_insensitive:!0,keywords:{keyword:td,literal:rd},illegal:/\/\*/,contains:[{match:/[\w]+(?=\=)/,scope:"attribute",relevance:0},od,ld,cd,ud,qa.NUMBER_MODE,Ad,_d]}}return cal_1=Ra,cal_1}var capnproto_1,hasRequiredCapnproto;function requireCapnproto(){if(hasRequiredCapnproto)return capnproto_1;hasRequiredCapnproto=1;function Ra(qa){const ed=["struct","enum","interface","union","group","import","using","const","annotation","extends","in","of","on","as","with","from","fixed"],td=["Void","Bool","Int8","Int16","Int32","Int64","UInt8","UInt16","UInt32","UInt64","Float32","Float64","Text","Data","AnyPointer","AnyStruct","Capability","List"],rd=["true","false"],sd={variants:[{match:[/(struct|enum|interface)/,/\s+/,qa.IDENT_RE]},{match:[/extends/,/\s*\(/,qa.IDENT_RE,/\s*\)/]}],scope:{1:"keyword",3:"title.class"}};return{name:"Cap’n Proto",aliases:["capnp"],keywords:{keyword:ed,type:td,literal:rd},contains:[qa.QUOTE_STRING_MODE,qa.NUMBER_MODE,qa.HASH_COMMENT_MODE,{className:"meta",begin:/@0x[\w\d]{16};/,illegal:/\n/},{className:"symbol",begin:/@\d+\b/},sd]}}return capnproto_1=Ra,capnproto_1}var ceylon_1,hasRequiredCeylon;function requireCeylon(){if(hasRequiredCeylon)return ceylon_1;hasRequiredCeylon=1;function Ra(qa){const ed=["assembly","module","package","import","alias","class","interface","object","given","value","assign","void","function","new","of","extends","satisfies","abstracts","in","out","return","break","continue","throw","assert","dynamic","if","else","switch","case","for","while","try","catch","finally","then","let","this","outer","super","is","exists","nonempty"],td=["shared","abstract","formal","default","actual","variable","late","native","deprecated","final","sealed","annotation","suppressWarnings","small"],rd=["doc","by","license","see","throws","tagged"],sd={className:"subst",excludeBegin:!0,excludeEnd:!0,begin:/``/,end:/``/,keywords:ed,relevance:10},od=[{className:"string",begin:'"""',end:'"""',relevance:10},{className:"string",begin:'"',end:'"',contains:[sd]},{className:"string",begin:"'",end:"'"},{className:"number",begin:"#[0-9a-fA-F_]+|\\$[01_]+|[0-9_]+(?:\\.[0-9_](?:[eE][+-]?\\d+)?)?[kMGTPmunpf]?",relevance:0}];return sd.contains=od,{name:"Ceylon",keywords:{keyword:ed.concat(td),meta:rd},illegal:"\\$[^01]|#[^0-9a-fA-F]",contains:[qa.C_LINE_COMMENT_MODE,qa.COMMENT("/\\*","\\*/",{contains:["self"]}),{className:"meta",begin:'@[a-z]\\w*(?::"[^"]*")?'}].concat(od)}}return ceylon_1=Ra,ceylon_1}var clean_1,hasRequiredClean;function requireClean(){if(hasRequiredClean)return clean_1;hasRequiredClean=1;function Ra(qa){return{name:"Clean",aliases:["icl","dcl"],keywords:{keyword:["if","let","in","with","where","case","of","class","instance","otherwise","implementation","definition","system","module","from","import","qualified","as","special","code","inline","foreign","export","ccall","stdcall","generic","derive","infix","infixl","infixr"],built_in:"Int Real Char Bool",literal:"True False"},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,{begin:"->|<-[|:]?|#!?|>>=|\\{\\||\\|\\}|:==|=:|<>"}]}}return clean_1=Ra,clean_1}var clojure_1,hasRequiredClojure;function requireClojure(){if(hasRequiredClojure)return clojure_1;hasRequiredClojure=1;function Ra(qa){const ed="a-zA-Z_\\-!.?+*=<>&'",td="[#]?["+ed+"]["+ed+"0-9/;:$#]*",rd="def defonce defprotocol defstruct defmulti defmethod defn- defn defmacro deftype defrecord",sd={$pattern:td,built_in:rd+" cond apply if-not if-let if not not= =|0 <|0 >|0 <=|0 >=|0 ==|0 +|0 /|0 *|0 -|0 rem quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last drop-while while intern condp case reduced cycle split-at split-with repeat replicate iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter monitor-exit macroexpand macroexpand-1 for dosync and or when when-not when-let comp juxt partial sequence memoize constantly complement identity assert peek pop doto proxy first rest cons cast coll last butlast sigs reify second ffirst fnext nfirst nnext meta with-meta ns in-ns create-ns import refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize"},od={begin:td,relevance:0},ld={scope:"number",relevance:0,variants:[{match:/[-+]?0[xX][0-9a-fA-F]+N?/},{match:/[-+]?0[0-7]+N?/},{match:/[-+]?[1-9][0-9]?[rR][0-9a-zA-Z]+N?/},{match:/[-+]?[0-9]+\/[0-9]+N?/},{match:/[-+]?[0-9]+((\.[0-9]*([eE][+-]?[0-9]+)?M?)|([eE][+-]?[0-9]+M?|M))/},{match:/[-+]?([1-9][0-9]*|0)N?/}]},cd={scope:"character",variants:[{match:/\\o[0-3]?[0-7]{1,2}/},{match:/\\u[0-9a-fA-F]{4}/},{match:/\\(newline|space|tab|formfeed|backspace|return)/},{match:/\\\S/,relevance:0}]},ud={scope:"regex",begin:/#"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE]},_d=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),Ed={scope:"punctuation",match:/,/,relevance:0},Ad=qa.COMMENT(";","$",{relevance:0}),Td={className:"literal",begin:/\b(true|false|nil)\b/},Nd={begin:"\\[|(#::?"+td+")?\\{",end:"[\\]\\}]",relevance:0},Rd={className:"symbol",begin:"[:]{1,2}"+td},Bd={begin:"\\(",end:"\\)"},kd={endsWithParent:!0,relevance:0},Od={keywords:sd,className:"name",begin:td,relevance:0,starts:kd},Md=[Ed,Bd,cd,ud,_d,Ad,Rd,Nd,ld,Td,od],Pd={beginKeywords:rd,keywords:{$pattern:td,keyword:rd},end:'(\\[|#|\\d|"|:|\\{|\\)|\\(|$)',contains:[{className:"title",begin:td,relevance:0,excludeEnd:!0,endsParent:!0}].concat(Md)};return Bd.contains=[Pd,Od,kd],kd.contains=Md,Nd.contains=Md,{name:"Clojure",aliases:["clj","edn"],illegal:/\S/,contains:[Ed,Bd,cd,ud,_d,Ad,Rd,Nd,ld,Td]}}return clojure_1=Ra,clojure_1}var clojureRepl_1,hasRequiredClojureRepl;function requireClojureRepl(){if(hasRequiredClojureRepl)return clojureRepl_1;hasRequiredClojureRepl=1;function Ra(qa){return{name:"Clojure REPL",contains:[{className:"meta.prompt",begin:/^([\w.-]+|\s*#_)?=>/,starts:{end:/$/,subLanguage:"clojure"}}]}}return clojureRepl_1=Ra,clojureRepl_1}var cmake_1,hasRequiredCmake;function requireCmake(){if(hasRequiredCmake)return cmake_1;hasRequiredCmake=1;function Ra(qa){return{name:"CMake",aliases:["cmake.in"],case_insensitive:!0,keywords:{keyword:"break cmake_host_system_information cmake_minimum_required cmake_parse_arguments cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro endwhile execute_process file find_file find_library find_package find_path find_program foreach function get_cmake_property get_directory_property get_filename_component get_property if include include_guard list macro mark_as_advanced math message option return separate_arguments set_directory_properties set_property set site_name string unset variable_watch while add_compile_definitions add_compile_options add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_link_options add_subdirectory add_test aux_source_directory build_command create_test_sourcelist define_property enable_language enable_testing export fltk_wrap_ui get_source_file_property get_target_property get_test_property include_directories include_external_msproject include_regular_expression install link_directories link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions set_source_files_properties set_target_properties set_tests_properties source_group target_compile_definitions target_compile_features target_compile_options target_include_directories target_link_directories target_link_libraries target_link_options target_sources try_compile try_run ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ctest_test ctest_update ctest_upload build_name exec_program export_library_dependencies install_files install_programs install_targets load_command make_directory output_required_files remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or not command policy target test exists is_newer_than is_directory is_symlink is_absolute matches less greater equal less_equal greater_equal strless strgreater strequal strless_equal strgreater_equal version_less version_greater version_equal version_less_equal version_greater_equal in_list defined"},contains:[{className:"variable",begin:/\$\{/,end:/\}/},qa.COMMENT(/#\[\[/,/]]/),qa.HASH_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.NUMBER_MODE]}}return cmake_1=Ra,cmake_1}var coffeescript_1,hasRequiredCoffeescript;function requireCoffeescript(){if(hasRequiredCoffeescript)return coffeescript_1;hasRequiredCoffeescript=1;const Ra=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],qa=["true","false","null","undefined","NaN","Infinity"],ed=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],td=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],rd=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],sd=[].concat(rd,ed,td);function od(ld){const cd=["npm","print"],ud=["yes","no","on","off"],_d=["then","unless","until","loop","by","when","and","or","is","isnt","not"],Ed=["var","const","let","function","static"],Ad=Fd=>Ud=>!Fd.includes(Ud),Td={keyword:Ra.concat(_d).filter(Ad(Ed)),literal:qa.concat(ud),built_in:sd.concat(cd)},Nd="[A-Za-z$_][0-9A-Za-z$_]*",Rd={className:"subst",begin:/#\{/,end:/\}/,keywords:Td},Bd=[ld.BINARY_NUMBER_MODE,ld.inherit(ld.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[ld.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[ld.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[ld.BACKSLASH_ESCAPE,Rd]},{begin:/"/,end:/"/,contains:[ld.BACKSLASH_ESCAPE,Rd]}]},{className:"regexp",variants:[{begin:"///",end:"///",contains:[Rd,ld.HASH_COMMENT_MODE]},{begin:"//[gim]{0,3}(?=\\W)",relevance:0},{begin:/\/(?![ *]).*?(?![\\]).\/[gim]{0,3}(?=\W)/}]},{begin:"@"+Nd},{subLanguage:"javascript",excludeBegin:!0,excludeEnd:!0,variants:[{begin:"```",end:"```"},{begin:"`",end:"`"}]}];Rd.contains=Bd;const kd=ld.inherit(ld.TITLE_MODE,{begin:Nd}),Od="(\\(.*\\)\\s*)?\\B[-=]>",Md={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:Td,contains:["self"].concat(Bd)}]},Pd={variants:[{match:[/class\s+/,Nd,/\s+extends\s+/,Nd]},{match:[/class\s+/,Nd]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:Td};return{name:"CoffeeScript",aliases:["coffee","cson","iced"],keywords:Td,illegal:/\/\*/,contains:[...Bd,ld.COMMENT("###","###"),ld.HASH_COMMENT_MODE,{className:"function",begin:"^\\s*"+Nd+"\\s*=\\s*"+Od,end:"[-=]>",returnBegin:!0,contains:[kd,Md]},{begin:/[:\(,=]\s*/,relevance:0,contains:[{className:"function",begin:Od,end:"[-=]>",returnBegin:!0,contains:[Md]}]},Pd,{begin:Nd+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}]}}return coffeescript_1=od,coffeescript_1}var coq_1,hasRequiredCoq;function requireCoq(){if(hasRequiredCoq)return coq_1;hasRequiredCoq=1;function Ra(qa){return{name:"Coq",keywords:{keyword:["_|0","as","at","cofix","else","end","exists","exists2","fix","for","forall","fun","if","IF","in","let","match","mod","Prop","return","Set","then","Type","using","where","with","Abort","About","Add","Admit","Admitted","All","Arguments","Assumptions","Axiom","Back","BackTo","Backtrack","Bind","Blacklist","Canonical","Cd","Check","Class","Classes","Close","Coercion","Coercions","CoFixpoint","CoInductive","Collection","Combined","Compute","Conjecture","Conjectures","Constant","constr","Constraint","Constructors","Context","Corollary","CreateHintDb","Cut","Declare","Defined","Definition","Delimit","Dependencies","Dependent","Derive","Drop","eauto","End","Equality","Eval","Example","Existential","Existentials","Existing","Export","exporting","Extern","Extract","Extraction","Fact","Field","Fields","File","Fixpoint","Focus","for","From","Function","Functional","Generalizable","Global","Goal","Grab","Grammar","Graph","Guarded","Heap","Hint","HintDb","Hints","Hypotheses","Hypothesis","ident","Identity","If","Immediate","Implicit","Import","Include","Inductive","Infix","Info","Initial","Inline","Inspect","Instance","Instances","Intro","Intros","Inversion","Inversion_clear","Language","Left","Lemma","Let","Libraries","Library","Load","LoadPath","Local","Locate","Ltac","ML","Mode","Module","Modules","Monomorphic","Morphism","Next","NoInline","Notation","Obligation","Obligations","Opaque","Open","Optimize","Options","Parameter","Parameters","Parametric","Path","Paths","pattern","Polymorphic","Preterm","Print","Printing","Program","Projections","Proof","Proposition","Pwd","Qed","Quit","Rec","Record","Recursive","Redirect","Relation","Remark","Remove","Require","Reserved","Reset","Resolve","Restart","Rewrite","Right","Ring","Rings","Save","Scheme","Scope","Scopes","Script","Search","SearchAbout","SearchHead","SearchPattern","SearchRewrite","Section","Separate","Set","Setoid","Show","Solve","Sorted","Step","Strategies","Strategy","Structure","SubClass","Table","Tables","Tactic","Term","Test","Theorem","Time","Timeout","Transparent","Type","Typeclasses","Types","Undelimit","Undo","Unfocus","Unfocused","Unfold","Universe","Universes","Unset","Unshelve","using","Variable","Variables","Variant","Verbose","Visibility","where","with"],built_in:["abstract","absurd","admit","after","apply","as","assert","assumption","at","auto","autorewrite","autounfold","before","bottom","btauto","by","case","case_eq","cbn","cbv","change","classical_left","classical_right","clear","clearbody","cofix","compare","compute","congruence","constr_eq","constructor","contradict","contradiction","cut","cutrewrite","cycle","decide","decompose","dependent","destruct","destruction","dintuition","discriminate","discrR","do","double","dtauto","eapply","eassumption","eauto","ecase","econstructor","edestruct","ediscriminate","eelim","eexact","eexists","einduction","einjection","eleft","elim","elimtype","enough","equality","erewrite","eright","esimplify_eq","esplit","evar","exact","exactly_once","exfalso","exists","f_equal","fail","field","field_simplify","field_simplify_eq","first","firstorder","fix","fold","fourier","functional","generalize","generalizing","gfail","give_up","has_evar","hnf","idtac","in","induction","injection","instantiate","intro","intro_pattern","intros","intuition","inversion","inversion_clear","is_evar","is_var","lapply","lazy","left","lia","lra","move","native_compute","nia","nsatz","omega","once","pattern","pose","progress","proof","psatz","quote","record","red","refine","reflexivity","remember","rename","repeat","replace","revert","revgoals","rewrite","rewrite_strat","right","ring","ring_simplify","rtauto","set","setoid_reflexivity","setoid_replace","setoid_rewrite","setoid_symmetry","setoid_transitivity","shelve","shelve_unifiable","simpl","simple","simplify_eq","solve","specialize","split","split_Rabs","split_Rmult","stepl","stepr","subst","sum","swap","symmetry","tactic","tauto","time","timeout","top","transitivity","trivial","try","tryif","unfold","unify","until","using","vm_compute","with"]},contains:[qa.QUOTE_STRING_MODE,qa.COMMENT("\\(\\*","\\*\\)"),qa.C_NUMBER_MODE,{className:"type",excludeBegin:!0,begin:"\\|\\s*",end:"\\w+"},{begin:/[-=]>/}]}}return coq_1=Ra,coq_1}var cos_1,hasRequiredCos;function requireCos(){if(hasRequiredCos)return cos_1;hasRequiredCos=1;function Ra(qa){return{name:"Caché Object Script",case_insensitive:!0,aliases:["cls"],keywords:"property parameter class classmethod clientmethod extends as break catch close continue do d|0 else elseif for goto halt hang h|0 if job j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 tcommit throw trollback try tstart use view while write w|0 xecute x|0 zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit zsync ascii",contains:[{className:"number",begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)",relevance:0},{className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]}]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"comment",begin:/;/,end:"$",relevance:0},{className:"built_in",begin:/(?:\$\$?|\.\.)\^?[a-zA-Z]+/},{className:"built_in",begin:/\$\$\$[a-zA-Z]+/},{className:"built_in",begin:/%[a-z]+(?:\.[a-z]+)*/},{className:"symbol",begin:/\^%?[a-zA-Z][\w]*/},{className:"keyword",begin:/##class|##super|#define|#dim/},{begin:/&sql\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,subLanguage:"sql"},{begin:/&(js|jscript|javascript)/,excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"},{begin:/&html<\s*\s*>/,subLanguage:"xml"}]}}return cos_1=Ra,cos_1}var cpp_1,hasRequiredCpp;function requireCpp(){if(hasRequiredCpp)return cpp_1;hasRequiredCpp=1;function Ra(qa){const ed=qa.regex,td=qa.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),rd="decltype\\(auto\\)",sd="[a-zA-Z_]\\w*::",ld="(?!struct)("+rd+"|"+ed.optional(sd)+"[a-zA-Z_]\\w*"+ed.optional("<[^<>]+>")+")",cd={className:"type",begin:"\\b[a-z\\d_]*_t\\b"},_d={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+"\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)"+"|.)",end:"'",illegal:"."},qa.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},Ed={className:"number",variants:[{begin:"[+-]?(?:(?:[0-9](?:'?[0-9])*\\.(?:[0-9](?:'?[0-9])*)?|\\.[0-9](?:'?[0-9])*)(?:[Ee][+-]?[0-9](?:'?[0-9])*)?|[0-9](?:'?[0-9])*[Ee][+-]?[0-9](?:'?[0-9])*|0[Xx](?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*(?:\\.(?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)?)?|\\.[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)[Pp][+-]?[0-9](?:'?[0-9])*)(?:[Ff](?:16|32|64|128)?|(BF|bf)16|[Ll]|)"},{begin:"[+-]?\\b(?:0[Bb][01](?:'?[01])*|0[Xx][0-9A-Fa-f](?:'?[0-9A-Fa-f])*|0(?:'?[0-7])*|[1-9](?:'?[0-9])*)(?:[Uu](?:LL?|ll?)|[Uu][Zz]?|(?:LL?|ll?)[Uu]?|[Zz][Uu]|)"}],relevance:0},Ad={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},qa.inherit(_d,{className:"string"}),{className:"string",begin:/<.*?>/},td,qa.C_BLOCK_COMMENT_MODE]},Td={className:"title",begin:ed.optional(sd)+qa.IDENT_RE,relevance:0},Nd=ed.optional(sd)+qa.IDENT_RE+"\\s*\\(",Rd=["alignas","alignof","and","and_eq","asm","atomic_cancel","atomic_commit","atomic_noexcept","auto","bitand","bitor","break","case","catch","class","co_await","co_return","co_yield","compl","concept","const_cast|10","consteval","constexpr","constinit","continue","decltype","default","delete","do","dynamic_cast|10","else","enum","explicit","export","extern","false","final","for","friend","goto","if","import","inline","module","mutable","namespace","new","noexcept","not","not_eq","nullptr","operator","or","or_eq","override","private","protected","public","reflexpr","register","reinterpret_cast|10","requires","return","sizeof","static_assert","static_cast|10","struct","switch","synchronized","template","this","thread_local","throw","transaction_safe","transaction_safe_dynamic","true","try","typedef","typeid","typename","union","using","virtual","volatile","while","xor","xor_eq"],Bd=["bool","char","char16_t","char32_t","char8_t","double","float","int","long","short","void","wchar_t","unsigned","signed","const","static"],kd=["any","auto_ptr","barrier","binary_semaphore","bitset","complex","condition_variable","condition_variable_any","counting_semaphore","deque","false_type","future","imaginary","initializer_list","istringstream","jthread","latch","lock_guard","multimap","multiset","mutex","optional","ostringstream","packaged_task","pair","promise","priority_queue","queue","recursive_mutex","recursive_timed_mutex","scoped_lock","set","shared_future","shared_lock","shared_mutex","shared_timed_mutex","shared_ptr","stack","string_view","stringstream","timed_mutex","thread","true_type","tuple","unique_lock","unique_ptr","unordered_map","unordered_multimap","unordered_multiset","unordered_set","variant","vector","weak_ptr","wstring","wstring_view"],Od=["abort","abs","acos","apply","as_const","asin","atan","atan2","calloc","ceil","cerr","cin","clog","cos","cosh","cout","declval","endl","exchange","exit","exp","fabs","floor","fmod","forward","fprintf","fputs","free","frexp","fscanf","future","invoke","isalnum","isalpha","iscntrl","isdigit","isgraph","islower","isprint","ispunct","isspace","isupper","isxdigit","labs","launder","ldexp","log","log10","make_pair","make_shared","make_shared_for_overwrite","make_tuple","make_unique","malloc","memchr","memcmp","memcpy","memset","modf","move","pow","printf","putchar","puts","realloc","scanf","sin","sinh","snprintf","sprintf","sqrt","sscanf","std","stderr","stdin","stdout","strcat","strchr","strcmp","strcpy","strcspn","strlen","strncat","strncmp","strncpy","strpbrk","strrchr","strspn","strstr","swap","tan","tanh","terminate","to_underlying","tolower","toupper","vfprintf","visit","vprintf","vsprintf"],Fd={type:Bd,keyword:Rd,literal:["NULL","false","nullopt","nullptr","true"],built_in:["_Pragma"],_type_hints:kd},Ud={className:"function.dispatch",relevance:0,keywords:{_hint:Od},begin:ed.concat(/\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!switch)/,/(?!while)/,qa.IDENT_RE,ed.lookahead(/(<[^<>]+>|)\s*\(/))},Gd=[Ud,Ad,cd,td,qa.C_BLOCK_COMMENT_MODE,Ed,_d],Qd={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:Fd,contains:Gd.concat([{begin:/\(/,end:/\)/,keywords:Fd,contains:Gd.concat(["self"]),relevance:0}]),relevance:0},Vd={className:"function",begin:"("+ld+"[\\*&\\s]+)+"+Nd,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:Fd,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:rd,keywords:Fd,relevance:0},{begin:Nd,returnBegin:!0,contains:[Td],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[_d,Ed]},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:Fd,relevance:0,contains:[td,qa.C_BLOCK_COMMENT_MODE,_d,Ed,cd,{begin:/\(/,end:/\)/,keywords:Fd,relevance:0,contains:["self",td,qa.C_BLOCK_COMMENT_MODE,_d,Ed,cd]}]},cd,td,qa.C_BLOCK_COMMENT_MODE,Ad]};return{name:"C++",aliases:["cc","c++","h++","hpp","hh","hxx","cxx"],keywords:Fd,illegal:"",keywords:Fd,contains:["self",cd]},{begin:qa.IDENT_RE+"::",keywords:Fd},{match:[/\b(?:enum(?:\s+(?:class|struct))?|class|struct|union)/,/\s+/,/\w+/],className:{1:"keyword",3:"title.class"}}])}}return cpp_1=Ra,cpp_1}var crmsh_1,hasRequiredCrmsh;function requireCrmsh(){if(hasRequiredCrmsh)return crmsh_1;hasRequiredCrmsh=1;function Ra(qa){const ed="primitive rsc_template",td="group clone ms master location colocation order fencing_topology rsc_ticket acl_target acl_group user role tag xml";return{name:"crmsh",aliases:["crm","pcmk"],case_insensitive:!0,keywords:{keyword:"params meta operations op rule attributes utilization"+" "+"read write deny defined not_defined in_range date spec in ref reference attribute type xpath version and or lt gt tag lte gte eq ne \\"+" "+"number string",literal:"Master Started Slave Stopped start promote demote stop monitor true false"},contains:[qa.HASH_COMMENT_MODE,{beginKeywords:"node",starts:{end:"\\s*([\\w_-]+:)?",starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*"}}},{beginKeywords:ed,starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*",starts:{end:"\\s*@?[\\w_][\\w_\\.:-]*"}}},{begin:"\\b("+td.split(" ").join("|")+")\\s+",keywords:td,starts:{className:"title",end:"[\\$\\w_][\\w_-]*"}},{beginKeywords:"property rsc_defaults op_defaults",starts:{className:"title",end:"\\s*([\\w_-]+:)?"}},qa.QUOTE_STRING_MODE,{className:"meta",begin:"(ocf|systemd|service|lsb):[\\w_:-]+",relevance:0},{className:"number",begin:"\\b\\d+(\\.\\d+)?(ms|s|h|m)?",relevance:0},{className:"literal",begin:"[-]?(infinity|inf)",relevance:0},{className:"attr",begin:/([A-Za-z$_#][\w_-]+)=/,relevance:0},{className:"tag",begin:"",relevance:0}]}}return crmsh_1=Ra,crmsh_1}var crystal_1,hasRequiredCrystal;function requireCrystal(){if(hasRequiredCrystal)return crystal_1;hasRequiredCrystal=1;function Ra(qa){const ed="(_?[ui](8|16|32|64|128))?",td="(_?f(32|64))?",rd="[a-zA-Z_]\\w*[!?=]?",sd="[a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\*\\*|\\[\\][=?]?",od="[A-Za-z_]\\w*(::\\w+)*(\\?|!)?",ld={$pattern:rd,keyword:"abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield __DIR__ __END_LINE__ __FILE__ __LINE__",literal:"false nil true"},cd={className:"subst",begin:/#\{/,end:/\}/,keywords:ld},ud={className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])"},_d={className:"template-variable",variants:[{begin:"\\{\\{",end:"\\}\\}"},{begin:"\\{%",end:"%\\}"}],keywords:ld};function Ed(Od,Md){const Pd=[{begin:Od,end:Md}];return Pd[0].contains=Pd,Pd}const Ad={className:"string",contains:[qa.BACKSLASH_ESCAPE,cd],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:"%[Qwi]?\\(",end:"\\)",contains:Ed("\\(","\\)")},{begin:"%[Qwi]?\\[",end:"\\]",contains:Ed("\\[","\\]")},{begin:"%[Qwi]?\\{",end:/\}/,contains:Ed(/\{/,/\}/)},{begin:"%[Qwi]?<",end:">",contains:Ed("<",">")},{begin:"%[Qwi]?\\|",end:"\\|"},{begin:/<<-\w+$/,end:/^\s*\w+$/}],relevance:0},Td={className:"string",variants:[{begin:"%q\\(",end:"\\)",contains:Ed("\\(","\\)")},{begin:"%q\\[",end:"\\]",contains:Ed("\\[","\\]")},{begin:"%q\\{",end:/\}/,contains:Ed(/\{/,/\}/)},{begin:"%q<",end:">",contains:Ed("<",">")},{begin:"%q\\|",end:"\\|"},{begin:/<<-'\w+'$/,end:/^\s*\w+$/}],relevance:0},Nd={begin:"(?!%\\})("+qa.RE_STARTERS_RE+"|\\n|\\b(case|if|select|unless|until|when|while)\\b)\\s*",keywords:"case if select unless until when while",contains:[{className:"regexp",contains:[qa.BACKSLASH_ESCAPE,cd],variants:[{begin:"//[a-z]*",relevance:0},{begin:"/(?!\\/)",end:"/[a-z]*"}]}],relevance:0},Rd={className:"regexp",contains:[qa.BACKSLASH_ESCAPE,cd],variants:[{begin:"%r\\(",end:"\\)",contains:Ed("\\(","\\)")},{begin:"%r\\[",end:"\\]",contains:Ed("\\[","\\]")},{begin:"%r\\{",end:/\}/,contains:Ed(/\{/,/\}/)},{begin:"%r<",end:">",contains:Ed("<",">")},{begin:"%r\\|",end:"\\|"}],relevance:0},Bd={className:"meta",begin:"@\\[",end:"\\]",contains:[qa.inherit(qa.QUOTE_STRING_MODE,{className:"string"})]},kd=[_d,Ad,Td,Rd,Nd,Bd,ud,qa.HASH_COMMENT_MODE,{className:"class",beginKeywords:"class module struct",end:"$|;",illegal:/=/,contains:[qa.HASH_COMMENT_MODE,qa.inherit(qa.TITLE_MODE,{begin:od}),{begin:"<"}]},{className:"class",beginKeywords:"lib enum union",end:"$|;",illegal:/=/,contains:[qa.HASH_COMMENT_MODE,qa.inherit(qa.TITLE_MODE,{begin:od})]},{beginKeywords:"annotation",end:"$|;",illegal:/=/,contains:[qa.HASH_COMMENT_MODE,qa.inherit(qa.TITLE_MODE,{begin:od})],relevance:2},{className:"function",beginKeywords:"def",end:/\B\b/,contains:[qa.inherit(qa.TITLE_MODE,{begin:sd,endsParent:!0})]},{className:"function",beginKeywords:"fun macro",end:/\B\b/,contains:[qa.inherit(qa.TITLE_MODE,{begin:sd,endsParent:!0})],relevance:2},{className:"symbol",begin:qa.UNDERSCORE_IDENT_RE+"(!|\\?)?:",relevance:0},{className:"symbol",begin:":",contains:[Ad,{begin:sd}],relevance:0},{className:"number",variants:[{begin:"\\b0b([01_]+)"+ed},{begin:"\\b0o([0-7_]+)"+ed},{begin:"\\b0x([A-Fa-f0-9_]+)"+ed},{begin:"\\b([1-9][0-9_]*[0-9]|[0-9])(\\.[0-9][0-9_]*)?([eE]_?[-+]?[0-9_]*)?"+td+"(?!_)"},{begin:"\\b([1-9][0-9_]*|0)"+ed}],relevance:0}];return cd.contains=kd,_d.contains=kd.slice(1),{name:"Crystal",aliases:["cr"],keywords:ld,contains:kd}}return crystal_1=Ra,crystal_1}var csharp_1,hasRequiredCsharp;function requireCsharp(){if(hasRequiredCsharp)return csharp_1;hasRequiredCsharp=1;function Ra(qa){const ed=["bool","byte","char","decimal","delegate","double","dynamic","enum","float","int","long","nint","nuint","object","sbyte","short","string","ulong","uint","ushort"],td=["public","private","protected","static","internal","protected","abstract","async","extern","override","unsafe","virtual","new","sealed","partial"],rd=["default","false","null","true"],sd=["abstract","as","base","break","case","catch","class","const","continue","do","else","event","explicit","extern","finally","fixed","for","foreach","goto","if","implicit","in","interface","internal","is","lock","namespace","new","operator","out","override","params","private","protected","public","readonly","record","ref","return","scoped","sealed","sizeof","stackalloc","static","struct","switch","this","throw","try","typeof","unchecked","unsafe","using","virtual","void","volatile","while"],od=["add","alias","and","ascending","async","await","by","descending","equals","from","get","global","group","init","into","join","let","nameof","not","notnull","on","or","orderby","partial","remove","select","set","unmanaged","value|0","var","when","where","with","yield"],ld={keyword:sd.concat(od),built_in:ed,literal:rd},cd=qa.inherit(qa.TITLE_MODE,{begin:"[a-zA-Z](\\.?\\w)*"}),ud={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)(u|U|l|L|ul|UL|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},_d={className:"string",begin:/"""("*)(?!")(.|\n)*?"""\1/,relevance:1},Ed={className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},Ad=qa.inherit(Ed,{illegal:/\n/}),Td={className:"subst",begin:/\{/,end:/\}/,keywords:ld},Nd=qa.inherit(Td,{illegal:/\n/}),Rd={className:"string",begin:/\$"/,end:'"',illegal:/\n/,contains:[{begin:/\{\{/},{begin:/\}\}/},qa.BACKSLASH_ESCAPE,Nd]},Bd={className:"string",begin:/\$@"/,end:'"',contains:[{begin:/\{\{/},{begin:/\}\}/},{begin:'""'},Td]},kd=qa.inherit(Bd,{illegal:/\n/,contains:[{begin:/\{\{/},{begin:/\}\}/},{begin:'""'},Nd]});Td.contains=[Bd,Rd,Ed,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,ud,qa.C_BLOCK_COMMENT_MODE],Nd.contains=[kd,Rd,Ad,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,ud,qa.inherit(qa.C_BLOCK_COMMENT_MODE,{illegal:/\n/})];const Od={variants:[_d,Bd,Rd,Ed,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]},Md={begin:"<",end:">",contains:[{beginKeywords:"in out"},cd]},Pd=qa.IDENT_RE+"(<"+qa.IDENT_RE+"(\\s*,\\s*"+qa.IDENT_RE+")*>)?(\\[\\])?",Fd={begin:"@"+qa.IDENT_RE,relevance:0};return{name:"C#",aliases:["cs","c#"],keywords:ld,illegal:/::/,contains:[qa.COMMENT("///","$",{returnBegin:!0,contains:[{className:"doctag",variants:[{begin:"///",relevance:0},{begin:""},{begin:""}]}]}),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"meta",begin:"#",end:"$",keywords:{keyword:"if else elif endif define undef warning error line region endregion pragma checksum"}},Od,ud,{beginKeywords:"class interface",relevance:0,end:/[{;=]/,illegal:/[^\s:,]/,contains:[{beginKeywords:"where class"},cd,Md,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{beginKeywords:"namespace",relevance:0,end:/[{;=]/,illegal:/[^\s:]/,contains:[cd,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{beginKeywords:"record",relevance:0,end:/[{;=]/,illegal:/[^\s:]/,contains:[cd,Md,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{className:"meta",begin:"^\\s*\\[(?=[\\w])",excludeBegin:!0,end:"\\]",excludeEnd:!0,contains:[{className:"string",begin:/"/,end:/"/}]},{beginKeywords:"new return throw await else",relevance:0},{className:"function",begin:"("+Pd+"\\s+)+"+qa.IDENT_RE+"\\s*(<[^=]+>\\s*)?\\(",returnBegin:!0,end:/\s*[{;=]/,excludeEnd:!0,keywords:ld,contains:[{beginKeywords:td.join(" "),relevance:0},{begin:qa.IDENT_RE+"\\s*(<[^=]+>\\s*)?\\(",returnBegin:!0,contains:[qa.TITLE_MODE,Md],relevance:0},{match:/\(\)/},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:ld,relevance:0,contains:[Od,ud,qa.C_BLOCK_COMMENT_MODE]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},Fd]}}return csharp_1=Ra,csharp_1}var csp_1,hasRequiredCsp;function requireCsp(){if(hasRequiredCsp)return csp_1;hasRequiredCsp=1;function Ra(qa){return{name:"CSP",case_insensitive:!1,keywords:{$pattern:"[a-zA-Z][a-zA-Z0-9_-]*",keyword:["base-uri","child-src","connect-src","default-src","font-src","form-action","frame-ancestors","frame-src","img-src","manifest-src","media-src","object-src","plugin-types","report-uri","sandbox","script-src","style-src","trusted-types","unsafe-hashes","worker-src"]},contains:[{className:"string",begin:"'",end:"'"},{className:"attribute",begin:"^Content",end:":",excludeEnd:!0}]}}return csp_1=Ra,csp_1}var css_1,hasRequiredCss$1;function requireCss$1(){if(hasRequiredCss$1)return css_1;hasRequiredCss$1=1;const Ra=ud=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:ud.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[ud.APOS_STRING_MODE,ud.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:ud.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),qa=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","optgroup","option","p","picture","q","quote","samp","section","select","source","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],ed=["defs","g","marker","mask","pattern","svg","switch","symbol","feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feFlood","feGaussianBlur","feImage","feMerge","feMorphology","feOffset","feSpecularLighting","feTile","feTurbulence","linearGradient","radialGradient","stop","circle","ellipse","image","line","path","polygon","polyline","rect","text","use","textPath","tspan","foreignObject","clipPath"],td=[...qa,...ed],rd=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"].sort().reverse(),sd=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"].sort().reverse(),od=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"].sort().reverse(),ld=["accent-color","align-content","align-items","align-self","alignment-baseline","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","baseline-shift","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-end-end-radius","border-end-start-radius","border-right-color","border-right-style","border-right-width","border-spacing","border-start-end-radius","border-start-start-radius","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","cx","cy","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","color-scheme","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","empty-cells","enable-background","fill","fill-opacity","fill-rule","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","flood-color","flood-opacity","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-horizontal","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","inset","inset-block","inset-block-end","inset-block-start","inset-inline","inset-inline-end","inset-inline-start","isolation","kerning","justify-content","justify-items","justify-self","left","letter-spacing","lighting-color","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","marker","marker-end","marker-mid","marker-start","mask","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","r","resize","rest","rest-after","rest-before","right","rotate","row-gap","scale","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","shape-rendering","stop-color","stop-opacity","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","speak","speak-as","src","tab-size","table-layout","text-anchor","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip-ink","text-decoration-style","text-decoration-thickness","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-offset","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","translate","unicode-bidi","vector-effect","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","x","y","z-index"].sort().reverse();function cd(ud){const _d=ud.regex,Ed=Ra(ud),Ad={begin:/-(webkit|moz|ms|o)-(?=[a-z])/},Td="and or not only",Nd=/@-?\w[\w]*(-\w+)*/,Rd="[a-zA-Z-][a-zA-Z0-9_-]*",Bd=[ud.APOS_STRING_MODE,ud.QUOTE_STRING_MODE];return{name:"CSS",case_insensitive:!0,illegal:/[=|'\$]/,keywords:{keyframePosition:"from to"},classNameAliases:{keyframePosition:"selector-tag"},contains:[Ed.BLOCK_COMMENT,Ad,Ed.CSS_NUMBER_MODE,{className:"selector-id",begin:/#[A-Za-z0-9_-]+/,relevance:0},{className:"selector-class",begin:"\\."+Rd,relevance:0},Ed.ATTRIBUTE_SELECTOR_MODE,{className:"selector-pseudo",variants:[{begin:":("+sd.join("|")+")"},{begin:":(:)?("+od.join("|")+")"}]},Ed.CSS_VARIABLE,{className:"attribute",begin:"\\b("+ld.join("|")+")\\b"},{begin:/:/,end:/[;}{]/,contains:[Ed.BLOCK_COMMENT,Ed.HEXCOLOR,Ed.IMPORTANT,Ed.CSS_NUMBER_MODE,...Bd,{begin:/(url|data-uri)\(/,end:/\)/,relevance:0,keywords:{built_in:"url data-uri"},contains:[...Bd,{className:"string",begin:/[^)]/,endsWithParent:!0,excludeEnd:!0}]},Ed.FUNCTION_DISPATCH]},{begin:_d.lookahead(/@/),end:"[{;]",relevance:0,illegal:/:/,contains:[{className:"keyword",begin:Nd},{begin:/\s/,endsWithParent:!0,excludeEnd:!0,relevance:0,keywords:{$pattern:/[a-z-]+/,keyword:Td,attribute:rd.join(" ")},contains:[{begin:/[a-z-]+(?=:)/,className:"attribute"},...Bd,Ed.CSS_NUMBER_MODE]}]},{className:"selector-tag",begin:"\\b("+td.join("|")+")\\b"}]}}return css_1=cd,css_1}var d_1,hasRequiredD;function requireD(){if(hasRequiredD)return d_1;hasRequiredD=1;function Ra(qa){const ed={$pattern:qa.UNDERSCORE_IDENT_RE,keyword:"abstract alias align asm assert auto body break byte case cast catch class const continue debug default delete deprecated do else enum export extern final finally for foreach foreach_reverse|10 goto if immutable import in inout int interface invariant is lazy macro mixin module new nothrow out override package pragma private protected public pure ref return scope shared static struct super switch synchronized template this throw try typedef typeid typeof union unittest version void volatile while with __FILE__ __LINE__ __gshared|10 __thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__",built_in:"bool cdouble cent cfloat char creal dchar delegate double dstring float function idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar wstring",literal:"false null true"},td="(0|[1-9][\\d_]*)",rd="(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)",sd="0[bB][01_]+",od="([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*)",ld="0[xX]"+od,cd="([eE][+-]?"+rd+")",ud="("+rd+"(\\.\\d*|"+cd+")|\\d+\\."+rd+"|\\."+td+cd+"?)",_d="(0[xX]("+od+"\\."+od+"|\\.?"+od+")[pP][+-]?"+rd+")",Ed="("+td+"|"+sd+"|"+ld+")",Ad="("+_d+"|"+ud+")",Td=`\\\\(['"\\?\\\\abfnrtv]|u[\\dA-Fa-f]{4}|[0-7]{1,3}|x[\\dA-Fa-f]{2}|U[\\dA-Fa-f]{8})|&[a-zA-Z\\d]{2,};`,Nd={className:"number",begin:"\\b"+Ed+"(L|u|U|Lu|LU|uL|UL)?",relevance:0},Rd={className:"number",begin:"\\b("+Ad+"([fF]|L|i|[fF]i|Li)?|"+Ed+"(i|[fF]i|Li))",relevance:0},Bd={className:"string",begin:"'("+Td+"|.)",end:"'",illegal:"."},Od={className:"string",begin:'"',contains:[{begin:Td,relevance:0}],end:'"[cwd]?'},Md={className:"string",begin:'[rq]"',end:'"[cwd]?',relevance:5},Pd={className:"string",begin:"`",end:"`[cwd]?"},Fd={className:"string",begin:'x"[\\da-fA-F\\s\\n\\r]*"[cwd]?',relevance:10},Ud={className:"string",begin:'q"\\{',end:'\\}"'},Gd={className:"meta",begin:"^#!",end:"$",relevance:5},Qd={className:"meta",begin:"#(line)",end:"$",relevance:5},Vd={className:"keyword",begin:"@[a-zA-Z_][a-zA-Z_\\d]*"},zd=qa.COMMENT("\\/\\+","\\+\\/",{contains:["self"],relevance:10});return{name:"D",keywords:ed,contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,zd,Fd,Od,Md,Pd,Ud,Rd,Nd,Bd,Gd,Qd,Vd]}}return d_1=Ra,d_1}var markdown_1,hasRequiredMarkdown;function requireMarkdown(){if(hasRequiredMarkdown)return markdown_1;hasRequiredMarkdown=1;function Ra(qa){const ed=qa.regex,td={begin:/<\/?[A-Za-z_]/,end:">",subLanguage:"xml",relevance:0},rd={begin:"^[-\\*]{3,}",end:"$"},sd={className:"code",variants:[{begin:"(`{3,})[^`](.|\\n)*?\\1`*[ ]*"},{begin:"(~{3,})[^~](.|\\n)*?\\1~*[ ]*"},{begin:"```",end:"```+[ ]*$"},{begin:"~~~",end:"~~~+[ ]*$"},{begin:"`.+?`"},{begin:"(?=^( {4}|\\t))",contains:[{begin:"^( {4}|\\t)",end:"(\\n)$"}],relevance:0}]},od={className:"bullet",begin:"^[ ]*([*+-]|(\\d+\\.))(?=\\s+)",end:"\\s+",excludeEnd:!0},ld={begin:/^\[[^\n]+\]:/,returnBegin:!0,contains:[{className:"symbol",begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0},{className:"link",begin:/:\s*/,end:/$/,excludeBegin:!0}]},cd=/[A-Za-z][A-Za-z0-9+.-]*/,ud={variants:[{begin:/\[.+?\]\[.*?\]/,relevance:0},{begin:/\[.+?\]\(((data|javascript|mailto):|(?:http|ftp)s?:\/\/).*?\)/,relevance:2},{begin:ed.concat(/\[.+?\]\(/,cd,/:\/\/.*?\)/),relevance:2},{begin:/\[.+?\]\([./?&#].*?\)/,relevance:1},{begin:/\[.*?\]\(.*?\)/,relevance:0}],returnBegin:!0,contains:[{match:/\[(?=\])/},{className:"string",relevance:0,begin:"\\[",end:"\\]",excludeBegin:!0,returnEnd:!0},{className:"link",relevance:0,begin:"\\]\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"symbol",relevance:0,begin:"\\]\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0}]},_d={className:"strong",contains:[],variants:[{begin:/_{2}(?!\s)/,end:/_{2}/},{begin:/\*{2}(?!\s)/,end:/\*{2}/}]},Ed={className:"emphasis",contains:[],variants:[{begin:/\*(?![*\s])/,end:/\*/},{begin:/_(?![_\s])/,end:/_/,relevance:0}]},Ad=qa.inherit(_d,{contains:[]}),Td=qa.inherit(Ed,{contains:[]});_d.contains.push(Td),Ed.contains.push(Ad);let Nd=[td,ud];return[_d,Ed,Ad,Td].forEach(Od=>{Od.contains=Od.contains.concat(Nd)}),Nd=Nd.concat(_d,Ed),{name:"Markdown",aliases:["md","mkdown","mkd"],contains:[{className:"section",variants:[{begin:"^#{1,6}",end:"$",contains:Nd},{begin:"(?=^.+?\\n[=-]{2,}$)",contains:[{begin:"^[=-]*$"},{begin:"^",end:"\\n",contains:Nd}]}]},td,od,_d,Ed,{className:"quote",begin:"^>\\s+",contains:Nd,end:"$"},sd,rd,ud,ld,{scope:"literal",match:/&([a-zA-Z0-9]+|#[0-9]{1,7}|#[Xx][0-9a-fA-F]{1,6});/}]}}return markdown_1=Ra,markdown_1}var dart_1,hasRequiredDart;function requireDart(){if(hasRequiredDart)return dart_1;hasRequiredDart=1;function Ra(qa){const ed={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"}]},td={className:"subst",variants:[{begin:/\$\{/,end:/\}/}],keywords:"true false null this is new super"},rd={className:"string",variants:[{begin:"r'''",end:"'''"},{begin:'r"""',end:'"""'},{begin:"r'",end:"'",illegal:"\\n"},{begin:'r"',end:'"',illegal:"\\n"},{begin:"'''",end:"'''",contains:[qa.BACKSLASH_ESCAPE,ed,td]},{begin:'"""',end:'"""',contains:[qa.BACKSLASH_ESCAPE,ed,td]},{begin:"'",end:"'",illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE,ed,td]},{begin:'"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE,ed,td]}]};td.contains=[qa.C_NUMBER_MODE,rd];const sd=["Comparable","DateTime","Duration","Function","Iterable","Iterator","List","Map","Match","Object","Pattern","RegExp","Set","Stopwatch","String","StringBuffer","StringSink","Symbol","Type","Uri","bool","double","int","num","Element","ElementList"],od=sd.map(ud=>`${ud}?`);return{name:"Dart",keywords:{keyword:["abstract","as","assert","async","await","base","break","case","catch","class","const","continue","covariant","default","deferred","do","dynamic","else","enum","export","extends","extension","external","factory","false","final","finally","for","Function","get","hide","if","implements","import","in","interface","is","late","library","mixin","new","null","on","operator","part","required","rethrow","return","sealed","set","show","static","super","switch","sync","this","throw","true","try","typedef","var","void","when","while","with","yield"],built_in:sd.concat(od).concat(["Never","Null","dynamic","print","document","querySelector","querySelectorAll","window"]),$pattern:/[A-Za-z][A-Za-z0-9_]*\??/},contains:[rd,qa.COMMENT(/\/\*\*(?!\/)/,/\*\//,{subLanguage:"markdown",relevance:0}),qa.COMMENT(/\/{3,} ?/,/$/,{contains:[{subLanguage:"markdown",begin:".",end:"$",relevance:0}]}),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"class interface",end:/\{/,excludeEnd:!0,contains:[{beginKeywords:"extends implements"},qa.UNDERSCORE_TITLE_MODE]},qa.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"},{begin:"=>"}]}}return dart_1=Ra,dart_1}var delphi_1,hasRequiredDelphi;function requireDelphi(){if(hasRequiredDelphi)return delphi_1;hasRequiredDelphi=1;function Ra(qa){const ed=["exports","register","file","shl","array","record","property","for","mod","while","set","ally","label","uses","raise","not","stored","class","safecall","var","interface","or","private","static","exit","index","inherited","to","else","stdcall","override","shr","asm","far","resourcestring","finalization","packed","virtual","out","and","protected","library","do","xorwrite","goto","near","function","end","div","overload","object","unit","begin","string","on","inline","repeat","until","destructor","write","message","program","with","read","initialization","except","default","nil","if","case","cdecl","in","downto","threadvar","of","try","pascal","const","external","constructor","type","public","then","implementation","finally","published","procedure","absolute","reintroduce","operator","as","is","abstract","alias","assembler","bitpacked","break","continue","cppdecl","cvar","enumerator","experimental","platform","deprecated","unimplemented","dynamic","export","far16","forward","generic","helper","implements","interrupt","iochecks","local","name","nodefault","noreturn","nostackframe","oldfpccall","otherwise","saveregisters","softfloat","specialize","strict","unaligned","varargs"],td=[qa.C_LINE_COMMENT_MODE,qa.COMMENT(/\{/,/\}/,{relevance:0}),qa.COMMENT(/\(\*/,/\*\)/,{relevance:10})],rd={className:"meta",variants:[{begin:/\{\$/,end:/\}/},{begin:/\(\*\$/,end:/\*\)/}]},sd={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},od={className:"number",relevance:0,variants:[{match:/\b\d[\d_]*(\.\d[\d_]*)?/},{match:/\$[\dA-Fa-f_]+/},{match:/\$/,relevance:0},{match:/&[0-7][0-7_]*/},{match:/%[01_]+/},{match:/%/,relevance:0}]},ld={className:"string",variants:[{match:/#\d[\d_]*/},{match:/#\$[\dA-Fa-f][\dA-Fa-f_]*/},{match:/#&[0-7][0-7_]*/},{match:/#%[01][01_]*/}]},cd={begin:qa.IDENT_RE+"\\s*=\\s*class\\s*\\(",returnBegin:!0,contains:[qa.TITLE_MODE]},ud={className:"function",beginKeywords:"function constructor destructor procedure",end:/[:;]/,keywords:"function constructor|10 destructor|10 procedure|10",contains:[qa.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:ed,contains:[sd,ld,rd].concat(td)},rd].concat(td)};return{name:"Delphi",aliases:["dpr","dfm","pas","pascal"],case_insensitive:!0,keywords:ed,illegal:/"|\$[G-Zg-z]|\/\*|<\/|\|/,contains:[sd,ld,od,cd,ud,rd].concat(td)}}return delphi_1=Ra,delphi_1}var diff_1,hasRequiredDiff;function requireDiff(){if(hasRequiredDiff)return diff_1;hasRequiredDiff=1;function Ra(qa){const ed=qa.regex;return{name:"Diff",aliases:["patch"],contains:[{className:"meta",relevance:10,match:ed.either(/^@@ +-\d+,\d+ +\+\d+,\d+ +@@/,/^\*\*\* +\d+,\d+ +\*\*\*\*$/,/^--- +\d+,\d+ +----$/)},{className:"comment",variants:[{begin:ed.either(/Index: /,/^index/,/={3,}/,/^-{3}/,/^\*{3} /,/^\+{3}/,/^diff --git/),end:/$/},{match:/^\*{15}$/}]},{className:"addition",begin:/^\+/,end:/$/},{className:"deletion",begin:/^-/,end:/$/},{className:"addition",begin:/^!/,end:/$/}]}}return diff_1=Ra,diff_1}var django_1,hasRequiredDjango;function requireDjango(){if(hasRequiredDjango)return django_1;hasRequiredDjango=1;function Ra(qa){const ed={begin:/\|[A-Za-z]+:?/,keywords:{name:"truncatewords removetags linebreaksbr yesno get_digit timesince random striptags filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort dictsortreversed default_if_none pluralize lower join center default truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize localtime utc timezone"},contains:[qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE]};return{name:"Django",aliases:["jinja"],case_insensitive:!0,subLanguage:"xml",contains:[qa.COMMENT(/\{%\s*comment\s*%\}/,/\{%\s*endcomment\s*%\}/),qa.COMMENT(/\{#/,/#\}/),{className:"template-tag",begin:/\{%/,end:/%\}/,contains:[{className:"name",begin:/\w+/,keywords:{name:"comment endcomment load templatetag ifchanged endifchanged if endif firstof for endfor ifnotequal endifnotequal widthratio extends include spaceless endspaceless regroup ifequal endifequal ssi now with cycle url filter endfilter debug block endblock else autoescape endautoescape csrf_token empty elif endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix plural get_current_language language get_available_languages get_current_language_bidi get_language_info get_language_info_list localize endlocalize localtime endlocaltime timezone endtimezone get_current_timezone verbatim"},starts:{endsWithParent:!0,keywords:"in by as",contains:[ed],relevance:0}}]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:[ed]}]}}return django_1=Ra,django_1}var dns_1,hasRequiredDns;function requireDns(){if(hasRequiredDns)return dns_1;hasRequiredDns=1;function Ra(qa){return{name:"DNS Zone",aliases:["bind","zone"],keywords:["IN","A","AAAA","AFSDB","APL","CAA","CDNSKEY","CDS","CERT","CNAME","DHCID","DLV","DNAME","DNSKEY","DS","HIP","IPSECKEY","KEY","KX","LOC","MX","NAPTR","NS","NSEC","NSEC3","NSEC3PARAM","PTR","RRSIG","RP","SIG","SOA","SRV","SSHFP","TA","TKEY","TLSA","TSIG","TXT"],contains:[qa.COMMENT(";","$",{relevance:0}),{className:"meta",begin:/^\$(TTL|GENERATE|INCLUDE|ORIGIN)\b/},{className:"number",begin:"((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:)))\\b"},{className:"number",begin:"((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9]).){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\b"},qa.inherit(qa.NUMBER_MODE,{begin:/\b\d+[dhwm]?/})]}}return dns_1=Ra,dns_1}var dockerfile_1,hasRequiredDockerfile;function requireDockerfile(){if(hasRequiredDockerfile)return dockerfile_1;hasRequiredDockerfile=1;function Ra(qa){return{name:"Dockerfile",aliases:["docker"],case_insensitive:!0,keywords:["from","maintainer","expose","env","arg","user","onbuild","stopsignal"],contains:[qa.HASH_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.NUMBER_MODE,{beginKeywords:"run cmd entrypoint volume add copy workdir label healthcheck shell",starts:{end:/[^\\]$/,subLanguage:"bash"}}],illegal:"",illegal:"\\n"}]},ed,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},sd={className:"variable",begin:/&[a-z\d_]*\b/},od={className:"keyword",begin:"/[a-z][a-z\\d-]*/"},ld={className:"symbol",begin:"^\\s*[a-zA-Z_][a-zA-Z\\d_]*:"},cd={className:"params",relevance:0,begin:"<",end:">",contains:[td,sd]},ud={className:"title.class",begin:/[a-zA-Z_][a-zA-Z\d_@-]*(?=\s\{)/,relevance:.2},_d={className:"title.class",begin:/^\/(?=\s*\{)/,relevance:10},Ed={match:/[a-z][a-z-,]+(?=;)/,relevance:0,scope:"attr"},Ad={relevance:0,match:[/[a-z][a-z-,]+/,/\s*/,/=/],scope:{1:"attr",3:"operator"}},Td={scope:"punctuation",relevance:0,match:/\};|[;{}]/};return{name:"Device Tree",contains:[_d,sd,od,ld,ud,Ad,Ed,cd,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,td,ed,rd,Td,{begin:qa.IDENT_RE+"::",keywords:""}]}}return dts_1=Ra,dts_1}var dust_1,hasRequiredDust;function requireDust(){if(hasRequiredDust)return dust_1;hasRequiredDust=1;function Ra(qa){return{name:"Dust",aliases:["dst"],case_insensitive:!0,subLanguage:"xml",contains:[{className:"template-tag",begin:/\{[#\/]/,end:/\}/,illegal:/;/,contains:[{className:"name",begin:/[a-zA-Z\.-]+/,starts:{endsWithParent:!0,relevance:0,contains:[qa.QUOTE_STRING_MODE]}}]},{className:"template-variable",begin:/\{/,end:/\}/,illegal:/;/,keywords:"if eq ne lt lte gt gte select default math sep"}]}}return dust_1=Ra,dust_1}var ebnf_1,hasRequiredEbnf;function requireEbnf(){if(hasRequiredEbnf)return ebnf_1;hasRequiredEbnf=1;function Ra(qa){const ed=qa.COMMENT(/\(\*/,/\*\)/),td={className:"attribute",begin:/^[ ]*[a-zA-Z]+([\s_-]+[a-zA-Z]+)*/},sd={begin:/=/,end:/[.;]/,contains:[ed,{className:"meta",begin:/\?.*\?/},{className:"string",variants:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{begin:"`",end:"`"}]}]};return{name:"Extended Backus-Naur Form",illegal:/\S/,contains:[ed,td,sd]}}return ebnf_1=Ra,ebnf_1}var elixir_1,hasRequiredElixir;function requireElixir(){if(hasRequiredElixir)return elixir_1;hasRequiredElixir=1;function Ra(qa){const ed=qa.regex,td="[a-zA-Z_][a-zA-Z0-9_.]*(!|\\?)?",rd="[a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?",ld={$pattern:td,keyword:["after","alias","and","case","catch","cond","defstruct","defguard","do","else","end","fn","for","if","import","in","not","or","quote","raise","receive","require","reraise","rescue","try","unless","unquote","unquote_splicing","use","when","with|0"],literal:["false","nil","true"]},cd={className:"subst",begin:/#\{/,end:/\}/,keywords:ld},ud={className:"number",begin:"(\\b0o[0-7_]+)|(\\b0b[01_]+)|(\\b0x[0-9a-fA-F_]+)|(-?\\b[0-9][0-9_]*(\\.[0-9_]+([eE][-+]?[0-9]+)?)?)",relevance:0},Ed={match:/\\[\s\S]/,scope:"char.escape",relevance:0},Ad=`[/|([{<"']`,Td=[{begin:/"/,end:/"/},{begin:/'/,end:/'/},{begin:/\//,end:/\//},{begin:/\|/,end:/\|/},{begin:/\(/,end:/\)/},{begin:/\[/,end:/\]/},{begin:/\{/,end:/\}/},{begin://}],Nd=Ud=>({scope:"char.escape",begin:ed.concat(/\\/,Ud),relevance:0}),Rd={className:"string",begin:"~[a-z](?="+Ad+")",contains:Td.map(Ud=>qa.inherit(Ud,{contains:[Nd(Ud.end),Ed,cd]}))},Bd={className:"string",begin:"~[A-Z](?="+Ad+")",contains:Td.map(Ud=>qa.inherit(Ud,{contains:[Nd(Ud.end)]}))},kd={className:"regex",variants:[{begin:"~r(?="+Ad+")",contains:Td.map(Ud=>qa.inherit(Ud,{end:ed.concat(Ud.end,/[uismxfU]{0,7}/),contains:[Nd(Ud.end),Ed,cd]}))},{begin:"~R(?="+Ad+")",contains:Td.map(Ud=>qa.inherit(Ud,{end:ed.concat(Ud.end,/[uismxfU]{0,7}/),contains:[Nd(Ud.end)]}))}]},Od={className:"string",contains:[qa.BACKSLASH_ESCAPE,cd],variants:[{begin:/"""/,end:/"""/},{begin:/'''/,end:/'''/},{begin:/~S"""/,end:/"""/,contains:[]},{begin:/~S"/,end:/"/,contains:[]},{begin:/~S'''/,end:/'''/,contains:[]},{begin:/~S'/,end:/'/,contains:[]},{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},Md={className:"function",beginKeywords:"def defp defmacro defmacrop",end:/\B\b/,contains:[qa.inherit(qa.TITLE_MODE,{begin:td,endsParent:!0})]},Pd=qa.inherit(Md,{className:"class",beginKeywords:"defimpl defmodule defprotocol defrecord",end:/\bdo\b|$|;/}),Fd=[Od,kd,Bd,Rd,qa.HASH_COMMENT_MODE,Pd,Md,{begin:"::"},{className:"symbol",begin:":(?![\\s:])",contains:[Od,{begin:rd}],relevance:0},{className:"symbol",begin:td+":(?!:)",relevance:0},{className:"title.class",begin:/(\b[A-Z][a-zA-Z0-9_]+)/,relevance:0},ud,{className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))"}];return cd.contains=Fd,{name:"Elixir",aliases:["ex","exs"],keywords:ld,contains:Fd}}return elixir_1=Ra,elixir_1}var elm_1,hasRequiredElm;function requireElm(){if(hasRequiredElm)return elm_1;hasRequiredElm=1;function Ra(qa){const ed={variants:[qa.COMMENT("--","$"),qa.COMMENT(/\{-/,/-\}/,{contains:["self"]})]},td={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},rd={begin:"\\(",end:"\\)",illegal:'"',contains:[{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},ed]},sd={begin:/\{/,end:/\}/,contains:rd.contains},od={className:"string",begin:"'\\\\?.",end:"'",illegal:"."};return{name:"Elm",keywords:["let","in","if","then","else","case","of","where","module","import","exposing","type","alias","as","infix","infixl","infixr","port","effect","command","subscription"],contains:[{beginKeywords:"port effect module",end:"exposing",keywords:"port effect module where command subscription exposing",contains:[rd,ed],illegal:"\\W\\.|;"},{begin:"import",end:"$",keywords:"import as exposing",contains:[rd,ed],illegal:"\\W\\.|;"},{begin:"type",end:"$",keywords:"type alias",contains:[td,rd,sd,ed]},{beginKeywords:"infix infixl infixr",end:"$",contains:[qa.C_NUMBER_MODE,ed]},{begin:"port",end:"$",keywords:"port",contains:[ed]},od,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,td,qa.inherit(qa.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),ed,{begin:"->|<-"}],illegal:/;/}}return elm_1=Ra,elm_1}var ruby_1,hasRequiredRuby;function requireRuby(){if(hasRequiredRuby)return ruby_1;hasRequiredRuby=1;function Ra(qa){const ed=qa.regex,td="([a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?)",rd=ed.either(/\b([A-Z]+[a-z0-9]+)+/,/\b([A-Z]+[a-z0-9]+)+[A-Z]+/),sd=ed.concat(rd,/(::\w+)*/),ld={"variable.constant":["__FILE__","__LINE__","__ENCODING__"],"variable.language":["self","super"],keyword:["alias","and","begin","BEGIN","break","case","class","defined","do","else","elsif","end","END","ensure","for","if","in","module","next","not","or","redo","require","rescue","retry","return","then","undef","unless","until","when","while","yield",...["include","extend","prepend","public","private","protected","raise","throw"]],built_in:["proc","lambda","attr_accessor","attr_reader","attr_writer","define_method","private_constant","module_function"],literal:["true","false","nil"]},cd={className:"doctag",begin:"@[A-Za-z]+"},ud={begin:"#<",end:">"},_d=[qa.COMMENT("#","$",{contains:[cd]}),qa.COMMENT("^=begin","^=end",{contains:[cd],relevance:10}),qa.COMMENT("^__END__",qa.MATCH_NOTHING_RE)],Ed={className:"subst",begin:/#\{/,end:/\}/,keywords:ld},Ad={className:"string",contains:[qa.BACKSLASH_ESCAPE,Ed],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:/%[qQwWx]?\(/,end:/\)/},{begin:/%[qQwWx]?\[/,end:/\]/},{begin:/%[qQwWx]?\{/,end:/\}/},{begin:/%[qQwWx]?/},{begin:/%[qQwWx]?\//,end:/\//},{begin:/%[qQwWx]?%/,end:/%/},{begin:/%[qQwWx]?-/,end:/-/},{begin:/%[qQwWx]?\|/,end:/\|/},{begin:/\B\?(\\\d{1,3})/},{begin:/\B\?(\\x[A-Fa-f0-9]{1,2})/},{begin:/\B\?(\\u\{?[A-Fa-f0-9]{1,6}\}?)/},{begin:/\B\?(\\M-\\C-|\\M-\\c|\\c\\M-|\\M-|\\C-\\M-)[\x20-\x7e]/},{begin:/\B\?\\(c|C-)[\x20-\x7e]/},{begin:/\B\?\\?\S/},{begin:ed.concat(/<<[-~]?'?/,ed.lookahead(/(\w+)(?=\W)[^\n]*\n(?:[^\n]*\n)*?\s*\1\b/)),contains:[qa.END_SAME_AS_BEGIN({begin:/(\w+)/,end:/(\w+)/,contains:[qa.BACKSLASH_ESCAPE,Ed]})]}]},Td="[1-9](_?[0-9])*|0",Nd="[0-9](_?[0-9])*",Rd={className:"number",relevance:0,variants:[{begin:`\\b(${Td})(\\.(${Nd}))?([eE][+-]?(${Nd})|r)?i?\\b`},{begin:"\\b0[dD][0-9](_?[0-9])*r?i?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*r?i?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*r?i?\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*r?i?\\b"},{begin:"\\b0(_?[0-7])+r?i?\\b"}]},Bd={variants:[{match:/\(\)/},{className:"params",begin:/\(/,end:/(?=\))/,excludeBegin:!0,endsParent:!0,keywords:ld}]},Gd=[Ad,{variants:[{match:[/class\s+/,sd,/\s+<\s+/,sd]},{match:[/\b(class|module)\s+/,sd]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:ld},{match:[/(include|extend)\s+/,sd],scope:{2:"title.class"},keywords:ld},{relevance:0,match:[sd,/\.new[. (]/],scope:{1:"title.class"}},{relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"},{relevance:0,match:rd,scope:"title.class"},{match:[/def/,/\s+/,td],scope:{1:"keyword",3:"title.function"},contains:[Bd]},{begin:qa.IDENT_RE+"::"},{className:"symbol",begin:qa.UNDERSCORE_IDENT_RE+"(!|\\?)?:",relevance:0},{className:"symbol",begin:":(?!\\s)",contains:[Ad,{begin:td}],relevance:0},Rd,{className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])"},{className:"params",begin:/\|/,end:/\|/,excludeBegin:!0,excludeEnd:!0,relevance:0,keywords:ld},{begin:"("+qa.RE_STARTERS_RE+"|unless)\\s*",keywords:"unless",contains:[{className:"regexp",contains:[qa.BACKSLASH_ESCAPE,Ed],illegal:/\n/,variants:[{begin:"/",end:"/[a-z]*"},{begin:/%r\{/,end:/\}[a-z]*/},{begin:"%r\\(",end:"\\)[a-z]*"},{begin:"%r!",end:"![a-z]*"},{begin:"%r\\[",end:"\\][a-z]*"}]}].concat(ud,_d),relevance:0}].concat(ud,_d);Ed.contains=Gd,Bd.contains=Gd;const Xd=[{begin:/^\s*=>/,starts:{end:"$",contains:Gd}},{className:"meta.prompt",begin:"^("+"[>?]>"+"|"+"[\\w#]+\\(\\w+\\):\\d+:\\d+[>*]"+"|"+"(\\w+-)?\\d+\\.\\d+\\.\\d+(p\\d+)?[^\\d][^>]+>"+")(?=[ ])",starts:{end:"$",keywords:ld,contains:Gd}}];return _d.unshift(ud),{name:"Ruby",aliases:["rb","gemspec","podspec","thor","irb"],keywords:ld,illegal:/\/\*/,contains:[qa.SHEBANG({binary:"ruby"})].concat(Xd).concat(_d).concat(Gd)}}return ruby_1=Ra,ruby_1}var erb_1,hasRequiredErb;function requireErb(){if(hasRequiredErb)return erb_1;hasRequiredErb=1;function Ra(qa){return{name:"ERB",subLanguage:"xml",contains:[qa.COMMENT("<%#","%>"),{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}return erb_1=Ra,erb_1}var erlangRepl_1,hasRequiredErlangRepl;function requireErlangRepl(){if(hasRequiredErlangRepl)return erlangRepl_1;hasRequiredErlangRepl=1;function Ra(qa){const ed=qa.regex;return{name:"Erlang REPL",keywords:{built_in:"spawn spawn_link self",keyword:"after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor"},contains:[{className:"meta.prompt",begin:"^[0-9]+> ",relevance:10},qa.COMMENT("%","$"),{className:"number",begin:"\\b(\\d+(_\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\d+(_\\d+)*(\\.\\d+(_\\d+)*)?([eE][-+]?\\d+)?)",relevance:0},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{begin:ed.concat(/\?(::)?/,/([A-Z]\w*)/,/((::)[A-Z]\w*)*/)},{begin:"->"},{begin:"ok"},{begin:"!"},{begin:"(\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\b[a-z'][a-zA-Z0-9_']*)",relevance:0},{begin:"[A-Z][a-zA-Z0-9_']*",relevance:0}]}}return erlangRepl_1=Ra,erlangRepl_1}var erlang_1,hasRequiredErlang;function requireErlang(){if(hasRequiredErlang)return erlang_1;hasRequiredErlang=1;function Ra(qa){const ed="[a-z'][a-zA-Z0-9_']*",td="("+ed+":"+ed+"|"+ed+")",rd={keyword:"after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor",literal:"false true"},sd=qa.COMMENT("%","$"),od={className:"number",begin:"\\b(\\d+(_\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\d+(_\\d+)*(\\.\\d+(_\\d+)*)?([eE][-+]?\\d+)?)",relevance:0},ld={begin:"fun\\s+"+ed+"/\\d+"},cd={begin:td+"\\(",end:"\\)",returnBegin:!0,relevance:0,contains:[{begin:td,relevance:0},{begin:"\\(",end:"\\)",endsWithParent:!0,returnEnd:!0,relevance:0}]},ud={begin:/\{/,end:/\}/,relevance:0},_d={begin:"\\b_([A-Z][A-Za-z0-9_]*)?",relevance:0},Ed={begin:"[A-Z][a-zA-Z0-9_]*",relevance:0},Ad={begin:"#"+qa.UNDERSCORE_IDENT_RE,relevance:0,returnBegin:!0,contains:[{begin:"#"+qa.UNDERSCORE_IDENT_RE,relevance:0},{begin:/\{/,end:/\}/,relevance:0}]},Td={scope:"string",match:/\$(\\([^0-9]|[0-9]{1,3}|)|.)/},Nd={beginKeywords:"fun receive if try case",end:"end",keywords:rd};Nd.contains=[sd,ld,qa.inherit(qa.APOS_STRING_MODE,{className:""}),Nd,cd,qa.QUOTE_STRING_MODE,od,ud,_d,Ed,Ad,Td];const Rd=[sd,ld,Nd,cd,qa.QUOTE_STRING_MODE,od,ud,_d,Ed,Ad,Td];cd.contains[1].contains=Rd,ud.contains=Rd,Ad.contains[1].contains=Rd;const Bd=["-module","-record","-undef","-export","-ifdef","-ifndef","-author","-copyright","-doc","-vsn","-import","-include","-include_lib","-compile","-define","-else","-endif","-file","-behaviour","-behavior","-spec"],kd={className:"params",begin:"\\(",end:"\\)",contains:Rd};return{name:"Erlang",aliases:["erl"],keywords:rd,illegal:"(",returnBegin:!0,illegal:"\\(|#|//|/\\*|\\\\|:|;",contains:[kd,qa.inherit(qa.TITLE_MODE,{begin:ed})],starts:{end:";|\\.",keywords:rd,contains:Rd}},sd,{begin:"^-",end:"\\.",relevance:0,excludeEnd:!0,returnBegin:!0,keywords:{$pattern:"-"+qa.IDENT_RE,keyword:Bd.map(Od=>`${Od}|1.5`).join(" ")},contains:[kd]},od,qa.QUOTE_STRING_MODE,Ad,_d,Ed,ud,Td,{begin:/\.$/}]}}return erlang_1=Ra,erlang_1}var excel_1,hasRequiredExcel;function requireExcel(){if(hasRequiredExcel)return excel_1;hasRequiredExcel=1;function Ra(qa){return{name:"Excel formulae",aliases:["xlsx","xls"],case_insensitive:!0,keywords:{$pattern:/[a-zA-Z][\w\.]*/,built_in:["ABS","ACCRINT","ACCRINTM","ACOS","ACOSH","ACOT","ACOTH","AGGREGATE","ADDRESS","AMORDEGRC","AMORLINC","AND","ARABIC","AREAS","ASC","ASIN","ASINH","ATAN","ATAN2","ATANH","AVEDEV","AVERAGE","AVERAGEA","AVERAGEIF","AVERAGEIFS","BAHTTEXT","BASE","BESSELI","BESSELJ","BESSELK","BESSELY","BETADIST","BETA.DIST","BETAINV","BETA.INV","BIN2DEC","BIN2HEX","BIN2OCT","BINOMDIST","BINOM.DIST","BINOM.DIST.RANGE","BINOM.INV","BITAND","BITLSHIFT","BITOR","BITRSHIFT","BITXOR","CALL","CEILING","CEILING.MATH","CEILING.PRECISE","CELL","CHAR","CHIDIST","CHIINV","CHITEST","CHISQ.DIST","CHISQ.DIST.RT","CHISQ.INV","CHISQ.INV.RT","CHISQ.TEST","CHOOSE","CLEAN","CODE","COLUMN","COLUMNS","COMBIN","COMBINA","COMPLEX","CONCAT","CONCATENATE","CONFIDENCE","CONFIDENCE.NORM","CONFIDENCE.T","CONVERT","CORREL","COS","COSH","COT","COTH","COUNT","COUNTA","COUNTBLANK","COUNTIF","COUNTIFS","COUPDAYBS","COUPDAYS","COUPDAYSNC","COUPNCD","COUPNUM","COUPPCD","COVAR","COVARIANCE.P","COVARIANCE.S","CRITBINOM","CSC","CSCH","CUBEKPIMEMBER","CUBEMEMBER","CUBEMEMBERPROPERTY","CUBERANKEDMEMBER","CUBESET","CUBESETCOUNT","CUBEVALUE","CUMIPMT","CUMPRINC","DATE","DATEDIF","DATEVALUE","DAVERAGE","DAY","DAYS","DAYS360","DB","DBCS","DCOUNT","DCOUNTA","DDB","DEC2BIN","DEC2HEX","DEC2OCT","DECIMAL","DEGREES","DELTA","DEVSQ","DGET","DISC","DMAX","DMIN","DOLLAR","DOLLARDE","DOLLARFR","DPRODUCT","DSTDEV","DSTDEVP","DSUM","DURATION","DVAR","DVARP","EDATE","EFFECT","ENCODEURL","EOMONTH","ERF","ERF.PRECISE","ERFC","ERFC.PRECISE","ERROR.TYPE","EUROCONVERT","EVEN","EXACT","EXP","EXPON.DIST","EXPONDIST","FACT","FACTDOUBLE","FALSE|0","F.DIST","FDIST","F.DIST.RT","FILTERXML","FIND","FINDB","F.INV","F.INV.RT","FINV","FISHER","FISHERINV","FIXED","FLOOR","FLOOR.MATH","FLOOR.PRECISE","FORECAST","FORECAST.ETS","FORECAST.ETS.CONFINT","FORECAST.ETS.SEASONALITY","FORECAST.ETS.STAT","FORECAST.LINEAR","FORMULATEXT","FREQUENCY","F.TEST","FTEST","FV","FVSCHEDULE","GAMMA","GAMMA.DIST","GAMMADIST","GAMMA.INV","GAMMAINV","GAMMALN","GAMMALN.PRECISE","GAUSS","GCD","GEOMEAN","GESTEP","GETPIVOTDATA","GROWTH","HARMEAN","HEX2BIN","HEX2DEC","HEX2OCT","HLOOKUP","HOUR","HYPERLINK","HYPGEOM.DIST","HYPGEOMDIST","IF","IFERROR","IFNA","IFS","IMABS","IMAGINARY","IMARGUMENT","IMCONJUGATE","IMCOS","IMCOSH","IMCOT","IMCSC","IMCSCH","IMDIV","IMEXP","IMLN","IMLOG10","IMLOG2","IMPOWER","IMPRODUCT","IMREAL","IMSEC","IMSECH","IMSIN","IMSINH","IMSQRT","IMSUB","IMSUM","IMTAN","INDEX","INDIRECT","INFO","INT","INTERCEPT","INTRATE","IPMT","IRR","ISBLANK","ISERR","ISERROR","ISEVEN","ISFORMULA","ISLOGICAL","ISNA","ISNONTEXT","ISNUMBER","ISODD","ISREF","ISTEXT","ISO.CEILING","ISOWEEKNUM","ISPMT","JIS","KURT","LARGE","LCM","LEFT","LEFTB","LEN","LENB","LINEST","LN","LOG","LOG10","LOGEST","LOGINV","LOGNORM.DIST","LOGNORMDIST","LOGNORM.INV","LOOKUP","LOWER","MATCH","MAX","MAXA","MAXIFS","MDETERM","MDURATION","MEDIAN","MID","MIDBs","MIN","MINIFS","MINA","MINUTE","MINVERSE","MIRR","MMULT","MOD","MODE","MODE.MULT","MODE.SNGL","MONTH","MROUND","MULTINOMIAL","MUNIT","N","NA","NEGBINOM.DIST","NEGBINOMDIST","NETWORKDAYS","NETWORKDAYS.INTL","NOMINAL","NORM.DIST","NORMDIST","NORMINV","NORM.INV","NORM.S.DIST","NORMSDIST","NORM.S.INV","NORMSINV","NOT","NOW","NPER","NPV","NUMBERVALUE","OCT2BIN","OCT2DEC","OCT2HEX","ODD","ODDFPRICE","ODDFYIELD","ODDLPRICE","ODDLYIELD","OFFSET","OR","PDURATION","PEARSON","PERCENTILE.EXC","PERCENTILE.INC","PERCENTILE","PERCENTRANK.EXC","PERCENTRANK.INC","PERCENTRANK","PERMUT","PERMUTATIONA","PHI","PHONETIC","PI","PMT","POISSON.DIST","POISSON","POWER","PPMT","PRICE","PRICEDISC","PRICEMAT","PROB","PRODUCT","PROPER","PV","QUARTILE","QUARTILE.EXC","QUARTILE.INC","QUOTIENT","RADIANS","RAND","RANDBETWEEN","RANK.AVG","RANK.EQ","RANK","RATE","RECEIVED","REGISTER.ID","REPLACE","REPLACEB","REPT","RIGHT","RIGHTB","ROMAN","ROUND","ROUNDDOWN","ROUNDUP","ROW","ROWS","RRI","RSQ","RTD","SEARCH","SEARCHB","SEC","SECH","SECOND","SERIESSUM","SHEET","SHEETS","SIGN","SIN","SINH","SKEW","SKEW.P","SLN","SLOPE","SMALL","SQL.REQUEST","SQRT","SQRTPI","STANDARDIZE","STDEV","STDEV.P","STDEV.S","STDEVA","STDEVP","STDEVPA","STEYX","SUBSTITUTE","SUBTOTAL","SUM","SUMIF","SUMIFS","SUMPRODUCT","SUMSQ","SUMX2MY2","SUMX2PY2","SUMXMY2","SWITCH","SYD","T","TAN","TANH","TBILLEQ","TBILLPRICE","TBILLYIELD","T.DIST","T.DIST.2T","T.DIST.RT","TDIST","TEXT","TEXTJOIN","TIME","TIMEVALUE","T.INV","T.INV.2T","TINV","TODAY","TRANSPOSE","TREND","TRIM","TRIMMEAN","TRUE|0","TRUNC","T.TEST","TTEST","TYPE","UNICHAR","UNICODE","UPPER","VALUE","VAR","VAR.P","VAR.S","VARA","VARP","VARPA","VDB","VLOOKUP","WEBSERVICE","WEEKDAY","WEEKNUM","WEIBULL","WEIBULL.DIST","WORKDAY","WORKDAY.INTL","XIRR","XNPV","XOR","YEAR","YEARFRAC","YIELD","YIELDDISC","YIELDMAT","Z.TEST","ZTEST"]},contains:[{begin:/^=/,end:/[^=]/,returnEnd:!0,illegal:/=/,relevance:10},{className:"symbol",begin:/\b[A-Z]{1,2}\d+\b/,end:/[^\d]/,excludeEnd:!0,relevance:0},{className:"symbol",begin:/[A-Z]{0,2}\d*:[A-Z]{0,2}\d*/,relevance:0},qa.BACKSLASH_ESCAPE,qa.QUOTE_STRING_MODE,{className:"number",begin:qa.NUMBER_RE+"(%)?",relevance:0},qa.COMMENT(/\bN\(/,/\)/,{excludeBegin:!0,excludeEnd:!0,illegal:/\n/})]}}return excel_1=Ra,excel_1}var fix_1,hasRequiredFix;function requireFix(){if(hasRequiredFix)return fix_1;hasRequiredFix=1;function Ra(qa){return{name:"FIX",contains:[{begin:/[^\u2401\u0001]+/,end:/[\u2401\u0001]/,excludeEnd:!0,returnBegin:!0,returnEnd:!1,contains:[{begin:/([^\u2401\u0001=]+)/,end:/=([^\u2401\u0001=]+)/,returnEnd:!0,returnBegin:!1,className:"attr"},{begin:/=/,end:/([\u2401\u0001])/,excludeEnd:!0,excludeBegin:!0,className:"string"}]}],case_insensitive:!0}}return fix_1=Ra,fix_1}var flix_1,hasRequiredFlix;function requireFlix(){if(hasRequiredFlix)return flix_1;hasRequiredFlix=1;function Ra(qa){const ed={className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},td={className:"string",variants:[{begin:'"',end:'"'}]},sd={className:"function",beginKeywords:"def",end:/[:={\[(\n;]/,excludeEnd:!0,contains:[{className:"title",relevance:0,begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/}]};return{name:"Flix",keywords:{keyword:["case","class","def","else","enum","if","impl","import","in","lat","rel","index","let","match","namespace","switch","type","yield","with"],literal:["true","false"]},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,ed,td,sd,qa.C_NUMBER_MODE]}}return flix_1=Ra,flix_1}var fortran_1,hasRequiredFortran;function requireFortran(){if(hasRequiredFortran)return fortran_1;hasRequiredFortran=1;function Ra(qa){const ed=qa.regex,td={className:"params",begin:"\\(",end:"\\)"},rd={variants:[qa.COMMENT("!","$",{relevance:0}),qa.COMMENT("^C[ ]","$",{relevance:0}),qa.COMMENT("^C$","$",{relevance:0})]},sd=/(_[a-z_\d]+)?/,od=/([de][+-]?\d+)?/,ld={className:"number",variants:[{begin:ed.concat(/\b\d+/,/\.(\d*)/,od,sd)},{begin:ed.concat(/\b\d+/,od,sd)},{begin:ed.concat(/\.\d+/,od,sd)}],relevance:0},cd={className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[qa.UNDERSCORE_TITLE_MODE,td]},ud={className:"string",relevance:0,variants:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]};return{name:"Fortran",case_insensitive:!0,aliases:["f90","f95"],keywords:{$pattern:/\b[a-z][a-z0-9_]+\b|\.[a-z][a-z0-9_]+\./,keyword:["kind","do","concurrent","local","shared","while","private","call","intrinsic","where","elsewhere","type","endtype","endmodule","endselect","endinterface","end","enddo","endif","if","forall","endforall","only","contains","default","return","stop","then","block","endblock","endassociate","public","subroutine|10","function","program",".and.",".or.",".not.",".le.",".eq.",".ge.",".gt.",".lt.","goto","save","else","use","module","select","case","access","blank","direct","exist","file","fmt","form","formatted","iostat","name","named","nextrec","number","opened","rec","recl","sequential","status","unformatted","unit","continue","format","pause","cycle","exit","c_null_char","c_alert","c_backspace","c_form_feed","flush","wait","decimal","round","iomsg","synchronous","nopass","non_overridable","pass","protected","volatile","abstract","extends","import","non_intrinsic","value","deferred","generic","final","enumerator","class","associate","bind","enum","c_int","c_short","c_long","c_long_long","c_signed_char","c_size_t","c_int8_t","c_int16_t","c_int32_t","c_int64_t","c_int_least8_t","c_int_least16_t","c_int_least32_t","c_int_least64_t","c_int_fast8_t","c_int_fast16_t","c_int_fast32_t","c_int_fast64_t","c_intmax_t","C_intptr_t","c_float","c_double","c_long_double","c_float_complex","c_double_complex","c_long_double_complex","c_bool","c_char","c_null_ptr","c_null_funptr","c_new_line","c_carriage_return","c_horizontal_tab","c_vertical_tab","iso_c_binding","c_loc","c_funloc","c_associated","c_f_pointer","c_ptr","c_funptr","iso_fortran_env","character_storage_size","error_unit","file_storage_size","input_unit","iostat_end","iostat_eor","numeric_storage_size","output_unit","c_f_procpointer","ieee_arithmetic","ieee_support_underflow_control","ieee_get_underflow_mode","ieee_set_underflow_mode","newunit","contiguous","recursive","pad","position","action","delim","readwrite","eor","advance","nml","interface","procedure","namelist","include","sequence","elemental","pure","impure","integer","real","character","complex","logical","codimension","dimension","allocatable|10","parameter","external","implicit|10","none","double","precision","assign","intent","optional","pointer","target","in","out","common","equivalence","data"],literal:[".False.",".True."],built_in:["alog","alog10","amax0","amax1","amin0","amin1","amod","cabs","ccos","cexp","clog","csin","csqrt","dabs","dacos","dasin","datan","datan2","dcos","dcosh","ddim","dexp","dint","dlog","dlog10","dmax1","dmin1","dmod","dnint","dsign","dsin","dsinh","dsqrt","dtan","dtanh","float","iabs","idim","idint","idnint","ifix","isign","max0","max1","min0","min1","sngl","algama","cdabs","cdcos","cdexp","cdlog","cdsin","cdsqrt","cqabs","cqcos","cqexp","cqlog","cqsin","cqsqrt","dcmplx","dconjg","derf","derfc","dfloat","dgamma","dimag","dlgama","iqint","qabs","qacos","qasin","qatan","qatan2","qcmplx","qconjg","qcos","qcosh","qdim","qerf","qerfc","qexp","qgamma","qimag","qlgama","qlog","qlog10","qmax1","qmin1","qmod","qnint","qsign","qsin","qsinh","qsqrt","qtan","qtanh","abs","acos","aimag","aint","anint","asin","atan","atan2","char","cmplx","conjg","cos","cosh","exp","ichar","index","int","log","log10","max","min","nint","sign","sin","sinh","sqrt","tan","tanh","print","write","dim","lge","lgt","lle","llt","mod","nullify","allocate","deallocate","adjustl","adjustr","all","allocated","any","associated","bit_size","btest","ceiling","count","cshift","date_and_time","digits","dot_product","eoshift","epsilon","exponent","floor","fraction","huge","iand","ibclr","ibits","ibset","ieor","ior","ishft","ishftc","lbound","len_trim","matmul","maxexponent","maxloc","maxval","merge","minexponent","minloc","minval","modulo","mvbits","nearest","pack","present","product","radix","random_number","random_seed","range","repeat","reshape","rrspacing","scale","scan","selected_int_kind","selected_real_kind","set_exponent","shape","size","spacing","spread","sum","system_clock","tiny","transpose","trim","ubound","unpack","verify","achar","iachar","transfer","dble","entry","dprod","cpu_time","command_argument_count","get_command","get_command_argument","get_environment_variable","is_iostat_end","ieee_arithmetic","ieee_support_underflow_control","ieee_get_underflow_mode","ieee_set_underflow_mode","is_iostat_eor","move_alloc","new_line","selected_char_kind","same_type_as","extends_type_of","acosh","asinh","atanh","bessel_j0","bessel_j1","bessel_jn","bessel_y0","bessel_y1","bessel_yn","erf","erfc","erfc_scaled","gamma","log_gamma","hypot","norm2","atomic_define","atomic_ref","execute_command_line","leadz","trailz","storage_size","merge_bits","bge","bgt","ble","blt","dshiftl","dshiftr","findloc","iall","iany","iparity","image_index","lcobound","ucobound","maskl","maskr","num_images","parity","popcnt","poppar","shifta","shiftl","shiftr","this_image","sync","change","team","co_broadcast","co_max","co_min","co_sum","co_reduce"]},illegal:/\/\*/,contains:[ud,cd,{begin:/^C\s*=(?!=)/,relevance:0},rd,ld]}}return fortran_1=Ra,fortran_1}var fsharp_1,hasRequiredFsharp;function requireFsharp(){if(hasRequiredFsharp)return fsharp_1;hasRequiredFsharp=1;function Ra(ld){return new RegExp(ld.replace(/[-/\\^$*+?.()|[\]{}]/g,"\\$&"),"m")}function qa(ld){return ld?typeof ld=="string"?ld:ld.source:null}function ed(ld){return td("(?=",ld,")")}function td(...ld){return ld.map(ud=>qa(ud)).join("")}function rd(ld){const cd=ld[ld.length-1];return typeof cd=="object"&&cd.constructor===Object?(ld.splice(ld.length-1,1),cd):{}}function sd(...ld){return"("+(rd(ld).capture?"":"?:")+ld.map(_d=>qa(_d)).join("|")+")"}function od(ld){const cd=["abstract","and","as","assert","base","begin","class","default","delegate","do","done","downcast","downto","elif","else","end","exception","extern","finally","fixed","for","fun","function","global","if","in","inherit","inline","interface","internal","lazy","let","match","member","module","mutable","namespace","new","of","open","or","override","private","public","rec","return","static","struct","then","to","try","type","upcast","use","val","void","when","while","with","yield"],ud={scope:"keyword",match:/\b(yield|return|let|do|match|use)!/},_d=["if","else","endif","line","nowarn","light","r","i","I","load","time","help","quit"],Ed=["true","false","null","Some","None","Ok","Error","infinity","infinityf","nan","nanf"],Ad=["__LINE__","__SOURCE_DIRECTORY__","__SOURCE_FILE__"],Td=["bool","byte","sbyte","int8","int16","int32","uint8","uint16","uint32","int","uint","int64","uint64","nativeint","unativeint","decimal","float","double","float32","single","char","string","unit","bigint","option","voption","list","array","seq","byref","exn","inref","nativeptr","obj","outref","voidptr","Result"],Rd={keyword:cd,literal:Ed,built_in:["not","ref","raise","reraise","dict","readOnlyDict","set","get","enum","sizeof","typeof","typedefof","nameof","nullArg","invalidArg","invalidOp","id","fst","snd","ignore","lock","using","box","unbox","tryUnbox","printf","printfn","sprintf","eprintf","eprintfn","fprintf","fprintfn","failwith","failwithf"],"variable.constant":Ad},kd={variants:[ld.COMMENT(/\(\*(?!\))/,/\*\)/,{contains:["self"]}),ld.C_LINE_COMMENT_MODE]},Od=/[a-zA-Z_](\w|')*/,Md={scope:"variable",begin:/``/,end:/``/},Pd=/\B('|\^)/,Fd={scope:"symbol",variants:[{match:td(Pd,/``.*?``/)},{match:td(Pd,ld.UNDERSCORE_IDENT_RE)}],relevance:0},Ud=function({includeEqual:Jf}){let Qf;Jf?Qf="!%&*+-/<=>@^|~?":Qf="!%&*+-/<>@^|~?";const Ef=Array.from(Qf),bf=td("[",...Ef.map(Ra),"]"),Bf=sd(bf,/\./),Kf=td(Bf,ed(Bf)),nh=sd(td(Kf,Bf,"*"),td(bf,"+"));return{scope:"operator",match:sd(nh,/:\?>/,/:\?/,/:>/,/:=/,/::?/,/\$/),relevance:0}},Gd=Ud({includeEqual:!0}),Qd=Ud({includeEqual:!1}),Vd=function(Jf,Qf){return{begin:td(Jf,ed(td(/\s*/,sd(/\w/,/'/,/\^/,/#/,/``/,/\(/,/{\|/)))),beginScope:Qf,end:ed(sd(/\n/,/=/)),relevance:0,keywords:ld.inherit(Rd,{type:Td}),contains:[kd,Fd,ld.inherit(Md,{scope:null}),Qd]}},zd=Vd(/:/,"operator"),Xd=Vd(/\bof\b/,"keyword"),df={begin:[/(^|\s+)/,/type/,/\s+/,Od],beginScope:{2:"keyword",4:"title.class"},end:ed(/\(|=|$/),keywords:Rd,contains:[kd,ld.inherit(Md,{scope:null}),Fd,{scope:"operator",match:/<|>/},zd]},mf={scope:"computation-expression",match:/\b[_a-z]\w*(?=\s*\{)/},_f={begin:[/^\s*/,td(/#/,sd(..._d)),/\b/],beginScope:{2:"meta"},end:ed(/\s|$/)},hf={variants:[ld.BINARY_NUMBER_MODE,ld.C_NUMBER_MODE]},gf={scope:"string",begin:/"/,end:/"/,contains:[ld.BACKSLASH_ESCAPE]},yf={scope:"string",begin:/@"/,end:/"/,contains:[{match:/""/},ld.BACKSLASH_ESCAPE]},Nf={scope:"string",begin:/"""/,end:/"""/,relevance:2},Pf={scope:"subst",begin:/\{/,end:/\}/,keywords:Rd},Yf={scope:"string",begin:/\$"/,end:/"/,contains:[{match:/\{\{/},{match:/\}\}/},ld.BACKSLASH_ESCAPE,Pf]},Uf={scope:"string",begin:/(\$@|@\$)"/,end:/"/,contains:[{match:/\{\{/},{match:/\}\}/},{match:/""/},ld.BACKSLASH_ESCAPE,Pf]},Lf={scope:"string",begin:/\$"""/,end:/"""/,contains:[{match:/\{\{/},{match:/\}\}/},Pf],relevance:2},xf={scope:"string",match:td(/'/,sd(/[^\\']/,/\\(?:.|\d{3}|x[a-fA-F\d]{2}|u[a-fA-F\d]{4}|U[a-fA-F\d]{8})/),/'/)};return Pf.contains=[Uf,Yf,yf,gf,xf,ud,kd,Md,zd,mf,_f,hf,Fd,Gd],{name:"F#",aliases:["fs","f#"],keywords:Rd,illegal:/\/\*/,classNameAliases:{"computation-expression":"keyword"},contains:[ud,{variants:[Lf,Uf,Yf,Nf,yf,gf,xf]},kd,Md,df,{scope:"meta",begin:/\[\]/,relevance:2,contains:[Md,Nf,yf,gf,xf,hf]},Xd,zd,mf,_f,hf,Fd,Gd]}}return fsharp_1=od,fsharp_1}var gams_1,hasRequiredGams;function requireGams(){if(hasRequiredGams)return gams_1;hasRequiredGams=1;function Ra(qa){const ed=qa.regex,td={keyword:"abort acronym acronyms alias all and assign binary card diag display else eq file files for free ge gt if integer le loop lt maximizing minimizing model models ne negative no not option options or ord positive prod put putpage puttl repeat sameas semicont semiint smax smin solve sos1 sos2 sum system table then until using while xor yes",literal:"eps inf na",built_in:"abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power randBinomial randLinear randTriangle round rPower sigmoid sign signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion handleCollect handleDelete handleStatus handleSubmit heapFree heapLimit heapSize jobHandle jobKill jobStatus jobTerminate licenseLevel licenseStatus maxExecError sleep timeClose timeComp timeElapsed timeExec timeStart"},rd={className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0},sd={className:"symbol",variants:[{begin:/=[lgenxc]=/},{begin:/\$/}]},od={className:"comment",variants:[{begin:"'",end:"'"},{begin:'"',end:'"'}],illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE]},ld={begin:"/",end:"/",keywords:td,contains:[od,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,qa.C_NUMBER_MODE]},cd=/[a-z0-9&#*=?@\\><:,()$[\]_.{}!+%^-]+/,ud={begin:/[a-z][a-z0-9_]*(\([a-z0-9_, ]*\))?[ \t]+/,excludeBegin:!0,end:"$",endsWithParent:!0,contains:[od,ld,{className:"comment",begin:ed.concat(cd,ed.anyNumberOfTimes(ed.concat(/[ ]+/,cd))),relevance:0}]};return{name:"GAMS",aliases:["gms"],case_insensitive:!0,keywords:td,contains:[qa.COMMENT(/^\$ontext/,/^\$offtext/),{className:"meta",begin:"^\\$[a-z0-9]+",end:"$",returnBegin:!0,contains:[{className:"keyword",begin:"^\\$[a-z0-9]+"}]},qa.COMMENT("^\\*","$"),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,{beginKeywords:"set sets parameter parameters variable variables scalar scalars equation equations",end:";",contains:[qa.COMMENT("^\\*","$"),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,ld,ud]},{beginKeywords:"table",end:";",returnBegin:!0,contains:[{beginKeywords:"table",end:"$",contains:[ud]},qa.COMMENT("^\\*","$"),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,qa.C_NUMBER_MODE]},{className:"function",begin:/^[a-z][a-z0-9_,\-+' ()$]+\.{2}/,returnBegin:!0,contains:[{className:"title",begin:/^[a-z0-9_]+/},rd,sd]},qa.C_NUMBER_MODE,sd]}}return gams_1=Ra,gams_1}var gauss_1,hasRequiredGauss;function requireGauss(){if(hasRequiredGauss)return gauss_1;hasRequiredGauss=1;function Ra(qa){const ed={keyword:"bool break call callexe checkinterrupt clear clearg closeall cls comlog compile continue create debug declare delete disable dlibrary dllcall do dos ed edit else elseif enable end endfor endif endp endo errorlog errorlogat expr external fn for format goto gosub graph if keyword let lib library line load loadarray loadexe loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen scroll setarray show sparse stop string struct system trace trap threadfor threadendfor threadbegin threadjoin threadstat threadend until use while winprint ne ge le gt lt and xor or not eq eqv",built_in:"abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester strtrim",literal:"DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR"},td=qa.COMMENT("@","@"),rd={className:"meta",begin:"#",end:"$",keywords:{keyword:"define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",keywords:{keyword:"include"},contains:[{className:"string",begin:'"',end:'"',illegal:"\\n"}]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,td]},sd={begin:/\bstruct\s+/,end:/\s/,keywords:"struct",contains:[{className:"type",begin:qa.UNDERSCORE_IDENT_RE,relevance:0}]},od=[{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,endsWithParent:!0,relevance:0,contains:[{className:"literal",begin:/\.\.\./},qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE,td,sd]}],ld={className:"title",begin:qa.UNDERSCORE_IDENT_RE,relevance:0},cd=function(Td,Nd,Rd){const Bd=qa.inherit({className:"function",beginKeywords:Td,end:Nd,excludeEnd:!0,contains:[].concat(od)},{});return Bd.contains.push(ld),Bd.contains.push(qa.C_NUMBER_MODE),Bd.contains.push(qa.C_BLOCK_COMMENT_MODE),Bd.contains.push(td),Bd},ud={className:"built_in",begin:"\\b("+ed.built_in.split(" ").join("|")+")\\b"},_d={className:"string",begin:'"',end:'"',contains:[qa.BACKSLASH_ESCAPE],relevance:0},Ed={begin:qa.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,keywords:ed,relevance:0,contains:[{beginKeywords:ed.keyword},ud,{className:"built_in",begin:qa.UNDERSCORE_IDENT_RE,relevance:0}]},Ad={begin:/\(/,end:/\)/,relevance:0,keywords:{built_in:ed.built_in,literal:ed.literal},contains:[qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE,td,ud,Ed,_d,"self"]};return Ed.contains.push(Ad),{name:"GAUSS",aliases:["gss"],case_insensitive:!0,keywords:ed,illegal:/(\{[%#]|[%#]\}| <- )/,contains:[qa.C_NUMBER_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,td,_d,rd,{className:"keyword",begin:/\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/},cd("proc keyword",";"),cd("fn","="),{beginKeywords:"for threadfor",end:/;/,relevance:0,contains:[qa.C_BLOCK_COMMENT_MODE,td,Ad]},{variants:[{begin:qa.UNDERSCORE_IDENT_RE+"\\."+qa.UNDERSCORE_IDENT_RE},{begin:qa.UNDERSCORE_IDENT_RE+"\\s*="}],relevance:0},Ed,sd]}}return gauss_1=Ra,gauss_1}var gcode_1,hasRequiredGcode;function requireGcode(){if(hasRequiredGcode)return gcode_1;hasRequiredGcode=1;function Ra(qa){const ed="[A-Z_][A-Z0-9_.]*",td="%",rd={$pattern:ed,keyword:"IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT EQ LT GT NE GE LE OR XOR"},sd={className:"meta",begin:"([O])([0-9]+)"},od=qa.inherit(qa.C_NUMBER_MODE,{begin:"([-+]?((\\.\\d+)|(\\d+)(\\.\\d*)?))|"+qa.C_NUMBER_RE}),ld=[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.COMMENT(/\(/,/\)/),od,qa.inherit(qa.APOS_STRING_MODE,{illegal:null}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{className:"name",begin:"([G])([0-9]+\\.?[0-9]?)"},{className:"name",begin:"([M])([0-9]+\\.?[0-9]?)"},{className:"attr",begin:"(VC|VS|#)",end:"(\\d+)"},{className:"attr",begin:"(VZOFX|VZOFY|VZOFZ)"},{className:"built_in",begin:"(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\[)",contains:[od],end:"\\]"},{className:"symbol",variants:[{begin:"N",end:"\\d+",illegal:"\\W"}]}];return{name:"G-code (ISO 6983)",aliases:["nc"],case_insensitive:!0,keywords:rd,contains:[{className:"meta",begin:td},sd].concat(ld)}}return gcode_1=Ra,gcode_1}var gherkin_1,hasRequiredGherkin;function requireGherkin(){if(hasRequiredGherkin)return gherkin_1;hasRequiredGherkin=1;function Ra(qa){return{name:"Gherkin",aliases:["feature"],keywords:"Feature Background Ability Business Need Scenario Scenarios Scenario Outline Scenario Template Examples Given And Then But When",contains:[{className:"symbol",begin:"\\*",relevance:0},{className:"meta",begin:"@[^@\\s]+"},{begin:"\\|",end:"\\|\\w*$",contains:[{className:"string",begin:"[^|]+"}]},{className:"variable",begin:"<",end:">"},qa.HASH_COMMENT_MODE,{className:"string",begin:'"""',end:'"""'},qa.QUOTE_STRING_MODE]}}return gherkin_1=Ra,gherkin_1}var glsl_1,hasRequiredGlsl;function requireGlsl(){if(hasRequiredGlsl)return glsl_1;hasRequiredGlsl=1;function Ra(qa){return{name:"GLSL",keywords:{keyword:"break continue discard do else for if return while switch case default attribute binding buffer ccw centroid centroid varying coherent column_major const cw depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip triangles triangles_adjacency uniform varying vertices volatile writeonly",type:"atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBuffer iimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void",built_in:"gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow",literal:"true false"},illegal:'"',contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"}]}}return glsl_1=Ra,glsl_1}var gml_1,hasRequiredGml;function requireGml(){if(hasRequiredGml)return gml_1;hasRequiredGml=1;function Ra(qa){return{name:"GML",case_insensitive:!1,keywords:{keyword:["#endregion","#macro","#region","and","begin","break","case","constructor","continue","default","delete","div","do","else","end","enum","exit","for","function","globalvar","if","mod","new","not","or","repeat","return","static","switch","then","until","var","while","with","xor"],built_in:["abs","alarm_get","alarm_set","angle_difference","animcurve_channel_evaluate","animcurve_channel_new","animcurve_create","animcurve_destroy","animcurve_exists","animcurve_get","animcurve_get_channel","animcurve_get_channel_index","animcurve_point_new","ansi_char","application_get_position","application_surface_draw_enable","application_surface_enable","application_surface_is_enabled","arccos","arcsin","arctan","arctan2","array_all","array_any","array_concat","array_contains","array_contains_ext","array_copy","array_copy_while","array_create","array_create_ext","array_delete","array_equals","array_filter","array_filter_ext","array_find_index","array_first","array_foreach","array_get","array_get_index","array_insert","array_intersection","array_last","array_length","array_map","array_map_ext","array_pop","array_push","array_reduce","array_resize","array_reverse","array_reverse_ext","array_set","array_shuffle","array_shuffle_ext","array_sort","array_union","array_unique","array_unique_ext","asset_add_tags","asset_clear_tags","asset_get_ids","asset_get_index","asset_get_tags","asset_get_type","asset_has_any_tag","asset_has_tags","asset_remove_tags","audio_bus_clear_emitters","audio_bus_create","audio_bus_get_emitters","audio_channel_num","audio_create_buffer_sound","audio_create_play_queue","audio_create_stream","audio_create_sync_group","audio_debug","audio_destroy_stream","audio_destroy_sync_group","audio_effect_create","audio_emitter_bus","audio_emitter_create","audio_emitter_exists","audio_emitter_falloff","audio_emitter_free","audio_emitter_gain","audio_emitter_get_bus","audio_emitter_get_gain","audio_emitter_get_listener_mask","audio_emitter_get_pitch","audio_emitter_get_vx","audio_emitter_get_vy","audio_emitter_get_vz","audio_emitter_get_x","audio_emitter_get_y","audio_emitter_get_z","audio_emitter_pitch","audio_emitter_position","audio_emitter_set_listener_mask","audio_emitter_velocity","audio_exists","audio_falloff_set_model","audio_free_buffer_sound","audio_free_play_queue","audio_get_listener_count","audio_get_listener_info","audio_get_listener_mask","audio_get_master_gain","audio_get_name","audio_get_recorder_count","audio_get_recorder_info","audio_get_type","audio_group_get_assets","audio_group_get_gain","audio_group_is_loaded","audio_group_load","audio_group_load_progress","audio_group_name","audio_group_set_gain","audio_group_stop_all","audio_group_unload","audio_is_paused","audio_is_playing","audio_listener_get_data","audio_listener_orientation","audio_listener_position","audio_listener_set_orientation","audio_listener_set_position","audio_listener_set_velocity","audio_listener_velocity","audio_master_gain","audio_pause_all","audio_pause_sound","audio_pause_sync_group","audio_play_in_sync_group","audio_play_sound","audio_play_sound_at","audio_play_sound_ext","audio_play_sound_on","audio_queue_sound","audio_resume_all","audio_resume_sound","audio_resume_sync_group","audio_set_listener_mask","audio_set_master_gain","audio_sound_gain","audio_sound_get_audio_group","audio_sound_get_gain","audio_sound_get_listener_mask","audio_sound_get_loop","audio_sound_get_loop_end","audio_sound_get_loop_start","audio_sound_get_pitch","audio_sound_get_track_position","audio_sound_is_playable","audio_sound_length","audio_sound_loop","audio_sound_loop_end","audio_sound_loop_start","audio_sound_pitch","audio_sound_set_listener_mask","audio_sound_set_track_position","audio_start_recording","audio_start_sync_group","audio_stop_all","audio_stop_recording","audio_stop_sound","audio_stop_sync_group","audio_sync_group_debug","audio_sync_group_get_track_pos","audio_sync_group_is_paused","audio_sync_group_is_playing","audio_system_is_available","audio_system_is_initialised","base64_decode","base64_encode","bool","browser_input_capture","buffer_async_group_begin","buffer_async_group_end","buffer_async_group_option","buffer_base64_decode","buffer_base64_decode_ext","buffer_base64_encode","buffer_compress","buffer_copy","buffer_copy_from_vertex_buffer","buffer_copy_stride","buffer_crc32","buffer_create","buffer_create_from_vertex_buffer","buffer_create_from_vertex_buffer_ext","buffer_decompress","buffer_delete","buffer_exists","buffer_fill","buffer_get_address","buffer_get_alignment","buffer_get_size","buffer_get_surface","buffer_get_type","buffer_load","buffer_load_async","buffer_load_ext","buffer_load_partial","buffer_md5","buffer_peek","buffer_poke","buffer_read","buffer_resize","buffer_save","buffer_save_async","buffer_save_ext","buffer_seek","buffer_set_surface","buffer_set_used_size","buffer_sha1","buffer_sizeof","buffer_tell","buffer_write","call_cancel","call_later","camera_apply","camera_copy_transforms","camera_create","camera_create_view","camera_destroy","camera_get_active","camera_get_begin_script","camera_get_default","camera_get_end_script","camera_get_proj_mat","camera_get_update_script","camera_get_view_angle","camera_get_view_border_x","camera_get_view_border_y","camera_get_view_height","camera_get_view_mat","camera_get_view_speed_x","camera_get_view_speed_y","camera_get_view_target","camera_get_view_width","camera_get_view_x","camera_get_view_y","camera_set_begin_script","camera_set_default","camera_set_end_script","camera_set_proj_mat","camera_set_update_script","camera_set_view_angle","camera_set_view_border","camera_set_view_mat","camera_set_view_pos","camera_set_view_size","camera_set_view_speed","camera_set_view_target","ceil","choose","chr","clamp","clickable_add","clickable_add_ext","clickable_change","clickable_change_ext","clickable_delete","clickable_exists","clickable_set_style","clipboard_get_text","clipboard_has_text","clipboard_set_text","cloud_file_save","cloud_string_save","cloud_synchronise","code_is_compiled","collision_circle","collision_circle_list","collision_ellipse","collision_ellipse_list","collision_line","collision_line_list","collision_point","collision_point_list","collision_rectangle","collision_rectangle_list","color_get_blue","color_get_green","color_get_hue","color_get_red","color_get_saturation","color_get_value","colour_get_blue","colour_get_green","colour_get_hue","colour_get_red","colour_get_saturation","colour_get_value","cos","darccos","darcsin","darctan","darctan2","date_compare_date","date_compare_datetime","date_compare_time","date_create_datetime","date_current_datetime","date_date_of","date_date_string","date_datetime_string","date_day_span","date_days_in_month","date_days_in_year","date_get_day","date_get_day_of_year","date_get_hour","date_get_hour_of_year","date_get_minute","date_get_minute_of_year","date_get_month","date_get_second","date_get_second_of_year","date_get_timezone","date_get_week","date_get_weekday","date_get_year","date_hour_span","date_inc_day","date_inc_hour","date_inc_minute","date_inc_month","date_inc_second","date_inc_week","date_inc_year","date_is_today","date_leap_year","date_minute_span","date_month_span","date_second_span","date_set_timezone","date_time_of","date_time_string","date_valid_datetime","date_week_span","date_year_span","db_to_lin","dbg_add_font_glyphs","dbg_button","dbg_checkbox","dbg_color","dbg_colour","dbg_drop_down","dbg_same_line","dbg_section","dbg_section_delete","dbg_section_exists","dbg_slider","dbg_slider_int","dbg_sprite","dbg_text","dbg_text_input","dbg_view","dbg_view_delete","dbg_view_exists","dbg_watch","dcos","debug_event","debug_get_callstack","degtorad","device_get_tilt_x","device_get_tilt_y","device_get_tilt_z","device_is_keypad_open","device_mouse_check_button","device_mouse_check_button_pressed","device_mouse_check_button_released","device_mouse_dbclick_enable","device_mouse_raw_x","device_mouse_raw_y","device_mouse_x","device_mouse_x_to_gui","device_mouse_y","device_mouse_y_to_gui","directory_create","directory_destroy","directory_exists","display_get_dpi_x","display_get_dpi_y","display_get_frequency","display_get_gui_height","display_get_gui_width","display_get_height","display_get_orientation","display_get_sleep_margin","display_get_timing_method","display_get_width","display_mouse_get_x","display_mouse_get_y","display_mouse_set","display_reset","display_set_gui_maximise","display_set_gui_maximize","display_set_gui_size","display_set_sleep_margin","display_set_timing_method","display_set_ui_visibility","distance_to_object","distance_to_point","dot_product","dot_product_3d","dot_product_3d_normalised","dot_product_3d_normalized","dot_product_normalised","dot_product_normalized","draw_arrow","draw_button","draw_circle","draw_circle_color","draw_circle_colour","draw_clear","draw_clear_alpha","draw_ellipse","draw_ellipse_color","draw_ellipse_colour","draw_enable_drawevent","draw_enable_skeleton_blendmodes","draw_enable_swf_aa","draw_flush","draw_get_alpha","draw_get_color","draw_get_colour","draw_get_enable_skeleton_blendmodes","draw_get_font","draw_get_halign","draw_get_lighting","draw_get_swf_aa_level","draw_get_valign","draw_getpixel","draw_getpixel_ext","draw_healthbar","draw_highscore","draw_light_define_ambient","draw_light_define_direction","draw_light_define_point","draw_light_enable","draw_light_get","draw_light_get_ambient","draw_line","draw_line_color","draw_line_colour","draw_line_width","draw_line_width_color","draw_line_width_colour","draw_path","draw_point","draw_point_color","draw_point_colour","draw_primitive_begin","draw_primitive_begin_texture","draw_primitive_end","draw_rectangle","draw_rectangle_color","draw_rectangle_colour","draw_roundrect","draw_roundrect_color","draw_roundrect_color_ext","draw_roundrect_colour","draw_roundrect_colour_ext","draw_roundrect_ext","draw_self","draw_set_alpha","draw_set_circle_precision","draw_set_color","draw_set_colour","draw_set_font","draw_set_halign","draw_set_lighting","draw_set_swf_aa_level","draw_set_valign","draw_skeleton","draw_skeleton_collision","draw_skeleton_instance","draw_skeleton_time","draw_sprite","draw_sprite_ext","draw_sprite_general","draw_sprite_part","draw_sprite_part_ext","draw_sprite_pos","draw_sprite_stretched","draw_sprite_stretched_ext","draw_sprite_tiled","draw_sprite_tiled_ext","draw_surface","draw_surface_ext","draw_surface_general","draw_surface_part","draw_surface_part_ext","draw_surface_stretched","draw_surface_stretched_ext","draw_surface_tiled","draw_surface_tiled_ext","draw_text","draw_text_color","draw_text_colour","draw_text_ext","draw_text_ext_color","draw_text_ext_colour","draw_text_ext_transformed","draw_text_ext_transformed_color","draw_text_ext_transformed_colour","draw_text_transformed","draw_text_transformed_color","draw_text_transformed_colour","draw_texture_flush","draw_tile","draw_tilemap","draw_triangle","draw_triangle_color","draw_triangle_colour","draw_vertex","draw_vertex_color","draw_vertex_colour","draw_vertex_texture","draw_vertex_texture_color","draw_vertex_texture_colour","ds_exists","ds_grid_add","ds_grid_add_disk","ds_grid_add_grid_region","ds_grid_add_region","ds_grid_clear","ds_grid_copy","ds_grid_create","ds_grid_destroy","ds_grid_get","ds_grid_get_disk_max","ds_grid_get_disk_mean","ds_grid_get_disk_min","ds_grid_get_disk_sum","ds_grid_get_max","ds_grid_get_mean","ds_grid_get_min","ds_grid_get_sum","ds_grid_height","ds_grid_multiply","ds_grid_multiply_disk","ds_grid_multiply_grid_region","ds_grid_multiply_region","ds_grid_read","ds_grid_resize","ds_grid_set","ds_grid_set_disk","ds_grid_set_grid_region","ds_grid_set_region","ds_grid_shuffle","ds_grid_sort","ds_grid_to_mp_grid","ds_grid_value_disk_exists","ds_grid_value_disk_x","ds_grid_value_disk_y","ds_grid_value_exists","ds_grid_value_x","ds_grid_value_y","ds_grid_width","ds_grid_write","ds_list_add","ds_list_clear","ds_list_copy","ds_list_create","ds_list_delete","ds_list_destroy","ds_list_empty","ds_list_find_index","ds_list_find_value","ds_list_insert","ds_list_is_list","ds_list_is_map","ds_list_mark_as_list","ds_list_mark_as_map","ds_list_read","ds_list_replace","ds_list_set","ds_list_shuffle","ds_list_size","ds_list_sort","ds_list_write","ds_map_add","ds_map_add_list","ds_map_add_map","ds_map_clear","ds_map_copy","ds_map_create","ds_map_delete","ds_map_destroy","ds_map_empty","ds_map_exists","ds_map_find_first","ds_map_find_last","ds_map_find_next","ds_map_find_previous","ds_map_find_value","ds_map_is_list","ds_map_is_map","ds_map_keys_to_array","ds_map_read","ds_map_replace","ds_map_replace_list","ds_map_replace_map","ds_map_secure_load","ds_map_secure_load_buffer","ds_map_secure_save","ds_map_secure_save_buffer","ds_map_set","ds_map_size","ds_map_values_to_array","ds_map_write","ds_priority_add","ds_priority_change_priority","ds_priority_clear","ds_priority_copy","ds_priority_create","ds_priority_delete_max","ds_priority_delete_min","ds_priority_delete_value","ds_priority_destroy","ds_priority_empty","ds_priority_find_max","ds_priority_find_min","ds_priority_find_priority","ds_priority_read","ds_priority_size","ds_priority_write","ds_queue_clear","ds_queue_copy","ds_queue_create","ds_queue_dequeue","ds_queue_destroy","ds_queue_empty","ds_queue_enqueue","ds_queue_head","ds_queue_read","ds_queue_size","ds_queue_tail","ds_queue_write","ds_set_precision","ds_stack_clear","ds_stack_copy","ds_stack_create","ds_stack_destroy","ds_stack_empty","ds_stack_pop","ds_stack_push","ds_stack_read","ds_stack_size","ds_stack_top","ds_stack_write","dsin","dtan","effect_clear","effect_create_above","effect_create_below","effect_create_depth","effect_create_layer","environment_get_variable","event_inherited","event_perform","event_perform_async","event_perform_object","event_user","exception_unhandled_handler","exp","extension_exists","extension_get_option_count","extension_get_option_names","extension_get_option_value","extension_get_options","extension_get_version","external_call","external_define","external_free","file_attributes","file_bin_close","file_bin_open","file_bin_position","file_bin_read_byte","file_bin_rewrite","file_bin_seek","file_bin_size","file_bin_write_byte","file_copy","file_delete","file_exists","file_find_close","file_find_first","file_find_next","file_rename","file_text_close","file_text_eof","file_text_eoln","file_text_open_append","file_text_open_from_string","file_text_open_read","file_text_open_write","file_text_read_real","file_text_read_string","file_text_readln","file_text_write_real","file_text_write_string","file_text_writeln","filename_change_ext","filename_dir","filename_drive","filename_ext","filename_name","filename_path","floor","font_add","font_add_enable_aa","font_add_get_enable_aa","font_add_sprite","font_add_sprite_ext","font_cache_glyph","font_delete","font_enable_effects","font_enable_sdf","font_exists","font_get_bold","font_get_first","font_get_fontname","font_get_info","font_get_italic","font_get_last","font_get_name","font_get_sdf_enabled","font_get_sdf_spread","font_get_size","font_get_texture","font_get_uvs","font_replace_sprite","font_replace_sprite_ext","font_sdf_spread","font_set_cache_size","frac","fx_create","fx_get_name","fx_get_parameter","fx_get_parameter_names","fx_get_parameters","fx_get_single_layer","fx_set_parameter","fx_set_parameters","fx_set_single_layer","game_change","game_end","game_get_speed","game_load","game_load_buffer","game_restart","game_save","game_save_buffer","game_set_speed","gamepad_axis_count","gamepad_axis_value","gamepad_button_check","gamepad_button_check_pressed","gamepad_button_check_released","gamepad_button_count","gamepad_button_value","gamepad_get_axis_deadzone","gamepad_get_button_threshold","gamepad_get_description","gamepad_get_device_count","gamepad_get_guid","gamepad_get_mapping","gamepad_get_option","gamepad_hat_count","gamepad_hat_value","gamepad_is_connected","gamepad_is_supported","gamepad_remove_mapping","gamepad_set_axis_deadzone","gamepad_set_button_threshold","gamepad_set_color","gamepad_set_colour","gamepad_set_option","gamepad_set_vibration","gamepad_test_mapping","gc_collect","gc_enable","gc_get_stats","gc_get_target_frame_time","gc_is_enabled","gc_target_frame_time","gesture_double_tap_distance","gesture_double_tap_time","gesture_drag_distance","gesture_drag_time","gesture_flick_speed","gesture_get_double_tap_distance","gesture_get_double_tap_time","gesture_get_drag_distance","gesture_get_drag_time","gesture_get_flick_speed","gesture_get_pinch_angle_away","gesture_get_pinch_angle_towards","gesture_get_pinch_distance","gesture_get_rotate_angle","gesture_get_rotate_time","gesture_get_tap_count","gesture_pinch_angle_away","gesture_pinch_angle_towards","gesture_pinch_distance","gesture_rotate_angle","gesture_rotate_time","gesture_tap_count","get_integer","get_integer_async","get_login_async","get_open_filename","get_open_filename_ext","get_save_filename","get_save_filename_ext","get_string","get_string_async","get_timer","gif_add_surface","gif_open","gif_save","gif_save_buffer","gml_pragma","gml_release_mode","gpu_get_alphatestenable","gpu_get_alphatestref","gpu_get_blendenable","gpu_get_blendmode","gpu_get_blendmode_dest","gpu_get_blendmode_destalpha","gpu_get_blendmode_ext","gpu_get_blendmode_ext_sepalpha","gpu_get_blendmode_src","gpu_get_blendmode_srcalpha","gpu_get_colorwriteenable","gpu_get_colourwriteenable","gpu_get_cullmode","gpu_get_depth","gpu_get_fog","gpu_get_state","gpu_get_tex_filter","gpu_get_tex_filter_ext","gpu_get_tex_max_aniso","gpu_get_tex_max_aniso_ext","gpu_get_tex_max_mip","gpu_get_tex_max_mip_ext","gpu_get_tex_min_mip","gpu_get_tex_min_mip_ext","gpu_get_tex_mip_bias","gpu_get_tex_mip_bias_ext","gpu_get_tex_mip_enable","gpu_get_tex_mip_enable_ext","gpu_get_tex_mip_filter","gpu_get_tex_mip_filter_ext","gpu_get_tex_repeat","gpu_get_tex_repeat_ext","gpu_get_texfilter","gpu_get_texfilter_ext","gpu_get_texrepeat","gpu_get_texrepeat_ext","gpu_get_zfunc","gpu_get_ztestenable","gpu_get_zwriteenable","gpu_pop_state","gpu_push_state","gpu_set_alphatestenable","gpu_set_alphatestref","gpu_set_blendenable","gpu_set_blendmode","gpu_set_blendmode_ext","gpu_set_blendmode_ext_sepalpha","gpu_set_colorwriteenable","gpu_set_colourwriteenable","gpu_set_cullmode","gpu_set_depth","gpu_set_fog","gpu_set_state","gpu_set_tex_filter","gpu_set_tex_filter_ext","gpu_set_tex_max_aniso","gpu_set_tex_max_aniso_ext","gpu_set_tex_max_mip","gpu_set_tex_max_mip_ext","gpu_set_tex_min_mip","gpu_set_tex_min_mip_ext","gpu_set_tex_mip_bias","gpu_set_tex_mip_bias_ext","gpu_set_tex_mip_enable","gpu_set_tex_mip_enable_ext","gpu_set_tex_mip_filter","gpu_set_tex_mip_filter_ext","gpu_set_tex_repeat","gpu_set_tex_repeat_ext","gpu_set_texfilter","gpu_set_texfilter_ext","gpu_set_texrepeat","gpu_set_texrepeat_ext","gpu_set_zfunc","gpu_set_ztestenable","gpu_set_zwriteenable","handle_parse","highscore_add","highscore_clear","highscore_name","highscore_value","http_get","http_get_file","http_get_request_crossorigin","http_post_string","http_request","http_set_request_crossorigin","iap_acquire","iap_activate","iap_consume","iap_enumerate_products","iap_product_details","iap_purchase_details","iap_restore_all","iap_status","ini_close","ini_key_delete","ini_key_exists","ini_open","ini_open_from_string","ini_read_real","ini_read_string","ini_section_delete","ini_section_exists","ini_write_real","ini_write_string","instance_activate_all","instance_activate_layer","instance_activate_object","instance_activate_region","instance_change","instance_copy","instance_create_depth","instance_create_layer","instance_deactivate_all","instance_deactivate_layer","instance_deactivate_object","instance_deactivate_region","instance_destroy","instance_exists","instance_find","instance_furthest","instance_id_get","instance_nearest","instance_number","instance_place","instance_place_list","instance_position","instance_position_list","instanceof","int64","io_clear","irandom","irandom_range","is_array","is_bool","is_callable","is_debug_overlay_open","is_handle","is_infinity","is_instanceof","is_int32","is_int64","is_keyboard_used_debug_overlay","is_method","is_mouse_over_debug_overlay","is_nan","is_numeric","is_ptr","is_real","is_string","is_struct","is_undefined","json_decode","json_encode","json_parse","json_stringify","keyboard_check","keyboard_check_direct","keyboard_check_pressed","keyboard_check_released","keyboard_clear","keyboard_get_map","keyboard_get_numlock","keyboard_key_press","keyboard_key_release","keyboard_set_map","keyboard_set_numlock","keyboard_unset_map","keyboard_virtual_height","keyboard_virtual_hide","keyboard_virtual_show","keyboard_virtual_status","layer_add_instance","layer_background_alpha","layer_background_blend","layer_background_change","layer_background_create","layer_background_destroy","layer_background_exists","layer_background_get_alpha","layer_background_get_blend","layer_background_get_htiled","layer_background_get_id","layer_background_get_index","layer_background_get_speed","layer_background_get_sprite","layer_background_get_stretch","layer_background_get_visible","layer_background_get_vtiled","layer_background_get_xscale","layer_background_get_yscale","layer_background_htiled","layer_background_index","layer_background_speed","layer_background_sprite","layer_background_stretch","layer_background_visible","layer_background_vtiled","layer_background_xscale","layer_background_yscale","layer_clear_fx","layer_create","layer_depth","layer_destroy","layer_destroy_instances","layer_element_move","layer_enable_fx","layer_exists","layer_force_draw_depth","layer_fx_is_enabled","layer_get_all","layer_get_all_elements","layer_get_depth","layer_get_element_layer","layer_get_element_type","layer_get_forced_depth","layer_get_fx","layer_get_hspeed","layer_get_id","layer_get_id_at_depth","layer_get_name","layer_get_script_begin","layer_get_script_end","layer_get_shader","layer_get_target_room","layer_get_visible","layer_get_vspeed","layer_get_x","layer_get_y","layer_has_instance","layer_hspeed","layer_instance_get_instance","layer_is_draw_depth_forced","layer_reset_target_room","layer_script_begin","layer_script_end","layer_sequence_angle","layer_sequence_create","layer_sequence_destroy","layer_sequence_exists","layer_sequence_get_angle","layer_sequence_get_headdir","layer_sequence_get_headpos","layer_sequence_get_instance","layer_sequence_get_length","layer_sequence_get_sequence","layer_sequence_get_speedscale","layer_sequence_get_x","layer_sequence_get_xscale","layer_sequence_get_y","layer_sequence_get_yscale","layer_sequence_headdir","layer_sequence_headpos","layer_sequence_is_finished","layer_sequence_is_paused","layer_sequence_pause","layer_sequence_play","layer_sequence_speedscale","layer_sequence_x","layer_sequence_xscale","layer_sequence_y","layer_sequence_yscale","layer_set_fx","layer_set_target_room","layer_set_visible","layer_shader","layer_sprite_alpha","layer_sprite_angle","layer_sprite_blend","layer_sprite_change","layer_sprite_create","layer_sprite_destroy","layer_sprite_exists","layer_sprite_get_alpha","layer_sprite_get_angle","layer_sprite_get_blend","layer_sprite_get_id","layer_sprite_get_index","layer_sprite_get_speed","layer_sprite_get_sprite","layer_sprite_get_x","layer_sprite_get_xscale","layer_sprite_get_y","layer_sprite_get_yscale","layer_sprite_index","layer_sprite_speed","layer_sprite_x","layer_sprite_xscale","layer_sprite_y","layer_sprite_yscale","layer_tile_alpha","layer_tile_blend","layer_tile_change","layer_tile_create","layer_tile_destroy","layer_tile_exists","layer_tile_get_alpha","layer_tile_get_blend","layer_tile_get_region","layer_tile_get_sprite","layer_tile_get_visible","layer_tile_get_x","layer_tile_get_xscale","layer_tile_get_y","layer_tile_get_yscale","layer_tile_region","layer_tile_visible","layer_tile_x","layer_tile_xscale","layer_tile_y","layer_tile_yscale","layer_tilemap_create","layer_tilemap_destroy","layer_tilemap_exists","layer_tilemap_get_id","layer_vspeed","layer_x","layer_y","lengthdir_x","lengthdir_y","lerp","lin_to_db","ln","load_csv","log10","log2","logn","make_color_hsv","make_color_rgb","make_colour_hsv","make_colour_rgb","math_get_epsilon","math_set_epsilon","matrix_build","matrix_build_identity","matrix_build_lookat","matrix_build_projection_ortho","matrix_build_projection_perspective","matrix_build_projection_perspective_fov","matrix_get","matrix_multiply","matrix_set","matrix_stack_clear","matrix_stack_is_empty","matrix_stack_pop","matrix_stack_push","matrix_stack_set","matrix_stack_top","matrix_transform_vertex","max","md5_file","md5_string_unicode","md5_string_utf8","mean","median","merge_color","merge_colour","method","method_call","method_get_index","method_get_self","min","motion_add","motion_set","mouse_check_button","mouse_check_button_pressed","mouse_check_button_released","mouse_clear","mouse_wheel_down","mouse_wheel_up","move_and_collide","move_bounce_all","move_bounce_solid","move_contact_all","move_contact_solid","move_outside_all","move_outside_solid","move_random","move_snap","move_towards_point","move_wrap","mp_grid_add_cell","mp_grid_add_instances","mp_grid_add_rectangle","mp_grid_clear_all","mp_grid_clear_cell","mp_grid_clear_rectangle","mp_grid_create","mp_grid_destroy","mp_grid_draw","mp_grid_get_cell","mp_grid_path","mp_grid_to_ds_grid","mp_linear_path","mp_linear_path_object","mp_linear_step","mp_linear_step_object","mp_potential_path","mp_potential_path_object","mp_potential_settings","mp_potential_step","mp_potential_step_object","nameof","network_connect","network_connect_async","network_connect_raw","network_connect_raw_async","network_create_server","network_create_server_raw","network_create_socket","network_create_socket_ext","network_destroy","network_resolve","network_send_broadcast","network_send_packet","network_send_raw","network_send_udp","network_send_udp_raw","network_set_config","network_set_timeout","object_exists","object_get_mask","object_get_name","object_get_parent","object_get_persistent","object_get_physics","object_get_solid","object_get_sprite","object_get_visible","object_is_ancestor","object_set_mask","object_set_persistent","object_set_solid","object_set_sprite","object_set_visible","ord","os_check_permission","os_get_config","os_get_info","os_get_language","os_get_region","os_is_network_connected","os_is_paused","os_lock_orientation","os_powersave_enable","os_request_permission","os_set_orientation_lock","parameter_count","parameter_string","part_emitter_burst","part_emitter_clear","part_emitter_create","part_emitter_delay","part_emitter_destroy","part_emitter_destroy_all","part_emitter_enable","part_emitter_exists","part_emitter_interval","part_emitter_region","part_emitter_relative","part_emitter_stream","part_particles_burst","part_particles_clear","part_particles_count","part_particles_create","part_particles_create_color","part_particles_create_colour","part_system_angle","part_system_automatic_draw","part_system_automatic_update","part_system_clear","part_system_color","part_system_colour","part_system_create","part_system_create_layer","part_system_depth","part_system_destroy","part_system_draw_order","part_system_drawit","part_system_exists","part_system_get_info","part_system_get_layer","part_system_global_space","part_system_layer","part_system_position","part_system_update","part_type_alpha1","part_type_alpha2","part_type_alpha3","part_type_blend","part_type_clear","part_type_color1","part_type_color2","part_type_color3","part_type_color_hsv","part_type_color_mix","part_type_color_rgb","part_type_colour1","part_type_colour2","part_type_colour3","part_type_colour_hsv","part_type_colour_mix","part_type_colour_rgb","part_type_create","part_type_death","part_type_destroy","part_type_direction","part_type_exists","part_type_gravity","part_type_life","part_type_orientation","part_type_scale","part_type_shape","part_type_size","part_type_size_x","part_type_size_y","part_type_speed","part_type_sprite","part_type_step","part_type_subimage","particle_exists","particle_get_info","path_add","path_add_point","path_append","path_assign","path_change_point","path_clear_points","path_delete","path_delete_point","path_duplicate","path_end","path_exists","path_flip","path_get_closed","path_get_kind","path_get_length","path_get_name","path_get_number","path_get_point_speed","path_get_point_x","path_get_point_y","path_get_precision","path_get_speed","path_get_x","path_get_y","path_insert_point","path_mirror","path_rescale","path_reverse","path_rotate","path_set_closed","path_set_kind","path_set_precision","path_shift","path_start","physics_apply_angular_impulse","physics_apply_force","physics_apply_impulse","physics_apply_local_force","physics_apply_local_impulse","physics_apply_torque","physics_draw_debug","physics_fixture_add_point","physics_fixture_bind","physics_fixture_bind_ext","physics_fixture_create","physics_fixture_delete","physics_fixture_set_angular_damping","physics_fixture_set_awake","physics_fixture_set_box_shape","physics_fixture_set_chain_shape","physics_fixture_set_circle_shape","physics_fixture_set_collision_group","physics_fixture_set_density","physics_fixture_set_edge_shape","physics_fixture_set_friction","physics_fixture_set_kinematic","physics_fixture_set_linear_damping","physics_fixture_set_polygon_shape","physics_fixture_set_restitution","physics_fixture_set_sensor","physics_get_density","physics_get_friction","physics_get_restitution","physics_joint_delete","physics_joint_distance_create","physics_joint_enable_motor","physics_joint_friction_create","physics_joint_gear_create","physics_joint_get_value","physics_joint_prismatic_create","physics_joint_pulley_create","physics_joint_revolute_create","physics_joint_rope_create","physics_joint_set_value","physics_joint_weld_create","physics_joint_wheel_create","physics_mass_properties","physics_particle_count","physics_particle_create","physics_particle_delete","physics_particle_delete_region_box","physics_particle_delete_region_circle","physics_particle_delete_region_poly","physics_particle_draw","physics_particle_draw_ext","physics_particle_get_damping","physics_particle_get_data","physics_particle_get_data_particle","physics_particle_get_density","physics_particle_get_gravity_scale","physics_particle_get_group_flags","physics_particle_get_max_count","physics_particle_get_radius","physics_particle_group_add_point","physics_particle_group_begin","physics_particle_group_box","physics_particle_group_circle","physics_particle_group_count","physics_particle_group_delete","physics_particle_group_end","physics_particle_group_get_ang_vel","physics_particle_group_get_angle","physics_particle_group_get_centre_x","physics_particle_group_get_centre_y","physics_particle_group_get_data","physics_particle_group_get_inertia","physics_particle_group_get_mass","physics_particle_group_get_vel_x","physics_particle_group_get_vel_y","physics_particle_group_get_x","physics_particle_group_get_y","physics_particle_group_join","physics_particle_group_polygon","physics_particle_set_category_flags","physics_particle_set_damping","physics_particle_set_density","physics_particle_set_flags","physics_particle_set_gravity_scale","physics_particle_set_group_flags","physics_particle_set_max_count","physics_particle_set_radius","physics_pause_enable","physics_remove_fixture","physics_set_density","physics_set_friction","physics_set_restitution","physics_test_overlap","physics_world_create","physics_world_draw_debug","physics_world_gravity","physics_world_update_iterations","physics_world_update_speed","place_empty","place_free","place_meeting","place_snapped","point_direction","point_distance","point_distance_3d","point_in_circle","point_in_rectangle","point_in_triangle","position_change","position_destroy","position_empty","position_meeting","power","ptr","radtodeg","random","random_get_seed","random_range","random_set_seed","randomise","randomize","real","rectangle_in_circle","rectangle_in_rectangle","rectangle_in_triangle","ref_create","rollback_chat","rollback_create_game","rollback_define_extra_network_latency","rollback_define_input","rollback_define_input_frame_delay","rollback_define_mock_input","rollback_define_player","rollback_display_events","rollback_get_info","rollback_get_input","rollback_get_player_prefs","rollback_join_game","rollback_leave_game","rollback_set_player_prefs","rollback_start_game","rollback_sync_on_frame","rollback_use_late_join","rollback_use_manual_start","rollback_use_player_prefs","rollback_use_random_input","room_add","room_assign","room_duplicate","room_exists","room_get_camera","room_get_info","room_get_name","room_get_viewport","room_goto","room_goto_next","room_goto_previous","room_instance_add","room_instance_clear","room_next","room_previous","room_restart","room_set_camera","room_set_height","room_set_persistent","room_set_view_enabled","room_set_viewport","room_set_width","round","scheduler_resolution_get","scheduler_resolution_set","screen_save","screen_save_part","script_execute","script_execute_ext","script_exists","script_get_name","sequence_create","sequence_destroy","sequence_exists","sequence_get","sequence_get_objects","sequence_instance_override_object","sequence_keyframe_new","sequence_keyframedata_new","sequence_track_new","sha1_file","sha1_string_unicode","sha1_string_utf8","shader_current","shader_enable_corner_id","shader_get_name","shader_get_sampler_index","shader_get_uniform","shader_is_compiled","shader_reset","shader_set","shader_set_uniform_f","shader_set_uniform_f_array","shader_set_uniform_f_buffer","shader_set_uniform_i","shader_set_uniform_i_array","shader_set_uniform_matrix","shader_set_uniform_matrix_array","shaders_are_supported","shop_leave_rating","show_debug_message","show_debug_message_ext","show_debug_overlay","show_error","show_message","show_message_async","show_question","show_question_async","sign","sin","skeleton_animation_clear","skeleton_animation_get","skeleton_animation_get_duration","skeleton_animation_get_event_frames","skeleton_animation_get_ext","skeleton_animation_get_frame","skeleton_animation_get_frames","skeleton_animation_get_position","skeleton_animation_is_finished","skeleton_animation_is_looping","skeleton_animation_list","skeleton_animation_mix","skeleton_animation_set","skeleton_animation_set_ext","skeleton_animation_set_frame","skeleton_animation_set_position","skeleton_attachment_create","skeleton_attachment_create_color","skeleton_attachment_create_colour","skeleton_attachment_destroy","skeleton_attachment_exists","skeleton_attachment_get","skeleton_attachment_replace","skeleton_attachment_replace_color","skeleton_attachment_replace_colour","skeleton_attachment_set","skeleton_bone_data_get","skeleton_bone_data_set","skeleton_bone_list","skeleton_bone_state_get","skeleton_bone_state_set","skeleton_collision_draw_set","skeleton_find_slot","skeleton_get_bounds","skeleton_get_minmax","skeleton_get_num_bounds","skeleton_skin_create","skeleton_skin_get","skeleton_skin_list","skeleton_skin_set","skeleton_slot_alpha_get","skeleton_slot_color_get","skeleton_slot_color_set","skeleton_slot_colour_get","skeleton_slot_colour_set","skeleton_slot_data","skeleton_slot_data_instance","skeleton_slot_list","sprite_add","sprite_add_ext","sprite_add_from_surface","sprite_assign","sprite_collision_mask","sprite_create_from_surface","sprite_delete","sprite_duplicate","sprite_exists","sprite_flush","sprite_flush_multi","sprite_get_bbox_bottom","sprite_get_bbox_left","sprite_get_bbox_mode","sprite_get_bbox_right","sprite_get_bbox_top","sprite_get_height","sprite_get_info","sprite_get_name","sprite_get_nineslice","sprite_get_number","sprite_get_speed","sprite_get_speed_type","sprite_get_texture","sprite_get_tpe","sprite_get_uvs","sprite_get_width","sprite_get_xoffset","sprite_get_yoffset","sprite_merge","sprite_nineslice_create","sprite_prefetch","sprite_prefetch_multi","sprite_replace","sprite_save","sprite_save_strip","sprite_set_alpha_from_sprite","sprite_set_bbox","sprite_set_bbox_mode","sprite_set_cache_size","sprite_set_cache_size_ext","sprite_set_nineslice","sprite_set_offset","sprite_set_speed","sqr","sqrt","static_get","static_set","string","string_byte_at","string_byte_length","string_char_at","string_concat","string_concat_ext","string_copy","string_count","string_delete","string_digits","string_ends_with","string_ext","string_foreach","string_format","string_hash_to_newline","string_height","string_height_ext","string_insert","string_join","string_join_ext","string_last_pos","string_last_pos_ext","string_length","string_letters","string_lettersdigits","string_lower","string_ord_at","string_pos","string_pos_ext","string_repeat","string_replace","string_replace_all","string_set_byte_at","string_split","string_split_ext","string_starts_with","string_trim","string_trim_end","string_trim_start","string_upper","string_width","string_width_ext","struct_exists","struct_foreach","struct_get","struct_get_from_hash","struct_get_names","struct_names_count","struct_remove","struct_set","struct_set_from_hash","surface_copy","surface_copy_part","surface_create","surface_create_ext","surface_depth_disable","surface_exists","surface_format_is_supported","surface_free","surface_get_depth_disable","surface_get_format","surface_get_height","surface_get_target","surface_get_target_ext","surface_get_texture","surface_get_width","surface_getpixel","surface_getpixel_ext","surface_reset_target","surface_resize","surface_save","surface_save_part","surface_set_target","surface_set_target_ext","tag_get_asset_ids","tag_get_assets","tan","texture_debug_messages","texture_flush","texture_get_height","texture_get_texel_height","texture_get_texel_width","texture_get_uvs","texture_get_width","texture_global_scale","texture_is_ready","texture_prefetch","texture_set_stage","texturegroup_get_fonts","texturegroup_get_names","texturegroup_get_sprites","texturegroup_get_status","texturegroup_get_textures","texturegroup_get_tilesets","texturegroup_load","texturegroup_set_mode","texturegroup_unload","tile_get_empty","tile_get_flip","tile_get_index","tile_get_mirror","tile_get_rotate","tile_set_empty","tile_set_flip","tile_set_index","tile_set_mirror","tile_set_rotate","tilemap_clear","tilemap_get","tilemap_get_at_pixel","tilemap_get_cell_x_at_pixel","tilemap_get_cell_y_at_pixel","tilemap_get_frame","tilemap_get_global_mask","tilemap_get_height","tilemap_get_mask","tilemap_get_tile_height","tilemap_get_tile_width","tilemap_get_tileset","tilemap_get_width","tilemap_get_x","tilemap_get_y","tilemap_set","tilemap_set_at_pixel","tilemap_set_global_mask","tilemap_set_height","tilemap_set_mask","tilemap_set_width","tilemap_tileset","tilemap_x","tilemap_y","tileset_get_info","tileset_get_name","tileset_get_texture","tileset_get_uvs","time_bpm_to_seconds","time_seconds_to_bpm","time_source_create","time_source_destroy","time_source_exists","time_source_get_children","time_source_get_parent","time_source_get_period","time_source_get_reps_completed","time_source_get_reps_remaining","time_source_get_state","time_source_get_time_remaining","time_source_get_units","time_source_pause","time_source_reconfigure","time_source_reset","time_source_resume","time_source_start","time_source_stop","timeline_add","timeline_clear","timeline_delete","timeline_exists","timeline_get_name","timeline_max_moment","timeline_moment_add_script","timeline_moment_clear","timeline_size","typeof","url_get_domain","url_open","url_open_ext","url_open_full","uwp_device_touchscreen_available","uwp_livetile_badge_clear","uwp_livetile_badge_notification","uwp_livetile_notification_begin","uwp_livetile_notification_end","uwp_livetile_notification_expiry","uwp_livetile_notification_image_add","uwp_livetile_notification_secondary_begin","uwp_livetile_notification_tag","uwp_livetile_notification_template_add","uwp_livetile_notification_text_add","uwp_livetile_queue_enable","uwp_livetile_tile_clear","uwp_secondarytile_badge_clear","uwp_secondarytile_badge_notification","uwp_secondarytile_delete","uwp_secondarytile_pin","uwp_secondarytile_tile_clear","variable_clone","variable_get_hash","variable_global_exists","variable_global_get","variable_global_set","variable_instance_exists","variable_instance_get","variable_instance_get_names","variable_instance_names_count","variable_instance_set","variable_struct_exists","variable_struct_get","variable_struct_get_names","variable_struct_names_count","variable_struct_remove","variable_struct_set","vertex_argb","vertex_begin","vertex_color","vertex_colour","vertex_create_buffer","vertex_create_buffer_ext","vertex_create_buffer_from_buffer","vertex_create_buffer_from_buffer_ext","vertex_delete_buffer","vertex_end","vertex_float1","vertex_float2","vertex_float3","vertex_float4","vertex_format_add_color","vertex_format_add_colour","vertex_format_add_custom","vertex_format_add_normal","vertex_format_add_position","vertex_format_add_position_3d","vertex_format_add_texcoord","vertex_format_begin","vertex_format_delete","vertex_format_end","vertex_format_get_info","vertex_freeze","vertex_get_buffer_size","vertex_get_number","vertex_normal","vertex_position","vertex_position_3d","vertex_submit","vertex_submit_ext","vertex_texcoord","vertex_ubyte4","vertex_update_buffer_from_buffer","vertex_update_buffer_from_vertex","video_close","video_draw","video_enable_loop","video_get_duration","video_get_format","video_get_position","video_get_status","video_get_volume","video_is_looping","video_open","video_pause","video_resume","video_seek_to","video_set_volume","view_get_camera","view_get_hport","view_get_surface_id","view_get_visible","view_get_wport","view_get_xport","view_get_yport","view_set_camera","view_set_hport","view_set_surface_id","view_set_visible","view_set_wport","view_set_xport","view_set_yport","virtual_key_add","virtual_key_delete","virtual_key_hide","virtual_key_show","wallpaper_set_config","wallpaper_set_subscriptions","weak_ref_alive","weak_ref_any_alive","weak_ref_create","window_center","window_device","window_enable_borderless_fullscreen","window_get_borderless_fullscreen","window_get_caption","window_get_color","window_get_colour","window_get_cursor","window_get_fullscreen","window_get_height","window_get_showborder","window_get_visible_rects","window_get_width","window_get_x","window_get_y","window_handle","window_has_focus","window_mouse_get_delta_x","window_mouse_get_delta_y","window_mouse_get_locked","window_mouse_get_x","window_mouse_get_y","window_mouse_set","window_mouse_set_locked","window_set_caption","window_set_color","window_set_colour","window_set_cursor","window_set_fullscreen","window_set_max_height","window_set_max_width","window_set_min_height","window_set_min_width","window_set_position","window_set_rectangle","window_set_showborder","window_set_size","window_view_mouse_get_x","window_view_mouse_get_y","window_views_mouse_get_x","window_views_mouse_get_y","winphone_tile_background_color","winphone_tile_background_colour","zip_add_file","zip_create","zip_save","zip_unzip","zip_unzip_async"],symbol:["AudioEffect","AudioEffectType","AudioLFOType","GM_build_date","GM_build_type","GM_is_sandboxed","GM_project_filename","GM_runtime_version","GM_version","NaN","_GMFILE_","_GMFUNCTION_","_GMLINE_","alignmentH","alignmentV","all","animcurvetype_bezier","animcurvetype_catmullrom","animcurvetype_linear","asset_animationcurve","asset_font","asset_object","asset_path","asset_room","asset_script","asset_sequence","asset_shader","asset_sound","asset_sprite","asset_tiles","asset_timeline","asset_unknown","audio_3D","audio_bus_main","audio_falloff_exponent_distance","audio_falloff_exponent_distance_clamped","audio_falloff_exponent_distance_scaled","audio_falloff_inverse_distance","audio_falloff_inverse_distance_clamped","audio_falloff_inverse_distance_scaled","audio_falloff_linear_distance","audio_falloff_linear_distance_clamped","audio_falloff_none","audio_mono","audio_stereo","bboxkind_diamond","bboxkind_ellipse","bboxkind_precise","bboxkind_rectangular","bboxmode_automatic","bboxmode_fullimage","bboxmode_manual","bm_add","bm_dest_alpha","bm_dest_color","bm_dest_colour","bm_inv_dest_alpha","bm_inv_dest_color","bm_inv_dest_colour","bm_inv_src_alpha","bm_inv_src_color","bm_inv_src_colour","bm_max","bm_normal","bm_one","bm_src_alpha","bm_src_alpha_sat","bm_src_color","bm_src_colour","bm_subtract","bm_zero","browser_chrome","browser_edge","browser_firefox","browser_ie","browser_ie_mobile","browser_not_a_browser","browser_opera","browser_safari","browser_safari_mobile","browser_tizen","browser_unknown","browser_windows_store","buffer_bool","buffer_f16","buffer_f32","buffer_f64","buffer_fast","buffer_fixed","buffer_grow","buffer_s16","buffer_s32","buffer_s8","buffer_seek_end","buffer_seek_relative","buffer_seek_start","buffer_string","buffer_text","buffer_u16","buffer_u32","buffer_u64","buffer_u8","buffer_vbuffer","buffer_wrap","c_aqua","c_black","c_blue","c_dkgray","c_dkgrey","c_fuchsia","c_gray","c_green","c_grey","c_lime","c_ltgray","c_ltgrey","c_maroon","c_navy","c_olive","c_orange","c_purple","c_red","c_silver","c_teal","c_white","c_yellow","cache_directory","characterSpacing","cmpfunc_always","cmpfunc_equal","cmpfunc_greater","cmpfunc_greaterequal","cmpfunc_less","cmpfunc_lessequal","cmpfunc_never","cmpfunc_notequal","coreColor","coreColour","cr_appstart","cr_arrow","cr_beam","cr_cross","cr_default","cr_drag","cr_handpoint","cr_hourglass","cr_none","cr_size_all","cr_size_nesw","cr_size_ns","cr_size_nwse","cr_size_we","cr_uparrow","cull_clockwise","cull_counterclockwise","cull_noculling","device_emulator","device_ios_ipad","device_ios_ipad_retina","device_ios_iphone","device_ios_iphone5","device_ios_iphone6","device_ios_iphone6plus","device_ios_iphone_retina","device_ios_unknown","device_tablet","display_landscape","display_landscape_flipped","display_portrait","display_portrait_flipped","dll_cdecl","dll_stdcall","dropShadowEnabled","dropShadowEnabled","ds_type_grid","ds_type_list","ds_type_map","ds_type_priority","ds_type_queue","ds_type_stack","ef_cloud","ef_ellipse","ef_explosion","ef_firework","ef_flare","ef_rain","ef_ring","ef_smoke","ef_smokeup","ef_snow","ef_spark","ef_star","effectsEnabled","effectsEnabled","ev_alarm","ev_animation_end","ev_animation_event","ev_animation_update","ev_async_audio_playback","ev_async_audio_playback_ended","ev_async_audio_recording","ev_async_dialog","ev_async_push_notification","ev_async_save_load","ev_async_save_load","ev_async_social","ev_async_system_event","ev_async_web","ev_async_web_cloud","ev_async_web_iap","ev_async_web_image_load","ev_async_web_networking","ev_async_web_steam","ev_audio_playback","ev_audio_playback_ended","ev_audio_recording","ev_boundary","ev_boundary_view0","ev_boundary_view1","ev_boundary_view2","ev_boundary_view3","ev_boundary_view4","ev_boundary_view5","ev_boundary_view6","ev_boundary_view7","ev_broadcast_message","ev_cleanup","ev_collision","ev_create","ev_destroy","ev_dialog_async","ev_draw","ev_draw_begin","ev_draw_end","ev_draw_normal","ev_draw_post","ev_draw_pre","ev_end_of_path","ev_game_end","ev_game_start","ev_gesture","ev_gesture_double_tap","ev_gesture_drag_end","ev_gesture_drag_start","ev_gesture_dragging","ev_gesture_flick","ev_gesture_pinch_end","ev_gesture_pinch_in","ev_gesture_pinch_out","ev_gesture_pinch_start","ev_gesture_rotate_end","ev_gesture_rotate_start","ev_gesture_rotating","ev_gesture_tap","ev_global_gesture_double_tap","ev_global_gesture_drag_end","ev_global_gesture_drag_start","ev_global_gesture_dragging","ev_global_gesture_flick","ev_global_gesture_pinch_end","ev_global_gesture_pinch_in","ev_global_gesture_pinch_out","ev_global_gesture_pinch_start","ev_global_gesture_rotate_end","ev_global_gesture_rotate_start","ev_global_gesture_rotating","ev_global_gesture_tap","ev_global_left_button","ev_global_left_press","ev_global_left_release","ev_global_middle_button","ev_global_middle_press","ev_global_middle_release","ev_global_right_button","ev_global_right_press","ev_global_right_release","ev_gui","ev_gui_begin","ev_gui_end","ev_joystick1_button1","ev_joystick1_button2","ev_joystick1_button3","ev_joystick1_button4","ev_joystick1_button5","ev_joystick1_button6","ev_joystick1_button7","ev_joystick1_button8","ev_joystick1_down","ev_joystick1_left","ev_joystick1_right","ev_joystick1_up","ev_joystick2_button1","ev_joystick2_button2","ev_joystick2_button3","ev_joystick2_button4","ev_joystick2_button5","ev_joystick2_button6","ev_joystick2_button7","ev_joystick2_button8","ev_joystick2_down","ev_joystick2_left","ev_joystick2_right","ev_joystick2_up","ev_keyboard","ev_keypress","ev_keyrelease","ev_left_button","ev_left_press","ev_left_release","ev_middle_button","ev_middle_press","ev_middle_release","ev_mouse","ev_mouse_enter","ev_mouse_leave","ev_mouse_wheel_down","ev_mouse_wheel_up","ev_no_button","ev_no_more_health","ev_no_more_lives","ev_other","ev_outside","ev_outside_view0","ev_outside_view1","ev_outside_view2","ev_outside_view3","ev_outside_view4","ev_outside_view5","ev_outside_view6","ev_outside_view7","ev_pre_create","ev_push_notification","ev_right_button","ev_right_press","ev_right_release","ev_room_end","ev_room_start","ev_social","ev_step","ev_step_begin","ev_step_end","ev_step_normal","ev_system_event","ev_trigger","ev_user0","ev_user1","ev_user10","ev_user11","ev_user12","ev_user13","ev_user14","ev_user15","ev_user2","ev_user3","ev_user4","ev_user5","ev_user6","ev_user7","ev_user8","ev_user9","ev_web_async","ev_web_cloud","ev_web_iap","ev_web_image_load","ev_web_networking","ev_web_sound_load","ev_web_steam","fa_archive","fa_bottom","fa_center","fa_directory","fa_hidden","fa_left","fa_middle","fa_none","fa_readonly","fa_right","fa_sysfile","fa_top","fa_volumeid","false","frameSizeX","frameSizeY","gamespeed_fps","gamespeed_microseconds","global","glowColor","glowColour","glowEnabled","glowEnabled","glowEnd","glowStart","gp_axis_acceleration_x","gp_axis_acceleration_y","gp_axis_acceleration_z","gp_axis_angular_velocity_x","gp_axis_angular_velocity_y","gp_axis_angular_velocity_z","gp_axis_orientation_w","gp_axis_orientation_x","gp_axis_orientation_y","gp_axis_orientation_z","gp_axislh","gp_axislv","gp_axisrh","gp_axisrv","gp_face1","gp_face2","gp_face3","gp_face4","gp_padd","gp_padl","gp_padr","gp_padu","gp_select","gp_shoulderl","gp_shoulderlb","gp_shoulderr","gp_shoulderrb","gp_start","gp_stickl","gp_stickr","iap_available","iap_canceled","iap_ev_consume","iap_ev_product","iap_ev_purchase","iap_ev_restore","iap_ev_storeload","iap_failed","iap_purchased","iap_refunded","iap_status_available","iap_status_loading","iap_status_processing","iap_status_restoring","iap_status_unavailable","iap_status_uninitialised","iap_storeload_failed","iap_storeload_ok","iap_unavailable","infinity","kbv_autocapitalize_characters","kbv_autocapitalize_none","kbv_autocapitalize_sentences","kbv_autocapitalize_words","kbv_returnkey_continue","kbv_returnkey_default","kbv_returnkey_done","kbv_returnkey_emergency","kbv_returnkey_go","kbv_returnkey_google","kbv_returnkey_join","kbv_returnkey_next","kbv_returnkey_route","kbv_returnkey_search","kbv_returnkey_send","kbv_returnkey_yahoo","kbv_type_ascii","kbv_type_default","kbv_type_email","kbv_type_numbers","kbv_type_phone","kbv_type_phone_name","kbv_type_url","layerelementtype_background","layerelementtype_instance","layerelementtype_oldtilemap","layerelementtype_particlesystem","layerelementtype_sequence","layerelementtype_sprite","layerelementtype_tile","layerelementtype_tilemap","layerelementtype_undefined","leaderboard_type_number","leaderboard_type_time_mins_secs","lighttype_dir","lighttype_point","lineSpacing","m_axisx","m_axisx_gui","m_axisy","m_axisy_gui","m_scroll_down","m_scroll_up","matrix_projection","matrix_view","matrix_world","mb_any","mb_left","mb_middle","mb_none","mb_right","mb_side1","mb_side2","mip_markedonly","mip_off","mip_on","network_config_avoid_time_wait","network_config_connect_timeout","network_config_disable_multicast","network_config_disable_reliable_udp","network_config_enable_multicast","network_config_enable_reliable_udp","network_config_use_non_blocking_socket","network_config_websocket_protocol","network_connect_active","network_connect_blocking","network_connect_nonblocking","network_connect_none","network_connect_passive","network_send_binary","network_send_text","network_socket_bluetooth","network_socket_tcp","network_socket_udp","network_socket_ws","network_socket_wss","network_type_connect","network_type_data","network_type_disconnect","network_type_down","network_type_non_blocking_connect","network_type_up","network_type_up_failed","nineslice_blank","nineslice_bottom","nineslice_center","nineslice_centre","nineslice_hide","nineslice_left","nineslice_mirror","nineslice_repeat","nineslice_right","nineslice_stretch","nineslice_top","noone","of_challenge_lose","of_challenge_tie","of_challenge_win","os_android","os_gdk","os_gxgames","os_ios","os_linux","os_macosx","os_operagx","os_permission_denied","os_permission_denied_dont_request","os_permission_granted","os_ps3","os_ps4","os_ps5","os_psvita","os_switch","os_tvos","os_unknown","os_uwp","os_win8native","os_windows","os_winphone","os_xboxone","os_xboxseriesxs","other","outlineColor","outlineColour","outlineDist","outlineEnabled","outlineEnabled","paragraphSpacing","path_action_continue","path_action_restart","path_action_reverse","path_action_stop","phy_debug_render_aabb","phy_debug_render_collision_pairs","phy_debug_render_coms","phy_debug_render_core_shapes","phy_debug_render_joints","phy_debug_render_obb","phy_debug_render_shapes","phy_joint_anchor_1_x","phy_joint_anchor_1_y","phy_joint_anchor_2_x","phy_joint_anchor_2_y","phy_joint_angle","phy_joint_angle_limits","phy_joint_damping_ratio","phy_joint_frequency","phy_joint_length_1","phy_joint_length_2","phy_joint_lower_angle_limit","phy_joint_max_force","phy_joint_max_length","phy_joint_max_motor_force","phy_joint_max_motor_torque","phy_joint_max_torque","phy_joint_motor_force","phy_joint_motor_speed","phy_joint_motor_torque","phy_joint_reaction_force_x","phy_joint_reaction_force_y","phy_joint_reaction_torque","phy_joint_speed","phy_joint_translation","phy_joint_upper_angle_limit","phy_particle_data_flag_category","phy_particle_data_flag_color","phy_particle_data_flag_colour","phy_particle_data_flag_position","phy_particle_data_flag_typeflags","phy_particle_data_flag_velocity","phy_particle_flag_colormixing","phy_particle_flag_colourmixing","phy_particle_flag_elastic","phy_particle_flag_powder","phy_particle_flag_spring","phy_particle_flag_tensile","phy_particle_flag_viscous","phy_particle_flag_wall","phy_particle_flag_water","phy_particle_flag_zombie","phy_particle_group_flag_rigid","phy_particle_group_flag_solid","pi","pointer_invalid","pointer_null","pr_linelist","pr_linestrip","pr_pointlist","pr_trianglefan","pr_trianglelist","pr_trianglestrip","ps_distr_gaussian","ps_distr_invgaussian","ps_distr_linear","ps_mode_burst","ps_mode_stream","ps_shape_diamond","ps_shape_ellipse","ps_shape_line","ps_shape_rectangle","pt_shape_circle","pt_shape_cloud","pt_shape_disk","pt_shape_explosion","pt_shape_flare","pt_shape_line","pt_shape_pixel","pt_shape_ring","pt_shape_smoke","pt_shape_snow","pt_shape_spark","pt_shape_sphere","pt_shape_square","pt_shape_star","rollback_chat_message","rollback_connect_error","rollback_connect_info","rollback_connected_to_peer","rollback_connection_rejected","rollback_disconnected_from_peer","rollback_end_game","rollback_game_full","rollback_game_info","rollback_game_interrupted","rollback_game_resumed","rollback_high_latency","rollback_player_prefs","rollback_protocol_rejected","rollback_synchronized_with_peer","rollback_synchronizing_with_peer","self","seqaudiokey_loop","seqaudiokey_oneshot","seqdir_left","seqdir_right","seqinterpolation_assign","seqinterpolation_lerp","seqplay_loop","seqplay_oneshot","seqplay_pingpong","seqtextkey_bottom","seqtextkey_center","seqtextkey_justify","seqtextkey_left","seqtextkey_middle","seqtextkey_right","seqtextkey_top","seqtracktype_audio","seqtracktype_bool","seqtracktype_clipmask","seqtracktype_clipmask_mask","seqtracktype_clipmask_subject","seqtracktype_color","seqtracktype_colour","seqtracktype_empty","seqtracktype_graphic","seqtracktype_group","seqtracktype_instance","seqtracktype_message","seqtracktype_moment","seqtracktype_particlesystem","seqtracktype_real","seqtracktype_sequence","seqtracktype_spriteframes","seqtracktype_string","seqtracktype_text","shadowColor","shadowColour","shadowOffsetX","shadowOffsetY","shadowSoftness","sprite_add_ext_error_cancelled","sprite_add_ext_error_decompressfailed","sprite_add_ext_error_loadfailed","sprite_add_ext_error_setupfailed","sprite_add_ext_error_spritenotfound","sprite_add_ext_error_unknown","spritespeed_framespergameframe","spritespeed_framespersecond","surface_r16float","surface_r32float","surface_r8unorm","surface_rg8unorm","surface_rgba16float","surface_rgba32float","surface_rgba4unorm","surface_rgba8unorm","texturegroup_status_fetched","texturegroup_status_loaded","texturegroup_status_loading","texturegroup_status_unloaded","tf_anisotropic","tf_linear","tf_point","thickness","tile_flip","tile_index_mask","tile_mirror","tile_rotate","time_source_expire_after","time_source_expire_nearest","time_source_game","time_source_global","time_source_state_active","time_source_state_initial","time_source_state_paused","time_source_state_stopped","time_source_units_frames","time_source_units_seconds","timezone_local","timezone_utc","tm_countvsyncs","tm_sleep","tm_systemtiming","true","ty_real","ty_string","undefined","vertex_type_color","vertex_type_colour","vertex_type_float1","vertex_type_float2","vertex_type_float3","vertex_type_float4","vertex_type_ubyte4","vertex_usage_binormal","vertex_usage_blendindices","vertex_usage_blendweight","vertex_usage_color","vertex_usage_colour","vertex_usage_depth","vertex_usage_fog","vertex_usage_normal","vertex_usage_position","vertex_usage_psize","vertex_usage_sample","vertex_usage_tangent","vertex_usage_texcoord","video_format_rgba","video_format_yuv","video_status_closed","video_status_paused","video_status_playing","video_status_preparing","vk_add","vk_alt","vk_anykey","vk_backspace","vk_control","vk_decimal","vk_delete","vk_divide","vk_down","vk_end","vk_enter","vk_escape","vk_f1","vk_f10","vk_f11","vk_f12","vk_f2","vk_f3","vk_f4","vk_f5","vk_f6","vk_f7","vk_f8","vk_f9","vk_home","vk_insert","vk_lalt","vk_lcontrol","vk_left","vk_lshift","vk_multiply","vk_nokey","vk_numpad0","vk_numpad1","vk_numpad2","vk_numpad3","vk_numpad4","vk_numpad5","vk_numpad6","vk_numpad7","vk_numpad8","vk_numpad9","vk_pagedown","vk_pageup","vk_pause","vk_printscreen","vk_ralt","vk_rcontrol","vk_return","vk_right","vk_rshift","vk_shift","vk_space","vk_subtract","vk_tab","vk_up","wallpaper_config","wallpaper_subscription_data","wrap"],"variable.language":["alarm","application_surface","argument","argument0","argument1","argument2","argument3","argument4","argument5","argument6","argument7","argument8","argument9","argument10","argument11","argument12","argument13","argument14","argument15","argument_count","async_load","background_color","background_colour","background_showcolor","background_showcolour","bbox_bottom","bbox_left","bbox_right","bbox_top","browser_height","browser_width","colour?ColourTrack","current_day","current_hour","current_minute","current_month","current_second","current_time","current_weekday","current_year","cursor_sprite","debug_mode","delta_time","depth","direction","display_aa","drawn_by_sequence","event_action","event_data","event_number","event_object","event_type","font_texture_page_size","fps","fps_real","friction","game_display_name","game_id","game_project_name","game_save_id","gravity","gravity_direction","health","hspeed","iap_data","id","image_alpha","image_angle","image_blend","image_index","image_number","image_speed","image_xscale","image_yscale","in_collision_tree","in_sequence","instance_count","instance_id","keyboard_key","keyboard_lastchar","keyboard_lastkey","keyboard_string","layer","lives","longMessage","managed","mask_index","message","mouse_button","mouse_lastbutton","mouse_x","mouse_y","object_index","os_browser","os_device","os_type","os_version","path_endaction","path_index","path_orientation","path_position","path_positionprevious","path_scale","path_speed","persistent","phy_active","phy_angular_damping","phy_angular_velocity","phy_bullet","phy_col_normal_x","phy_col_normal_y","phy_collision_points","phy_collision_x","phy_collision_y","phy_com_x","phy_com_y","phy_dynamic","phy_fixed_rotation","phy_inertia","phy_kinematic","phy_linear_damping","phy_linear_velocity_x","phy_linear_velocity_y","phy_mass","phy_position_x","phy_position_xprevious","phy_position_y","phy_position_yprevious","phy_rotation","phy_sleeping","phy_speed","phy_speed_x","phy_speed_y","player_avatar_sprite","player_avatar_url","player_id","player_local","player_type","player_user_id","program_directory","rollback_api_server","rollback_confirmed_frame","rollback_current_frame","rollback_event_id","rollback_event_param","rollback_game_running","room","room_first","room_height","room_last","room_persistent","room_speed","room_width","score","script","sequence_instance","solid","speed","sprite_height","sprite_index","sprite_width","sprite_xoffset","sprite_yoffset","stacktrace","temp_directory","timeline_index","timeline_loop","timeline_position","timeline_running","timeline_speed","view_camera","view_current","view_enabled","view_hport","view_surface_id","view_visible","view_wport","view_xport","view_yport","visible","vspeed","webgl_enabled","working_directory","x","xprevious","xstart","y","yprevious","ystart"]},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE]}}return gml_1=Ra,gml_1}var go_1,hasRequiredGo;function requireGo(){if(hasRequiredGo)return go_1;hasRequiredGo=1;function Ra(qa){const od={keyword:["break","case","chan","const","continue","default","defer","else","fallthrough","for","func","go","goto","if","import","interface","map","package","range","return","select","struct","switch","type","var"],type:["bool","byte","complex64","complex128","error","float32","float64","int8","int16","int32","int64","string","uint8","uint16","uint32","uint64","int","uint","uintptr","rune"],literal:["true","false","iota","nil"],built_in:["append","cap","close","complex","copy","imag","len","make","new","panic","print","println","real","recover","delete"]};return{name:"Go",aliases:["golang"],keywords:od,illegal:"",end:",\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:":\\w+"},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]},{begin:"\\(\\s*",end:"\\s*\\)",excludeEnd:!0,contains:[{begin:"\\w+\\s*=",end:"\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:"\\w+",relevance:0},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]}]},{begin:"^\\s*[=~]\\s*"},{begin:/#\{/,end:/\}/,subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}return haml_1=Ra,haml_1}var handlebars_1,hasRequiredHandlebars;function requireHandlebars(){if(hasRequiredHandlebars)return handlebars_1;hasRequiredHandlebars=1;function Ra(qa){const ed=qa.regex,td={$pattern:/[\w.\/]+/,built_in:["action","bindattr","collection","component","concat","debugger","each","each-in","get","hash","if","in","input","link-to","loc","log","lookup","mut","outlet","partial","query-params","render","template","textarea","unbound","unless","view","with","yield"]},rd={$pattern:/[\w.\/]+/,literal:["true","false","undefined","null"]},sd=/""|"[^"]+"/,od=/''|'[^']+'/,ld=/\[\]|\[[^\]]+\]/,cd=/[^\s!"#%&'()*+,.\/;<=>@\[\\\]^`{|}~]+/,ud=/(\.|\/)/,_d=ed.either(sd,od,ld,cd),Ed=ed.concat(ed.optional(/\.|\.\/|\//),_d,ed.anyNumberOfTimes(ed.concat(ud,_d))),Ad=ed.concat("(",ld,"|",cd,")(?==)"),Td={begin:Ed},Nd=qa.inherit(Td,{keywords:rd}),Rd={begin:/\(/,end:/\)/},Bd={className:"attr",begin:Ad,relevance:0,starts:{begin:/=/,end:/=/,starts:{contains:[qa.NUMBER_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,Nd,Rd]}}},kd={begin:/as\s+\|/,keywords:{keyword:"as"},end:/\|/,contains:[{begin:/\w+/}]},Od={contains:[qa.NUMBER_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,kd,Bd,Nd,Rd],returnEnd:!0},Md=qa.inherit(Td,{className:"name",keywords:td,starts:qa.inherit(Od,{end:/\)/})});Rd.contains=[Md];const Pd=qa.inherit(Td,{keywords:td,className:"name",starts:qa.inherit(Od,{end:/\}\}/})}),Fd=qa.inherit(Td,{keywords:td,className:"name"}),Ud=qa.inherit(Td,{className:"name",keywords:td,starts:qa.inherit(Od,{end:/\}\}/})});return{name:"Handlebars",aliases:["hbs","html.hbs","html.handlebars","htmlbars"],case_insensitive:!0,subLanguage:"xml",contains:[{begin:/\\\{\{/,skip:!0},{begin:/\\\\(?=\{\{)/,skip:!0},qa.COMMENT(/\{\{!--/,/--\}\}/),qa.COMMENT(/\{\{!/,/\}\}/),{className:"template-tag",begin:/\{\{\{\{(?!\/)/,end:/\}\}\}\}/,contains:[Pd],starts:{end:/\{\{\{\{\//,returnEnd:!0,subLanguage:"xml"}},{className:"template-tag",begin:/\{\{\{\{\//,end:/\}\}\}\}/,contains:[Fd]},{className:"template-tag",begin:/\{\{#/,end:/\}\}/,contains:[Pd]},{className:"template-tag",begin:/\{\{(?=else\}\})/,end:/\}\}/,keywords:"else"},{className:"template-tag",begin:/\{\{(?=else if)/,end:/\}\}/,keywords:"else if"},{className:"template-tag",begin:/\{\{\//,end:/\}\}/,contains:[Fd]},{className:"template-variable",begin:/\{\{\{/,end:/\}\}\}/,contains:[Ud]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:[Ud]}]}}return handlebars_1=Ra,handlebars_1}var haskell_1,hasRequiredHaskell;function requireHaskell(){if(hasRequiredHaskell)return haskell_1;hasRequiredHaskell=1;function Ra(qa){const ed="([0-9]_*)+",td="([0-9a-fA-F]_*)+",rd="([01]_*)+",sd="([0-7]_*)+",ud="([!#$%&*+.\\/<=>?@\\\\^~-]|(?!([(),;\\[\\]`|{}]|[_:\"']))(\\p{S}|\\p{P}))",_d={variants:[qa.COMMENT("--+","$"),qa.COMMENT(/\{-/,/-\}/,{contains:["self"]})]},Ed={className:"meta",begin:/\{-#/,end:/#-\}/},Ad={className:"meta",begin:"^#",end:"$"},Td={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},Nd={begin:"\\(",end:"\\)",illegal:'"',contains:[Ed,Ad,{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},qa.inherit(qa.TITLE_MODE,{begin:"[_a-z][\\w']*"}),_d]},Rd={begin:/\{/,end:/\}/,contains:Nd.contains},Bd={className:"number",relevance:0,variants:[{match:`\\b(${ed})(\\.(${ed}))?([eE][+-]?(${ed}))?\\b`},{match:`\\b0[xX]_*(${td})(\\.(${td}))?([pP][+-]?(${ed}))?\\b`},{match:`\\b0[oO](${sd})\\b`},{match:`\\b0[bB](${rd})\\b`}]};return{name:"Haskell",aliases:["hs"],keywords:"let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec",unicodeRegex:!0,contains:[{beginKeywords:"module",end:"where",keywords:"module where",contains:[Nd,_d],illegal:"\\W\\.|;"},{begin:"\\bimport\\b",end:"$",keywords:"import qualified as hiding",contains:[Nd,_d],illegal:"\\W\\.|;"},{className:"class",begin:"^(\\s*)?(class|instance)\\b",end:"where",keywords:"class family instance where",contains:[Td,Nd,_d]},{className:"class",begin:"\\b(data|(new)?type)\\b",end:"$",keywords:"data family type newtype deriving",contains:[Ed,Td,Nd,Rd,_d]},{beginKeywords:"default",end:"$",contains:[Td,Nd,_d]},{beginKeywords:"infix infixl infixr",end:"$",contains:[qa.C_NUMBER_MODE,_d]},{begin:"\\bforeign\\b",end:"$",keywords:"foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe",contains:[Td,qa.QUOTE_STRING_MODE,_d]},{className:"meta",begin:"#!\\/usr\\/bin\\/env runhaskell",end:"$"},Ed,Ad,{scope:"string",begin:/'(?=\\?.')/,end:/'/,contains:[{scope:"char.escape",match:/\\./}]},qa.QUOTE_STRING_MODE,Bd,Td,qa.inherit(qa.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),{begin:`(?!-)${ud}--+|--+(?!-)${ud}`},_d,{begin:"->|<-"}]}}return haskell_1=Ra,haskell_1}var haxe_1,hasRequiredHaxe;function requireHaxe(){if(hasRequiredHaxe)return haxe_1;hasRequiredHaxe=1;function Ra(qa){const ed="[a-zA-Z_$][a-zA-Z0-9_$]*",td=/(-?)(\b0[xX][a-fA-F0-9_]+|(\b\d+(\.[\d_]*)?|\.[\d_]+)(([eE][-+]?\d+)|i32|u32|i64|f64)?)/;return{name:"Haxe",aliases:["hx"],keywords:{keyword:"abstract break case cast catch continue default do dynamic else enum extern final for function here if import in inline is macro never new override package private get set public return static super switch this throw trace try typedef untyped using var while "+"Int Float String Bool Dynamic Void Array ",built_in:"trace this",literal:"true false null _"},contains:[{className:"string",begin:"'",end:"'",contains:[qa.BACKSLASH_ESCAPE,{className:"subst",begin:/\$\{/,end:/\}/},{className:"subst",begin:/\$/,end:/\W\}/}]},qa.QUOTE_STRING_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"number",begin:td,relevance:0},{className:"variable",begin:"\\$"+ed},{className:"meta",begin:/@:?/,end:/\(|$/,excludeEnd:!0},{className:"meta",begin:"#",end:"$",keywords:{keyword:"if else elseif end error"}},{className:"type",begin:/:[ \t]*/,end:/[^A-Za-z0-9_ \t\->]/,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:/:[ \t]*/,end:/\W/,excludeBegin:!0,excludeEnd:!0},{className:"type",beginKeywords:"new",end:/\W/,excludeBegin:!0,excludeEnd:!0},{className:"title.class",beginKeywords:"enum",end:/\{/,contains:[qa.TITLE_MODE]},{className:"title.class",begin:"\\babstract\\b(?=\\s*"+qa.IDENT_RE+"\\s*\\()",end:/[\{$]/,contains:[{className:"type",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0},{className:"type",begin:/from +/,end:/\W/,excludeBegin:!0,excludeEnd:!0},{className:"type",begin:/to +/,end:/\W/,excludeBegin:!0,excludeEnd:!0},qa.TITLE_MODE],keywords:{keyword:"abstract from to"}},{className:"title.class",begin:/\b(class|interface) +/,end:/[\{$]/,excludeEnd:!0,keywords:"class interface",contains:[{className:"keyword",begin:/\b(extends|implements) +/,keywords:"extends implements",contains:[{className:"type",begin:qa.IDENT_RE,relevance:0}]},qa.TITLE_MODE]},{className:"title.function",beginKeywords:"function",end:/\(/,excludeEnd:!0,illegal:/\S/,contains:[qa.TITLE_MODE]}],illegal:/<\//}}return haxe_1=Ra,haxe_1}var hsp_1,hasRequiredHsp;function requireHsp(){if(hasRequiredHsp)return hsp_1;hasRequiredHsp=1;function Ra(qa){return{name:"HSP",case_insensitive:!0,keywords:{$pattern:/[\w._]+/,keyword:"goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop"},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,{className:"string",begin:/\{"/,end:/"\}/,contains:[qa.BACKSLASH_ESCAPE]},qa.COMMENT(";","$",{relevance:0}),{className:"meta",begin:"#",end:"$",keywords:{keyword:"addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib"},contains:[qa.inherit(qa.QUOTE_STRING_MODE,{className:"string"}),qa.NUMBER_MODE,qa.C_NUMBER_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{className:"symbol",begin:"^\\*(\\w+|@)"},qa.NUMBER_MODE,qa.C_NUMBER_MODE]}}return hsp_1=Ra,hsp_1}var http_1,hasRequiredHttp;function requireHttp(){if(hasRequiredHttp)return http_1;hasRequiredHttp=1;function Ra(qa){const ed=qa.regex,td="HTTP/([32]|1\\.[01])",rd=/[A-Za-z][A-Za-z0-9-]*/,sd={className:"attribute",begin:ed.concat("^",rd,"(?=\\:\\s)"),starts:{contains:[{className:"punctuation",begin:/: /,relevance:0,starts:{end:"$",relevance:0}}]}},od=[sd,{begin:"\\n\\n",starts:{subLanguage:[],endsWithParent:!0}}];return{name:"HTTP",aliases:["https"],illegal:/\S/,contains:[{begin:"^(?="+td+" \\d{3})",end:/$/,contains:[{className:"meta",begin:td},{className:"number",begin:"\\b\\d{3}\\b"}],starts:{end:/\b\B/,illegal:/\S/,contains:od}},{begin:"(?=^[A-Z]+ (.*?) "+td+"$)",end:/$/,contains:[{className:"string",begin:" ",end:" ",excludeBegin:!0,excludeEnd:!0},{className:"meta",begin:td},{className:"keyword",begin:"[A-Z]+"}],starts:{end:/\b\B/,illegal:/\S/,contains:od}},qa.inherit(sd,{relevance:0})]}}return http_1=Ra,http_1}var hy_1,hasRequiredHy;function requireHy(){if(hasRequiredHy)return hy_1;hasRequiredHy=1;function Ra(qa){const ed="a-zA-Z_\\-!.?+*=<>&#'",td="["+ed+"]["+ed+"0-9/;:]*",rd={$pattern:td,built_in:"!= % %= & &= * ** **= *= *map + += , --build-class-- --import-- -= . / // //= /= < << <<= <= = > >= >> >>= @ @= ^ ^= abs accumulate all and any ap-compose ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast callable calling-module-name car case cdr chain chr coll? combinations compile compress cond cons cons? continue count curry cut cycle dec def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first flatten float? fn fnc fnr for for* format fraction genexpr gensym get getattr global globals group-by hasattr hash hex id identity if if* if-not if-python2 import in inc input instance? integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass iter iterable? iterate iterator? keyword keyword? lambda last len let lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all map max merge-with method-decorator min multi-decorator multicombinations name neg? next none? nonlocal not not-in not? nth numeric? oct odd? open or ord partition permutations pos? post-route postwalk pow prewalk print product profile/calls profile/cpu put-route quasiquote quote raise range read read-str recursive-replace reduce remove repeat repeatedly repr require rest round route route-with-methods rwm second seq set-comp setattr setv some sorted string string? sum switch symbol? take take-nth take-while tee try unless unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms xi xor yield yield-from zero? zip zip-longest | |= ~"},sd="[-+]?\\d+(\\.\\d+)?",od={begin:td,relevance:0},ld={className:"number",begin:sd,relevance:0},cd=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),ud=qa.COMMENT(";","$",{relevance:0}),_d={className:"literal",begin:/\b([Tt]rue|[Ff]alse|nil|None)\b/},Ed={begin:"[\\[\\{]",end:"[\\]\\}]",relevance:0},Ad={className:"comment",begin:"\\^"+td},Td=qa.COMMENT("\\^\\{","\\}"),Nd={className:"symbol",begin:"[:]{1,2}"+td},Rd={begin:"\\(",end:"\\)"},Bd={endsWithParent:!0,relevance:0},kd={className:"name",relevance:0,keywords:rd,begin:td,starts:Bd},Od=[Rd,cd,Ad,Td,ud,Nd,Ed,ld,_d,od];return Rd.contains=[qa.COMMENT("comment",""),kd,Bd],Bd.contains=Od,Ed.contains=Od,{name:"Hy",aliases:["hylang"],illegal:/\S/,contains:[qa.SHEBANG(),Rd,cd,Ad,Td,ud,Nd,Ed,ld,_d]}}return hy_1=Ra,hy_1}var inform7_1,hasRequiredInform7;function requireInform7(){if(hasRequiredInform7)return inform7_1;hasRequiredInform7=1;function Ra(qa){const ed="\\[",td="\\]";return{name:"Inform 7",aliases:["i7"],case_insensitive:!0,keywords:{keyword:"thing room person man woman animal container supporter backdrop door scenery open closed locked inside gender is are say understand kind of rule"},contains:[{className:"string",begin:'"',end:'"',relevance:0,contains:[{className:"subst",begin:ed,end:td}]},{className:"section",begin:/^(Volume|Book|Part|Chapter|Section|Table)\b/,end:"$"},{begin:/^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\b/,end:":",contains:[{begin:"\\(This",end:"\\)"}]},{className:"comment",begin:ed,end:td,contains:["self"]}]}}return inform7_1=Ra,inform7_1}var ini_1,hasRequiredIni;function requireIni(){if(hasRequiredIni)return ini_1;hasRequiredIni=1;function Ra(qa){const ed=qa.regex,td={className:"number",relevance:0,variants:[{begin:/([+-]+)?[\d]+_[\d_]+/},{begin:qa.NUMBER_RE}]},rd=qa.COMMENT();rd.variants=[{begin:/;/,end:/$/},{begin:/#/,end:/$/}];const sd={className:"variable",variants:[{begin:/\$[\w\d"][\w\d_]*/},{begin:/\$\{(.*?)\}/}]},od={className:"literal",begin:/\bon|off|true|false|yes|no\b/},ld={className:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[{begin:"'''",end:"'''",relevance:10},{begin:'"""',end:'"""',relevance:10},{begin:'"',end:'"'},{begin:"'",end:"'"}]},cd={begin:/\[/,end:/\]/,contains:[rd,od,sd,ld,td,"self"],relevance:0},ud=/[A-Za-z0-9_-]+/,_d=/"(\\"|[^"])*"/,Ed=/'[^']*'/,Ad=ed.either(ud,_d,Ed),Td=ed.concat(Ad,"(\\s*\\.\\s*",Ad,")*",ed.lookahead(/\s*=\s*[^#\s]/));return{name:"TOML, also INI",aliases:["toml"],case_insensitive:!0,illegal:/\S/,contains:[rd,{className:"section",begin:/\[+/,end:/\]+/},{begin:Td,className:"attr",starts:{end:/$/,contains:[rd,cd,od,sd,ld,td]}}]}}return ini_1=Ra,ini_1}var irpf90_1,hasRequiredIrpf90;function requireIrpf90(){if(hasRequiredIrpf90)return irpf90_1;hasRequiredIrpf90=1;function Ra(qa){const ed=qa.regex,td={className:"params",begin:"\\(",end:"\\)"},rd=/(_[a-z_\d]+)?/,sd=/([de][+-]?\d+)?/,od={className:"number",variants:[{begin:ed.concat(/\b\d+/,/\.(\d*)/,sd,rd)},{begin:ed.concat(/\b\d+/,sd,rd)},{begin:ed.concat(/\.\d+/,sd,rd)}],relevance:0};return{name:"IRPF90",case_insensitive:!0,keywords:{literal:".False. .True.",keyword:"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read",built_in:"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image IRP_ALIGN irp_here"},illegal:/\/\*/,contains:[qa.inherit(qa.APOS_STRING_MODE,{className:"string",relevance:0}),qa.inherit(qa.QUOTE_STRING_MODE,{className:"string",relevance:0}),{className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[qa.UNDERSCORE_TITLE_MODE,td]},qa.COMMENT("!","$",{relevance:0}),qa.COMMENT("begin_doc","end_doc",{relevance:10}),od]}}return irpf90_1=Ra,irpf90_1}var isbl_1,hasRequiredIsbl;function requireIsbl(){if(hasRequiredIsbl)return isbl_1;hasRequiredIsbl=1;function Ra(qa){const ed="[A-Za-zА-Яа-яёЁ_!][A-Za-zА-Яа-яёЁ_0-9]*",td="[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*",rd="and и else иначе endexcept endfinally endforeach конецвсе endif конецесли endwhile конецпока except exitfor finally foreach все if если in в not не or или try while пока ",Jf="SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT SYSRES_CONST_ACCES_RIGHT_TYPE_FULL SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE SYSRES_CONST_ACCESS_NO_ACCESS_VIEW SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_TYPE_CHANGE SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE SYSRES_CONST_ACCESS_TYPE_EXISTS SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE SYSRES_CONST_ACCESS_TYPE_FULL SYSRES_CONST_ACCESS_TYPE_FULL_CODE SYSRES_CONST_ACCESS_TYPE_VIEW SYSRES_CONST_ACCESS_TYPE_VIEW_CODE SYSRES_CONST_ACTION_TYPE_ABORT SYSRES_CONST_ACTION_TYPE_ACCEPT SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT SYSRES_CONST_ACTION_TYPE_CHANGE_CARD SYSRES_CONST_ACTION_TYPE_CHANGE_KIND SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE SYSRES_CONST_ACTION_TYPE_CONTINUE SYSRES_CONST_ACTION_TYPE_COPY SYSRES_CONST_ACTION_TYPE_CREATE SYSRES_CONST_ACTION_TYPE_CREATE_VERSION SYSRES_CONST_ACTION_TYPE_DELETE SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT SYSRES_CONST_ACTION_TYPE_DELETE_VERSION SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE SYSRES_CONST_ACTION_TYPE_LOCK SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY SYSRES_CONST_ACTION_TYPE_MARK_AS_READED SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED SYSRES_CONST_ACTION_TYPE_MODIFY SYSRES_CONST_ACTION_TYPE_MODIFY_CARD SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE SYSRES_CONST_ACTION_TYPE_PERFORM SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY SYSRES_CONST_ACTION_TYPE_RESTART SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE SYSRES_CONST_ACTION_TYPE_REVISION SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL SYSRES_CONST_ACTION_TYPE_SIGN SYSRES_CONST_ACTION_TYPE_START SYSRES_CONST_ACTION_TYPE_UNLOCK SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER SYSRES_CONST_ACTION_TYPE_VERSION_STATE SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY SYSRES_CONST_ACTION_TYPE_VIEW SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE SYSRES_CONST_ADD_REFERENCE_MODE_NAME SYSRES_CONST_ADDITION_REQUISITE_CODE SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS SYSRES_CONST_ALL_USERS_GROUP SYSRES_CONST_ALL_USERS_GROUP_NAME SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE SYSRES_CONST_APPROVING_SIGNATURE_NAME SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN SYSRES_CONST_ATTACH_TYPE_DOC SYSRES_CONST_ATTACH_TYPE_EDOC SYSRES_CONST_ATTACH_TYPE_FOLDER SYSRES_CONST_ATTACH_TYPE_JOB SYSRES_CONST_ATTACH_TYPE_REFERENCE SYSRES_CONST_ATTACH_TYPE_TASK SYSRES_CONST_AUTH_ENCODED_PASSWORD SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE SYSRES_CONST_AUTH_NOVELL SYSRES_CONST_AUTH_PASSWORD SYSRES_CONST_AUTH_PASSWORD_CODE SYSRES_CONST_AUTH_WINDOWS SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_AUTO_ENUM_METHOD_FLAG SYSRES_CONST_AUTO_NUMERATION_CODE SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_USAGE_ALL SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE SYSRES_CONST_AUTOTEXT_USAGE_SIGN SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE SYSRES_CONST_AUTOTEXT_USAGE_WORK SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BTN_PART SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT SYSRES_CONST_CARD_PART SYSRES_CONST_CARD_REFERENCE_MODE_NAME SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT SYSRES_CONST_CODE_COMPONENT_TYPE_URL SYSRES_CONST_CODE_REQUISITE_ACCESS SYSRES_CONST_CODE_REQUISITE_CODE SYSRES_CONST_CODE_REQUISITE_COMPONENT SYSRES_CONST_CODE_REQUISITE_DESCRIPTION SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT SYSRES_CONST_CODE_REQUISITE_RECORD SYSRES_CONST_COMMENT_REQ_CODE SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE SYSRES_CONST_COMP_CODE_GRD SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DOCS SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_COMPONENT_TYPE_EDOCS SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_COMPONENT_TYPE_OTHER SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES SYSRES_CONST_COMPONENT_TYPE_REFERENCES SYSRES_CONST_COMPONENT_TYPE_REPORTS SYSRES_CONST_COMPONENT_TYPE_SCRIPTS SYSRES_CONST_COMPONENT_TYPE_URL SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION SYSRES_CONST_CONST_FIRM_STATUS_COMMON SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL SYSRES_CONST_CONST_NEGATIVE_VALUE SYSRES_CONST_CONST_POSITIVE_VALUE SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE SYSRES_CONST_CONTENTS_REQUISITE_CODE SYSRES_CONST_DATA_TYPE_BOOLEAN SYSRES_CONST_DATA_TYPE_DATE SYSRES_CONST_DATA_TYPE_FLOAT SYSRES_CONST_DATA_TYPE_INTEGER SYSRES_CONST_DATA_TYPE_PICK SYSRES_CONST_DATA_TYPE_REFERENCE SYSRES_CONST_DATA_TYPE_STRING SYSRES_CONST_DATA_TYPE_TEXT SYSRES_CONST_DATA_TYPE_VARIANT SYSRES_CONST_DATE_CLOSE_REQ_CODE SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR SYSRES_CONST_DATE_OPEN_REQ_CODE SYSRES_CONST_DATE_REQUISITE SYSRES_CONST_DATE_REQUISITE_CODE SYSRES_CONST_DATE_REQUISITE_NAME SYSRES_CONST_DATE_REQUISITE_TYPE SYSRES_CONST_DATE_TYPE_CHAR SYSRES_CONST_DATETIME_FORMAT_VALUE SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_DET1_PART SYSRES_CONST_DET2_PART SYSRES_CONST_DET3_PART SYSRES_CONST_DET4_PART SYSRES_CONST_DET5_PART SYSRES_CONST_DET6_PART SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE SYSRES_CONST_DETAIL_REQ_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME SYSRES_CONST_DOCUMENT_STORAGES_CODE SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME SYSRES_CONST_DOUBLE_REQUISITE_CODE SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE SYSRES_CONST_EDITORS_REFERENCE_CODE SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE SYSRES_CONST_EDOC_DATE_REQUISITE_CODE SYSRES_CONST_EDOC_KIND_REFERENCE_CODE SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE SYSRES_CONST_EDOC_NONE_ENCODE_CODE SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_READONLY_ACCESS_CODE SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE SYSRES_CONST_EDOC_WRITE_ACCES_CODE SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_END_DATE_REQUISITE_CODE SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE SYSRES_CONST_EXIST_CONST SYSRES_CONST_EXIST_VALUE SYSRES_CONST_EXPORT_LOCK_TYPE_ASK SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK SYSRES_CONST_EXPORT_VERSION_TYPE_ASK SYSRES_CONST_EXPORT_VERSION_TYPE_LAST SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE SYSRES_CONST_EXTENSION_REQUISITE_CODE SYSRES_CONST_FILTER_NAME_REQUISITE_CODE SYSRES_CONST_FILTER_REQUISITE_CODE SYSRES_CONST_FILTER_TYPE_COMMON_CODE SYSRES_CONST_FILTER_TYPE_COMMON_NAME SYSRES_CONST_FILTER_TYPE_USER_CODE SYSRES_CONST_FILTER_TYPE_USER_NAME SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR SYSRES_CONST_FLOAT_REQUISITE_TYPE SYSRES_CONST_FOLDER_AUTHOR_VALUE SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS SYSRES_CONST_FOLDER_KIND_COMPONENTS SYSRES_CONST_FOLDER_KIND_EDOCS SYSRES_CONST_FOLDER_KIND_JOBS SYSRES_CONST_FOLDER_KIND_TASKS SYSRES_CONST_FOLDER_TYPE_COMMON SYSRES_CONST_FOLDER_TYPE_COMPONENT SYSRES_CONST_FOLDER_TYPE_FAVORITES SYSRES_CONST_FOLDER_TYPE_INBOX SYSRES_CONST_FOLDER_TYPE_OUTBOX SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH SYSRES_CONST_FOLDER_TYPE_SEARCH SYSRES_CONST_FOLDER_TYPE_SHORTCUTS SYSRES_CONST_FOLDER_TYPE_USER SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG SYSRES_CONST_FULL_SUBSTITUTE_TYPE SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE SYSRES_CONST_FUNCTION_CANCEL_RESULT SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM SYSRES_CONST_FUNCTION_CATEGORY_USER SYSRES_CONST_FUNCTION_FAILURE_RESULT SYSRES_CONST_FUNCTION_SAVE_RESULT SYSRES_CONST_GENERATED_REQUISITE SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_USER_REQUISITE_CODE SYSRES_CONST_GROUPS_REFERENCE_CODE SYSRES_CONST_GROUPS_REQUISITE_CODE SYSRES_CONST_HIDDEN_MODE_NAME SYSRES_CONST_HIGH_LVL_REQUISITE_CODE SYSRES_CONST_HISTORY_ACTION_CREATE_CODE SYSRES_CONST_HISTORY_ACTION_DELETE_CODE SYSRES_CONST_HISTORY_ACTION_EDIT_CODE SYSRES_CONST_HOUR_CHAR SYSRES_CONST_ID_REQUISITE_CODE SYSRES_CONST_IDSPS_REQUISITE_CODE SYSRES_CONST_IMAGE_MODE_COLOR SYSRES_CONST_IMAGE_MODE_GREYSCALE SYSRES_CONST_IMAGE_MODE_MONOCHROME SYSRES_CONST_IMPORTANCE_HIGH SYSRES_CONST_IMPORTANCE_LOW SYSRES_CONST_IMPORTANCE_NORMAL SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE SYSRES_CONST_INT_REQUISITE SYSRES_CONST_INT_REQUISITE_TYPE SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR SYSRES_CONST_INTEGER_TYPE_CHAR SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_JOB_BLOCK_DESCRIPTION SYSRES_CONST_JOB_KIND_CONTROL_JOB SYSRES_CONST_JOB_KIND_JOB SYSRES_CONST_JOB_KIND_NOTICE SYSRES_CONST_JOB_STATE_ABORTED SYSRES_CONST_JOB_STATE_COMPLETE SYSRES_CONST_JOB_STATE_WORKING SYSRES_CONST_KIND_REQUISITE_CODE SYSRES_CONST_KIND_REQUISITE_NAME SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE SYSRES_CONST_KOD_INPUT_TYPE SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT SYSRES_CONST_LINK_OBJECT_KIND_EDOC SYSRES_CONST_LINK_OBJECT_KIND_FOLDER SYSRES_CONST_LINK_OBJECT_KIND_JOB SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE SYSRES_CONST_LINK_OBJECT_KIND_TASK SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE SYSRES_CONST_LIST_REFERENCE_MODE_NAME SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE SYSRES_CONST_MAIN_VIEW_CODE SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE SYSRES_CONST_MAXIMIZED_MODE_NAME SYSRES_CONST_ME_VALUE SYSRES_CONST_MESSAGE_ATTENTION_CAPTION SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION SYSRES_CONST_MESSAGE_ERROR_CAPTION SYSRES_CONST_MESSAGE_INFORMATION_CAPTION SYSRES_CONST_MINIMIZED_MODE_NAME SYSRES_CONST_MINUTE_CHAR SYSRES_CONST_MODULE_REQUISITE_CODE SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION SYSRES_CONST_MONTH_FORMAT_VALUE SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_NAME_REQUISITE_CODE SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE SYSRES_CONST_NAMEAN_INPUT_TYPE SYSRES_CONST_NEGATIVE_PICK_VALUE SYSRES_CONST_NEGATIVE_VALUE SYSRES_CONST_NO SYSRES_CONST_NO_PICK_VALUE SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE SYSRES_CONST_NO_VALUE SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_NORMAL_MODE_NAME SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_NOTE_REQUISITE_CODE SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION SYSRES_CONST_NUM_REQUISITE SYSRES_CONST_NUM_STR_REQUISITE_CODE SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG SYSRES_CONST_NUMERATION_AUTO_STRONG SYSRES_CONST_NUMERATION_FROM_DICTONARY SYSRES_CONST_NUMERATION_MANUAL SYSRES_CONST_NUMERIC_TYPE_CHAR SYSRES_CONST_NUMREQ_REQUISITE_CODE SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_ORIGINALREF_REQUISITE_CODE SYSRES_CONST_OURFIRM_REF_CODE SYSRES_CONST_OURFIRM_REQUISITE_CODE SYSRES_CONST_OURFIRM_VAR SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE SYSRES_CONST_PICK_NEGATIVE_RESULT SYSRES_CONST_PICK_POSITIVE_RESULT SYSRES_CONST_PICK_REQUISITE SYSRES_CONST_PICK_REQUISITE_TYPE SYSRES_CONST_PICK_TYPE_CHAR SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE SYSRES_CONST_PLATFORM_VERSION_COMMENT SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_POSITIVE_PICK_VALUE SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE SYSRES_CONST_PRIORITY_REQUISITE_CODE SYSRES_CONST_QUALIFIED_TASK_TYPE SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE SYSRES_CONST_RECSTAT_REQUISITE_CODE SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_REF_REQUISITE SYSRES_CONST_REF_REQUISITE_TYPE SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE SYSRES_CONST_REFERENCE_TYPE_CHAR SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_MODE_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_EDIT_CODE SYSRES_CONST_REQ_MODE_HIDDEN_CODE SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_VIEW_CODE SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE SYSRES_CONST_REQ_SECTION_VALUE SYSRES_CONST_REQ_TYPE_VALUE SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME SYSRES_CONST_REQUISITE_FORMAT_LEFT SYSRES_CONST_REQUISITE_FORMAT_RIGHT SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_REQUISITE_SECTION_ACTIONS SYSRES_CONST_REQUISITE_SECTION_BUTTON SYSRES_CONST_REQUISITE_SECTION_BUTTONS SYSRES_CONST_REQUISITE_SECTION_CARD SYSRES_CONST_REQUISITE_SECTION_TABLE SYSRES_CONST_REQUISITE_SECTION_TABLE10 SYSRES_CONST_REQUISITE_SECTION_TABLE11 SYSRES_CONST_REQUISITE_SECTION_TABLE12 SYSRES_CONST_REQUISITE_SECTION_TABLE13 SYSRES_CONST_REQUISITE_SECTION_TABLE14 SYSRES_CONST_REQUISITE_SECTION_TABLE15 SYSRES_CONST_REQUISITE_SECTION_TABLE16 SYSRES_CONST_REQUISITE_SECTION_TABLE17 SYSRES_CONST_REQUISITE_SECTION_TABLE18 SYSRES_CONST_REQUISITE_SECTION_TABLE19 SYSRES_CONST_REQUISITE_SECTION_TABLE2 SYSRES_CONST_REQUISITE_SECTION_TABLE20 SYSRES_CONST_REQUISITE_SECTION_TABLE21 SYSRES_CONST_REQUISITE_SECTION_TABLE22 SYSRES_CONST_REQUISITE_SECTION_TABLE23 SYSRES_CONST_REQUISITE_SECTION_TABLE24 SYSRES_CONST_REQUISITE_SECTION_TABLE3 SYSRES_CONST_REQUISITE_SECTION_TABLE4 SYSRES_CONST_REQUISITE_SECTION_TABLE5 SYSRES_CONST_REQUISITE_SECTION_TABLE6 SYSRES_CONST_REQUISITE_SECTION_TABLE7 SYSRES_CONST_REQUISITE_SECTION_TABLE8 SYSRES_CONST_REQUISITE_SECTION_TABLE9 SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_RIGHT_ALIGNMENT_CODE SYSRES_CONST_ROLES_REFERENCE_CODE SYSRES_CONST_ROUTE_STEP_AFTER_RUS SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS SYSRES_CONST_ROUTE_TYPE_COMPLEX SYSRES_CONST_ROUTE_TYPE_PARALLEL SYSRES_CONST_ROUTE_TYPE_SERIAL SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE SYSRES_CONST_SEARCHES_COMPONENT_CONTENT SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME SYSRES_CONST_SEARCHES_EDOC_CONTENT SYSRES_CONST_SEARCHES_FOLDER_CONTENT SYSRES_CONST_SEARCHES_JOB_CONTENT SYSRES_CONST_SEARCHES_REFERENCE_CODE SYSRES_CONST_SEARCHES_TASK_CONTENT SYSRES_CONST_SECOND_CHAR SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE SYSRES_CONST_SECTION_REQUISITE_CODE SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE SYSRES_CONST_SELECT_REFERENCE_MODE_NAME SYSRES_CONST_SELECT_TYPE_SELECTABLE SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD SYSRES_CONST_SELECT_TYPE_UNSLECTABLE SYSRES_CONST_SERVER_TYPE_MAIN SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE SYSRES_CONST_STATE_REQ_NAME SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE SYSRES_CONST_STATE_REQUISITE_CODE SYSRES_CONST_STATIC_ROLE_TYPE_CODE SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE SYSRES_CONST_STATUS_VALUE_AUTOCLEANING SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE SYSRES_CONST_STATUS_VALUE_COMPLETE SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE SYSRES_CONST_STATUS_VALUE_RED_SQUARE SYSRES_CONST_STATUS_VALUE_SUSPEND SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE SYSRES_CONST_STORAGE_TYPE_FILE SYSRES_CONST_STORAGE_TYPE_SQL_SERVER SYSRES_CONST_STR_REQUISITE SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR SYSRES_CONST_STRING_REQUISITE_CODE SYSRES_CONST_STRING_REQUISITE_TYPE SYSRES_CONST_STRING_TYPE_CHAR SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE SYSRES_CONST_SYSTEM_VERSION_COMMENT SYSRES_CONST_TASK_ACCESS_TYPE_ALL SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD SYSRES_CONST_TASK_ENCODE_TYPE_NONE SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD SYSRES_CONST_TASK_ROUTE_ALL_CONDITION SYSRES_CONST_TASK_ROUTE_AND_CONDITION SYSRES_CONST_TASK_ROUTE_OR_CONDITION SYSRES_CONST_TASK_STATE_ABORTED SYSRES_CONST_TASK_STATE_COMPLETE SYSRES_CONST_TASK_STATE_CONTINUED SYSRES_CONST_TASK_STATE_CONTROL SYSRES_CONST_TASK_STATE_INIT SYSRES_CONST_TASK_STATE_WORKING SYSRES_CONST_TASK_TITLE SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE SYSRES_CONST_TASK_TYPES_REFERENCE_CODE SYSRES_CONST_TEMPLATES_REFERENCE_CODE SYSRES_CONST_TEST_DATE_REQUISITE_NAME SYSRES_CONST_TEST_DEV_DATABASE_NAME SYSRES_CONST_TEST_DEV_SYSTEM_CODE SYSRES_CONST_TEST_EDMS_DATABASE_NAME SYSRES_CONST_TEST_EDMS_MAIN_CODE SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME SYSRES_CONST_TEST_EDMS_SECOND_CODE SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME SYSRES_CONST_TEST_EDMS_SYSTEM_CODE SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME SYSRES_CONST_TEXT_REQUISITE SYSRES_CONST_TEXT_REQUISITE_CODE SYSRES_CONST_TEXT_REQUISITE_TYPE SYSRES_CONST_TEXT_TYPE_CHAR SYSRES_CONST_TYPE_CODE_REQUISITE_CODE SYSRES_CONST_TYPE_REQUISITE_CODE SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME SYSRES_CONST_USE_ACCESS_TYPE_CODE SYSRES_CONST_USE_ACCESS_TYPE_NAME SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE SYSRES_CONST_USER_CATEGORY_NORMAL SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE SYSRES_CONST_USER_COMMON_CATEGORY SYSRES_CONST_USER_COMMON_CATEGORY_CODE SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_USER_LOGIN_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_USER_SERVICE_CATEGORY SYSRES_CONST_USER_SERVICE_CATEGORY_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME SYSRES_CONST_USER_STATUS_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_DEVELOPER_NAME SYSRES_CONST_USER_STATUS_DISABLED_CODE SYSRES_CONST_USER_STATUS_DISABLED_NAME SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_USER_CODE SYSRES_CONST_USER_STATUS_USER_NAME SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER SYSRES_CONST_USER_TYPE_REQUISITE_CODE SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE SYSRES_CONST_USERS_REFERENCE_CODE SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME SYSRES_CONST_USERS_REQUISITE_CODE SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME SYSRES_CONST_VIEW_DEFAULT_CODE SYSRES_CONST_VIEW_DEFAULT_NAME SYSRES_CONST_VIEWER_REQUISITE_CODE SYSRES_CONST_WAITING_BLOCK_DESCRIPTION SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT SYSRES_CONST_XML_ENCODING SYSRES_CONST_XREC_STAT_REQUISITE_CODE SYSRES_CONST_XRECID_FIELD_NAME SYSRES_CONST_YES SYSRES_CONST_YES_NO_2_REQUISITE_CODE SYSRES_CONST_YES_NO_REQUISITE_CODE SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_YES_PICK_VALUE SYSRES_CONST_YES_VALUE "+"CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE "+"ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME "+"DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY "+"ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION "+"JOB_BLOCK_ABORT_DEADLINE_PROPERTY JOB_BLOCK_AFTER_FINISH_EVENT JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT JOB_BLOCK_ATTACHMENT_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT JOB_BLOCK_BEFORE_START_EVENT JOB_BLOCK_CREATED_JOBS_PROPERTY JOB_BLOCK_DEADLINE_PROPERTY JOB_BLOCK_EXECUTION_RESULTS_PROPERTY JOB_BLOCK_IS_PARALLEL_PROPERTY JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY JOB_BLOCK_JOB_TEXT_PROPERTY JOB_BLOCK_NAME_PROPERTY JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY JOB_BLOCK_PERFORMER_PROPERTY JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY JOB_BLOCK_SUBJECT_PROPERTY "+"ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE "+"smHidden smMaximized smMinimized smNormal wmNo wmYes "+"COMPONENT_TOKEN_LINK_KIND DOCUMENT_LINK_KIND EDOCUMENT_LINK_KIND FOLDER_LINK_KIND JOB_LINK_KIND REFERENCE_LINK_KIND TASK_LINK_KIND "+"COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE "+"MONITOR_BLOCK_AFTER_FINISH_EVENT MONITOR_BLOCK_BEFORE_START_EVENT MONITOR_BLOCK_DEADLINE_PROPERTY MONITOR_BLOCK_INTERVAL_PROPERTY MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY MONITOR_BLOCK_NAME_PROPERTY MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY "+"NOTICE_BLOCK_AFTER_FINISH_EVENT NOTICE_BLOCK_ATTACHMENT_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY NOTICE_BLOCK_BEFORE_START_EVENT NOTICE_BLOCK_CREATED_NOTICES_PROPERTY NOTICE_BLOCK_DEADLINE_PROPERTY NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY NOTICE_BLOCK_NAME_PROPERTY NOTICE_BLOCK_NOTICE_TEXT_PROPERTY NOTICE_BLOCK_PERFORMER_PROPERTY NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY NOTICE_BLOCK_SUBJECT_PROPERTY "+"dseAfterCancel dseAfterClose dseAfterDelete dseAfterDeleteOutOfTransaction dseAfterInsert dseAfterOpen dseAfterScroll dseAfterUpdate dseAfterUpdateOutOfTransaction dseBeforeCancel dseBeforeClose dseBeforeDelete dseBeforeDetailUpdate dseBeforeInsert dseBeforeOpen dseBeforeUpdate dseOnAnyRequisiteChange dseOnCloseRecord dseOnDeleteError dseOnOpenRecord dseOnPrepareUpdate dseOnUpdateError dseOnUpdateRatifiedRecord dseOnValidDelete dseOnValidUpdate reOnChange reOnChangeValues SELECTION_BEGIN_ROUTE_EVENT SELECTION_END_ROUTE_EVENT "+"CURRENT_PERIOD_IS_REQUIRED PREVIOUS_CARD_TYPE_NAME SHOW_RECORD_PROPERTIES_FORM "+"ACCESS_RIGHTS_SETTING_DIALOG_CODE ADMINISTRATOR_USER_CODE ANALYTIC_REPORT_TYPE asrtHideLocal asrtHideRemote CALCULATED_ROLE_TYPE_CODE COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE DCTS_TEST_PROTOCOLS_FOLDER_PATH E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER E_EDOC_VERSION_ALREDY_SIGNED E_EDOC_VERSION_ALREDY_SIGNED_BY_USER EDOC_TYPES_CODE_REQUISITE_FIELD_NAME EDOCUMENTS_ALIAS_NAME FILES_FOLDER_PATH FILTER_OPERANDS_DELIMITER FILTER_OPERATIONS_DELIMITER FORMCARD_NAME FORMLIST_NAME GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE INTEGRATED_REPORT_TYPE IS_BUILDER_APPLICATION_ROLE IS_BUILDER_APPLICATION_ROLE2 IS_BUILDER_USERS ISBSYSDEV LOG_FOLDER_PATH mbCancel mbNo mbNoToAll mbOK mbYes mbYesToAll MEMORY_DATASET_DESRIPTIONS_FILENAME mrNo mrNoToAll mrYes mrYesToAll MULTIPLE_SELECT_DIALOG_CODE NONOPERATING_RECORD_FLAG_FEMININE NONOPERATING_RECORD_FLAG_MASCULINE OPERATING_RECORD_FLAG_FEMININE OPERATING_RECORD_FLAG_MASCULINE PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE PROGRAM_INITIATED_LOOKUP_ACTION ratDelete ratEdit ratInsert REPORT_TYPE REQUIRED_PICK_VALUES_VARIABLE rmCard rmList SBRTE_PROGID_DEV SBRTE_PROGID_RELEASE STATIC_ROLE_TYPE_CODE SUPPRESS_EMPTY_TEMPLATE_CREATION SYSTEM_USER_CODE UPDATE_DIALOG_DATASET USED_IN_OBJECT_HINT_PARAM USER_INITIATED_LOOKUP_ACTION USER_NAME_FORMAT USER_SELECTION_RESTRICTIONS WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH ELS_SUBTYPE_CONTROL_NAME ELS_FOLDER_KIND_CONTROL_NAME REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME "+"PRIVILEGE_COMPONENT_FULL_ACCESS PRIVILEGE_DEVELOPMENT_EXPORT PRIVILEGE_DEVELOPMENT_IMPORT PRIVILEGE_DOCUMENT_DELETE PRIVILEGE_ESD PRIVILEGE_FOLDER_DELETE PRIVILEGE_MANAGE_ACCESS_RIGHTS PRIVILEGE_MANAGE_REPLICATION PRIVILEGE_MANAGE_SESSION_SERVER PRIVILEGE_OBJECT_FULL_ACCESS PRIVILEGE_OBJECT_VIEW PRIVILEGE_RESERVE_LICENSE PRIVILEGE_SYSTEM_CUSTOMIZE PRIVILEGE_SYSTEM_DEVELOP PRIVILEGE_SYSTEM_INSTALL PRIVILEGE_TASK_DELETE PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE PRIVILEGES_PSEUDOREFERENCE_CODE "+"ACCESS_TYPES_PSEUDOREFERENCE_CODE ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE COMPONENTS_PSEUDOREFERENCE_CODE FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE GROUPS_PSEUDOREFERENCE_CODE RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE REFTYPES_PSEUDOREFERENCE_CODE REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE SEND_PROTOCOL_PSEUDOREFERENCE_CODE SUBSTITUTES_PSEUDOREFERENCE_CODE SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE UNITS_PSEUDOREFERENCE_CODE USERS_PSEUDOREFERENCE_CODE VIEWERS_PSEUDOREFERENCE_CODE "+"CERTIFICATE_TYPE_ENCRYPT CERTIFICATE_TYPE_SIGN CERTIFICATE_TYPE_SIGN_AND_ENCRYPT "+"STORAGE_TYPE_FILE STORAGE_TYPE_NAS_CIFS STORAGE_TYPE_SAPERION STORAGE_TYPE_SQL_SERVER "+"COMPTYPE2_REQUISITE_DOCUMENTS_VALUE COMPTYPE2_REQUISITE_TASKS_VALUE COMPTYPE2_REQUISITE_FOLDERS_VALUE COMPTYPE2_REQUISITE_REFERENCES_VALUE "+"SYSREQ_CODE SYSREQ_COMPTYPE2 SYSREQ_CONST_AVAILABLE_FOR_WEB SYSREQ_CONST_COMMON_CODE SYSREQ_CONST_COMMON_VALUE SYSREQ_CONST_FIRM_CODE SYSREQ_CONST_FIRM_STATUS SYSREQ_CONST_FIRM_VALUE SYSREQ_CONST_SERVER_STATUS SYSREQ_CONTENTS SYSREQ_DATE_OPEN SYSREQ_DATE_CLOSE SYSREQ_DESCRIPTION SYSREQ_DESCRIPTION_LOCALIZE_ID SYSREQ_DOUBLE SYSREQ_EDOC_ACCESS_TYPE SYSREQ_EDOC_AUTHOR SYSREQ_EDOC_CREATED SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE SYSREQ_EDOC_EDITOR SYSREQ_EDOC_ENCODE_TYPE SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_EXPORT_DATE SYSREQ_EDOC_EXPORTER SYSREQ_EDOC_KIND SYSREQ_EDOC_LIFE_STAGE_NAME SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE SYSREQ_EDOC_MODIFIED SYSREQ_EDOC_NAME SYSREQ_EDOC_NOTE SYSREQ_EDOC_QUALIFIED_ID SYSREQ_EDOC_SESSION_KEY SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_SIGNATURE_TYPE SYSREQ_EDOC_SIGNED SYSREQ_EDOC_STORAGE SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE SYSREQ_EDOC_STORAGES_CHECK_RIGHTS SYSREQ_EDOC_STORAGES_COMPUTER_NAME SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE SYSREQ_EDOC_STORAGES_FUNCTION SYSREQ_EDOC_STORAGES_INITIALIZED SYSREQ_EDOC_STORAGES_LOCAL_PATH SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT SYSREQ_EDOC_STORAGES_SERVER_NAME SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME SYSREQ_EDOC_STORAGES_TYPE SYSREQ_EDOC_TEXT_MODIFIED SYSREQ_EDOC_TYPE_ACT_CODE SYSREQ_EDOC_TYPE_ACT_DESCRIPTION SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_EDOC_TYPE_ACT_SECTION SYSREQ_EDOC_TYPE_ADD_PARAMS SYSREQ_EDOC_TYPE_COMMENT SYSREQ_EDOC_TYPE_EVENT_TEXT SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID SYSREQ_EDOC_TYPE_NUMERATION_METHOD SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE SYSREQ_EDOC_TYPE_REQ_CODE SYSREQ_EDOC_TYPE_REQ_DESCRIPTION SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_REQ_IS_LEADING SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED SYSREQ_EDOC_TYPE_REQ_NUMBER SYSREQ_EDOC_TYPE_REQ_ON_CHANGE SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_EDOC_TYPE_REQ_ON_SELECT SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND SYSREQ_EDOC_TYPE_REQ_SECTION SYSREQ_EDOC_TYPE_VIEW_CARD SYSREQ_EDOC_TYPE_VIEW_CODE SYSREQ_EDOC_TYPE_VIEW_COMMENT SYSREQ_EDOC_TYPE_VIEW_IS_MAIN SYSREQ_EDOC_TYPE_VIEW_NAME SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_EDOC_VERSION_AUTHOR SYSREQ_EDOC_VERSION_CRC SYSREQ_EDOC_VERSION_DATA SYSREQ_EDOC_VERSION_EDITOR SYSREQ_EDOC_VERSION_EXPORT_DATE SYSREQ_EDOC_VERSION_EXPORTER SYSREQ_EDOC_VERSION_HIDDEN SYSREQ_EDOC_VERSION_LIFE_STAGE SYSREQ_EDOC_VERSION_MODIFIED SYSREQ_EDOC_VERSION_NOTE SYSREQ_EDOC_VERSION_SIGNATURE_TYPE SYSREQ_EDOC_VERSION_SIGNED SYSREQ_EDOC_VERSION_SIZE SYSREQ_EDOC_VERSION_SOURCE SYSREQ_EDOC_VERSION_TEXT_MODIFIED SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE SYSREQ_FOLDER_KIND SYSREQ_FUNC_CATEGORY SYSREQ_FUNC_COMMENT SYSREQ_FUNC_GROUP SYSREQ_FUNC_GROUP_COMMENT SYSREQ_FUNC_GROUP_NUMBER SYSREQ_FUNC_HELP SYSREQ_FUNC_PARAM_DEF_VALUE SYSREQ_FUNC_PARAM_IDENT SYSREQ_FUNC_PARAM_NUMBER SYSREQ_FUNC_PARAM_TYPE SYSREQ_FUNC_TEXT SYSREQ_GROUP_CATEGORY SYSREQ_ID SYSREQ_LAST_UPDATE SYSREQ_LEADER_REFERENCE SYSREQ_LINE_NUMBER SYSREQ_MAIN_RECORD_ID SYSREQ_NAME SYSREQ_NAME_LOCALIZE_ID SYSREQ_NOTE SYSREQ_ORIGINAL_RECORD SYSREQ_OUR_FIRM SYSREQ_PROFILING_SETTINGS_BATCH_LOGING SYSREQ_PROFILING_SETTINGS_BATCH_SIZE SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_START_LOGGED SYSREQ_RECORD_STATUS SYSREQ_REF_REQ_FIELD_NAME SYSREQ_REF_REQ_FORMAT SYSREQ_REF_REQ_GENERATED SYSREQ_REF_REQ_LENGTH SYSREQ_REF_REQ_PRECISION SYSREQ_REF_REQ_REFERENCE SYSREQ_REF_REQ_SECTION SYSREQ_REF_REQ_STORED SYSREQ_REF_REQ_TOKENS SYSREQ_REF_REQ_TYPE SYSREQ_REF_REQ_VIEW SYSREQ_REF_TYPE_ACT_CODE SYSREQ_REF_TYPE_ACT_DESCRIPTION SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_ACT_ON_EXECUTE SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_REF_TYPE_ACT_SECTION SYSREQ_REF_TYPE_ADD_PARAMS SYSREQ_REF_TYPE_COMMENT SYSREQ_REF_TYPE_COMMON_SETTINGS SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME SYSREQ_REF_TYPE_EVENT_TEXT SYSREQ_REF_TYPE_MAIN_LEADING_REF SYSREQ_REF_TYPE_NAME_IN_SINGULAR SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_REF_TYPE_NAME_LOCALIZE_ID SYSREQ_REF_TYPE_NUMERATION_METHOD SYSREQ_REF_TYPE_REQ_CODE SYSREQ_REF_TYPE_REQ_DESCRIPTION SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_REQ_IS_CONTROL SYSREQ_REF_TYPE_REQ_IS_FILTER SYSREQ_REF_TYPE_REQ_IS_LEADING SYSREQ_REF_TYPE_REQ_IS_REQUIRED SYSREQ_REF_TYPE_REQ_NUMBER SYSREQ_REF_TYPE_REQ_ON_CHANGE SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_REF_TYPE_REQ_ON_SELECT SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND SYSREQ_REF_TYPE_REQ_SECTION SYSREQ_REF_TYPE_VIEW_CARD SYSREQ_REF_TYPE_VIEW_CODE SYSREQ_REF_TYPE_VIEW_COMMENT SYSREQ_REF_TYPE_VIEW_IS_MAIN SYSREQ_REF_TYPE_VIEW_NAME SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_REFERENCE_TYPE_ID SYSREQ_STATE SYSREQ_STATЕ SYSREQ_SYSTEM_SETTINGS_VALUE SYSREQ_TYPE SYSREQ_UNIT SYSREQ_UNIT_ID SYSREQ_USER_GROUPS_GROUP_FULL_NAME SYSREQ_USER_GROUPS_GROUP_NAME SYSREQ_USER_GROUPS_GROUP_SERVER_NAME SYSREQ_USERS_ACCESS_RIGHTS SYSREQ_USERS_AUTHENTICATION SYSREQ_USERS_CATEGORY SYSREQ_USERS_COMPONENT SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC SYSREQ_USERS_DOMAIN SYSREQ_USERS_FULL_USER_NAME SYSREQ_USERS_GROUP SYSREQ_USERS_IS_MAIN_SERVER SYSREQ_USERS_LOGIN SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC SYSREQ_USERS_STATUS SYSREQ_USERS_USER_CERTIFICATE SYSREQ_USERS_USER_CERTIFICATE_INFO SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION SYSREQ_USERS_USER_CERTIFICATE_STATE SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT SYSREQ_USERS_USER_DEFAULT_CERTIFICATE SYSREQ_USERS_USER_DESCRIPTION SYSREQ_USERS_USER_GLOBAL_NAME SYSREQ_USERS_USER_LOGIN SYSREQ_USERS_USER_MAIN_SERVER SYSREQ_USERS_USER_TYPE SYSREQ_WORK_RULES_FOLDER_ID "+"RESULT_VAR_NAME RESULT_VAR_NAME_ENG "+"AUTO_NUMERATION_RULE_ID CANT_CHANGE_ID_REQUISITE_RULE_ID CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID CHECK_CODE_REQUISITE_RULE_ID CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID CHECK_FILTRATER_CHANGES_RULE_ID CHECK_RECORD_INTERVAL_RULE_ID CHECK_REFERENCE_INTERVAL_RULE_ID CHECK_REQUIRED_DATA_FULLNESS_RULE_ID CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID MAKE_RECORD_UNRATIFIED_RULE_ID RESTORE_AUTO_NUMERATION_RULE_ID SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID SET_IDSPS_VALUE_RULE_ID SET_NEXT_CODE_VALUE_RULE_ID SET_OURFIRM_BOUNDS_RULE_ID SET_OURFIRM_REQUISITE_RULE_ID "+"SCRIPT_BLOCK_AFTER_FINISH_EVENT SCRIPT_BLOCK_BEFORE_START_EVENT SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY SCRIPT_BLOCK_NAME_PROPERTY SCRIPT_BLOCK_SCRIPT_PROPERTY "+"SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_AFTER_FINISH_EVENT SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT SUBTASK_BLOCK_ATTACHMENTS_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY SUBTASK_BLOCK_BEFORE_START_EVENT SUBTASK_BLOCK_CREATED_TASK_PROPERTY SUBTASK_BLOCK_CREATION_EVENT SUBTASK_BLOCK_DEADLINE_PROPERTY SUBTASK_BLOCK_IMPORTANCE_PROPERTY SUBTASK_BLOCK_INITIATOR_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY SUBTASK_BLOCK_JOBS_TYPE_PROPERTY SUBTASK_BLOCK_NAME_PROPERTY SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY SUBTASK_BLOCK_PERFORMERS_PROPERTY SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_START_EVENT SUBTASK_BLOCK_STEP_CONTROL_PROPERTY SUBTASK_BLOCK_SUBJECT_PROPERTY SUBTASK_BLOCK_TASK_CONTROL_PROPERTY SUBTASK_BLOCK_TEXT_PROPERTY SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY "+"SYSCOMP_CONTROL_JOBS SYSCOMP_FOLDERS SYSCOMP_JOBS SYSCOMP_NOTICES SYSCOMP_TASKS "+"SYSDLG_CREATE_EDOCUMENT SYSDLG_CREATE_EDOCUMENT_VERSION SYSDLG_CURRENT_PERIOD SYSDLG_EDIT_FUNCTION_HELP SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS SYSDLG_EXPORT_SINGLE_EDOCUMENT SYSDLG_IMPORT_EDOCUMENT SYSDLG_MULTIPLE_SELECT SYSDLG_SETUP_ACCESS_RIGHTS SYSDLG_SETUP_DEFAULT_RIGHTS SYSDLG_SETUP_FILTER_CONDITION SYSDLG_SETUP_SIGN_RIGHTS SYSDLG_SETUP_TASK_OBSERVERS SYSDLG_SETUP_TASK_ROUTE SYSDLG_SETUP_USERS_LIST SYSDLG_SIGN_EDOCUMENT SYSDLG_SIGN_MULTIPLE_EDOCUMENTS "+"SYSREF_ACCESS_RIGHTS_TYPES SYSREF_ADMINISTRATION_HISTORY SYSREF_ALL_AVAILABLE_COMPONENTS SYSREF_ALL_AVAILABLE_PRIVILEGES SYSREF_ALL_REPLICATING_COMPONENTS SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS SYSREF_CALENDAR_EVENTS SYSREF_COMPONENT_TOKEN_HISTORY SYSREF_COMPONENT_TOKENS SYSREF_COMPONENTS SYSREF_CONSTANTS SYSREF_DATA_RECEIVE_PROTOCOL SYSREF_DATA_SEND_PROTOCOL SYSREF_DIALOGS SYSREF_DIALOGS_REQUISITES SYSREF_EDITORS SYSREF_EDOC_CARDS SYSREF_EDOC_TYPES SYSREF_EDOCUMENT_CARD_REQUISITES SYSREF_EDOCUMENT_CARD_TYPES SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE SYSREF_EDOCUMENT_CARDS SYSREF_EDOCUMENT_HISTORY SYSREF_EDOCUMENT_KINDS SYSREF_EDOCUMENT_REQUISITES SYSREF_EDOCUMENT_SIGNATURES SYSREF_EDOCUMENT_TEMPLATES SYSREF_EDOCUMENT_TEXT_STORAGES SYSREF_EDOCUMENT_VIEWS SYSREF_FILTERER_SETUP_CONFLICTS SYSREF_FILTRATER_SETTING_CONFLICTS SYSREF_FOLDER_HISTORY SYSREF_FOLDERS SYSREF_FUNCTION_GROUPS SYSREF_FUNCTION_PARAMS SYSREF_FUNCTIONS SYSREF_JOB_HISTORY SYSREF_LINKS SYSREF_LOCALIZATION_DICTIONARY SYSREF_LOCALIZATION_LANGUAGES SYSREF_MODULES SYSREF_PRIVILEGES SYSREF_RECORD_HISTORY SYSREF_REFERENCE_REQUISITES SYSREF_REFERENCE_TYPE_VIEWS SYSREF_REFERENCE_TYPES SYSREF_REFERENCES SYSREF_REFERENCES_REQUISITES SYSREF_REMOTE_SERVERS SYSREF_REPLICATION_SESSIONS_LOG SYSREF_REPLICATION_SESSIONS_PROTOCOL SYSREF_REPORTS SYSREF_ROLES SYSREF_ROUTE_BLOCK_GROUPS SYSREF_ROUTE_BLOCKS SYSREF_SCRIPTS SYSREF_SEARCHES SYSREF_SERVER_EVENTS SYSREF_SERVER_EVENTS_HISTORY SYSREF_STANDARD_ROUTE_GROUPS SYSREF_STANDARD_ROUTES SYSREF_STATUSES SYSREF_SYSTEM_SETTINGS SYSREF_TASK_HISTORY SYSREF_TASK_KIND_GROUPS SYSREF_TASK_KINDS SYSREF_TASK_RIGHTS SYSREF_TASK_SIGNATURES SYSREF_TASKS SYSREF_UNITS SYSREF_USER_GROUPS SYSREF_USER_GROUPS_REFERENCE SYSREF_USER_SUBSTITUTION SYSREF_USERS SYSREF_USERS_REFERENCE SYSREF_VIEWERS SYSREF_WORKING_TIME_CALENDARS "+"ACCESS_RIGHTS_TABLE_NAME EDMS_ACCESS_TABLE_NAME EDOC_TYPES_TABLE_NAME "+"TEST_DEV_DB_NAME TEST_DEV_SYSTEM_CODE TEST_EDMS_DB_NAME TEST_EDMS_MAIN_CODE TEST_EDMS_MAIN_DB_NAME TEST_EDMS_SECOND_CODE TEST_EDMS_SECOND_DB_NAME TEST_EDMS_SYSTEM_CODE TEST_ISB5_MAIN_CODE TEST_ISB5_SECOND_CODE TEST_SQL_SERVER_2005_NAME TEST_SQL_SERVER_NAME "+"ATTENTION_CAPTION cbsCommandLinks cbsDefault CONFIRMATION_CAPTION ERROR_CAPTION INFORMATION_CAPTION mrCancel mrOk "+"EDOC_VERSION_ACTIVE_STAGE_CODE EDOC_VERSION_DESIGN_STAGE_CODE EDOC_VERSION_OBSOLETE_STAGE_CODE "+"cpDataEnciphermentEnabled cpDigitalSignatureEnabled cpID cpIssuer cpPluginVersion cpSerial cpSubjectName cpSubjSimpleName cpValidFromDate cpValidToDate "+"ISBL_SYNTAX NO_SYNTAX XML_SYNTAX "+"WAIT_BLOCK_AFTER_FINISH_EVENT WAIT_BLOCK_BEFORE_START_EVENT WAIT_BLOCK_DEADLINE_PROPERTY WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY WAIT_BLOCK_NAME_PROPERTY WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY "+"SYSRES_COMMON SYSRES_CONST SYSRES_MBFUNC SYSRES_SBDATA SYSRES_SBGUI SYSRES_SBINTF SYSRES_SBREFDSC SYSRES_SQLERRORS SYSRES_SYSCOMP ",c1="atUser atGroup atRole "+"aemEnabledAlways aemDisabledAlways aemEnabledOnBrowse aemEnabledOnEdit aemDisabledOnBrowseEmpty "+"apBegin apEnd "+"alLeft alRight "+"asmNever asmNoButCustomize asmAsLastTime asmYesButCustomize asmAlways "+"cirCommon cirRevoked "+"ctSignature ctEncode ctSignatureEncode "+"clbUnchecked clbChecked clbGrayed "+"ceISB ceAlways ceNever "+"ctDocument ctReference ctScript ctUnknown ctReport ctDialog ctFunction ctFolder ctEDocument ctTask ctJob ctNotice ctControlJob "+"cfInternal cfDisplay "+"ciUnspecified ciWrite ciRead "+"ckFolder ckEDocument ckTask ckJob ckComponentToken ckAny ckReference ckScript ckReport ckDialog "+"ctISBLEditor ctBevel ctButton ctCheckListBox ctComboBox ctComboEdit ctGrid ctDBCheckBox ctDBComboBox ctDBEdit ctDBEllipsis ctDBMemo ctDBNavigator ctDBRadioGroup ctDBStatusLabel ctEdit ctGroupBox ctInplaceHint ctMemo ctPanel ctListBox ctRadioButton ctRichEdit ctTabSheet ctWebBrowser ctImage ctHyperLink ctLabel ctDBMultiEllipsis ctRibbon ctRichView ctInnerPanel ctPanelGroup ctBitButton "+"cctDate cctInteger cctNumeric cctPick cctReference cctString cctText "+"cltInternal cltPrimary cltGUI "+"dseBeforeOpen dseAfterOpen dseBeforeClose dseAfterClose dseOnValidDelete dseBeforeDelete dseAfterDelete dseAfterDeleteOutOfTransaction dseOnDeleteError dseBeforeInsert dseAfterInsert dseOnValidUpdate dseBeforeUpdate dseOnUpdateRatifiedRecord dseAfterUpdate dseAfterUpdateOutOfTransaction dseOnUpdateError dseAfterScroll dseOnOpenRecord dseOnCloseRecord dseBeforeCancel dseAfterCancel dseOnUpdateDeadlockError dseBeforeDetailUpdate dseOnPrepareUpdate dseOnAnyRequisiteChange "+"dssEdit dssInsert dssBrowse dssInActive "+"dftDate dftShortDate dftDateTime dftTimeStamp "+"dotDays dotHours dotMinutes dotSeconds "+"dtkndLocal dtkndUTC "+"arNone arView arEdit arFull "+"ddaView ddaEdit "+"emLock emEdit emSign emExportWithLock emImportWithUnlock emChangeVersionNote emOpenForModify emChangeLifeStage emDelete emCreateVersion emImport emUnlockExportedWithLock emStart emAbort emReInit emMarkAsReaded emMarkAsUnreaded emPerform emAccept emResume emChangeRights emEditRoute emEditObserver emRecoveryFromLocalCopy emChangeWorkAccessType emChangeEncodeTypeToCertificate emChangeEncodeTypeToPassword emChangeEncodeTypeToNone emChangeEncodeTypeToCertificatePassword emChangeStandardRoute emGetText emOpenForView emMoveToStorage emCreateObject emChangeVersionHidden emDeleteVersion emChangeLifeCycleStage emApprovingSign emExport emContinue emLockFromEdit emUnLockForEdit emLockForServer emUnlockFromServer emDelegateAccessRights emReEncode "+"ecotFile ecotProcess "+"eaGet eaCopy eaCreate eaCreateStandardRoute "+"edltAll edltNothing edltQuery "+"essmText essmCard "+"esvtLast esvtLastActive esvtSpecified "+"edsfExecutive edsfArchive "+"edstSQLServer edstFile "+"edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile "+"vsDefault vsDesign vsActive vsObsolete "+"etNone etCertificate etPassword etCertificatePassword "+"ecException ecWarning ecInformation "+"estAll estApprovingOnly "+"evtLast evtLastActive evtQuery "+"fdtString fdtNumeric fdtInteger fdtDate fdtText fdtUnknown fdtWideString fdtLargeInteger "+"ftInbox ftOutbox ftFavorites ftCommonFolder ftUserFolder ftComponents ftQuickLaunch ftShortcuts ftSearch "+"grhAuto grhX1 grhX2 grhX3 "+"hltText hltRTF hltHTML "+"iffBMP iffJPEG iffMultiPageTIFF iffSinglePageTIFF iffTIFF iffPNG "+"im8bGrayscale im24bRGB im1bMonochrome "+"itBMP itJPEG itWMF itPNG "+"ikhInformation ikhWarning ikhError ikhNoIcon "+"icUnknown icScript icFunction icIntegratedReport icAnalyticReport icDataSetEventHandler icActionHandler icFormEventHandler icLookUpEventHandler icRequisiteChangeEventHandler icBeforeSearchEventHandler icRoleCalculation icSelectRouteEventHandler icBlockPropertyCalculation icBlockQueryParamsEventHandler icChangeSearchResultEventHandler icBlockEventHandler icSubTaskInitEventHandler icEDocDataSetEventHandler icEDocLookUpEventHandler icEDocActionHandler icEDocFormEventHandler icEDocRequisiteChangeEventHandler icStructuredConversionRule icStructuredConversionEventBefore icStructuredConversionEventAfter icWizardEventHandler icWizardFinishEventHandler icWizardStepEventHandler icWizardStepFinishEventHandler icWizardActionEnableEventHandler icWizardActionExecuteEventHandler icCreateJobsHandler icCreateNoticesHandler icBeforeLookUpEventHandler icAfterLookUpEventHandler icTaskAbortEventHandler icWorkflowBlockActionHandler icDialogDataSetEventHandler icDialogActionHandler icDialogLookUpEventHandler icDialogRequisiteChangeEventHandler icDialogFormEventHandler icDialogValidCloseEventHandler icBlockFormEventHandler icTaskFormEventHandler icReferenceMethod icEDocMethod icDialogMethod icProcessMessageHandler "+"isShow isHide isByUserSettings "+"jkJob jkNotice jkControlJob "+"jtInner jtLeft jtRight jtFull jtCross "+"lbpAbove lbpBelow lbpLeft lbpRight "+"eltPerConnection eltPerUser "+"sfcUndefined sfcBlack sfcGreen sfcRed sfcBlue sfcOrange sfcLilac "+"sfsItalic sfsStrikeout sfsNormal "+"ldctStandardRoute ldctWizard ldctScript ldctFunction ldctRouteBlock ldctIntegratedReport ldctAnalyticReport ldctReferenceType ldctEDocumentType ldctDialog ldctServerEvents "+"mrcrtNone mrcrtUser mrcrtMaximal mrcrtCustom "+"vtEqual vtGreaterOrEqual vtLessOrEqual vtRange "+"rdYesterday rdToday rdTomorrow rdThisWeek rdThisMonth rdThisYear rdNextMonth rdNextWeek rdLastWeek rdLastMonth "+"rdWindow rdFile rdPrinter "+"rdtString rdtNumeric rdtInteger rdtDate rdtReference rdtAccount rdtText rdtPick rdtUnknown rdtLargeInteger rdtDocument "+"reOnChange reOnChangeValues "+"ttGlobal ttLocal ttUser ttSystem "+"ssmBrowse ssmSelect ssmMultiSelect ssmBrowseModal "+"smSelect smLike smCard "+"stNone stAuthenticating stApproving "+"sctString sctStream "+"sstAnsiSort sstNaturalSort "+"svtEqual svtContain "+"soatString soatNumeric soatInteger soatDatetime soatReferenceRecord soatText soatPick soatBoolean soatEDocument soatAccount soatIntegerCollection soatNumericCollection soatStringCollection soatPickCollection soatDatetimeCollection soatBooleanCollection soatReferenceRecordCollection soatEDocumentCollection soatAccountCollection soatContents soatUnknown "+"tarAbortByUser tarAbortByWorkflowException "+"tvtAllWords tvtExactPhrase tvtAnyWord "+"usNone usCompleted usRedSquare usBlueSquare usYellowSquare usGreenSquare usOrangeSquare usPurpleSquare usFollowUp "+"utUnknown utUser utDeveloper utAdministrator utSystemDeveloper utDisconnected "+"btAnd btDetailAnd btOr btNotOr btOnly "+"vmView vmSelect vmNavigation "+"vsmSingle vsmMultiple vsmMultipleCheck vsmNoSelection "+"wfatPrevious wfatNext wfatCancel wfatFinish "+"wfepUndefined wfepText3 wfepText6 wfepText9 wfepSpinEdit wfepDropDown wfepRadioGroup wfepFlag wfepText12 wfepText15 wfepText18 wfepText21 wfepText24 wfepText27 wfepText30 wfepRadioGroupColumn1 wfepRadioGroupColumn2 wfepRadioGroupColumn3 "+"wfetQueryParameter wfetText wfetDelimiter wfetLabel "+"wptString wptInteger wptNumeric wptBoolean wptDateTime wptPick wptText wptUser wptUserList wptEDocumentInfo wptEDocumentInfoList wptReferenceRecordInfo wptReferenceRecordInfoList wptFolderInfo wptTaskInfo wptContents wptFileName wptDate "+"wsrComplete wsrGoNext wsrGoPrevious wsrCustom wsrCancel wsrGoFinal "+"wstForm wstEDocument wstTaskCard wstReferenceRecordCard wstFinal "+"waAll waPerformers waManual "+"wsbStart wsbFinish wsbNotice wsbStep wsbDecision wsbWait wsbMonitor wsbScript wsbConnector wsbSubTask wsbLifeCycleStage wsbPause "+"wdtInteger wdtFloat wdtString wdtPick wdtDateTime wdtBoolean wdtTask wdtJob wdtFolder wdtEDocument wdtReferenceRecord wdtUser wdtGroup wdtRole wdtIntegerCollection wdtFloatCollection wdtStringCollection wdtPickCollection wdtDateTimeCollection wdtBooleanCollection wdtTaskCollection wdtJobCollection wdtFolderCollection wdtEDocumentCollection wdtReferenceRecordCollection wdtUserCollection wdtGroupCollection wdtRoleCollection wdtContents wdtUserList wdtSearchDescription wdtDeadLine wdtPickSet wdtAccountCollection "+"wiLow wiNormal wiHigh "+"wrtSoft wrtHard "+"wsInit wsRunning wsDone wsControlled wsAborted wsContinued "+"wtmFull wtmFromCurrent wtmOnlyCurrent ",ng="AddSubString AdjustLineBreaks AmountInWords Analysis ArrayDimCount ArrayHighBound ArrayLowBound ArrayOf ArrayReDim Assert Assigned BeginOfMonth BeginOfPeriod BuildProfilingOperationAnalysis CallProcedure CanReadFile CArrayElement CDataSetRequisite ChangeDate ChangeReferenceDataset Char CharPos CheckParam CheckParamValue CompareStrings ConstantExists ControlState ConvertDateStr Copy CopyFile CreateArray CreateCachedReference CreateConnection CreateDialog CreateDualListDialog CreateEditor CreateException CreateFile CreateFolderDialog CreateInputDialog CreateLinkFile CreateList CreateLock CreateMemoryDataSet CreateObject CreateOpenDialog CreateProgress CreateQuery CreateReference CreateReport CreateSaveDialog CreateScript CreateSQLPivotFunction CreateStringList CreateTreeListSelectDialog CSelectSQL CSQL CSubString CurrentUserID CurrentUserName CurrentVersion DataSetLocateEx DateDiff DateTimeDiff DateToStr DayOfWeek DeleteFile DirectoryExists DisableCheckAccessRights DisableCheckFullShowingRestriction DisableMassTaskSendingRestrictions DropTable DupeString EditText EnableCheckAccessRights EnableCheckFullShowingRestriction EnableMassTaskSendingRestrictions EndOfMonth EndOfPeriod ExceptionExists ExceptionsOff ExceptionsOn Execute ExecuteProcess Exit ExpandEnvironmentVariables ExtractFileDrive ExtractFileExt ExtractFileName ExtractFilePath ExtractParams FileExists FileSize FindFile FindSubString FirmContext ForceDirectories Format FormatDate FormatNumeric FormatSQLDate FormatString FreeException GetComponent GetComponentLaunchParam GetConstant GetLastException GetReferenceRecord GetRefTypeByRefID GetTableID GetTempFolder IfThen In IndexOf InputDialog InputDialogEx InteractiveMode IsFileLocked IsGraphicFile IsNumeric Length LoadString LoadStringFmt LocalTimeToUTC LowerCase Max MessageBox MessageBoxEx MimeDecodeBinary MimeDecodeString MimeEncodeBinary MimeEncodeString Min MoneyInWords MoveFile NewID Now OpenFile Ord Precision Raise ReadCertificateFromFile ReadFile ReferenceCodeByID ReferenceNumber ReferenceRequisiteMode ReferenceRequisiteValue RegionDateSettings RegionNumberSettings RegionTimeSettings RegRead RegWrite RenameFile Replace Round SelectServerCode SelectSQL ServerDateTime SetConstant SetManagedFolderFieldsState ShowConstantsInputDialog ShowMessage Sleep Split SQL SQL2XLSTAB SQLProfilingSendReport StrToDate SubString SubStringCount SystemSetting Time TimeDiff Today Transliterate Trim UpperCase UserStatus UTCToLocalTime ValidateXML VarIsClear VarIsEmpty VarIsNull WorkTimeDiff WriteFile WriteFileEx WriteObjectHistory Анализ БазаДанных БлокЕсть БлокЕстьРасш БлокИнфо БлокСнять БлокСнятьРасш БлокУстановить Ввод ВводМеню ВедС ВедСпр ВерхняяГраницаМассива ВнешПрогр Восст ВременнаяПапка Время ВыборSQL ВыбратьЗапись ВыделитьСтр Вызвать Выполнить ВыпПрогр ГрафическийФайл ГруппаДополнительно ДатаВремяСерв ДеньНедели ДиалогДаНет ДлинаСтр ДобПодстр ЕПусто ЕслиТо ЕЧисло ЗамПодстр ЗаписьСправочника ЗначПоляСпр ИДТипСпр ИзвлечьДиск ИзвлечьИмяФайла ИзвлечьПуть ИзвлечьРасширение ИзмДат ИзменитьРазмерМассива ИзмеренийМассива ИмяОрг ИмяПоляСпр Индекс ИндикаторЗакрыть ИндикаторОткрыть ИндикаторШаг ИнтерактивныйРежим ИтогТблСпр КодВидВедСпр КодВидСпрПоИД КодПоAnalit КодСимвола КодСпр КолПодстр КолПроп КонМес Конст КонстЕсть КонстЗнач КонТран КопироватьФайл КопияСтр КПериод КСтрТблСпр Макс МаксСтрТблСпр Массив Меню МенюРасш Мин НаборДанныхНайтиРасш НаимВидСпр НаимПоAnalit НаимСпр НастроитьПереводыСтрок НачМес НачТран НижняяГраницаМассива НомерСпр НПериод Окно Окр Окружение ОтлИнфДобавить ОтлИнфУдалить Отчет ОтчетАнал ОтчетИнт ПапкаСуществует Пауза ПВыборSQL ПереименоватьФайл Переменные ПереместитьФайл Подстр ПоискПодстр ПоискСтр ПолучитьИДТаблицы ПользовательДополнительно ПользовательИД ПользовательИмя ПользовательСтатус Прервать ПроверитьПараметр ПроверитьПараметрЗнач ПроверитьУсловие РазбСтр РазнВремя РазнДат РазнДатаВремя РазнРабВремя РегУстВрем РегУстДат РегУстЧсл РедТекст РеестрЗапись РеестрСписокИменПарам РеестрЧтение РеквСпр РеквСпрПр Сегодня Сейчас Сервер СерверПроцессИД СертификатФайлСчитать СжПроб Символ СистемаДиректумКод СистемаИнформация СистемаКод Содержит СоединениеЗакрыть СоединениеОткрыть СоздатьДиалог СоздатьДиалогВыбораИзДвухСписков СоздатьДиалогВыбораПапки СоздатьДиалогОткрытияФайла СоздатьДиалогСохраненияФайла СоздатьЗапрос СоздатьИндикатор СоздатьИсключение СоздатьКэшированныйСправочник СоздатьМассив СоздатьНаборДанных СоздатьОбъект СоздатьОтчет СоздатьПапку СоздатьРедактор СоздатьСоединение СоздатьСписок СоздатьСписокСтрок СоздатьСправочник СоздатьСценарий СоздСпр СостСпр Сохр СохрСпр СписокСистем Спр Справочник СпрБлокЕсть СпрБлокСнять СпрБлокСнятьРасш СпрБлокУстановить СпрИзмНабДан СпрКод СпрНомер СпрОбновить СпрОткрыть СпрОтменить СпрПарам СпрПолеЗнач СпрПолеИмя СпрРекв СпрРеквВведЗн СпрРеквНовые СпрРеквПр СпрРеквПредЗн СпрРеквРежим СпрРеквТипТекст СпрСоздать СпрСост СпрСохранить СпрТблИтог СпрТблСтр СпрТблСтрКол СпрТблСтрМакс СпрТблСтрМин СпрТблСтрПред СпрТблСтрСлед СпрТблСтрСозд СпрТблСтрУд СпрТекПредст СпрУдалить СравнитьСтр СтрВерхРегистр СтрНижнРегистр СтрТблСпр СумПроп Сценарий СценарийПарам ТекВерсия ТекОрг Точн Тран Транслитерация УдалитьТаблицу УдалитьФайл УдСпр УдСтрТблСпр Уст УстановкиКонстант ФайлАтрибутСчитать ФайлАтрибутУстановить ФайлВремя ФайлВремяУстановить ФайлВыбрать ФайлЗанят ФайлЗаписать ФайлИскать ФайлКопировать ФайлМожноЧитать ФайлОткрыть ФайлПереименовать ФайлПерекодировать ФайлПереместить ФайлПросмотреть ФайлРазмер ФайлСоздать ФайлСсылкаСоздать ФайлСуществует ФайлСчитать ФайлУдалить ФмтSQLДат ФмтДат ФмтСтр ФмтЧсл Формат ЦМассивЭлемент ЦНаборДанныхРеквизит ЦПодстр ",Eg="AltState Application CallType ComponentTokens CreatedJobs CreatedNotices ControlState DialogResult Dialogs EDocuments EDocumentVersionSource Folders GlobalIDs Job Jobs InputValue LookUpReference LookUpRequisiteNames LookUpSearch Object ParentComponent Processes References Requisite ReportName Reports Result Scripts Searches SelectedAttachments SelectedItems SelectMode Sender ServerEvents ServiceFactory ShiftState SubTask SystemDialogs Tasks Wizard Wizards Work ВызовСпособ ИмяОтчета РеквЗнач ",E1="IApplication IAccessRights IAccountRepository IAccountSelectionRestrictions IAction IActionList IAdministrationHistoryDescription IAnchors IApplication IArchiveInfo IAttachment IAttachmentList ICheckListBox ICheckPointedList IColumn IComponent IComponentDescription IComponentToken IComponentTokenFactory IComponentTokenInfo ICompRecordInfo IConnection IContents IControl IControlJob IControlJobInfo IControlList ICrypto ICrypto2 ICustomJob ICustomJobInfo ICustomListBox ICustomObjectWizardStep ICustomWork ICustomWorkInfo IDataSet IDataSetAccessInfo IDataSigner IDateCriterion IDateRequisite IDateRequisiteDescription IDateValue IDeaAccessRights IDeaObjectInfo IDevelopmentComponentLock IDialog IDialogFactory IDialogPickRequisiteItems IDialogsFactory IDICSFactory IDocRequisite IDocumentInfo IDualListDialog IECertificate IECertificateInfo IECertificates IEditControl IEditorForm IEdmsExplorer IEdmsObject IEdmsObjectDescription IEdmsObjectFactory IEdmsObjectInfo IEDocument IEDocumentAccessRights IEDocumentDescription IEDocumentEditor IEDocumentFactory IEDocumentInfo IEDocumentStorage IEDocumentVersion IEDocumentVersionListDialog IEDocumentVersionSource IEDocumentWizardStep IEDocVerSignature IEDocVersionState IEnabledMode IEncodeProvider IEncrypter IEvent IEventList IException IExternalEvents IExternalHandler IFactory IField IFileDialog IFolder IFolderDescription IFolderDialog IFolderFactory IFolderInfo IForEach IForm IFormTitle IFormWizardStep IGlobalIDFactory IGlobalIDInfo IGrid IHasher IHistoryDescription IHyperLinkControl IImageButton IImageControl IInnerPanel IInplaceHint IIntegerCriterion IIntegerList IIntegerRequisite IIntegerValue IISBLEditorForm IJob IJobDescription IJobFactory IJobForm IJobInfo ILabelControl ILargeIntegerCriterion ILargeIntegerRequisite ILargeIntegerValue ILicenseInfo ILifeCycleStage IList IListBox ILocalIDInfo ILocalization ILock IMemoryDataSet IMessagingFactory IMetadataRepository INotice INoticeInfo INumericCriterion INumericRequisite INumericValue IObject IObjectDescription IObjectImporter IObjectInfo IObserver IPanelGroup IPickCriterion IPickProperty IPickRequisite IPickRequisiteDescription IPickRequisiteItem IPickRequisiteItems IPickValue IPrivilege IPrivilegeList IProcess IProcessFactory IProcessMessage IProgress IProperty IPropertyChangeEvent IQuery IReference IReferenceCriterion IReferenceEnabledMode IReferenceFactory IReferenceHistoryDescription IReferenceInfo IReferenceRecordCardWizardStep IReferenceRequisiteDescription IReferencesFactory IReferenceValue IRefRequisite IReport IReportFactory IRequisite IRequisiteDescription IRequisiteDescriptionList IRequisiteFactory IRichEdit IRouteStep IRule IRuleList ISchemeBlock IScript IScriptFactory ISearchCriteria ISearchCriterion ISearchDescription ISearchFactory ISearchFolderInfo ISearchForObjectDescription ISearchResultRestrictions ISecuredContext ISelectDialog IServerEvent IServerEventFactory IServiceDialog IServiceFactory ISignature ISignProvider ISignProvider2 ISignProvider3 ISimpleCriterion IStringCriterion IStringList IStringRequisite IStringRequisiteDescription IStringValue ISystemDialogsFactory ISystemInfo ITabSheet ITask ITaskAbortReasonInfo ITaskCardWizardStep ITaskDescription ITaskFactory ITaskInfo ITaskRoute ITextCriterion ITextRequisite ITextValue ITreeListSelectDialog IUser IUserList IValue IView IWebBrowserControl IWizard IWizardAction IWizardFactory IWizardFormElement IWizardParam IWizardPickParam IWizardReferenceParam IWizardStep IWorkAccessRights IWorkDescription IWorkflowAskableParam IWorkflowAskableParams IWorkflowBlock IWorkflowBlockResult IWorkflowEnabledMode IWorkflowParam IWorkflowPickParam IWorkflowReferenceParam IWorkState IWorkTreeCustomNode IWorkTreeJobNode IWorkTreeTaskNode IXMLEditorForm SBCrypto ",ig=Jf+c1,d0=Eg,Qg="null true false nil ",a_={className:"number",begin:qa.NUMBER_RE,relevance:0},Tg={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"}]},g1={className:"doctag",begin:"\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\b",relevance:0},p0={className:"comment",begin:"//",end:"$",relevance:0,contains:[qa.PHRASAL_WORDS_MODE,g1]},x0={className:"comment",begin:"/\\*",end:"\\*/",relevance:0,contains:[qa.PHRASAL_WORDS_MODE,g1]},Sg={variants:[p0,x0]},kg={$pattern:ed,keyword:rd,built_in:ig,class:d0,literal:Qg},u1={begin:"\\.\\s*"+qa.UNDERSCORE_IDENT_RE,keywords:kg,relevance:0},W1={className:"type",begin:":[ \\t]*("+E1.trim().replace(/\s/g,"|")+")",end:"[ \\t]*=",excludeEnd:!0},dg={className:"variable",keywords:kg,begin:ed,relevance:0,contains:[W1,u1]},ag=td+"\\(";return{name:"ISBL",case_insensitive:!0,keywords:kg,illegal:"\\$|\\?|%|,|;$|~|#|@|rd(od,ld,cd-1))}function sd(od){const ld=od.regex,cd="[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*",ud=cd+rd("(?:<"+cd+"~~~(?:\\s*,\\s*"+cd+"~~~)*>)?",/~~~/g,2),Nd={keyword:["synchronized","abstract","private","var","static","if","const ","for","while","strictfp","finally","protected","import","native","final","void","enum","else","break","transient","catch","instanceof","volatile","case","assert","package","default","public","try","switch","continue","throws","protected","public","private","module","requires","exports","do","sealed","yield","permits","goto"],literal:["false","true","null"],type:["char","boolean","long","float","int","byte","short","double"],built_in:["super","this"]},Rd={className:"meta",begin:"@"+cd,contains:[{begin:/\(/,end:/\)/,contains:["self"]}]},Bd={className:"params",begin:/\(/,end:/\)/,keywords:Nd,relevance:0,contains:[od.C_BLOCK_COMMENT_MODE],endsParent:!0};return{name:"Java",aliases:["jsp"],keywords:Nd,illegal:/<\/|#/,contains:[od.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]}),{begin:/import java\.[a-z]+\./,keywords:"import",relevance:2},od.C_LINE_COMMENT_MODE,od.C_BLOCK_COMMENT_MODE,{begin:/"""/,end:/"""/,className:"string",contains:[od.BACKSLASH_ESCAPE]},od.APOS_STRING_MODE,od.QUOTE_STRING_MODE,{match:[/\b(?:class|interface|enum|extends|implements|new)/,/\s+/,cd],className:{1:"keyword",3:"title.class"}},{match:/non-sealed/,scope:"keyword"},{begin:[ld.concat(/(?!else)/,cd),/\s+/,cd,/\s+/,/=(?!=)/],className:{1:"type",3:"variable",5:"operator"}},{begin:[/record/,/\s+/,cd],className:{1:"keyword",3:"title.class"},contains:[Bd,od.C_LINE_COMMENT_MODE,od.C_BLOCK_COMMENT_MODE]},{beginKeywords:"new throw return else",relevance:0},{begin:["(?:"+ud+"\\s+)",od.UNDERSCORE_IDENT_RE,/\s*(?=\()/],className:{2:"title.function"},keywords:Nd,contains:[{className:"params",begin:/\(/,end:/\)/,keywords:Nd,relevance:0,contains:[Rd,od.APOS_STRING_MODE,od.QUOTE_STRING_MODE,td,od.C_BLOCK_COMMENT_MODE]},od.C_LINE_COMMENT_MODE,od.C_BLOCK_COMMENT_MODE]},td,Rd]}}return java_1=sd,java_1}var javascript_1,hasRequiredJavascript;function requireJavascript(){if(hasRequiredJavascript)return javascript_1;hasRequiredJavascript=1;const Ra="[A-Za-z$_][0-9A-Za-z$_]*",qa=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],ed=["true","false","null","undefined","NaN","Infinity"],td=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],rd=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],sd=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],od=["arguments","this","super","console","window","document","localStorage","sessionStorage","module","global"],ld=[].concat(sd,td,rd);function cd(ud){const _d=ud.regex,Ed=(Ef,{after:bf})=>{const Bf="",end:""},Nd=/<[A-Za-z0-9\\._:-]+\s*\/>/,Rd={begin:/<[A-Za-z0-9\\._:-]+/,end:/\/[A-Za-z0-9\\._:-]+>|\/>/,isTrulyOpeningTag:(Ef,bf)=>{const Bf=Ef[0].length+Ef.index,Kf=Ef.input[Bf];if(Kf==="<"||Kf===","){bf.ignoreMatch();return}Kf===">"&&(Ed(Ef,{after:Bf})||bf.ignoreMatch());let nh;const zf=Ef.input.substring(Bf);if(nh=zf.match(/^\s*=/)){bf.ignoreMatch();return}if((nh=zf.match(/^\s+extends\s+/))&&nh.index===0){bf.ignoreMatch();return}}},Bd={$pattern:Ra,keyword:qa,literal:ed,built_in:ld,"variable.language":od},kd="[0-9](_?[0-9])*",Od=`\\.(${kd})`,Md="0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*",Pd={className:"number",variants:[{begin:`(\\b(${Md})((${Od})|\\.)?|(${Od}))[eE][+-]?(${kd})\\b`},{begin:`\\b(${Md})\\b((${Od})\\b|\\.)?|(${Od})\\b`},{begin:"\\b(0|[1-9](_?[0-9])*)n\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*n?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*n?\\b"},{begin:"\\b0[0-7]+n?\\b"}],relevance:0},Fd={className:"subst",begin:"\\$\\{",end:"\\}",keywords:Bd,contains:[]},Ud={begin:".?html`",end:"",starts:{end:"`",returnEnd:!1,contains:[ud.BACKSLASH_ESCAPE,Fd],subLanguage:"xml"}},Gd={begin:".?css`",end:"",starts:{end:"`",returnEnd:!1,contains:[ud.BACKSLASH_ESCAPE,Fd],subLanguage:"css"}},Qd={begin:".?gql`",end:"",starts:{end:"`",returnEnd:!1,contains:[ud.BACKSLASH_ESCAPE,Fd],subLanguage:"graphql"}},Vd={className:"string",begin:"`",end:"`",contains:[ud.BACKSLASH_ESCAPE,Fd]},Xd={className:"comment",variants:[ud.COMMENT(/\/\*\*(?!\/)/,"\\*/",{relevance:0,contains:[{begin:"(?=@[A-Za-z]+)",relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"},{className:"type",begin:"\\{",end:"\\}",excludeEnd:!0,excludeBegin:!0,relevance:0},{className:"variable",begin:Ad+"(?=\\s*(-)|$)",endsParent:!0,relevance:0},{begin:/(?=[^\n])\s/,relevance:0}]}]}),ud.C_BLOCK_COMMENT_MODE,ud.C_LINE_COMMENT_MODE]},df=[ud.APOS_STRING_MODE,ud.QUOTE_STRING_MODE,Ud,Gd,Qd,Vd,{match:/\$\d+/},Pd];Fd.contains=df.concat({begin:/\{/,end:/\}/,keywords:Bd,contains:["self"].concat(df)});const mf=[].concat(Xd,Fd.contains),_f=mf.concat([{begin:/(\s*)\(/,end:/\)/,keywords:Bd,contains:["self"].concat(mf)}]),hf={className:"params",begin:/(\s*)\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:Bd,contains:_f},gf={variants:[{match:[/class/,/\s+/,Ad,/\s+/,/extends/,/\s+/,_d.concat(Ad,"(",_d.concat(/\./,Ad),")*")],scope:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},{match:[/class/,/\s+/,Ad],scope:{1:"keyword",3:"title.class"}}]},yf={relevance:0,match:_d.either(/\bJSON/,/\b[A-Z][a-z]+([A-Z][a-z]*|\d)*/,/\b[A-Z]{2,}([A-Z][a-z]+|\d)+([A-Z][a-z]*)*/,/\b[A-Z]{2,}[a-z]+([A-Z][a-z]+|\d)*([A-Z][a-z]*)*/),className:"title.class",keywords:{_:[...td,...rd]}},Nf={label:"use_strict",className:"meta",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},Pf={variants:[{match:[/function/,/\s+/,Ad,/(?=\s*\()/]},{match:[/function/,/\s*(?=\()/]}],className:{1:"keyword",3:"title.function"},label:"func.def",contains:[hf],illegal:/%/},Yf={relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"};function Uf(Ef){return _d.concat("(?!",Ef.join("|"),")")}const Lf={match:_d.concat(/\b/,Uf([...sd,"super","import"].map(Ef=>`${Ef}\\s*\\(`)),Ad,_d.lookahead(/\s*\(/)),className:"title.function",relevance:0},xf={begin:_d.concat(/\./,_d.lookahead(_d.concat(Ad,/(?![0-9A-Za-z$_(])/))),end:Ad,excludeBegin:!0,keywords:"prototype",className:"property",relevance:0},wf={match:[/get|set/,/\s+/,Ad,/(?=\()/],className:{1:"keyword",3:"title.function"},contains:[{begin:/\(\)/},hf]},Jf="(\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)|"+ud.UNDERSCORE_IDENT_RE+")\\s*=>",Qf={match:[/const|var|let/,/\s+/,Ad,/\s*/,/=\s*/,/(async\s*)?/,_d.lookahead(Jf)],keywords:"async",className:{1:"keyword",3:"title.function"},contains:[hf]};return{name:"JavaScript",aliases:["js","jsx","mjs","cjs"],keywords:Bd,exports:{PARAMS_CONTAINS:_f,CLASS_REFERENCE:yf},illegal:/#(?![$_A-z])/,contains:[ud.SHEBANG({label:"shebang",binary:"node",relevance:5}),Nf,ud.APOS_STRING_MODE,ud.QUOTE_STRING_MODE,Ud,Gd,Qd,Vd,Xd,{match:/\$\d+/},Pd,yf,{className:"attr",begin:Ad+_d.lookahead(":"),relevance:0},Qf,{begin:"("+ud.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",relevance:0,contains:[Xd,ud.REGEXP_MODE,{className:"function",begin:Jf,returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:ud.UNDERSCORE_IDENT_RE,relevance:0},{className:null,begin:/\(\s*\)/,skip:!0},{begin:/(\s*)\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:Bd,contains:_f}]}]},{begin:/,/,relevance:0},{match:/\s+/,relevance:0},{variants:[{begin:Td.begin,end:Td.end},{match:Nd},{begin:Rd.begin,"on:begin":Rd.isTrulyOpeningTag,end:Rd.end}],subLanguage:"xml",contains:[{begin:Rd.begin,end:Rd.end,skip:!0,contains:["self"]}]}]},Pf,{beginKeywords:"while if switch catch for"},{begin:"\\b(?!function)"+ud.UNDERSCORE_IDENT_RE+"\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)\\s*\\{",returnBegin:!0,label:"func.def",contains:[hf,ud.inherit(ud.TITLE_MODE,{begin:Ad,className:"title.function"})]},{match:/\.\.\./,relevance:0},xf,{match:"\\$"+Ad,relevance:0},{match:[/\bconstructor(?=\s*\()/],className:{1:"title.function"},contains:[hf]},Lf,Yf,gf,wf,{match:/\$[(.]/}]}}return javascript_1=cd,javascript_1}var jbossCli_1,hasRequiredJbossCli;function requireJbossCli(){if(hasRequiredJbossCli)return jbossCli_1;hasRequiredJbossCli=1;function Ra(qa){const td={className:"params",begin:/\(/,end:/\)/,contains:[{begin:/[\w-]+ *=/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/[\w-]+/}]}],relevance:0},rd={className:"function",begin:/:[\w\-.]+/,relevance:0},sd={className:"string",begin:/\B([\/.])[\w\-.\/=]+/},od={className:"params",begin:/--[\w\-=\/]+/};return{name:"JBoss CLI",aliases:["wildfly-cli"],keywords:{$pattern:"[a-z-]+",keyword:"alias batch cd clear command connect connection-factory connection-info data-source deploy deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias undeploy unset version xa-data-source",literal:"true false"},contains:[qa.HASH_COMMENT_MODE,qa.QUOTE_STRING_MODE,od,rd,sd,td]}}return jbossCli_1=Ra,jbossCli_1}var json_1,hasRequiredJson;function requireJson(){if(hasRequiredJson)return json_1;hasRequiredJson=1;function Ra(qa){const ed={className:"attr",begin:/"(\\.|[^\\"\r\n])*"(?=\s*:)/,relevance:1.01},td={match:/[{}[\],:]/,className:"punctuation",relevance:0},rd=["true","false","null"],sd={scope:"literal",beginKeywords:rd.join(" ")};return{name:"JSON",aliases:["jsonc"],keywords:{literal:rd},contains:[ed,td,qa.QUOTE_STRING_MODE,sd,qa.C_NUMBER_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE],illegal:"\\S"}}return json_1=Ra,json_1}var julia_1,hasRequiredJulia;function requireJulia(){if(hasRequiredJulia)return julia_1;hasRequiredJulia=1;function Ra(qa){const ed="[A-Za-z_\\u00A1-\\uFFFF][A-Za-z_0-9\\u00A1-\\uFFFF]*",od={$pattern:ed,keyword:["baremodule","begin","break","catch","ccall","const","continue","do","else","elseif","end","export","false","finally","for","function","global","if","import","in","isa","let","local","macro","module","quote","return","true","try","using","where","while"],literal:["ARGS","C_NULL","DEPOT_PATH","ENDIAN_BOM","ENV","Inf","Inf16","Inf32","Inf64","InsertionSort","LOAD_PATH","MergeSort","NaN","NaN16","NaN32","NaN64","PROGRAM_FILE","QuickSort","RoundDown","RoundFromZero","RoundNearest","RoundNearestTiesAway","RoundNearestTiesUp","RoundToZero","RoundUp","VERSION|0","devnull","false","im","missing","nothing","pi","stderr","stdin","stdout","true","undef","π","ℯ"],built_in:["AbstractArray","AbstractChannel","AbstractChar","AbstractDict","AbstractDisplay","AbstractFloat","AbstractIrrational","AbstractMatrix","AbstractRange","AbstractSet","AbstractString","AbstractUnitRange","AbstractVecOrMat","AbstractVector","Any","ArgumentError","Array","AssertionError","BigFloat","BigInt","BitArray","BitMatrix","BitSet","BitVector","Bool","BoundsError","CapturedException","CartesianIndex","CartesianIndices","Cchar","Cdouble","Cfloat","Channel","Char","Cint","Cintmax_t","Clong","Clonglong","Cmd","Colon","Complex","ComplexF16","ComplexF32","ComplexF64","CompositeException","Condition","Cptrdiff_t","Cshort","Csize_t","Cssize_t","Cstring","Cuchar","Cuint","Cuintmax_t","Culong","Culonglong","Cushort","Cvoid","Cwchar_t","Cwstring","DataType","DenseArray","DenseMatrix","DenseVecOrMat","DenseVector","Dict","DimensionMismatch","Dims","DivideError","DomainError","EOFError","Enum","ErrorException","Exception","ExponentialBackOff","Expr","Float16","Float32","Float64","Function","GlobalRef","HTML","IO","IOBuffer","IOContext","IOStream","IdDict","IndexCartesian","IndexLinear","IndexStyle","InexactError","InitError","Int","Int128","Int16","Int32","Int64","Int8","Integer","InterruptException","InvalidStateException","Irrational","KeyError","LinRange","LineNumberNode","LinearIndices","LoadError","MIME","Matrix","Method","MethodError","Missing","MissingException","Module","NTuple","NamedTuple","Nothing","Number","OrdinalRange","OutOfMemoryError","OverflowError","Pair","PartialQuickSort","PermutedDimsArray","Pipe","ProcessFailedException","Ptr","QuoteNode","Rational","RawFD","ReadOnlyMemoryError","Real","ReentrantLock","Ref","Regex","RegexMatch","RoundingMode","SegmentationFault","Set","Signed","Some","StackOverflowError","StepRange","StepRangeLen","StridedArray","StridedMatrix","StridedVecOrMat","StridedVector","String","StringIndexError","SubArray","SubString","SubstitutionString","Symbol","SystemError","Task","TaskFailedException","Text","TextDisplay","Timer","Tuple","Type","TypeError","TypeVar","UInt","UInt128","UInt16","UInt32","UInt64","UInt8","UndefInitializer","UndefKeywordError","UndefRefError","UndefVarError","Union","UnionAll","UnitRange","Unsigned","Val","Vararg","VecElement","VecOrMat","Vector","VersionNumber","WeakKeyDict","WeakRef"]},ld={keywords:od,illegal:/<\//},cd={className:"number",begin:/(\b0x[\d_]*(\.[\d_]*)?|0x\.\d[\d_]*)p[-+]?\d+|\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\b\d[\d_]*(\.[\d_]*)?|\.\d[\d_]*)([eEfF][-+]?\d+)?/,relevance:0},ud={className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},_d={className:"subst",begin:/\$\(/,end:/\)/,keywords:od},Ed={className:"variable",begin:"\\$"+ed},Ad={className:"string",contains:[qa.BACKSLASH_ESCAPE,_d,Ed],variants:[{begin:/\w*"""/,end:/"""\w*/,relevance:10},{begin:/\w*"/,end:/"\w*/}]},Td={className:"string",contains:[qa.BACKSLASH_ESCAPE,_d,Ed],begin:"`",end:"`"},Nd={className:"meta",begin:"@"+ed},Rd={className:"comment",variants:[{begin:"#=",end:"=#",relevance:10},{begin:"#",end:"$"}]};return ld.name="Julia",ld.contains=[cd,ud,Ad,Td,Nd,Rd,qa.HASH_COMMENT_MODE,{className:"keyword",begin:"\\b(((abstract|primitive)\\s+)type|(mutable\\s+)?struct)\\b"},{begin:/<:/}],_d.contains=ld.contains,ld}return julia_1=Ra,julia_1}var juliaRepl_1,hasRequiredJuliaRepl;function requireJuliaRepl(){if(hasRequiredJuliaRepl)return juliaRepl_1;hasRequiredJuliaRepl=1;function Ra(qa){return{name:"Julia REPL",contains:[{className:"meta.prompt",begin:/^julia>/,relevance:10,starts:{end:/^(?![ ]{6})/,subLanguage:"julia"}}],aliases:["jldoctest"]}}return juliaRepl_1=Ra,juliaRepl_1}var kotlin_1,hasRequiredKotlin;function requireKotlin(){if(hasRequiredKotlin)return kotlin_1;hasRequiredKotlin=1;var Ra="[0-9](_*[0-9])*",qa=`\\.(${Ra})`,ed="[0-9a-fA-F](_*[0-9a-fA-F])*",td={className:"number",variants:[{begin:`(\\b(${Ra})((${qa})|\\.)?|(${qa}))[eE][+-]?(${Ra})[fFdD]?\\b`},{begin:`\\b(${Ra})((${qa})[fFdD]?\\b|\\.([fFdD]\\b)?)`},{begin:`(${qa})[fFdD]?\\b`},{begin:`\\b(${Ra})[fFdD]\\b`},{begin:`\\b0[xX]((${ed})\\.?|(${ed})?\\.(${ed}))[pP][+-]?(${Ra})[fFdD]?\\b`},{begin:"\\b(0|[1-9](_*[0-9])*)[lL]?\\b"},{begin:`\\b0[xX](${ed})[lL]?\\b`},{begin:"\\b0(_*[0-7])*[lL]?\\b"},{begin:"\\b0[bB][01](_*[01])*[lL]?\\b"}],relevance:0};function rd(sd){const od={keyword:"abstract as val var vararg get set class object open private protected public noinline crossinline dynamic final enum if else do while for when throw try catch finally import package is in fun override companion reified inline lateinit init interface annotation data sealed internal infix operator out by constructor super tailrec where const inner suspend typealias external expect actual",built_in:"Byte Short Char Int Long Boolean Float Double Void Unit Nothing",literal:"true false null"},ld={className:"keyword",begin:/\b(break|continue|return|this)\b/,starts:{contains:[{className:"symbol",begin:/@\w+/}]}},cd={className:"symbol",begin:sd.UNDERSCORE_IDENT_RE+"@"},ud={className:"subst",begin:/\$\{/,end:/\}/,contains:[sd.C_NUMBER_MODE]},_d={className:"variable",begin:"\\$"+sd.UNDERSCORE_IDENT_RE},Ed={className:"string",variants:[{begin:'"""',end:'"""(?=[^"])',contains:[_d,ud]},{begin:"'",end:"'",illegal:/\n/,contains:[sd.BACKSLASH_ESCAPE]},{begin:'"',end:'"',illegal:/\n/,contains:[sd.BACKSLASH_ESCAPE,_d,ud]}]};ud.contains.push(Ed);const Ad={className:"meta",begin:"@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\s*:(?:\\s*"+sd.UNDERSCORE_IDENT_RE+")?"},Td={className:"meta",begin:"@"+sd.UNDERSCORE_IDENT_RE,contains:[{begin:/\(/,end:/\)/,contains:[sd.inherit(Ed,{className:"string"}),"self"]}]},Nd=td,Rd=sd.COMMENT("/\\*","\\*/",{contains:[sd.C_BLOCK_COMMENT_MODE]}),Bd={variants:[{className:"type",begin:sd.UNDERSCORE_IDENT_RE},{begin:/\(/,end:/\)/,contains:[]}]},kd=Bd;return kd.variants[1].contains=[Bd],Bd.variants[1].contains=[kd],{name:"Kotlin",aliases:["kt","kts"],keywords:od,contains:[sd.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),sd.C_LINE_COMMENT_MODE,Rd,ld,cd,Ad,Td,{className:"function",beginKeywords:"fun",end:"[(]|$",returnBegin:!0,excludeEnd:!0,keywords:od,relevance:5,contains:[{begin:sd.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[sd.UNDERSCORE_TITLE_MODE]},{className:"type",begin://,keywords:"reified",relevance:0},{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:od,relevance:0,contains:[{begin:/:/,end:/[=,\/]/,endsWithParent:!0,contains:[Bd,sd.C_LINE_COMMENT_MODE,Rd],relevance:0},sd.C_LINE_COMMENT_MODE,Rd,Ad,Td,Ed,sd.C_NUMBER_MODE]},Rd]},{begin:[/class|interface|trait/,/\s+/,sd.UNDERSCORE_IDENT_RE],beginScope:{3:"title.class"},keywords:"class interface trait",end:/[:\{(]|$/,excludeEnd:!0,illegal:"extends implements",contains:[{beginKeywords:"public protected internal private constructor"},sd.UNDERSCORE_TITLE_MODE,{className:"type",begin://,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:/[,:]\s*/,end:/[<\(,){\s]|$/,excludeBegin:!0,returnEnd:!0},Ad,Td]},Ed,{className:"meta",begin:"^#!/usr/bin/env",end:"$",illegal:` `},Nd]}}return kotlin_1=rd,kotlin_1}var lasso_1,hasRequiredLasso;function requireLasso(){if(hasRequiredLasso)return lasso_1;hasRequiredLasso=1;function Ra(qa){const ed="[a-zA-Z_][\\w.]*",td="<\\?(lasso(script)?|=)",rd="\\]|\\?>",sd={$pattern:ed+"|&[lg]t;",literal:"true false none minimal full all void and or not bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft",built_in:"array date decimal duration integer map pair string tag xml null boolean bytes keyword list locale queue set stack staticarray local var variable global data self inherited currentcapture givenblock",keyword:"cache database_names database_schemanames database_tablenames define_tag define_type email_batch encode_set html_comment handle handle_error header if inline iterate ljax_target link link_currentaction link_currentgroup link_currentrecord link_detail link_firstgroup link_firstrecord link_lastgroup link_lastrecord link_nextgroup link_nextrecord link_prevgroup link_prevrecord log loop namespace_using output_none portal private protect records referer referrer repeating resultset rows search_args search_arguments select sort_args sort_arguments thread_atomic value_list while abort case else fail_if fail_ifnot fail if_empty if_false if_null if_true loop_abort loop_continue loop_count params params_up return return_value run_children soap_definetag soap_lastrequest soap_lastresponse tag_name ascending average by define descending do equals frozen group handle_failure import in into join let match max min on order parent protected provide public require returnhome skip split_thread sum take thread to trait type where with yield yieldhome"},od=qa.COMMENT("",{relevance:0}),ld={className:"meta",begin:"\\[noprocess\\]",starts:{end:"\\[/noprocess\\]",returnEnd:!0,contains:[od]}},cd={className:"meta",begin:"\\[/noprocess|"+td},ud={className:"symbol",begin:"'"+ed+"'"},_d=[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.inherit(qa.C_NUMBER_MODE,{begin:qa.C_NUMBER_RE+"|(-?infinity|NaN)\\b"}),qa.inherit(qa.APOS_STRING_MODE,{illegal:null}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"`",end:"`"},{variants:[{begin:"[#$]"+ed},{begin:"#",end:"\\d+",illegal:"\\W"}]},{className:"type",begin:"::\\s*",end:ed,illegal:"\\W"},{className:"params",variants:[{begin:"-(?!infinity)"+ed,relevance:0},{begin:"(\\.\\.\\.)"}]},{begin:/(->|\.)\s*/,relevance:0,contains:[ud]},{className:"class",beginKeywords:"define",returnEnd:!0,end:"\\(|=>",contains:[qa.inherit(qa.TITLE_MODE,{begin:ed+"(=(?!>))?|[-+*/%](?!>)"})]}];return{name:"Lasso",aliases:["ls","lassoscript"],case_insensitive:!0,keywords:sd,contains:[{className:"meta",begin:rd,relevance:0,starts:{end:"\\[|"+td,returnEnd:!0,relevance:0,contains:[od]}},ld,cd,{className:"meta",begin:"\\[no_square_brackets",starts:{end:"\\[/no_square_brackets\\]",keywords:sd,contains:[{className:"meta",begin:rd,relevance:0,starts:{end:"\\[noprocess\\]|"+td,returnEnd:!0,contains:[od]}},ld,cd].concat(_d)}},{className:"meta",begin:"\\[",relevance:0},{className:"meta",begin:"^#!",end:"lasso9$",relevance:10}].concat(_d)}}return lasso_1=Ra,lasso_1}var latex_1,hasRequiredLatex;function requireLatex(){if(hasRequiredLatex)return latex_1;hasRequiredLatex=1;function Ra(qa){const td=qa.regex.either(...["(?:NeedsTeXFormat|RequirePackage|GetIdInfo)","Provides(?:Expl)?(?:Package|Class|File)","(?:DeclareOption|ProcessOptions)","(?:documentclass|usepackage|input|include)","makeat(?:letter|other)","ExplSyntax(?:On|Off)","(?:new|renew|provide)?command","(?:re)newenvironment","(?:New|Renew|Provide|Declare)(?:Expandable)?DocumentCommand","(?:New|Renew|Provide|Declare)DocumentEnvironment","(?:(?:e|g|x)?def|let)","(?:begin|end)","(?:part|chapter|(?:sub){0,2}section|(?:sub)?paragraph)","caption","(?:label|(?:eq|page|name)?ref|(?:paren|foot|super)?cite)","(?:alpha|beta|[Gg]amma|[Dd]elta|(?:var)?epsilon|zeta|eta|[Tt]heta|vartheta)","(?:iota|(?:var)?kappa|[Ll]ambda|mu|nu|[Xx]i|[Pp]i|varpi|(?:var)rho)","(?:[Ss]igma|varsigma|tau|[Uu]psilon|[Pp]hi|varphi|chi|[Pp]si|[Oo]mega)","(?:frac|sum|prod|lim|infty|times|sqrt|leq|geq|left|right|middle|[bB]igg?)","(?:[lr]angle|q?quad|[lcvdi]?dots|d?dot|hat|tilde|bar)"].map(Xd=>Xd+"(?![a-zA-Z@:_])")),rd=new RegExp(["(?:__)?[a-zA-Z]{2,}_[a-zA-Z](?:_?[a-zA-Z])+:[a-zA-Z]*","[lgc]__?[a-zA-Z](?:_?[a-zA-Z])*_[a-zA-Z]{2,}","[qs]__?[a-zA-Z](?:_?[a-zA-Z])+","use(?:_i)?:[a-zA-Z]*","(?:else|fi|or):","(?:if|cs|exp):w","(?:hbox|vbox):n","::[a-zA-Z]_unbraced","::[a-zA-Z:]"].map(Xd=>Xd+"(?![a-zA-Z:_])").join("|")),sd=[{begin:/[a-zA-Z@]+/},{begin:/[^a-zA-Z@]?/}],od=[{begin:/\^{6}[0-9a-f]{6}/},{begin:/\^{5}[0-9a-f]{5}/},{begin:/\^{4}[0-9a-f]{4}/},{begin:/\^{3}[0-9a-f]{3}/},{begin:/\^{2}[0-9a-f]{2}/},{begin:/\^{2}[\u0000-\u007f]/}],ld={className:"keyword",begin:/\\/,relevance:0,contains:[{endsParent:!0,begin:td},{endsParent:!0,begin:rd},{endsParent:!0,variants:od},{endsParent:!0,relevance:0,variants:sd}]},cd={className:"params",relevance:0,begin:/#+\d?/},ud={variants:od},_d={className:"built_in",relevance:0,begin:/[$&^_]/},Ed={className:"meta",begin:/% ?!(T[eE]X|tex|BIB|bib)/,end:"$",relevance:10},Ad=qa.COMMENT("%","$",{relevance:0}),Td=[ld,cd,ud,_d,Ed,Ad],Nd={begin:/\{/,end:/\}/,relevance:0,contains:["self",...Td]},Rd=qa.inherit(Nd,{relevance:0,endsParent:!0,contains:[Nd,...Td]}),Bd={begin:/\[/,end:/\]/,endsParent:!0,relevance:0,contains:[Nd,...Td]},kd={begin:/\s+/,relevance:0},Od=[Rd],Md=[Bd],Pd=function(Xd,df){return{contains:[kd],starts:{relevance:0,contains:Xd,starts:df}}},Fd=function(Xd,df){return{begin:"\\\\"+Xd+"(?![a-zA-Z@:_])",keywords:{$pattern:/\\[a-zA-Z]+/,keyword:"\\"+Xd},relevance:0,contains:[kd],starts:df}},Ud=function(Xd,df){return qa.inherit({begin:"\\\\begin(?=[ ]*(\\r?\\n[ ]*)?\\{"+Xd+"\\})",keywords:{$pattern:/\\[a-zA-Z]+/,keyword:"\\begin"},relevance:0},Pd(Od,df))},Gd=(Xd="string")=>qa.END_SAME_AS_BEGIN({className:Xd,begin:/(.|\r?\n)/,end:/(.|\r?\n)/,excludeBegin:!0,excludeEnd:!0,endsParent:!0}),Qd=function(Xd){return{className:"string",end:"(?=\\\\end\\{"+Xd+"\\})"}},Vd=(Xd="string")=>({relevance:0,begin:/\{/,starts:{endsParent:!0,contains:[{className:Xd,end:/(?=\})/,endsParent:!0,contains:[{begin:/\{/,end:/\}/,relevance:0,contains:["self"]}]}]}}),zd=[...["verb","lstinline"].map(Xd=>Fd(Xd,{contains:[Gd()]})),Fd("mint",Pd(Od,{contains:[Gd()]})),Fd("mintinline",Pd(Od,{contains:[Vd(),Gd()]})),Fd("url",{contains:[Vd("link"),Vd("link")]}),Fd("hyperref",{contains:[Vd("link")]}),Fd("href",Pd(Md,{contains:[Vd("link")]})),...[].concat(...["","\\*"].map(Xd=>[Ud("verbatim"+Xd,Qd("verbatim"+Xd)),Ud("filecontents"+Xd,Pd(Od,Qd("filecontents"+Xd))),...["","B","L"].map(df=>Ud(df+"Verbatim"+Xd,Pd(Md,Qd(df+"Verbatim"+Xd))))])),Ud("minted",Pd(Md,Pd(Od,Qd("minted"))))];return{name:"LaTeX",aliases:["tex"],contains:[...zd,...Td]}}return latex_1=Ra,latex_1}var ldif_1,hasRequiredLdif;function requireLdif(){if(hasRequiredLdif)return ldif_1;hasRequiredLdif=1;function Ra(qa){return{name:"LDIF",contains:[{className:"attribute",match:"^dn(?=:)",relevance:10},{className:"attribute",match:"^\\w+(?=:)"},{className:"literal",match:"^-"},qa.HASH_COMMENT_MODE]}}return ldif_1=Ra,ldif_1}var leaf_1,hasRequiredLeaf;function requireLeaf(){if(hasRequiredLeaf)return leaf_1;hasRequiredLeaf=1;function Ra(qa){const ed=/([A-Za-z_][A-Za-z_0-9]*)?/,rd={scope:"params",begin:/\(/,end:/\)(?=\:?)/,endsParent:!0,relevance:7,contains:[{scope:"string",begin:'"',end:'"'},{scope:"keyword",match:["true","false","in"].join("|")},{scope:"variable",match:/[A-Za-z_][A-Za-z_0-9]*/},{scope:"operator",match:/\+|\-|\*|\/|\%|\=\=|\=|\!|\>|\<|\&\&|\|\|/}]},sd={match:[ed,/(?=\()/],scope:{1:"keyword"},contains:[rd]};return rd.contains.unshift(sd),{name:"Leaf",contains:[{match:[/#+/,ed,/(?=\()/],scope:{1:"punctuation",2:"keyword"},starts:{contains:[{match:/\:/,scope:"punctuation"}]},contains:[rd]},{match:[/#+/,ed,/:?/],scope:{1:"punctuation",2:"keyword",3:"punctuation"}}]}}return leaf_1=Ra,leaf_1}var less_1,hasRequiredLess;function requireLess(){if(hasRequiredLess)return less_1;hasRequiredLess=1;const Ra=_d=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:_d.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[_d.APOS_STRING_MODE,_d.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:_d.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),qa=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","optgroup","option","p","picture","q","quote","samp","section","select","source","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],ed=["defs","g","marker","mask","pattern","svg","switch","symbol","feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feFlood","feGaussianBlur","feImage","feMerge","feMorphology","feOffset","feSpecularLighting","feTile","feTurbulence","linearGradient","radialGradient","stop","circle","ellipse","image","line","path","polygon","polyline","rect","text","use","textPath","tspan","foreignObject","clipPath"],td=[...qa,...ed],rd=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"].sort().reverse(),sd=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"].sort().reverse(),od=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"].sort().reverse(),ld=["accent-color","align-content","align-items","align-self","alignment-baseline","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","baseline-shift","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-end-end-radius","border-end-start-radius","border-right-color","border-right-style","border-right-width","border-spacing","border-start-end-radius","border-start-start-radius","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","cx","cy","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","color-scheme","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","empty-cells","enable-background","fill","fill-opacity","fill-rule","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","flood-color","flood-opacity","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-horizontal","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","inset","inset-block","inset-block-end","inset-block-start","inset-inline","inset-inline-end","inset-inline-start","isolation","kerning","justify-content","justify-items","justify-self","left","letter-spacing","lighting-color","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","marker","marker-end","marker-mid","marker-start","mask","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","r","resize","rest","rest-after","rest-before","right","rotate","row-gap","scale","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","shape-rendering","stop-color","stop-opacity","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","speak","speak-as","src","tab-size","table-layout","text-anchor","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip-ink","text-decoration-style","text-decoration-thickness","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-offset","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","translate","unicode-bidi","vector-effect","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","x","y","z-index"].sort().reverse(),cd=sd.concat(od).sort().reverse();function ud(_d){const Ed=Ra(_d),Ad=cd,Td="and or not only",Nd="[\\w-]+",Rd="("+Nd+"|@\\{"+Nd+"\\})",Bd=[],kd=[],Od=function(mf){return{className:"string",begin:"~?"+mf+".*?"+mf}},Md=function(mf,_f,hf){return{className:mf,begin:_f,relevance:hf}},Pd={$pattern:/[a-z-]+/,keyword:Td,attribute:rd.join(" ")},Fd={begin:"\\(",end:"\\)",contains:kd,keywords:Pd,relevance:0};kd.push(_d.C_LINE_COMMENT_MODE,_d.C_BLOCK_COMMENT_MODE,Od("'"),Od('"'),Ed.CSS_NUMBER_MODE,{begin:"(url|data-uri)\\(",starts:{className:"string",end:"[\\)\\n]",excludeEnd:!0}},Ed.HEXCOLOR,Fd,Md("variable","@@?"+Nd,10),Md("variable","@\\{"+Nd+"\\}"),Md("built_in","~?`[^`]*?`"),{className:"attribute",begin:Nd+"\\s*:",end:":",returnBegin:!0,excludeEnd:!0},Ed.IMPORTANT,{beginKeywords:"and not"},Ed.FUNCTION_DISPATCH);const Ud=kd.concat({begin:/\{/,end:/\}/,contains:Bd}),Gd={beginKeywords:"when",endsWithParent:!0,contains:[{beginKeywords:"and not"}].concat(kd)},Qd={begin:Rd+"\\s*:",returnBegin:!0,end:/[;}]/,relevance:0,contains:[{begin:/-(webkit|moz|ms|o)-/},Ed.CSS_VARIABLE,{className:"attribute",begin:"\\b("+ld.join("|")+")\\b",end:/(?=:)/,starts:{endsWithParent:!0,illegal:"[<=$]",relevance:0,contains:kd}}]},Vd={className:"keyword",begin:"@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\b",starts:{end:"[;{}]",keywords:Pd,returnEnd:!0,contains:kd,relevance:0}},zd={className:"variable",variants:[{begin:"@"+Nd+"\\s*:",relevance:15},{begin:"@"+Nd}],starts:{end:"[;}]",returnEnd:!0,contains:Ud}},Xd={variants:[{begin:"[\\.#:&\\[>]",end:"[;{}]"},{begin:Rd,end:/\{/}],returnBegin:!0,returnEnd:!0,illegal:`[<='$"]`,relevance:0,contains:[_d.C_LINE_COMMENT_MODE,_d.C_BLOCK_COMMENT_MODE,Gd,Md("keyword","all\\b"),Md("variable","@\\{"+Nd+"\\}"),{begin:"\\b("+td.join("|")+")\\b",className:"selector-tag"},Ed.CSS_NUMBER_MODE,Md("selector-tag",Rd,0),Md("selector-id","#"+Rd),Md("selector-class","\\."+Rd,0),Md("selector-tag","&",0),Ed.ATTRIBUTE_SELECTOR_MODE,{className:"selector-pseudo",begin:":("+sd.join("|")+")"},{className:"selector-pseudo",begin:":(:)?("+od.join("|")+")"},{begin:/\(/,end:/\)/,relevance:0,contains:Ud},{begin:"!important"},Ed.FUNCTION_DISPATCH]},df={begin:Nd+`:(:)?(${Ad.join("|")})`,returnBegin:!0,contains:[Xd]};return Bd.push(_d.C_LINE_COMMENT_MODE,_d.C_BLOCK_COMMENT_MODE,Vd,zd,df,Qd,Xd,Gd,Ed.FUNCTION_DISPATCH),{name:"Less",case_insensitive:!0,illegal:`[=>'/<($"]`,contains:Bd}}return less_1=ud,less_1}var lisp_1,hasRequiredLisp;function requireLisp(){if(hasRequiredLisp)return lisp_1;hasRequiredLisp=1;function Ra(qa){const ed="[a-zA-Z_\\-+\\*\\/<=>&#][a-zA-Z0-9_\\-+*\\/<=>&#!]*",td="\\|[^]*?\\|",rd="(-|\\+)?\\d+(\\.\\d+|\\/\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\+|-)?\\d+)?",sd={className:"literal",begin:"\\b(t{1}|nil)\\b"},od={className:"number",variants:[{begin:rd,relevance:0},{begin:"#(b|B)[0-1]+(/[0-1]+)?"},{begin:"#(o|O)[0-7]+(/[0-7]+)?"},{begin:"#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?"},{begin:"#(c|C)\\("+rd+" +"+rd,end:"\\)"}]},ld=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),cd=qa.COMMENT(";","$",{relevance:0}),ud={begin:"\\*",end:"\\*"},_d={className:"symbol",begin:"[:&]"+ed},Ed={begin:ed,relevance:0},Ad={begin:td},Nd={contains:[od,ld,ud,_d,{begin:"\\(",end:"\\)",contains:["self",sd,ld,od,Ed]},Ed],variants:[{begin:"['`]\\(",end:"\\)"},{begin:"\\(quote ",end:"\\)",keywords:{name:"quote"}},{begin:"'"+td}]},Rd={variants:[{begin:"'"+ed},{begin:"#'"+ed+"(::"+ed+")*"}]},Bd={begin:"\\(\\s*",end:"\\)"},kd={endsWithParent:!0,relevance:0};return Bd.contains=[{className:"name",variants:[{begin:ed,relevance:0},{begin:td}]},kd],kd.contains=[Nd,Rd,Bd,sd,od,ld,cd,ud,_d,Ad,Ed],{name:"Lisp",illegal:/\S/,contains:[od,qa.SHEBANG(),sd,ld,cd,Nd,Rd,Bd,Ed]}}return lisp_1=Ra,lisp_1}var livecodeserver_1,hasRequiredLivecodeserver;function requireLivecodeserver(){if(hasRequiredLivecodeserver)return livecodeserver_1;hasRequiredLivecodeserver=1;function Ra(qa){const ed={className:"variable",variants:[{begin:"\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\[.+\\])?(?:\\s*?)"},{begin:"\\$_[A-Z]+"}],relevance:0},td=[qa.C_BLOCK_COMMENT_MODE,qa.HASH_COMMENT_MODE,qa.COMMENT("--","$"),qa.COMMENT("[^:]//","$")],rd=qa.inherit(qa.TITLE_MODE,{variants:[{begin:"\\b_*rig[A-Z][A-Za-z0-9_\\-]*"},{begin:"\\b_[a-z0-9\\-]+"}]}),sd=qa.inherit(qa.TITLE_MODE,{begin:"\\b([A-Za-z0-9_\\-]+)\\b"});return{name:"LiveCode",case_insensitive:!1,keywords:{keyword:"$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph after byte bytes english the until http forever descending using line real8 with seventh for stdout finally element word words fourth before black ninth sixth characters chars stderr uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat end repeat URL in try into switch to words https token binfile each tenth as ticks tick system real4 by dateItems without char character ascending eighth whole dateTime numeric short first ftp integer abbreviated abbr abbrev private case while if div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within contains ends with begins the keys of keys",literal:"SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five quote empty one true return cr linefeed right backslash null seven tab three two RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK",built_in:"put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress constantNames cos date dateFormat decompress difference directories diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process combine constant convert create new alias folder directory decrypt delete variable word line folder directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop subtract symmetric union unload vectorDotProduct wait write"},contains:[ed,{className:"keyword",begin:"\\bend\\sif\\b"},{className:"function",beginKeywords:"function",end:"$",contains:[ed,sd,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE,rd]},{className:"function",begin:"\\bend\\s+",end:"$",keywords:"end",contains:[sd,rd],relevance:0},{beginKeywords:"command on",end:"$",contains:[ed,sd,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE,rd]},{className:"meta",variants:[{begin:"<\\?(rev|lc|livecode)",relevance:10},{begin:"<\\?"},{begin:"\\?>"}]},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE,rd].concat(td),illegal:";$|^\\[|^=|&|\\{"}}return livecodeserver_1=Ra,livecodeserver_1}var livescript_1,hasRequiredLivescript;function requireLivescript(){if(hasRequiredLivescript)return livescript_1;hasRequiredLivescript=1;const Ra=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],qa=["true","false","null","undefined","NaN","Infinity"],ed=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],td=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],rd=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],sd=[].concat(rd,ed,td);function od(ld){const cd=["npm","print"],ud=["yes","no","on","off","it","that","void"],_d=["then","unless","until","loop","of","by","when","and","or","is","isnt","not","it","that","otherwise","from","to","til","fallthrough","case","enum","native","list","map","__hasProp","__extends","__slice","__bind","__indexOf"],Ed={keyword:Ra.concat(_d),literal:qa.concat(ud),built_in:sd.concat(cd)},Ad="[A-Za-z$_](?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*",Td=ld.inherit(ld.TITLE_MODE,{begin:Ad}),Nd={className:"subst",begin:/#\{/,end:/\}/,keywords:Ed},Rd={className:"subst",begin:/#[A-Za-z$_]/,end:/(?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,keywords:Ed},Bd=[ld.BINARY_NUMBER_MODE,{className:"number",begin:"(\\b0[xX][a-fA-F0-9_]+)|(\\b\\d(\\d|_\\d)*(\\.(\\d(\\d|_\\d)*)?)?(_*[eE]([-+]\\d(_\\d|\\d)*)?)?[_a-z]*)",relevance:0,starts:{end:"(\\s*/)?",relevance:0}},{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[ld.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[ld.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[ld.BACKSLASH_ESCAPE,Nd,Rd]},{begin:/"/,end:/"/,contains:[ld.BACKSLASH_ESCAPE,Nd,Rd]},{begin:/\\/,end:/(\s|$)/,excludeEnd:!0}]},{className:"regexp",variants:[{begin:"//",end:"//[gim]*",contains:[Nd,ld.HASH_COMMENT_MODE]},{begin:/\/(?![ *])(\\.|[^\\\n])*?\/[gim]*(?=\W)/}]},{begin:"@"+Ad},{begin:"``",end:"``",excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"}];Nd.contains=Bd;const kd={className:"params",begin:"\\(",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:Ed,contains:["self"].concat(Bd)}]},Od={begin:"(#=>|=>|\\|>>|-?->|!->)"},Md={variants:[{match:[/class\s+/,Ad,/\s+extends\s+/,Ad]},{match:[/class\s+/,Ad]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:Ed};return{name:"LiveScript",aliases:["ls"],keywords:Ed,illegal:/\/\*/,contains:Bd.concat([ld.COMMENT("\\/\\*","\\*\\/"),ld.HASH_COMMENT_MODE,Od,{className:"function",contains:[Td,kd],returnBegin:!0,variants:[{begin:"("+Ad+"\\s*(?:=|:=)\\s*)?(\\(.*\\)\\s*)?\\B->\\*?",end:"->\\*?"},{begin:"("+Ad+"\\s*(?:=|:=)\\s*)?!?(\\(.*\\)\\s*)?\\B[-~]{1,2}>\\*?",end:"[-~]{1,2}>\\*?"},{begin:"("+Ad+"\\s*(?:=|:=)\\s*)?(\\(.*\\)\\s*)?\\B!?[-~]{1,2}>\\*?",end:"!?[-~]{1,2}>\\*?"}]},Md,{begin:Ad+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}return livescript_1=od,livescript_1}var llvm_1,hasRequiredLlvm;function requireLlvm(){if(hasRequiredLlvm)return llvm_1;hasRequiredLlvm=1;function Ra(qa){const ed=qa.regex,td=/([-a-zA-Z$._][\w$.-]*)/,rd={className:"type",begin:/\bi\d+(?=\s|\b)/},sd={className:"operator",relevance:0,begin:/=/},od={className:"punctuation",relevance:0,begin:/,/},ld={className:"number",variants:[{begin:/[su]?0[xX][KMLHR]?[a-fA-F0-9]+/},{begin:/[-+]?\d+(?:[.]\d+)?(?:[eE][-+]?\d+(?:[.]\d+)?)?/}],relevance:0},cd={className:"symbol",variants:[{begin:/^\s*[a-z]+:/}],relevance:0},ud={className:"variable",variants:[{begin:ed.concat(/%/,td)},{begin:/%\d+/},{begin:/#\d+/}]},_d={className:"title",variants:[{begin:ed.concat(/@/,td)},{begin:/@\d+/},{begin:ed.concat(/!/,td)},{begin:ed.concat(/!\d+/,td)},{begin:/!\d+/}]};return{name:"LLVM IR",keywords:{keyword:"begin end true false declare define global constant private linker_private internal available_externally linkonce linkonce_odr weak weak_odr appending dllimport dllexport common default hidden protected extern_weak external thread_local zeroinitializer undef null to tail target triple datalayout volatile nuw nsw nnan ninf nsz arcp fast exact inbounds align addrspace section alias module asm sideeffect gc dbg linker_private_weak attributes blockaddress initialexec localdynamic localexec prefix unnamed_addr ccc fastcc coldcc x86_stdcallcc x86_fastcallcc arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ptx_kernel intel_ocl_bicc msp430_intrcc spir_func spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc cc c signext zeroext inreg sret nounwind noreturn noalias nocapture byval nest readnone readonly inlinehint noinline alwaysinline optsize ssp sspreq noredzone noimplicitfloat naked builtin cold nobuiltin noduplicate nonlazybind optnone returns_twice sanitize_address sanitize_memory sanitize_thread sspstrong uwtable returned type opaque eq ne slt sgt sle sge ult ugt ule uge oeq one olt ogt ole oge ord uno ueq une x acq_rel acquire alignstack atomic catch cleanup filter inteldialect max min monotonic nand personality release seq_cst singlethread umax umin unordered xchg add fadd sub fsub mul fmul udiv sdiv fdiv urem srem frem shl lshr ashr and or xor icmp fcmp phi call trunc zext sext fptrunc fpext uitofp sitofp fptoui fptosi inttoptr ptrtoint bitcast addrspacecast select va_arg ret br switch invoke unwind unreachable indirectbr landingpad resume malloc alloca free load store getelementptr extractelement insertelement shufflevector getresult extractvalue insertvalue atomicrmw cmpxchg fence argmemonly",type:"void half bfloat float double fp128 x86_fp80 ppc_fp128 x86_amx x86_mmx ptr label token metadata opaque"},contains:[rd,qa.COMMENT(/;\s*$/,null,{relevance:0}),qa.COMMENT(/;/,/$/),{className:"string",begin:/"/,end:/"/,contains:[{className:"char.escape",match:/\\\d\d/}]},_d,od,sd,ud,cd,ld]}}return llvm_1=Ra,llvm_1}var lsl_1,hasRequiredLsl;function requireLsl(){if(hasRequiredLsl)return lsl_1;hasRequiredLsl=1;function Ra(qa){const td={className:"string",begin:'"',end:'"',contains:[{className:"subst",begin:/\\[tn"\\]/}]},rd={className:"number",relevance:0,begin:qa.C_NUMBER_RE},sd={className:"literal",variants:[{begin:"\\b(PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\b"},{begin:"\\b(XP_ERROR_(EXPERIENCES_DISABLED|EXPERIENCE_(DISABLED|SUSPENDED)|INVALID_(EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(FOUND|PERMITTED(_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(_OBJECT)?|(DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(_(BY_(LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(PARCEL(_OWNER)?|REGION)))?|CAMERA_(PITCH|DISTANCE|BEHINDNESS_(ANGLE|LAG)|(FOCUS|POSITION)(_(THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(ROOT|SET|ALL_(OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(IVE|_(ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(FWD|BACK|(ROT_)?(LEFT|RIGHT)|UP|DOWN|(ML_)?LBUTTON)|PERMISSION_(RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(_START)?|TELEPORT|MEDIA)|OBJECT_(CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(COUNT|EQUIVALENCE)|RETURN_(PARCEL(_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(_TAG)?|CREATOR|ATTACHED_(POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(BODY_SHAPE|PATHFINDING)_TYPE|(RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(MEMORY|TIME))|TYPE_(INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(DEBUG|PUBLIC)_CHANNEL|ATTACH_(AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](SHOULDER|HAND|FOOT|EAR|EYE|[UL](ARM|LEG)|HIP)|(LEFT|RIGHT)_PEC|HUD_(CENTER_[12]|TOP_(RIGHT|CENTER|LEFT)|BOTTOM(_(RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(BASE|TIP)|[LR]WING|FACE_(JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(ONLINE|NAME|BORN|SIM_(POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(ON_FILE|USED)|REMOTE_DATA_(CHANNEL|REQUEST|REPLY)|PSYS_(PART_(BF_(ZERO|ONE(_MINUS_(DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(START|END)_(COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(RIBBON|WIND|INTERP_(COLOR|SCALE)|BOUNCE|FOLLOW_(SRC|VELOCITY)|TARGET_(POS|LINEAR)|EMISSIVE)_MASK)|SRC_(MAX_AGE|PATTERN|ANGLE_(BEGIN|END)|BURST_(RATE|PART_COUNT|RADIUS|SPEED_(MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(DROP|EXPLODE|ANGLE(_CONE(_EMPTY)?)?)))|VEHICLE_(REFERENCE_FRAME|TYPE_(NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(LINEAR|ANGULAR)_(FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(LINEAR|ANGULAR)_(DEFLECTION_(EFFICIENCY|TIMESCALE)|MOTOR_(DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(EFFICIENCY|TIMESCALE)|BANKING_(EFFICIENCY|MIX|TIMESCALE)|FLAG_(NO_DEFLECTION_UP|LIMIT_(ROLL_ONLY|MOTOR_UP)|HOVER_((WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(ALLOW_UNSIT|ALPHA_MODE(_(BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(_(BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(_(STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(NONE|LOW|MEDIUM|HIGH)|BUMP_(NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(TYPE_(SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(MIRROR|INVERT))|PHYSICS(_(SHAPE_(CONVEX|NONE|PRIM|TYPE)))?|(POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(ALT_IMAGE_ENABLE|CONTROLS|(CURRENT|HOME)_URL|AUTO_(LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(WIDTH|HEIGHT)_PIXELS|WHITELIST(_ENABLE)?|PERMS_(INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(STANDARD|MINI)|PERM_(NONE|OWNER|GROUP|ANYONE)|MAX_(URL_LENGTH|WHITELIST_(SIZE|COUNT)|(WIDTH|HEIGHT)_PIXELS)))|MASK_(BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(MEDIA_COMMAND_(STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(ALLOW_(FLY|(GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(GROUP_)?OBJECTS)|USE_(ACCESS_(GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(GROUP|ALL)_OBJECT_ENTRY)|COUNT_(TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(HIDE|DEFAULT)|REGION_FLAG_(ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(METHOD|MIMETYPE|BODY_(MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(INVALID_(AGENT|LINK_OBJECT)|NO(T_EXPERIENCE|_(ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(TRIM(_(HEAD|TAIL))?)|CLICK_ACTION_(NONE|TOUCH|SIT|BUY|PAY|OPEN(_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(NONE|SCRIPT_MEMORY)|RC_(DATA_FLAGS|DETECT_PHANTOM|GET_(LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(TYPES|AGENTS|(NON)?PHYSICAL|LAND))|RCERR_(CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(ALLOWED_(AGENT|GROUP)_(ADD|REMOVE)|BANNED_AGENT_(ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(COMMAND|CMD_(PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(CMD_((SMOOTH_)?STOP|JUMP)|DESIRED_(TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(_([ABCD]|NONE))?|MAX_(DECEL|TURN_RADIUS|(ACCEL|SPEED)))|PURSUIT_(OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(EVADE_(HIDDEN|SPOTTED)|FAILURE_(DYNAMIC_PATHFINDING_DISABLED|INVALID_(GOAL|START)|NO_(NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(PARCEL_)?UNREACHABLE)|(GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(_(FAST|NONE|SLOW))?|CONTENT_TYPE_(ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(RADIUS|STATIC)|(PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\b"},{begin:"\\b(FALSE|TRUE)\\b"},{begin:"\\b(ZERO_ROTATION)\\b"},{begin:"\\b(EOF|JSON_(ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(GRANTED|DENIED))\\b"},{begin:"\\b(ZERO_VECTOR|TOUCH_INVALID_(TEXCOORD|VECTOR))\\b"}]},od={className:"built_in",begin:"\\b(ll(AgentInExperience|(Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(Details|ErrorMessage)|ReturnObjectsBy(ID|Owner)|Json(2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(Mag|Norm|Dist)|Rot(Between|2(Euler|Fwd|Left|Up))|(Euler|Axes)2Rot|Whisper|(Region|Owner)?Say|Shout|Listen(Control|Remove)?|Sensor(Repeat|Remove)?|Detected(Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|([GS]et)(AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(Scale|Offset|Rotate)Texture|(Rot)?Target(Remove)?|(Stop)?MoveToTarget|Apply(Rotational)?Impulse|Set(KeyframedMotion|ContentType|RegionPos|(Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(Queueing|Radius)|Vehicle(Type|(Float|Vector|Rotation)Param)|(Touch|Sit)?Text|Camera(Eye|At)Offset|PrimitiveParams|ClickAction|Link(Alpha|Color|PrimitiveParams(Fast)?|Texture(Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get((Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(PrimitiveParams|Number(OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(Details|PermMask|PrimCount)|Parcel(MaxPrims|Details|Prim(Count|Owners))|Attached(List)?|(SPMax|Free|Used)Memory|Region(Name|TimeDilation|FPS|Corner|AgentCount)|Root(Position|Rotation)|UnixTime|(Parcel|Region)Flags|(Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(Prims|NotecardLines|Sides)|Animation(List)?|(Camera|Local)(Pos|Rot)|Vel|Accel|Omega|Time(stamp|OfDay)|(Object|CenterOf)?Mass|MassMKS|Energy|Owner|(Owner)?Key|SunDirection|Texture(Offset|Scale|Rot)|Inventory(Number|Name|Key|Type|Creator|PermMask)|Permissions(Key)?|StartParameter|List(Length|EntryType)|Date|Agent(Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(Name|State))|(Get|Reset|GetAndReset)Time|PlaySound(Slave)?|LoopSound(Master|Slave)?|(Trigger|Stop|Preload)Sound|((Get|Delete)Sub|Insert)String|To(Upper|Lower)|Give(InventoryList|Money)|RezObject|(Stop)?LookAt|Sleep|CollisionFilter|(Take|Release)Controls|DetachFromAvatar|AttachToAvatar(Temp)?|InstantMessage|(GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(Length|Trim)|(Start|Stop)Animation|TargetOmega|Request(Experience)?Permissions|(Create|Break)Link|BreakAllLinks|(Give|Remove)Inventory|Water|PassTouches|Request(Agent|Inventory)Data|TeleportAgent(Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(Axis|Angle)|A(cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(CSV|Integer|Json|Float|String|Key|Vector|Rot|List(Strided)?)|DeleteSubList|List(Statistics|Sort|Randomize|(Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(Slope|Normal|Contour)|GroundRepel|(Set|Remove)VehicleFlags|SitOnLink|(AvatarOn)?(Link)?SitTarget|Script(Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(Integer|String)ToBase64|XorBase64|Log(10)?|Base64To(String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(Load|Release|(E|Une)scape)URL|ParcelMedia(CommandList|Query)|ModPow|MapDestination|(RemoveFrom|AddTo|Reset)Land(Pass|Ban)List|(Set|Clear)CameraParams|HTTP(Request|Response)|TextBox|DetectedTouch(UV|Face|Pos|(N|Bin)ormal|ST)|(MD5|SHA1|DumpList2)String|Request(Secure)?URL|Clear(Prim|Link)Media|(Link)?ParticleSystem|(Get|Request)(Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\b"};return{name:"LSL (Linden Scripting Language)",illegal:":",contains:[td,{className:"comment",variants:[qa.COMMENT("//","$"),qa.COMMENT("/\\*","\\*/")],relevance:0},rd,{className:"section",variants:[{begin:"\\b(state|default)\\b"},{begin:"\\b(state_(entry|exit)|touch(_(start|end))?|(land_)?collision(_(start|end))?|timer|listen|(no_)?sensor|control|(not_)?at_(rot_)?target|money|email|experience_permissions(_denied)?|run_time_permissions|changed|attach|dataserver|moving_(start|end)|link_message|(on|object)_rez|remote_data|http_re(sponse|quest)|path_update|transaction_result)\\b"}]},od,sd,{className:"type",begin:"\\b(integer|float|string|key|vector|quaternion|rotation|list)\\b"}]}}return lsl_1=Ra,lsl_1}var lua_1,hasRequiredLua;function requireLua(){if(hasRequiredLua)return lua_1;hasRequiredLua=1;function Ra(qa){const ed="\\[=*\\[",td="\\]=*\\]",rd={begin:ed,end:td,contains:["self"]},sd=[qa.COMMENT("--(?!"+ed+")","$"),qa.COMMENT("--"+ed,td,{contains:[rd],relevance:10})];return{name:"Lua",keywords:{$pattern:qa.UNDERSCORE_IDENT_RE,literal:"true false nil",keyword:"and break do else elseif end for goto if in local not or repeat return then until while",built_in:"_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len __gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall arg self coroutine resume yield status wrap create running debug getupvalue debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv io lines write close flush open output type read stderr stdin input stdout popen tmpfile math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower table setn insert getn foreachi maxn foreach concat sort remove"},contains:sd.concat([{className:"function",beginKeywords:"function",end:"\\)",contains:[qa.inherit(qa.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),{className:"params",begin:"\\(",endsWithParent:!0,contains:sd}].concat(sd)},qa.C_NUMBER_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{className:"string",begin:ed,end:td,contains:[rd],relevance:5}])}}return lua_1=Ra,lua_1}var makefile_1,hasRequiredMakefile;function requireMakefile(){if(hasRequiredMakefile)return makefile_1;hasRequiredMakefile=1;function Ra(qa){const ed={className:"variable",variants:[{begin:"\\$\\("+qa.UNDERSCORE_IDENT_RE+"\\)",contains:[qa.BACKSLASH_ESCAPE]},{begin:/\$[@%{Rd.has(Gd[0])||Qd.ignoreMatch()}},{className:"symbol",relevance:0,begin:Nd}]},kd={className:"named-character",begin:/\\\[[$a-zA-Z][$a-zA-Z0-9]+\]/},Od={className:"operator",relevance:0,begin:/[+\-*/,;.:@~=><&|_`'^?!%]+/},Md={className:"pattern",relevance:0,begin:/([a-zA-Z$][a-zA-Z0-9$]*)?_+([a-zA-Z$][a-zA-Z0-9$]*)?/},Pd={className:"slot",relevance:0,begin:/#[a-zA-Z$][a-zA-Z0-9$]*|#+[0-9]?/},Fd={className:"brace",relevance:0,begin:/[[\](){}]/},Ud={className:"message-name",relevance:0,begin:td.concat("::",Nd)};return{name:"Mathematica",aliases:["mma","wl"],classNameAliases:{brace:"punctuation",pattern:"type",slot:"type",symbol:"variable","named-character":"variable","builtin-symbol":"built_in","message-name":"string"},contains:[ed.COMMENT(/\(\*/,/\*\)/,{contains:["self"]}),Md,Pd,Ud,Bd,kd,ed.QUOTE_STRING_MODE,Td,Od,Fd]}}return mathematica_1=qa,mathematica_1}var matlab_1,hasRequiredMatlab;function requireMatlab(){if(hasRequiredMatlab)return matlab_1;hasRequiredMatlab=1;function Ra(qa){const ed="('|\\.')+",td={relevance:0,contains:[{begin:ed}]};return{name:"Matlab",keywords:{keyword:"arguments break case catch classdef continue else elseif end enumeration events for function global if methods otherwise parfor persistent properties return spmd switch try while",built_in:"sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i|0 inf nan isnan isinf isfinite j|0 why compan gallery hadamard hankel hilb invhilb magic pascal rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun legend intersect ismember procrustes hold num2cell "},illegal:'(//|"|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[qa.UNDERSCORE_TITLE_MODE,{className:"params",variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}]}]},{className:"built_in",begin:/true|false/,relevance:0,starts:td},{begin:"[a-zA-Z][a-zA-Z_0-9]*"+ed,relevance:0},{className:"number",begin:qa.C_NUMBER_RE,relevance:0,starts:td},{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{begin:/\]|\}|\)/,relevance:0,starts:td},{className:"string",begin:'"',end:'"',contains:[{begin:'""'}],starts:td},qa.COMMENT("^\\s*%\\{\\s*$","^\\s*%\\}\\s*$"),qa.COMMENT("%","$")]}}return matlab_1=Ra,matlab_1}var maxima_1,hasRequiredMaxima;function requireMaxima(){if(hasRequiredMaxima)return maxima_1;hasRequiredMaxima=1;function Ra(qa){return{name:"Maxima",keywords:{$pattern:"[A-Za-z_%][0-9A-Za-z_%]*",keyword:"if then else elseif for thru do while unless step in and or not",literal:"true false unknown inf minf ind und %e %i %pi %phi %gamma",built_in:" abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type alias allroots alphacharp alphanumericp amortization %and annuity_fv annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2 applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method av average_degree backtrace bars barsplot barsplot_description base64 base64_decode bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description break bug_report build_info|10 buildq build_sample burn cabs canform canten cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2 charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps chinese cholesky christof chromatic_index chromatic_number cint circulant_graph clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse collectterms columnop columnspace columnswap columnvector combination combine comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph complete_graph complex_number_p components compose_functions concan concat conjugate conmetderiv connected_components connect_vertices cons constant constantp constituent constvalue cont2part content continuous_freq contortion contour_plot contract contract_edge contragrad contrib_ode convert coord copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1 covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate declare declare_constvalue declare_dimensions declare_fundamental_dimensions declare_fundamental_units declare_qty declare_translated declare_unit_conversion declare_units declare_weights decsym defcon define define_alt_display define_variable defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten delta demo demoivre denom depends derivdegree derivlist describe desolve determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export dimacs_import dimension dimensionless dimensions dimensions_as_list direct directory discrete_freq disjoin disjointp disolate disp dispcon dispform dispfun dispJordan display disprule dispterms distrib divide divisors divsum dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors euler ev eval_string evenp every evolution evolution2d evundiff example exp expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li expintegral_shi expintegral_si explicit explose exponentialize express expt exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge file_search file_type fillarray findde find_root find_root_abs find_root_error find_root_rel first fix flatten flength float floatnump floor flower_snark flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string get_pixel get_plot_option get_tex_environment get_tex_environment_default get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart imetric implicit implicit_derivative implicit_plot indexed_tensor indices induced_subgraph inferencep inference_result infix info_display init_atensor init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions integrate intersect intersection intervalp intopois intosum invariant1 invariant2 inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2 kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit Lindstedt linear linearinterpol linear_program linear_regression line_graph linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country make_polygon make_random_state make_rgb_picture makeset make_string_input_stream make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker max max_clique max_degree max_flow maximize_lp max_independent_set max_matching maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext newdet new_graph newline newton new_variable next_prime nicedummies niceindices ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst nthroot nullity nullspace num numbered_boundaries numberp number_to_octets num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin oid_to_octets op opena opena_binary openr openr_binary openw openw_binary operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface parg parGosper parse_string parse_timedate part part2cont partfrac partition partition_set partpol path_digraph path_graph pathname_directory pathname_name pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod powerseries powerset prefix prev_prime primep primes principal_components print printf printfile print_graph printpois printprops prodrac product properties propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2 quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan radius random random_bernoulli random_beta random_binomial random_bipartite_graph random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform random_exp random_f random_gamma random_general_finite_discrete random_geometric random_graph random_graph1 random_gumbel random_hypergeometric random_laplace random_logistic random_lognormal random_negative_binomial random_network random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto random_permutation random_poisson random_rayleigh random_regular_graph random_student_t random_tournament random_tree random_weibull range rank rat ratcoef ratdenom ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus rem remainder remarray rembox remcomps remcon remcoord remfun remfunction remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions remove_fundamental_units remove_plot_option remove_vertex rempart remrule remsym remvalue rename rename_file reset reset_displays residue resolvante resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann rinvariant risch rk rmdir rncombine romberg room rootscontract round row rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1 spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot starplot_description status std std1 std_bernoulli std_beta std_binomial std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull stemplot stirling stirling1 stirling2 strim striml strimr string stringout stringp strong_components struve_h struve_l sublis sublist sublist_indices submatrix subsample subset subsetp subst substinpart subst_parallel substpart substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext symbolp symmdifference symmetricp system take_channel take_inference tan tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference test_normality test_proportion test_proportions_difference test_rank_sum test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep totalfourier totient tpartpol trace tracematrix trace_options transform_sample translate translate_file transpose treefale tree_reduce treillis treinat triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget ultraspherical underlying_graph undiff union unique uniteigenvectors unitp units unit_step unitvector unorder unsum untellrat untimer untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table absboxchar activecontexts adapt_depth additive adim aform algebraic algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top azimuth background background_color backsubst berlefact bernstein_explicit besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest border boundaries_array box boxchar breakup %c capping cauchysum cbrange cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics colorbox columns commutative complex cone context contexts contour contour_levels cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp cube current_let_rule_package cylinder data_file_name debugmode decreasing default_let_rule_package delay dependencies derivabbrev derivsubst detout diagmetric diff dim dimensions dispflag display2d|10 display_format_internal distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart edge_color edge_coloring edge_partition edge_type edge_width %edispflag elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine factlim factorflag factorial_expand factors_only fb feature features file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10 file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color fill_density filled_func fixed_vertices flipflag float2bf font font_size fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both head_length head_type height hypergeometric_representation %iargs ibase icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued integrate_use_rootsof integration_constant integration_constant_counter interpolate_color intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10 maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties opsubst optimprefix optionset orientation origin orthopoly_returns_intervals outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart png_file pochhammer_max_index points pointsize point_size points_joined point_type poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list poly_secondary_elimination_order poly_top_reduction_only posfun position powerdisp pred prederror primep_number_of_tests product_use_gamma program programmode promote_float_to_bigfloat prompt proportional_axes props psexpand ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type show_vertices show_weight simp simplified_output simplify_products simpproduct simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch tr track transcompile transform transform_xy translate_fast_arrays transparent transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest",symbol:"_ __ %|0 %%|0"},contains:[{className:"comment",begin:"/\\*",end:"\\*/",contains:["self"]},qa.QUOTE_STRING_MODE,{className:"number",relevance:0,variants:[{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Ee][-+]?\\d+\\b"},{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Bb][-+]?\\d+\\b",relevance:10},{begin:"\\b(\\.\\d+|\\d+\\.\\d+)\\b"},{begin:"\\b(\\d+|0[0-9A-Za-z]+)\\.?\\b"}]}],illegal:/@/}}return maxima_1=Ra,maxima_1}var mel_1,hasRequiredMel;function requireMel(){if(hasRequiredMel)return mel_1;hasRequiredMel=1;function Ra(qa){return{name:"MEL",keywords:"int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform",illegal:""},{begin:"<=",relevance:0},{begin:"=>",relevance:0},{begin:"/\\\\"},{begin:"\\\\/"}]},{className:"built_in",variants:[{begin:":-\\|-->"},{begin:"=",relevance:0}]},td,qa.C_BLOCK_COMMENT_MODE,rd,qa.NUMBER_MODE,sd,od,{begin:/:-/},{begin:/\.$/}]}}return mercury_1=Ra,mercury_1}var mipsasm_1,hasRequiredMipsasm;function requireMipsasm(){if(hasRequiredMipsasm)return mipsasm_1;hasRequiredMipsasm=1;function Ra(qa){return{name:"MIPS Assembly",case_insensitive:!0,aliases:["mips"],keywords:{$pattern:"\\.?"+qa.IDENT_RE,meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg ",built_in:"$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 $16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 t0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 k0 k1 gp sp fp ra $f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 $f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt "},contains:[{className:"keyword",begin:"\\b(addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(\\.hb)?|jr(\\.hb)?|lbu?|lhu?|ll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|srlv?|subu?|sw[lr]?|xori?|wsbh|abs\\.[sd]|add\\.[sd]|alnv.ps|bc1[ft]l?|c\\.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et])\\.[sd]|(ceil|floor|round|trunc)\\.[lw]\\.[sd]|cfc1|cvt\\.d\\.[lsw]|cvt\\.l\\.[dsw]|cvt\\.ps\\.s|cvt\\.s\\.[dlw]|cvt\\.s\\.p[lu]|cvt\\.w\\.[dls]|div\\.[ds]|ldx?c1|luxc1|lwx?c1|madd\\.[sd]|mfc1|mov[fntz]?\\.[ds]|msub\\.[sd]|mth?c1|mul\\.[ds]|neg\\.[ds]|nmadd\\.[ds]|nmsub\\.[ds]|p[lu][lu]\\.ps|recip\\.fmt|r?sqrt\\.[ds]|sdx?c1|sub\\.[ds]|suxc1|swx?c1|break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|tlti?u?|tnei?|wait|wrpgpr)",end:"\\s"},qa.COMMENT("[;#](?!\\s*$)","$"),qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"0x[0-9a-f]+"},{begin:"\\b-?\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"^\\s*[0-9]+:"},{begin:"[0-9]+[bf]"}],relevance:0}],illegal:/\//}}return mipsasm_1=Ra,mipsasm_1}var mizar_1,hasRequiredMizar;function requireMizar(){if(hasRequiredMizar)return mizar_1;hasRequiredMizar=1;function Ra(qa){return{name:"Mizar",keywords:"environ vocabularies notations constructors definitions registrations theorems schemes requirements begin end definition registration cluster existence pred func defpred deffunc theorem proof let take assume then thus hence ex for st holds consider reconsider such that and in provided of as from be being by means equals implies iff redefine define now not or attr is mode suppose per cases set thesis contradiction scheme reserve struct correctness compatibility coherence symmetry assymetry reflexivity irreflexivity connectedness uniqueness commutativity idempotence involutiveness projectivity",contains:[qa.COMMENT("::","$")]}}return mizar_1=Ra,mizar_1}var perl_1,hasRequiredPerl;function requirePerl(){if(hasRequiredPerl)return perl_1;hasRequiredPerl=1;function Ra(qa){const ed=qa.regex,td=["abs","accept","alarm","and","atan2","bind","binmode","bless","break","caller","chdir","chmod","chomp","chop","chown","chr","chroot","class","close","closedir","connect","continue","cos","crypt","dbmclose","dbmopen","defined","delete","die","do","dump","each","else","elsif","endgrent","endhostent","endnetent","endprotoent","endpwent","endservent","eof","eval","exec","exists","exit","exp","fcntl","field","fileno","flock","for","foreach","fork","format","formline","getc","getgrent","getgrgid","getgrnam","gethostbyaddr","gethostbyname","gethostent","getlogin","getnetbyaddr","getnetbyname","getnetent","getpeername","getpgrp","getpriority","getprotobyname","getprotobynumber","getprotoent","getpwent","getpwnam","getpwuid","getservbyname","getservbyport","getservent","getsockname","getsockopt","given","glob","gmtime","goto","grep","gt","hex","if","index","int","ioctl","join","keys","kill","last","lc","lcfirst","length","link","listen","local","localtime","log","lstat","lt","ma","map","method","mkdir","msgctl","msgget","msgrcv","msgsnd","my","ne","next","no","not","oct","open","opendir","or","ord","our","pack","package","pipe","pop","pos","print","printf","prototype","push","q|0","qq","quotemeta","qw","qx","rand","read","readdir","readline","readlink","readpipe","recv","redo","ref","rename","require","reset","return","reverse","rewinddir","rindex","rmdir","say","scalar","seek","seekdir","select","semctl","semget","semop","send","setgrent","sethostent","setnetent","setpgrp","setpriority","setprotoent","setpwent","setservent","setsockopt","shift","shmctl","shmget","shmread","shmwrite","shutdown","sin","sleep","socket","socketpair","sort","splice","split","sprintf","sqrt","srand","stat","state","study","sub","substr","symlink","syscall","sysopen","sysread","sysseek","system","syswrite","tell","telldir","tie","tied","time","times","tr","truncate","uc","ucfirst","umask","undef","unless","unlink","unpack","unshift","untie","until","use","utime","values","vec","wait","waitpid","wantarray","warn","when","while","write","x|0","xor","y|0"],rd=/[dualxmsipngr]{0,12}/,sd={$pattern:/[\w.]+/,keyword:td.join(" ")},od={className:"subst",begin:"[$@]\\{",end:"\\}",keywords:sd},ld={begin:/->\{/,end:/\}/},cd={scope:"attr",match:/\s+:\s*\w+(\s*\(.*?\))?/},ud={scope:"variable",variants:[{begin:/\$\d/},{begin:ed.concat(/[$%@](?!")(\^\w\b|#\w+(::\w+)*|\{\w+\}|\w+(::\w*)*)/,"(?![A-Za-z])(?![@$%])")},{begin:/[$%@](?!")[^\s\w{=]|\$=/,relevance:0}],contains:[cd]},_d={className:"number",variants:[{match:/0?\.[0-9][0-9_]+\b/},{match:/\bv?(0|[1-9][0-9_]*(\.[0-9_]+)?|[1-9][0-9_]*)\b/},{match:/\b0[0-7][0-7_]*\b/},{match:/\b0x[0-9a-fA-F][0-9a-fA-F_]*\b/},{match:/\b0b[0-1][0-1_]*\b/}],relevance:0},Ed=[qa.BACKSLASH_ESCAPE,od,ud],Ad=[/!/,/\//,/\|/,/\?/,/'/,/"/,/#/],Td=(Bd,kd,Od="\\1")=>{const Md=Od==="\\1"?Od:ed.concat(Od,kd);return ed.concat(ed.concat("(?:",Bd,")"),kd,/(?:\\.|[^\\\/])*?/,Md,/(?:\\.|[^\\\/])*?/,Od,rd)},Nd=(Bd,kd,Od)=>ed.concat(ed.concat("(?:",Bd,")"),kd,/(?:\\.|[^\\\/])*?/,Od,rd),Rd=[ud,qa.HASH_COMMENT_MODE,qa.COMMENT(/^=\w/,/=cut/,{endsWithParent:!0}),ld,{className:"string",contains:Ed,variants:[{begin:"q[qwxr]?\\s*\\(",end:"\\)",relevance:5},{begin:"q[qwxr]?\\s*\\[",end:"\\]",relevance:5},{begin:"q[qwxr]?\\s*\\{",end:"\\}",relevance:5},{begin:"q[qwxr]?\\s*\\|",end:"\\|",relevance:5},{begin:"q[qwxr]?\\s*<",end:">",relevance:5},{begin:"qw\\s+q",end:"q",relevance:5},{begin:"'",end:"'",contains:[qa.BACKSLASH_ESCAPE]},{begin:'"',end:'"'},{begin:"`",end:"`",contains:[qa.BACKSLASH_ESCAPE]},{begin:/\{\w+\}/,relevance:0},{begin:"-?\\w+\\s*=>",relevance:0}]},_d,{begin:"(\\/\\/|"+qa.RE_STARTERS_RE+"|\\b(split|return|print|reverse|grep)\\b)\\s*",keywords:"split return print reverse grep",relevance:0,contains:[qa.HASH_COMMENT_MODE,{className:"regexp",variants:[{begin:Td("s|tr|y",ed.either(...Ad,{capture:!0}))},{begin:Td("s|tr|y","\\(","\\)")},{begin:Td("s|tr|y","\\[","\\]")},{begin:Td("s|tr|y","\\{","\\}")}],relevance:2},{className:"regexp",variants:[{begin:/(m|qr)\/\//,relevance:0},{begin:Nd("(?:m|qr)?",/\//,/\//)},{begin:Nd("m|qr",ed.either(...Ad,{capture:!0}),/\1/)},{begin:Nd("m|qr",/\(/,/\)/)},{begin:Nd("m|qr",/\[/,/\]/)},{begin:Nd("m|qr",/\{/,/\}/)}]}]},{className:"function",beginKeywords:"sub method",end:"(\\s*\\(.*?\\))?[;{]",excludeEnd:!0,relevance:5,contains:[qa.TITLE_MODE,cd]},{className:"class",beginKeywords:"class",end:"[;{]",excludeEnd:!0,relevance:5,contains:[qa.TITLE_MODE,cd,_d]},{begin:"-\\w\\b",relevance:0},{begin:"^__DATA__$",end:"^__END__$",subLanguage:"mojolicious",contains:[{begin:"^@@.*",end:"$",className:"comment"}]}];return od.contains=Rd,ld.contains=Rd,{name:"Perl",aliases:["pl","pm"],keywords:sd,contains:Rd}}return perl_1=Ra,perl_1}var mojolicious_1,hasRequiredMojolicious;function requireMojolicious(){if(hasRequiredMojolicious)return mojolicious_1;hasRequiredMojolicious=1;function Ra(qa){return{name:"Mojolicious",subLanguage:"xml",contains:[{className:"meta",begin:"^__(END|DATA)__$"},{begin:"^\\s*%{1,2}={0,2}",end:"$",subLanguage:"perl"},{begin:"<%{1,2}={0,2}",end:"={0,1}%>",subLanguage:"perl",excludeBegin:!0,excludeEnd:!0}]}}return mojolicious_1=Ra,mojolicious_1}var monkey_1,hasRequiredMonkey;function requireMonkey(){if(hasRequiredMonkey)return monkey_1;hasRequiredMonkey=1;function Ra(qa){const ed={className:"number",relevance:0,variants:[{begin:"[$][a-fA-F0-9]+"},qa.NUMBER_MODE]},td={variants:[{match:[/(function|method)/,/\s+/,qa.UNDERSCORE_IDENT_RE]}],scope:{1:"keyword",3:"title.function"}},rd={variants:[{match:[/(class|interface|extends|implements)/,/\s+/,qa.UNDERSCORE_IDENT_RE]}],scope:{1:"keyword",3:"title.class"}};return{name:"Monkey",case_insensitive:!0,keywords:{keyword:["public","private","property","continue","exit","extern","new","try","catch","eachin","not","abstract","final","select","case","default","const","local","global","field","end","if","then","else","elseif","endif","while","wend","repeat","until","forever","for","to","step","next","return","module","inline","throw","import","and","or","shl","shr","mod"],built_in:["DebugLog","DebugStop","Error","Print","ACos","ACosr","ASin","ASinr","ATan","ATan2","ATan2r","ATanr","Abs","Abs","Ceil","Clamp","Clamp","Cos","Cosr","Exp","Floor","Log","Max","Max","Min","Min","Pow","Sgn","Sgn","Sin","Sinr","Sqrt","Tan","Tanr","Seed","PI","HALFPI","TWOPI"],literal:["true","false","null"]},illegal:/\/\*/,contains:[qa.COMMENT("#rem","#end"),qa.COMMENT("'","$",{relevance:0}),td,rd,{className:"variable.language",begin:/\b(self|super)\b/},{className:"meta",begin:/\s*#/,end:"$",keywords:{keyword:"if else elseif endif end then"}},{match:[/^\s*/,/strict\b/],scope:{2:"meta"}},{beginKeywords:"alias",end:"=",contains:[qa.UNDERSCORE_TITLE_MODE]},qa.QUOTE_STRING_MODE,ed]}}return monkey_1=Ra,monkey_1}var moonscript_1,hasRequiredMoonscript;function requireMoonscript(){if(hasRequiredMoonscript)return moonscript_1;hasRequiredMoonscript=1;function Ra(qa){const ed={keyword:"if then not for in while do return else elseif break continue switch and or unless when class extends super local import export from using",literal:"true false nil",built_in:"_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug io math os package string table"},td="[A-Za-z$_][0-9A-Za-z$_]*",rd={className:"subst",begin:/#\{/,end:/\}/,keywords:ed},sd=[qa.inherit(qa.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'/,end:/'/,contains:[qa.BACKSLASH_ESCAPE]},{begin:/"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE,rd]}]},{className:"built_in",begin:"@__"+qa.IDENT_RE},{begin:"@"+qa.IDENT_RE},{begin:qa.IDENT_RE+"\\\\"+qa.IDENT_RE}];rd.contains=sd;const od=qa.inherit(qa.TITLE_MODE,{begin:td}),ld="(\\(.*\\)\\s*)?\\B[-=]>",cd={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:ed,contains:["self"].concat(sd)}]};return{name:"MoonScript",aliases:["moon"],keywords:ed,illegal:/\/\*/,contains:sd.concat([qa.COMMENT("--","$"),{className:"function",begin:"^\\s*"+td+"\\s*=\\s*"+ld,end:"[-=]>",returnBegin:!0,contains:[od,cd]},{begin:/[\(,:=]\s*/,relevance:0,contains:[{className:"function",begin:ld,end:"[-=]>",returnBegin:!0,contains:[cd]}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[od]},od]},{className:"name",begin:td+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}return moonscript_1=Ra,moonscript_1}var n1ql_1,hasRequiredN1ql;function requireN1ql(){if(hasRequiredN1ql)return n1ql_1;hasRequiredN1ql=1;function Ra(qa){return{name:"N1QL",case_insensitive:!0,contains:[{beginKeywords:"build create index delete drop explain infer|10 insert merge prepare select update upsert|10",end:/;/,keywords:{keyword:["all","alter","analyze","and","any","array","as","asc","begin","between","binary","boolean","break","bucket","build","by","call","case","cast","cluster","collate","collection","commit","connect","continue","correlate","cover","create","database","dataset","datastore","declare","decrement","delete","derived","desc","describe","distinct","do","drop","each","element","else","end","every","except","exclude","execute","exists","explain","fetch","first","flatten","for","force","from","function","grant","group","gsi","having","if","ignore","ilike","in","include","increment","index","infer","inline","inner","insert","intersect","into","is","join","key","keys","keyspace","known","last","left","let","letting","like","limit","lsm","map","mapping","matched","materialized","merge","minus","namespace","nest","not","number","object","offset","on","option","or","order","outer","over","parse","partition","password","path","pool","prepare","primary","private","privilege","procedure","public","raw","realm","reduce","rename","return","returning","revoke","right","role","rollback","satisfies","schema","select","self","semi","set","show","some","start","statistics","string","system","then","to","transaction","trigger","truncate","under","union","unique","unknown","unnest","unset","update","upsert","use","user","using","validate","value","valued","values","via","view","when","where","while","with","within","work","xor"],literal:["true","false","null","missing|5"],built_in:["array_agg","array_append","array_concat","array_contains","array_count","array_distinct","array_ifnull","array_length","array_max","array_min","array_position","array_prepend","array_put","array_range","array_remove","array_repeat","array_replace","array_reverse","array_sort","array_sum","avg","count","max","min","sum","greatest","least","ifmissing","ifmissingornull","ifnull","missingif","nullif","ifinf","ifnan","ifnanorinf","naninf","neginfif","posinfif","clock_millis","clock_str","date_add_millis","date_add_str","date_diff_millis","date_diff_str","date_part_millis","date_part_str","date_trunc_millis","date_trunc_str","duration_to_str","millis","str_to_millis","millis_to_str","millis_to_utc","millis_to_zone_name","now_millis","now_str","str_to_duration","str_to_utc","str_to_zone_name","decode_json","encode_json","encoded_size","poly_length","base64","base64_encode","base64_decode","meta","uuid","abs","acos","asin","atan","atan2","ceil","cos","degrees","e","exp","ln","log","floor","pi","power","radians","random","round","sign","sin","sqrt","tan","trunc","object_length","object_names","object_pairs","object_inner_pairs","object_values","object_inner_values","object_add","object_put","object_remove","object_unwrap","regexp_contains","regexp_like","regexp_position","regexp_replace","contains","initcap","length","lower","ltrim","position","repeat","replace","rtrim","split","substr","title","trim","upper","isarray","isatom","isboolean","isnumber","isobject","isstring","type","toarray","toatom","toboolean","tonumber","toobject","tostring"]},contains:[{className:"string",begin:"'",end:"'",contains:[qa.BACKSLASH_ESCAPE]},{className:"string",begin:'"',end:'"',contains:[qa.BACKSLASH_ESCAPE]},{className:"symbol",begin:"`",end:"`",contains:[qa.BACKSLASH_ESCAPE]},qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE]},qa.C_BLOCK_COMMENT_MODE]}}return n1ql_1=Ra,n1ql_1}var nestedtext_1,hasRequiredNestedtext;function requireNestedtext(){if(hasRequiredNestedtext)return nestedtext_1;hasRequiredNestedtext=1;function Ra(qa){const ed={match:[/^\s*(?=\S)/,/[^:]+/,/:\s*/,/$/],className:{2:"attribute",3:"punctuation"}},td={match:[/^\s*(?=\S)/,/[^:]*[^: ]/,/[ ]*:/,/[ ]/,/.*$/],className:{2:"attribute",3:"punctuation",5:"string"}},rd={match:[/^\s*/,/>/,/[ ]/,/.*$/],className:{2:"punctuation",4:"string"}},sd={variants:[{match:[/^\s*/,/-/,/[ ]/,/.*$/]},{match:[/^\s*/,/-$/]}],className:{2:"bullet",4:"string"}};return{name:"Nested Text",aliases:["nt"],contains:[qa.inherit(qa.HASH_COMMENT_MODE,{begin:/^\s*(?=#)/,excludeBegin:!0}),sd,rd,ed,td]}}return nestedtext_1=Ra,nestedtext_1}var nginx_1,hasRequiredNginx;function requireNginx(){if(hasRequiredNginx)return nginx_1;hasRequiredNginx=1;function Ra(qa){const ed=qa.regex,td={className:"variable",variants:[{begin:/\$\d+/},{begin:/\$\{\w+\}/},{begin:ed.concat(/[$@]/,qa.UNDERSCORE_IDENT_RE)}]},sd={endsWithParent:!0,keywords:{$pattern:/[a-z_]{2,}|\/dev\/poll/,literal:["on","off","yes","no","true","false","none","blocked","debug","info","notice","warn","error","crit","select","break","last","permanent","redirect","kqueue","rtsig","epoll","poll","/dev/poll"]},relevance:0,illegal:"=>",contains:[qa.HASH_COMMENT_MODE,{className:"string",contains:[qa.BACKSLASH_ESCAPE,td],variants:[{begin:/"/,end:/"/},{begin:/'/,end:/'/}]},{begin:"([a-z]+):/",end:"\\s",endsWithParent:!0,excludeEnd:!0,contains:[td]},{className:"regexp",contains:[qa.BACKSLASH_ESCAPE,td],variants:[{begin:"\\s\\^",end:"\\s|\\{|;",returnEnd:!0},{begin:"~\\*?\\s+",end:"\\s|\\{|;",returnEnd:!0},{begin:"\\*(\\.[a-z\\-]+)+"},{begin:"([a-z\\-]+\\.)+\\*"}]},{className:"number",begin:"\\b\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b"},{className:"number",begin:"\\b\\d+[kKmMgGdshdwy]?\\b",relevance:0},td]};return{name:"Nginx config",aliases:["nginxconf"],contains:[qa.HASH_COMMENT_MODE,{beginKeywords:"upstream location",end:/;|\{/,contains:sd.contains,keywords:{section:"upstream location"}},{className:"section",begin:ed.concat(qa.UNDERSCORE_IDENT_RE+ed.lookahead(/\s+\{/)),relevance:0},{begin:ed.lookahead(qa.UNDERSCORE_IDENT_RE+"\\s"),end:";|\\{",contains:[{className:"attribute",begin:qa.UNDERSCORE_IDENT_RE,starts:sd}],relevance:0}],illegal:"[^\\s\\}\\{]"}}return nginx_1=Ra,nginx_1}var nim_1,hasRequiredNim;function requireNim(){if(hasRequiredNim)return nim_1;hasRequiredNim=1;function Ra(qa){return{name:"Nim",keywords:{keyword:["addr","and","as","asm","bind","block","break","case","cast","const","continue","converter","discard","distinct","div","do","elif","else","end","enum","except","export","finally","for","from","func","generic","guarded","if","import","in","include","interface","is","isnot","iterator","let","macro","method","mixin","mod","nil","not","notin","object","of","or","out","proc","ptr","raise","ref","return","shared","shl","shr","static","template","try","tuple","type","using","var","when","while","with","without","xor","yield"],literal:["true","false"],type:["int","int8","int16","int32","int64","uint","uint8","uint16","uint32","uint64","float","float32","float64","bool","char","string","cstring","pointer","expr","stmt","void","auto","any","range","array","openarray","varargs","seq","set","clong","culong","cchar","cschar","cshort","cint","csize","clonglong","cfloat","cdouble","clongdouble","cuchar","cushort","cuint","culonglong","cstringarray","semistatic"],built_in:["stdin","stdout","stderr","result"]},contains:[{className:"meta",begin:/\{\./,end:/\.\}/,relevance:10},{className:"string",begin:/[a-zA-Z]\w*"/,end:/"/,contains:[{begin:/""/}]},{className:"string",begin:/([a-zA-Z]\w*)?"""/,end:/"""/},qa.QUOTE_STRING_MODE,{className:"type",begin:/\b[A-Z]\w+\b/,relevance:0},{className:"number",relevance:0,variants:[{begin:/\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},{begin:/\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(\d[_\d]*)('?[iIuUfF](8|16|32|64))?/}]},qa.HASH_COMMENT_MODE]}}return nim_1=Ra,nim_1}var nix_1,hasRequiredNix;function requireNix(){if(hasRequiredNix)return nix_1;hasRequiredNix=1;function Ra(qa){const ed={keyword:["rec","with","let","in","inherit","assert","if","else","then"],literal:["true","false","or","and","null"],built_in:["import","abort","baseNameOf","dirOf","isNull","builtins","map","removeAttrs","throw","toString","derivation"]},td={className:"subst",begin:/\$\{/,end:/\}/,keywords:ed},rd={className:"char.escape",begin:/''\$/},sd={begin:/[a-zA-Z0-9-_]+(\s*=)/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/\S+/,relevance:.2}]},od={className:"string",contains:[rd,td],variants:[{begin:"''",end:"''"},{begin:'"',end:'"'}]},ld=[qa.NUMBER_MODE,qa.HASH_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,od,sd];return td.contains=ld,{name:"Nix",aliases:["nixos"],keywords:ed,contains:ld}}return nix_1=Ra,nix_1}var nodeRepl_1,hasRequiredNodeRepl;function requireNodeRepl(){if(hasRequiredNodeRepl)return nodeRepl_1;hasRequiredNodeRepl=1;function Ra(qa){return{name:"Node REPL",contains:[{className:"meta.prompt",starts:{end:/ |$/,starts:{end:"$",subLanguage:"javascript"}},variants:[{begin:/^>(?=[ ]|$)/},{begin:/^\.\.\.(?=[ ]|$)/}]}]}}return nodeRepl_1=Ra,nodeRepl_1}var nsis_1,hasRequiredNsis;function requireNsis(){if(hasRequiredNsis)return nsis_1;hasRequiredNsis=1;function Ra(qa){const ed=qa.regex,td=["ADMINTOOLS","APPDATA","CDBURN_AREA","CMDLINE","COMMONFILES32","COMMONFILES64","COMMONFILES","COOKIES","DESKTOP","DOCUMENTS","EXEDIR","EXEFILE","EXEPATH","FAVORITES","FONTS","HISTORY","HWNDPARENT","INSTDIR","INTERNET_CACHE","LANGUAGE","LOCALAPPDATA","MUSIC","NETHOOD","OUTDIR","PICTURES","PLUGINSDIR","PRINTHOOD","PROFILE","PROGRAMFILES32","PROGRAMFILES64","PROGRAMFILES","QUICKLAUNCH","RECENT","RESOURCES_LOCALIZED","RESOURCES","SENDTO","SMPROGRAMS","SMSTARTUP","STARTMENU","SYSDIR","TEMP","TEMPLATES","VIDEOS","WINDIR"],rd=["ARCHIVE","FILE_ATTRIBUTE_ARCHIVE","FILE_ATTRIBUTE_NORMAL","FILE_ATTRIBUTE_OFFLINE","FILE_ATTRIBUTE_READONLY","FILE_ATTRIBUTE_SYSTEM","FILE_ATTRIBUTE_TEMPORARY","HKCR","HKCU","HKDD","HKEY_CLASSES_ROOT","HKEY_CURRENT_CONFIG","HKEY_CURRENT_USER","HKEY_DYN_DATA","HKEY_LOCAL_MACHINE","HKEY_PERFORMANCE_DATA","HKEY_USERS","HKLM","HKPD","HKU","IDABORT","IDCANCEL","IDIGNORE","IDNO","IDOK","IDRETRY","IDYES","MB_ABORTRETRYIGNORE","MB_DEFBUTTON1","MB_DEFBUTTON2","MB_DEFBUTTON3","MB_DEFBUTTON4","MB_ICONEXCLAMATION","MB_ICONINFORMATION","MB_ICONQUESTION","MB_ICONSTOP","MB_OK","MB_OKCANCEL","MB_RETRYCANCEL","MB_RIGHT","MB_RTLREADING","MB_SETFOREGROUND","MB_TOPMOST","MB_USERICON","MB_YESNO","NORMAL","OFFLINE","READONLY","SHCTX","SHELL_CONTEXT","SYSTEM|TEMPORARY"],sd=["addincludedir","addplugindir","appendfile","assert","cd","define","delfile","echo","else","endif","error","execute","finalize","getdllversion","gettlbversion","if","ifdef","ifmacrodef","ifmacrondef","ifndef","include","insertmacro","macro","macroend","makensis","packhdr","searchparse","searchreplace","system","tempfile","undef","uninstfinalize","verbose","warning"],od={className:"variable.constant",begin:ed.concat(/\$/,ed.either(...td))},ld={className:"variable",begin:/\$+\{[\!\w.:-]+\}/},cd={className:"variable",begin:/\$+\w[\w\.]*/,illegal:/\(\)\{\}/},ud={className:"variable",begin:/\$+\([\w^.:!-]+\)/},_d={className:"params",begin:ed.either(...rd)},Ed={className:"keyword",begin:ed.concat(/!/,ed.either(...sd))},Ad={className:"char.escape",begin:/\$(\\[nrt]|\$)/},Td={className:"title.function",begin:/\w+::\w+/},Nd={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"},{begin:"`",end:"`"}],illegal:/\n/,contains:[Ad,od,ld,cd,ud]},Rd=["Abort","AddBrandingImage","AddSize","AllowRootDirInstall","AllowSkipFiles","AutoCloseWindow","BGFont","BGGradient","BrandingText","BringToFront","Call","CallInstDLL","Caption","ChangeUI","CheckBitmap","ClearErrors","CompletedText","ComponentText","CopyFiles","CRCCheck","CreateDirectory","CreateFont","CreateShortCut","Delete","DeleteINISec","DeleteINIStr","DeleteRegKey","DeleteRegValue","DetailPrint","DetailsButtonText","DirText","DirVar","DirVerify","EnableWindow","EnumRegKey","EnumRegValue","Exch","Exec","ExecShell","ExecShellWait","ExecWait","ExpandEnvStrings","File","FileBufSize","FileClose","FileErrorText","FileOpen","FileRead","FileReadByte","FileReadUTF16LE","FileReadWord","FileWriteUTF16LE","FileSeek","FileWrite","FileWriteByte","FileWriteWord","FindClose","FindFirst","FindNext","FindWindow","FlushINI","GetCurInstType","GetCurrentAddress","GetDlgItem","GetDLLVersion","GetDLLVersionLocal","GetErrorLevel","GetFileTime","GetFileTimeLocal","GetFullPathName","GetFunctionAddress","GetInstDirError","GetKnownFolderPath","GetLabelAddress","GetTempFileName","GetWinVer","Goto","HideWindow","Icon","IfAbort","IfErrors","IfFileExists","IfRebootFlag","IfRtlLanguage","IfShellVarContextAll","IfSilent","InitPluginsDir","InstallButtonText","InstallColors","InstallDir","InstallDirRegKey","InstProgressFlags","InstType","InstTypeGetText","InstTypeSetText","Int64Cmp","Int64CmpU","Int64Fmt","IntCmp","IntCmpU","IntFmt","IntOp","IntPtrCmp","IntPtrCmpU","IntPtrOp","IsWindow","LangString","LicenseBkColor","LicenseData","LicenseForceSelection","LicenseLangString","LicenseText","LoadAndSetImage","LoadLanguageFile","LockWindow","LogSet","LogText","ManifestDPIAware","ManifestLongPathAware","ManifestMaxVersionTested","ManifestSupportedOS","MessageBox","MiscButtonText","Name|0","Nop","OutFile","Page","PageCallbacks","PEAddResource","PEDllCharacteristics","PERemoveResource","PESubsysVer","Pop","Push","Quit","ReadEnvStr","ReadINIStr","ReadRegDWORD","ReadRegStr","Reboot","RegDLL","Rename","RequestExecutionLevel","ReserveFile","Return","RMDir","SearchPath","SectionGetFlags","SectionGetInstTypes","SectionGetSize","SectionGetText","SectionIn","SectionSetFlags","SectionSetInstTypes","SectionSetSize","SectionSetText","SendMessage","SetAutoClose","SetBrandingImage","SetCompress","SetCompressor","SetCompressorDictSize","SetCtlColors","SetCurInstType","SetDatablockOptimize","SetDateSave","SetDetailsPrint","SetDetailsView","SetErrorLevel","SetErrors","SetFileAttributes","SetFont","SetOutPath","SetOverwrite","SetRebootFlag","SetRegView","SetShellVarContext","SetSilent","ShowInstDetails","ShowUninstDetails","ShowWindow","SilentInstall","SilentUnInstall","Sleep","SpaceTexts","StrCmp","StrCmpS","StrCpy","StrLen","SubCaption","Unicode","UninstallButtonText","UninstallCaption","UninstallIcon","UninstallSubCaption","UninstallText","UninstPage","UnRegDLL","Var","VIAddVersionKey","VIFileVersion","VIProductVersion","WindowIcon","WriteINIStr","WriteRegBin","WriteRegDWORD","WriteRegExpandStr","WriteRegMultiStr","WriteRegNone","WriteRegStr","WriteUninstaller","XPStyle"],Bd=["admin","all","auto","both","bottom","bzip2","colored","components","current","custom","directory","false","force","hide","highest","ifdiff","ifnewer","instfiles","lastused","leave","left","license","listonly","lzma","nevershow","none","normal","notset","off","on","open","print","right","show","silent","silentlog","smooth","textonly","top","true","try","un.components","un.custom","un.directory","un.instfiles","un.license","uninstConfirm","user","Win10","Win7","Win8","WinVista","zlib"],kd={match:[/Function/,/\s+/,ed.concat(/(\.)?/,qa.IDENT_RE)],scope:{1:"keyword",3:"title.function"}},Md={match:[/Var/,/\s+/,/(?:\/GLOBAL\s+)?/,/[A-Za-z][\w.]*/],scope:{1:"keyword",3:"params",4:"variable"}};return{name:"NSIS",case_insensitive:!0,keywords:{keyword:Rd,literal:Bd},contains:[qa.HASH_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.COMMENT(";","$",{relevance:0}),Md,kd,{beginKeywords:"Function PageEx Section SectionGroup FunctionEnd SectionEnd"},Nd,Ed,ld,cd,ud,_d,Td,qa.NUMBER_MODE]}}return nsis_1=Ra,nsis_1}var objectivec_1,hasRequiredObjectivec;function requireObjectivec(){if(hasRequiredObjectivec)return objectivec_1;hasRequiredObjectivec=1;function Ra(qa){const ed={className:"built_in",begin:"\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\w+"},td=/[a-zA-Z@][a-zA-Z0-9_]*/,cd={"variable.language":["this","super"],$pattern:td,keyword:["while","export","sizeof","typedef","const","struct","for","union","volatile","static","mutable","if","do","return","goto","enum","else","break","extern","asm","case","default","register","explicit","typename","switch","continue","inline","readonly","assign","readwrite","self","@synchronized","id","typeof","nonatomic","IBOutlet","IBAction","strong","weak","copy","in","out","inout","bycopy","byref","oneway","__strong","__weak","__block","__autoreleasing","@private","@protected","@public","@try","@property","@end","@throw","@catch","@finally","@autoreleasepool","@synthesize","@dynamic","@selector","@optional","@required","@encode","@package","@import","@defs","@compatibility_alias","__bridge","__bridge_transfer","__bridge_retained","__bridge_retain","__covariant","__contravariant","__kindof","_Nonnull","_Nullable","_Null_unspecified","__FUNCTION__","__PRETTY_FUNCTION__","__attribute__","getter","setter","retain","unsafe_unretained","nonnull","nullable","null_unspecified","null_resettable","class","instancetype","NS_DESIGNATED_INITIALIZER","NS_UNAVAILABLE","NS_REQUIRES_SUPER","NS_RETURNS_INNER_POINTER","NS_INLINE","NS_AVAILABLE","NS_DEPRECATED","NS_ENUM","NS_OPTIONS","NS_SWIFT_UNAVAILABLE","NS_ASSUME_NONNULL_BEGIN","NS_ASSUME_NONNULL_END","NS_REFINED_FOR_SWIFT","NS_SWIFT_NAME","NS_SWIFT_NOTHROW","NS_DURING","NS_HANDLER","NS_ENDHANDLER","NS_VALUERETURN","NS_VOIDRETURN"],literal:["false","true","FALSE","TRUE","nil","YES","NO","NULL"],built_in:["dispatch_once_t","dispatch_queue_t","dispatch_sync","dispatch_async","dispatch_once"],type:["int","float","char","unsigned","signed","short","long","double","wchar_t","unichar","void","bool","BOOL","id|0","_Bool"]},ud={$pattern:td,keyword:["@interface","@class","@protocol","@implementation"]};return{name:"Objective-C",aliases:["mm","objc","obj-c","obj-c++","objective-c++"],keywords:cd,illegal:"/,end:/$/,illegal:"\\n"},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{className:"class",begin:"("+ud.keyword.join("|")+")\\b",end:/(\{|$)/,excludeEnd:!0,keywords:ud,contains:[qa.UNDERSCORE_TITLE_MODE]},{begin:"\\."+qa.UNDERSCORE_IDENT_RE,relevance:0}]}}return objectivec_1=Ra,objectivec_1}var ocaml_1,hasRequiredOcaml;function requireOcaml(){if(hasRequiredOcaml)return ocaml_1;hasRequiredOcaml=1;function Ra(qa){return{name:"OCaml",aliases:["ml"],keywords:{$pattern:"[a-z_]\\w*!?",keyword:"and as assert asr begin class constraint do done downto else end exception external for fun function functor if in include inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method mod module mutable new object of open! open or private rec sig struct then to try type val! val virtual when while with parser value",built_in:"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit in_channel out_channel ref",literal:"true false"},illegal:/\/\/|>>/,contains:[{className:"literal",begin:"\\[(\\|\\|)?\\]|\\(\\)",relevance:0},qa.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*",relevance:0},qa.inherit(qa.APOS_STRING_MODE,{className:"string",relevance:0}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/->/}]}}return ocaml_1=Ra,ocaml_1}var openscad_1,hasRequiredOpenscad;function requireOpenscad(){if(hasRequiredOpenscad)return openscad_1;hasRequiredOpenscad=1;function Ra(qa){const ed={className:"keyword",begin:"\\$(f[asn]|t|vp[rtd]|children)"},td={className:"literal",begin:"false|true|PI|undef"},rd={className:"number",begin:"\\b\\d+(\\.\\d+)?(e-?\\d+)?",relevance:0},sd=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),od={className:"meta",keywords:{keyword:"include use"},begin:"include|use <",end:">"},ld={className:"params",begin:"\\(",end:"\\)",contains:["self",rd,sd,ed,td]},cd={begin:"[*!#%]",relevance:0},ud={className:"function",beginKeywords:"module function",end:/=|\{/,contains:[ld,qa.UNDERSCORE_TITLE_MODE]};return{name:"OpenSCAD",aliases:["scad"],keywords:{keyword:"function module include use for intersection_for if else \\%",literal:"false true PI undef",built_in:"circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign"},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,rd,od,sd,ed,cd,ud]}}return openscad_1=Ra,openscad_1}var oxygene_1,hasRequiredOxygene;function requireOxygene(){if(hasRequiredOxygene)return oxygene_1;hasRequiredOxygene=1;function Ra(qa){const ed={$pattern:/\.?\w+/,keyword:"abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained"},td=qa.COMMENT(/\{/,/\}/,{relevance:0}),rd=qa.COMMENT("\\(\\*","\\*\\)",{relevance:10}),sd={className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},od={className:"string",begin:"(#\\d+)+"},ld={beginKeywords:"function constructor destructor procedure method",end:"[:;]",keywords:"function constructor|10 destructor|10 procedure|10 method|10",contains:[qa.inherit(qa.TITLE_MODE,{scope:"title.function"}),{className:"params",begin:"\\(",end:"\\)",keywords:ed,contains:[sd,od]},td,rd]},cd={scope:"punctuation",match:/;/,relevance:0};return{name:"Oxygene",case_insensitive:!0,keywords:ed,illegal:'("|\\$[G-Zg-z]|\\/\\*||->)',contains:[td,rd,qa.C_LINE_COMMENT_MODE,sd,od,qa.NUMBER_MODE,ld,cd]}}return oxygene_1=Ra,oxygene_1}var parser3_1,hasRequiredParser3;function requireParser3(){if(hasRequiredParser3)return parser3_1;hasRequiredParser3=1;function Ra(qa){const ed=qa.COMMENT(/\{/,/\}/,{contains:["self"]});return{name:"Parser3",subLanguage:"xml",relevance:0,contains:[qa.COMMENT("^#","$"),qa.COMMENT(/\^rem\{/,/\}/,{relevance:10,contains:[ed]}),{className:"meta",begin:"^@(?:BASE|USE|CLASS|OPTIONS)$",relevance:10},{className:"title",begin:"@[\\w\\-]+\\[[\\w^;\\-]*\\](?:\\[[\\w^;\\-]*\\])?(?:.*)$"},{className:"variable",begin:/\$\{?[\w\-.:]+\}?/},{className:"keyword",begin:/\^[\w\-.:]+/},{className:"number",begin:"\\^#[0-9a-fA-F]+"},qa.C_NUMBER_MODE]}}return parser3_1=Ra,parser3_1}var pf_1,hasRequiredPf;function requirePf(){if(hasRequiredPf)return pf_1;hasRequiredPf=1;function Ra(qa){const ed={className:"variable",begin:/\$[\w\d#@][\w\d_]*/,relevance:0},td={className:"variable",begin:/<(?!\/)/,end:/>/};return{name:"Packet Filter config",aliases:["pf.conf"],keywords:{$pattern:/[a-z0-9_<>-]+/,built_in:"block match pass load anchor|5 antispoof|10 set table",keyword:"in out log quick on rdomain inet inet6 proto from port os to route allow-opts divert-packet divert-reply divert-to flags group icmp-type icmp6-type label once probability recieved-on rtable prio queue tos tag tagged user keep fragment for os drop af-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robin source-hash static-port dup-to reply-to route-to parent bandwidth default min max qlimit block-policy debug fingerprints hostid limit loginterface optimization reassemble ruleset-optimization basic none profile skip state-defaults state-policy timeout const counters persist no modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppy source-track global rule max-src-nodes max-src-states max-src-conn max-src-conn-rate overload flush scrub|5 max-mss min-ttl no-df|10 random-id",literal:"all any no-route self urpf-failed egress|5 unknown"},contains:[qa.HASH_COMMENT_MODE,qa.NUMBER_MODE,qa.QUOTE_STRING_MODE,ed,td]}}return pf_1=Ra,pf_1}var pgsql_1,hasRequiredPgsql;function requirePgsql(){if(hasRequiredPgsql)return pgsql_1;hasRequiredPgsql=1;function Ra(qa){const ed=qa.COMMENT("--","$"),td="[a-zA-Z_][a-zA-Z_0-9$]*",rd="\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\$",sd="<<\\s*"+td+"\\s*>>",od="ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION INDEX PROCEDURE ASSERTION ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS DEFERRABLE RANGE DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED OF NOTHING NONE EXCLUDE ATTRIBUTE USAGE ROUTINES TRUE FALSE NAN INFINITY ",ld="SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS ",cd="ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT OPEN ",ud="BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR NAME OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 ",_d=ud.trim().split(" ").map(function(Bd){return Bd.split("|")[0]}).join("|"),Ed="CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC ",Ad="FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 ",Td="SQLSTATE SQLERRM|10 SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED INDEX_CORRUPTED ",Rd="ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP PERCENTILE_CONT PERCENTILE_DISC ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE NUM_NONNULLS NUM_NULLS ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT TRUNC WIDTH_BUCKET RANDOM SETSEED ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAP LEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR TO_ASCII TO_HEX TRANSLATE OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 TIMEOFDAY TRANSACTION_TIMESTAMP|10 ENUM_FIRST ENUM_LAST ENUM_RANGE AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILY INET_MERGE MACADDR8_SET7BIT ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA CURSOR_TO_XML CURSOR_TO_XMLSCHEMA SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA XMLATTRIBUTES TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY CURRVAL LASTVAL NEXTVAL SETVAL COALESCE NULLIF GREATEST LEAST ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY STRING_TO_ARRAY UNNEST ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE GENERATE_SERIES GENERATE_SUBSCRIPTS CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE GIN_CLEAN_PENDING_LIST SUPPRESS_REDUNDANT_UPDATES_TRIGGER LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE GROUPING CAST ".trim().split(" ").map(function(Bd){return Bd.split("|")[0]}).join("|");return{name:"PostgreSQL",aliases:["postgres","postgresql"],supersetOf:"sql",case_insensitive:!0,keywords:{keyword:od+cd+ld,built_in:Ed+Ad+Td},illegal:/:==|\W\s*\(\*|(^|\s)\$[a-z]|\{\{|[a-z]:\s*$|\.\.\.|TO:|DO:/,contains:[{className:"keyword",variants:[{begin:/\bTEXT\s*SEARCH\b/},{begin:/\b(PRIMARY|FOREIGN|FOR(\s+NO)?)\s+KEY\b/},{begin:/\bPARALLEL\s+(UNSAFE|RESTRICTED|SAFE)\b/},{begin:/\bSTORAGE\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\b/},{begin:/\bMATCH\s+(FULL|PARTIAL|SIMPLE)\b/},{begin:/\bNULLS\s+(FIRST|LAST)\b/},{begin:/\bEVENT\s+TRIGGER\b/},{begin:/\b(MAPPING|OR)\s+REPLACE\b/},{begin:/\b(FROM|TO)\s+(PROGRAM|STDIN|STDOUT)\b/},{begin:/\b(SHARE|EXCLUSIVE)\s+MODE\b/},{begin:/\b(LEFT|RIGHT)\s+(OUTER\s+)?JOIN\b/},{begin:/\b(FETCH|MOVE)\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\b/},{begin:/\bPRESERVE\s+ROWS\b/},{begin:/\bDISCARD\s+PLANS\b/},{begin:/\bREFERENCING\s+(OLD|NEW)\b/},{begin:/\bSKIP\s+LOCKED\b/},{begin:/\bGROUPING\s+SETS\b/},{begin:/\b(BINARY|INSENSITIVE|SCROLL|NO\s+SCROLL)\s+(CURSOR|FOR)\b/},{begin:/\b(WITH|WITHOUT)\s+HOLD\b/},{begin:/\bWITH\s+(CASCADED|LOCAL)\s+CHECK\s+OPTION\b/},{begin:/\bEXCLUDE\s+(TIES|NO\s+OTHERS)\b/},{begin:/\bFORMAT\s+(TEXT|XML|JSON|YAML)\b/},{begin:/\bSET\s+((SESSION|LOCAL)\s+)?NAMES\b/},{begin:/\bIS\s+(NOT\s+)?UNKNOWN\b/},{begin:/\bSECURITY\s+LABEL\b/},{begin:/\bSTANDALONE\s+(YES|NO|NO\s+VALUE)\b/},{begin:/\bWITH\s+(NO\s+)?DATA\b/},{begin:/\b(FOREIGN|SET)\s+DATA\b/},{begin:/\bSET\s+(CATALOG|CONSTRAINTS)\b/},{begin:/\b(WITH|FOR)\s+ORDINALITY\b/},{begin:/\bIS\s+(NOT\s+)?DOCUMENT\b/},{begin:/\bXML\s+OPTION\s+(DOCUMENT|CONTENT)\b/},{begin:/\b(STRIP|PRESERVE)\s+WHITESPACE\b/},{begin:/\bNO\s+(ACTION|MAXVALUE|MINVALUE)\b/},{begin:/\bPARTITION\s+BY\s+(RANGE|LIST|HASH)\b/},{begin:/\bAT\s+TIME\s+ZONE\b/},{begin:/\bGRANTED\s+BY\b/},{begin:/\bRETURN\s+(QUERY|NEXT)\b/},{begin:/\b(ATTACH|DETACH)\s+PARTITION\b/},{begin:/\bFORCE\s+ROW\s+LEVEL\s+SECURITY\b/},{begin:/\b(INCLUDING|EXCLUDING)\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\b/},{begin:/\bAS\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\b/}]},{begin:/\b(FORMAT|FAMILY|VERSION)\s*\(/},{begin:/\bINCLUDE\s*\(/,keywords:"INCLUDE"},{begin:/\bRANGE(?!\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/},{begin:/\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\s*=/},{begin:/\b(PG_\w+?|HAS_[A-Z_]+_PRIVILEGE)\b/,relevance:10},{begin:/\bEXTRACT\s*\(/,end:/\bFROM\b/,returnEnd:!0,keywords:{type:"CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR TIMEZONE_MINUTE WEEK YEAR"}},{begin:/\b(XMLELEMENT|XMLPI)\s*\(\s*NAME/,keywords:{keyword:"NAME"}},{begin:/\b(XMLPARSE|XMLSERIALIZE)\s*\(\s*(DOCUMENT|CONTENT)/,keywords:{keyword:"DOCUMENT CONTENT"}},{beginKeywords:"CACHE INCREMENT MAXVALUE MINVALUE",end:qa.C_NUMBER_RE,returnEnd:!0,keywords:"BY CACHE INCREMENT MAXVALUE MINVALUE"},{className:"type",begin:/\b(WITH|WITHOUT)\s+TIME\s+ZONE\b/},{className:"type",begin:/\bINTERVAL\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\s+TO\s+(MONTH|HOUR|MINUTE|SECOND))?\b/},{begin:/\bRETURNS\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\b/,keywords:{keyword:"RETURNS",type:"LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER"}},{begin:"\\b("+Rd+")\\s*\\("},{begin:"\\.("+_d+")\\b"},{begin:"\\b("+_d+")\\s+PATH\\b",keywords:{keyword:"PATH",type:ud.replace("PATH ","")}},{className:"type",begin:"\\b("+_d+")\\b"},{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{className:"string",begin:"(e|E|u&|U&)'",end:"'",contains:[{begin:"\\\\."}],relevance:10},qa.END_SAME_AS_BEGIN({begin:rd,end:rd,contains:[{subLanguage:["pgsql","perl","python","tcl","r","lua","java","php","ruby","bash","scheme","xml","json"],endsWithParent:!0}]}),{begin:'"',end:'"',contains:[{begin:'""'}]},qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE,ed,{className:"meta",variants:[{begin:"%(ROW)?TYPE",relevance:10},{begin:"\\$\\d+"},{begin:"^#\\w",end:"$"}]},{className:"symbol",begin:sd,relevance:10}]}}return pgsql_1=Ra,pgsql_1}var php_1,hasRequiredPhp;function requirePhp(){if(hasRequiredPhp)return php_1;hasRequiredPhp=1;function Ra(qa){const ed=qa.regex,td=/(?![A-Za-z0-9])(?![$])/,rd=ed.concat(/[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/,td),sd=ed.concat(/(\\?[A-Z][a-z0-9_\x7f-\xff]+|\\?[A-Z]+(?=[A-Z][a-z0-9_\x7f-\xff])){1,}/,td),od={scope:"variable",match:"\\$+"+rd},ld={scope:"meta",variants:[{begin:/<\?php/,relevance:10},{begin:/<\?=/},{begin:/<\?/,relevance:.1},{begin:/\?>/}]},cd={scope:"subst",variants:[{begin:/\$\w+/},{begin:/\{\$/,end:/\}/}]},ud=qa.inherit(qa.APOS_STRING_MODE,{illegal:null}),_d=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null,contains:qa.QUOTE_STRING_MODE.contains.concat(cd)}),Ed={begin:/<<<[ \t]*(?:(\w+)|"(\w+)")\n/,end:/[ \t]*(\w+)\b/,contains:qa.QUOTE_STRING_MODE.contains.concat(cd),"on:begin":(_f,hf)=>{hf.data._beginMatch=_f[1]||_f[2]},"on:end":(_f,hf)=>{hf.data._beginMatch!==_f[1]&&hf.ignoreMatch()}},Ad=qa.END_SAME_AS_BEGIN({begin:/<<<[ \t]*'(\w+)'\n/,end:/[ \t]*(\w+)\b/}),Td=`[ ]`,Nd={scope:"string",variants:[_d,ud,Ed,Ad]},Rd={scope:"number",variants:[{begin:"\\b0[bB][01]+(?:_[01]+)*\\b"},{begin:"\\b0[oO][0-7]+(?:_[0-7]+)*\\b"},{begin:"\\b0[xX][\\da-fA-F]+(?:_[\\da-fA-F]+)*\\b"},{begin:"(?:\\b\\d+(?:_\\d+)*(\\.(?:\\d+(?:_\\d+)*))?|\\B\\.\\d+)(?:[eE][+-]?\\d+)?"}],relevance:0},Bd=["false","null","true"],kd=["__CLASS__","__DIR__","__FILE__","__FUNCTION__","__COMPILER_HALT_OFFSET__","__LINE__","__METHOD__","__NAMESPACE__","__TRAIT__","die","echo","exit","include","include_once","print","require","require_once","array","abstract","and","as","binary","bool","boolean","break","callable","case","catch","class","clone","const","continue","declare","default","do","double","else","elseif","empty","enddeclare","endfor","endforeach","endif","endswitch","endwhile","enum","eval","extends","final","finally","float","for","foreach","from","global","goto","if","implements","instanceof","insteadof","int","integer","interface","isset","iterable","list","match|0","mixed","new","never","object","or","private","protected","public","readonly","real","return","string","switch","throw","trait","try","unset","use","var","void","while","xor","yield"],Od=["Error|0","AppendIterator","ArgumentCountError","ArithmeticError","ArrayIterator","ArrayObject","AssertionError","BadFunctionCallException","BadMethodCallException","CachingIterator","CallbackFilterIterator","CompileError","Countable","DirectoryIterator","DivisionByZeroError","DomainException","EmptyIterator","ErrorException","Exception","FilesystemIterator","FilterIterator","GlobIterator","InfiniteIterator","InvalidArgumentException","IteratorIterator","LengthException","LimitIterator","LogicException","MultipleIterator","NoRewindIterator","OutOfBoundsException","OutOfRangeException","OuterIterator","OverflowException","ParentIterator","ParseError","RangeException","RecursiveArrayIterator","RecursiveCachingIterator","RecursiveCallbackFilterIterator","RecursiveDirectoryIterator","RecursiveFilterIterator","RecursiveIterator","RecursiveIteratorIterator","RecursiveRegexIterator","RecursiveTreeIterator","RegexIterator","RuntimeException","SeekableIterator","SplDoublyLinkedList","SplFileInfo","SplFileObject","SplFixedArray","SplHeap","SplMaxHeap","SplMinHeap","SplObjectStorage","SplObserver","SplPriorityQueue","SplQueue","SplStack","SplSubject","SplTempFileObject","TypeError","UnderflowException","UnexpectedValueException","UnhandledMatchError","ArrayAccess","BackedEnum","Closure","Fiber","Generator","Iterator","IteratorAggregate","Serializable","Stringable","Throwable","Traversable","UnitEnum","WeakReference","WeakMap","Directory","__PHP_Incomplete_Class","parent","php_user_filter","self","static","stdClass"],Pd={keyword:kd,literal:(_f=>{const hf=[];return _f.forEach(gf=>{hf.push(gf),gf.toLowerCase()===gf?hf.push(gf.toUpperCase()):hf.push(gf.toLowerCase())}),hf})(Bd),built_in:Od},Fd=_f=>_f.map(hf=>hf.replace(/\|\d+$/,"")),Ud={variants:[{match:[/new/,ed.concat(Td,"+"),ed.concat("(?!",Fd(Od).join("\\b|"),"\\b)"),sd],scope:{1:"keyword",4:"title.class"}}]},Gd=ed.concat(rd,"\\b(?!\\()"),Qd={variants:[{match:[ed.concat(/::/,ed.lookahead(/(?!class\b)/)),Gd],scope:{2:"variable.constant"}},{match:[/::/,/class/],scope:{2:"variable.language"}},{match:[sd,ed.concat(/::/,ed.lookahead(/(?!class\b)/)),Gd],scope:{1:"title.class",3:"variable.constant"}},{match:[sd,ed.concat("::",ed.lookahead(/(?!class\b)/))],scope:{1:"title.class"}},{match:[sd,/::/,/class/],scope:{1:"title.class",3:"variable.language"}}]},Vd={scope:"attr",match:ed.concat(rd,ed.lookahead(":"),ed.lookahead(/(?!::)/))},zd={relevance:0,begin:/\(/,end:/\)/,keywords:Pd,contains:[Vd,od,Qd,qa.C_BLOCK_COMMENT_MODE,Nd,Rd,Ud]},Xd={relevance:0,match:[/\b/,ed.concat("(?!fn\\b|function\\b|",Fd(kd).join("\\b|"),"|",Fd(Od).join("\\b|"),"\\b)"),rd,ed.concat(Td,"*"),ed.lookahead(/(?=\()/)],scope:{3:"title.function.invoke"},contains:[zd]};zd.contains.push(Xd);const df=[Vd,Qd,qa.C_BLOCK_COMMENT_MODE,Nd,Rd,Ud],mf={begin:ed.concat(/#\[\s*/,sd),beginScope:"meta",end:/]/,endScope:"meta",keywords:{literal:Bd,keyword:["new","array"]},contains:[{begin:/\[/,end:/]/,keywords:{literal:Bd,keyword:["new","array"]},contains:["self",...df]},...df,{scope:"meta",match:sd}]};return{case_insensitive:!1,keywords:Pd,contains:[mf,qa.HASH_COMMENT_MODE,qa.COMMENT("//","$"),qa.COMMENT("/\\*","\\*/",{contains:[{scope:"doctag",match:"@[A-Za-z]+"}]}),{match:/__halt_compiler\(\);/,keywords:"__halt_compiler",starts:{scope:"comment",end:qa.MATCH_NOTHING_RE,contains:[{match:/\?>/,scope:"meta",endsParent:!0}]}},ld,{scope:"variable.language",match:/\$this\b/},od,Xd,Qd,{match:[/const/,/\s/,rd],scope:{1:"keyword",3:"variable.constant"}},Ud,{scope:"function",relevance:0,beginKeywords:"fn function",end:/[;{]/,excludeEnd:!0,illegal:"[$%\\[]",contains:[{beginKeywords:"use"},qa.UNDERSCORE_TITLE_MODE,{begin:"=>",endsParent:!0},{scope:"params",begin:"\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0,keywords:Pd,contains:["self",od,Qd,qa.C_BLOCK_COMMENT_MODE,Nd,Rd]}]},{scope:"class",variants:[{beginKeywords:"enum",illegal:/[($"]/},{beginKeywords:"class interface trait",illegal:/[:($"]/}],relevance:0,end:/\{/,excludeEnd:!0,contains:[{beginKeywords:"extends implements"},qa.UNDERSCORE_TITLE_MODE]},{beginKeywords:"namespace",relevance:0,end:";",illegal:/[.']/,contains:[qa.inherit(qa.UNDERSCORE_TITLE_MODE,{scope:"title.class"})]},{beginKeywords:"use",relevance:0,end:";",contains:[{match:/\b(as|const|function)\b/,scope:"keyword"},qa.UNDERSCORE_TITLE_MODE]},Nd,Rd]}}return php_1=Ra,php_1}var phpTemplate_1,hasRequiredPhpTemplate;function requirePhpTemplate(){if(hasRequiredPhpTemplate)return phpTemplate_1;hasRequiredPhpTemplate=1;function Ra(qa){return{name:"PHP template",subLanguage:"xml",contains:[{begin:/<\?(php|=)?/,end:/\?>/,subLanguage:"php",contains:[{begin:"/\\*",end:"\\*/",skip:!0},{begin:'b"',end:'"',skip:!0},{begin:"b'",end:"'",skip:!0},qa.inherit(qa.APOS_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0})]}]}}return phpTemplate_1=Ra,phpTemplate_1}var plaintext_1,hasRequiredPlaintext;function requirePlaintext(){if(hasRequiredPlaintext)return plaintext_1;hasRequiredPlaintext=1;function Ra(qa){return{name:"Plain text",aliases:["text","txt"],disableAutodetect:!0}}return plaintext_1=Ra,plaintext_1}var pony_1,hasRequiredPony;function requirePony(){if(hasRequiredPony)return pony_1;hasRequiredPony=1;function Ra(qa){const ed={keyword:"actor addressof and as be break class compile_error compile_intrinsic consume continue delegate digestof do else elseif embed end error for fun if ifdef in interface is isnt lambda let match new not object or primitive recover repeat return struct then trait try type until use var where while with xor",meta:"iso val tag trn box ref",literal:"this false true"},td={className:"string",begin:'"""',end:'"""',relevance:10},rd={className:"string",begin:'"',end:'"',contains:[qa.BACKSLASH_ESCAPE]},sd={className:"string",begin:"'",end:"'",contains:[qa.BACKSLASH_ESCAPE],relevance:0},od={className:"type",begin:"\\b_?[A-Z][\\w]*",relevance:0},ld={begin:qa.IDENT_RE+"'",relevance:0};return{name:"Pony",keywords:ed,contains:[od,td,rd,sd,ld,{className:"number",begin:"(-?)(\\b0[xX][a-fA-F0-9]+|\\b0[bB][01]+|(\\b\\d+(_\\d+)?(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",relevance:0},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]}}return pony_1=Ra,pony_1}var powershell_1,hasRequiredPowershell;function requirePowershell(){if(hasRequiredPowershell)return powershell_1;hasRequiredPowershell=1;function Ra(qa){const ed=["string","char","byte","int","long","bool","decimal","single","double","DateTime","xml","array","hashtable","void"],td="Add|Clear|Close|Copy|Enter|Exit|Find|Format|Get|Hide|Join|Lock|Move|New|Open|Optimize|Pop|Push|Redo|Remove|Rename|Reset|Resize|Search|Select|Set|Show|Skip|Split|Step|Switch|Undo|Unlock|Watch|Backup|Checkpoint|Compare|Compress|Convert|ConvertFrom|ConvertTo|Dismount|Edit|Expand|Export|Group|Import|Initialize|Limit|Merge|Mount|Out|Publish|Restore|Save|Sync|Unpublish|Update|Approve|Assert|Build|Complete|Confirm|Deny|Deploy|Disable|Enable|Install|Invoke|Register|Request|Restart|Resume|Start|Stop|Submit|Suspend|Uninstall|Unregister|Wait|Debug|Measure|Ping|Repair|Resolve|Test|Trace|Connect|Disconnect|Read|Receive|Send|Write|Block|Grant|Protect|Revoke|Unblock|Unprotect|Use|ForEach|Sort|Tee|Where",rd="-and|-as|-band|-bnot|-bor|-bxor|-casesensitive|-ccontains|-ceq|-cge|-cgt|-cle|-clike|-clt|-cmatch|-cne|-cnotcontains|-cnotlike|-cnotmatch|-contains|-creplace|-csplit|-eq|-exact|-f|-file|-ge|-gt|-icontains|-ieq|-ige|-igt|-ile|-ilike|-ilt|-imatch|-in|-ine|-inotcontains|-inotlike|-inotmatch|-ireplace|-is|-isnot|-isplit|-join|-le|-like|-lt|-match|-ne|-not|-notcontains|-notin|-notlike|-notmatch|-or|-regex|-replace|-shl|-shr|-split|-wildcard|-xor",sd={$pattern:/-?[A-z\.\-]+\b/,keyword:"if else foreach return do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catch hidden static parameter",built_in:"ac asnp cat cd CFS chdir clc clear clhy cli clp cls clv cnsn compare copy cp cpi cpp curl cvpa dbp del diff dir dnsn ebp echo|0 epal epcsv epsn erase etsn exsn fc fhx fl ft fw gal gbp gc gcb gci gcm gcs gdr gerr ghy gi gin gjb gl gm gmo gp gps gpv group gsn gsnp gsv gtz gu gv gwmi h history icm iex ihy ii ipal ipcsv ipmo ipsn irm ise iwmi iwr kill lp ls man md measure mi mount move mp mv nal ndr ni nmo npssc nsn nv ogv oh popd ps pushd pwd r rbp rcjb rcsn rd rdr ren ri rjb rm rmdir rmo rni rnp rp rsn rsnp rujb rv rvpa rwmi sajb sal saps sasv sbp sc scb select set shcm si sl sleep sls sort sp spjb spps spsv start stz sujb sv swmi tee trcm type wget where wjb write"},od=/\w[\w\d]*((-)[\w\d]+)*/,ld={begin:"`[\\s\\S]",relevance:0},cd={className:"variable",variants:[{begin:/\$\B/},{className:"keyword",begin:/\$this/},{begin:/\$[\w\d][\w\d_:]*/}]},ud={className:"literal",begin:/\$(null|true|false)\b/},_d={className:"string",variants:[{begin:/"/,end:/"/},{begin:/@"/,end:/^"@/}],contains:[ld,cd,{className:"variable",begin:/\$[A-z]/,end:/[^A-z]/}]},Ed={className:"string",variants:[{begin:/'/,end:/'/},{begin:/@'/,end:/^'@/}]},Ad={className:"doctag",variants:[{begin:/\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/},{begin:/\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\s+\S+/}]},Td=qa.inherit(qa.COMMENT(null,null),{variants:[{begin:/#/,end:/$/},{begin:/<#/,end:/#>/}],contains:[Ad]}),Nd={className:"built_in",variants:[{begin:"(".concat(td,")+(-)[\\w\\d]+")}]},Rd={className:"class",beginKeywords:"class enum",end:/\s*[{]/,excludeEnd:!0,relevance:0,contains:[qa.TITLE_MODE]},Bd={className:"function",begin:/function\s+/,end:/\s*\{|$/,excludeEnd:!0,returnBegin:!0,relevance:0,contains:[{begin:"function",relevance:0,className:"keyword"},{className:"title",begin:od,relevance:0},{begin:/\(/,end:/\)/,className:"params",relevance:0,contains:[cd]}]},kd={begin:/using\s/,end:/$/,returnBegin:!0,contains:[_d,Ed,{className:"keyword",begin:/(using|assembly|command|module|namespace|type)/}]},Od={variants:[{className:"operator",begin:"(".concat(rd,")\\b")},{className:"literal",begin:/(-){1,2}[\w\d-]+/,relevance:0}]},Md={className:"selector-tag",begin:/@\B/,relevance:0},Pd={className:"function",begin:/\[.*\]\s*[\w]+[ ]??\(/,end:/$/,returnBegin:!0,relevance:0,contains:[{className:"keyword",begin:"(".concat(sd.keyword.toString().replace(/\s/g,"|"),")\\b"),endsParent:!0,relevance:0},qa.inherit(qa.TITLE_MODE,{endsParent:!0})]},Fd=[Pd,Td,ld,qa.NUMBER_MODE,_d,Ed,Nd,cd,ud,Md],Ud={begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[].concat("self",Fd,{begin:"("+ed.join("|")+")",className:"built_in",relevance:0},{className:"type",begin:/[\.\w\d]+/,relevance:0})};return Pd.contains.unshift(Ud),{name:"PowerShell",aliases:["pwsh","ps","ps1"],case_insensitive:!0,keywords:sd,contains:Fd.concat(Rd,Bd,kd,Od,Ud)}}return powershell_1=Ra,powershell_1}var processing_1,hasRequiredProcessing;function requireProcessing(){if(hasRequiredProcessing)return processing_1;hasRequiredProcessing=1;function Ra(qa){const ed=qa.regex,td=["displayHeight","displayWidth","mouseY","mouseX","mousePressed","pmouseX","pmouseY","key","keyCode","pixels","focused","frameCount","frameRate","height","width","size","createGraphics","beginDraw","createShape","loadShape","PShape","arc","ellipse","line","point","quad","rect","triangle","bezier","bezierDetail","bezierPoint","bezierTangent","curve","curveDetail","curvePoint","curveTangent","curveTightness","shape","shapeMode","beginContour","beginShape","bezierVertex","curveVertex","endContour","endShape","quadraticVertex","vertex","ellipseMode","noSmooth","rectMode","smooth","strokeCap","strokeJoin","strokeWeight","mouseClicked","mouseDragged","mouseMoved","mousePressed","mouseReleased","mouseWheel","keyPressed","keyPressedkeyReleased","keyTyped","print","println","save","saveFrame","day","hour","millis","minute","month","second","year","background","clear","colorMode","fill","noFill","noStroke","stroke","alpha","blue","brightness","color","green","hue","lerpColor","red","saturation","modelX","modelY","modelZ","screenX","screenY","screenZ","ambient","emissive","shininess","specular","add","createImage","beginCamera","camera","endCamera","frustum","ortho","perspective","printCamera","printProjection","cursor","frameRate","noCursor","exit","loop","noLoop","popStyle","pushStyle","redraw","binary","boolean","byte","char","float","hex","int","str","unbinary","unhex","join","match","matchAll","nf","nfc","nfp","nfs","split","splitTokens","trim","append","arrayCopy","concat","expand","reverse","shorten","sort","splice","subset","box","sphere","sphereDetail","createInput","createReader","loadBytes","loadJSONArray","loadJSONObject","loadStrings","loadTable","loadXML","open","parseXML","saveTable","selectFolder","selectInput","beginRaw","beginRecord","createOutput","createWriter","endRaw","endRecord","PrintWritersaveBytes","saveJSONArray","saveJSONObject","saveStream","saveStrings","saveXML","selectOutput","popMatrix","printMatrix","pushMatrix","resetMatrix","rotate","rotateX","rotateY","rotateZ","scale","shearX","shearY","translate","ambientLight","directionalLight","lightFalloff","lights","lightSpecular","noLights","normal","pointLight","spotLight","image","imageMode","loadImage","noTint","requestImage","tint","texture","textureMode","textureWrap","blend","copy","filter","get","loadPixels","set","updatePixels","blendMode","loadShader","PShaderresetShader","shader","createFont","loadFont","text","textFont","textAlign","textLeading","textMode","textSize","textWidth","textAscent","textDescent","abs","ceil","constrain","dist","exp","floor","lerp","log","mag","map","max","min","norm","pow","round","sq","sqrt","acos","asin","atan","atan2","cos","degrees","radians","sin","tan","noise","noiseDetail","noiseSeed","random","randomGaussian","randomSeed"],rd=qa.IDENT_RE,sd={variants:[{match:ed.concat(ed.either(...td),ed.lookahead(/\s*\(/)),className:"built_in"},{relevance:0,match:ed.concat(/\b(?!for|if|while)/,rd,ed.lookahead(/\s*\(/)),className:"title.function"}]},od={match:[/new\s+/,rd],className:{1:"keyword",2:"class.title"}},ld={relevance:0,match:[/\./,rd],className:{2:"property"}},cd={variants:[{match:[/class/,/\s+/,rd,/\s+/,/extends/,/\s+/,rd]},{match:[/class/,/\s+/,rd]}],className:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},ud=["boolean","byte","char","color","double","float","int","long","short"],_d=["BufferedReader","PVector","PFont","PImage","PGraphics","HashMap","String","Array","FloatDict","ArrayList","FloatList","IntDict","IntList","JSONArray","JSONObject","Object","StringDict","StringList","Table","TableRow","XML"];return{name:"Processing",aliases:["pde"],keywords:{keyword:[...["abstract","assert","break","case","catch","const","continue","default","else","enum","final","finally","for","if","import","instanceof","long","native","new","package","private","private","protected","protected","public","public","return","static","strictfp","switch","synchronized","throw","throws","transient","try","void","volatile","while"]],literal:"P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI null true false",title:"setup draw",variable:"super this",built_in:[...td,..._d],type:ud},contains:[cd,od,sd,ld,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE]}}return processing_1=Ra,processing_1}var profile_1,hasRequiredProfile;function requireProfile(){if(hasRequiredProfile)return profile_1;hasRequiredProfile=1;function Ra(qa){return{name:"Python profiler",contains:[qa.C_NUMBER_MODE,{begin:"[a-zA-Z_][\\da-zA-Z_]+\\.[\\da-zA-Z_]{1,3}",end:":",excludeEnd:!0},{begin:"(ncalls|tottime|cumtime)",end:"$",keywords:"ncalls tottime|10 cumtime|10 filename",relevance:10},{begin:"function calls",end:"$",contains:[qa.C_NUMBER_MODE],relevance:10},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{className:"string",begin:"\\(",end:"\\)$",excludeBegin:!0,excludeEnd:!0,relevance:0}]}}return profile_1=Ra,profile_1}var prolog_1,hasRequiredProlog;function requireProlog(){if(hasRequiredProlog)return prolog_1;hasRequiredProlog=1;function Ra(qa){const ed={begin:/[a-z][A-Za-z0-9_]*/,relevance:0},td={className:"symbol",variants:[{begin:/[A-Z][a-zA-Z0-9_]*/},{begin:/_[A-Za-z0-9_]*/}],relevance:0},rd={begin:/\(/,end:/\)/,relevance:0},sd={begin:/\[/,end:/\]/},od={className:"comment",begin:/%/,end:/$/,contains:[qa.PHRASAL_WORDS_MODE]},ld={className:"string",begin:/`/,end:/`/,contains:[qa.BACKSLASH_ESCAPE]},cd={className:"string",begin:/0'(\\'|.)/},ud={className:"string",begin:/0'\\s/},Ed=[ed,td,rd,{begin:/:-/},sd,od,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,ld,cd,ud,qa.C_NUMBER_MODE];return rd.contains=Ed,sd.contains=Ed,{name:"Prolog",contains:Ed.concat([{begin:/\.$/}])}}return prolog_1=Ra,prolog_1}var properties_1,hasRequiredProperties;function requireProperties(){if(hasRequiredProperties)return properties_1;hasRequiredProperties=1;function Ra(qa){const ed="[ \\t\\f]*",td="[ \\t\\f]+",rd=ed+"[:=]"+ed,sd=td,od="("+rd+"|"+sd+")",ld="([^\\\\:= \\t\\f\\n]|\\\\.)+",cd={end:od,relevance:0,starts:{className:"string",end:/$/,relevance:0,contains:[{begin:"\\\\\\\\"},{begin:"\\\\\\n"}]}};return{name:".properties",disableAutodetect:!0,case_insensitive:!0,illegal:/\S/,contains:[qa.COMMENT("^\\s*[!#]","$"),{returnBegin:!0,variants:[{begin:ld+rd},{begin:ld+sd}],contains:[{className:"attr",begin:ld,endsParent:!0}],starts:cd},{className:"attr",begin:ld+ed+"$"}]}}return properties_1=Ra,properties_1}var protobuf_1,hasRequiredProtobuf;function requireProtobuf(){if(hasRequiredProtobuf)return protobuf_1;hasRequiredProtobuf=1;function Ra(qa){const ed=["package","import","option","optional","required","repeated","group","oneof"],td=["double","float","int32","int64","uint32","uint64","sint32","sint64","fixed32","fixed64","sfixed32","sfixed64","bool","string","bytes"],rd={match:[/(message|enum|service)\s+/,qa.IDENT_RE],scope:{1:"keyword",2:"title.class"}};return{name:"Protocol Buffers",aliases:["proto"],keywords:{keyword:ed,type:td,literal:["true","false"]},contains:[qa.QUOTE_STRING_MODE,qa.NUMBER_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,rd,{className:"function",beginKeywords:"rpc",end:/[{;]/,excludeEnd:!0,keywords:"rpc returns"},{begin:/^\s*[A-Z_]+(?=\s*=[^\n]+;$)/}]}}return protobuf_1=Ra,protobuf_1}var puppet_1,hasRequiredPuppet;function requirePuppet(){if(hasRequiredPuppet)return puppet_1;hasRequiredPuppet=1;function Ra(qa){const ed={keyword:"and case default else elsif false if in import enherits node or true undef unless main settings $string ",literal:"alias audit before loglevel noop require subscribe tag owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check en_address ip_address realname command environment hour monute month monthday special target weekday creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey sslverify mounted",built_in:"architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version"},td=qa.COMMENT("#","$"),rd="([A-Za-z_]|::)(\\w|::)*",sd=qa.inherit(qa.TITLE_MODE,{begin:rd}),od={className:"variable",begin:"\\$"+rd},ld={className:"string",contains:[qa.BACKSLASH_ESCAPE,od],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]};return{name:"Puppet",aliases:["pp"],contains:[td,od,ld,{beginKeywords:"class",end:"\\{|;",illegal:/=/,contains:[sd,td]},{beginKeywords:"define",end:/\{/,contains:[{className:"section",begin:qa.IDENT_RE,endsParent:!0}]},{begin:qa.IDENT_RE+"\\s+\\{",returnBegin:!0,end:/\S/,contains:[{className:"keyword",begin:qa.IDENT_RE,relevance:.2},{begin:/\{/,end:/\}/,keywords:ed,relevance:0,contains:[ld,td,{begin:"[a-zA-Z_]+\\s*=>",returnBegin:!0,end:"=>",contains:[{className:"attr",begin:qa.IDENT_RE}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},od]}],relevance:0}]}}return puppet_1=Ra,puppet_1}var purebasic_1,hasRequiredPurebasic;function requirePurebasic(){if(hasRequiredPurebasic)return purebasic_1;hasRequiredPurebasic=1;function Ra(qa){const ed={className:"string",begin:'(~)?"',end:'"',illegal:"\\n"},td={className:"symbol",begin:"#[a-zA-Z_]\\w*\\$?"};return{name:"PureBASIC",aliases:["pb","pbi"],keywords:"Align And Array As Break CallDebugger Case CompilerCase CompilerDefault CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount Map Module NewList NewMap Next Not Or Procedure ProcedureC ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim Read Repeat Restore Return Runtime Select Shared Static Step Structure StructureUnion Swap Threaded To UndefineMacro Until Until UnuseModule UseModule Wend While With XIncludeFile XOr",contains:[qa.COMMENT(";","$",{relevance:0}),{className:"function",begin:"\\b(Procedure|Declare)(C|CDLL|DLL)?\\b",end:"\\(",excludeEnd:!0,returnBegin:!0,contains:[{className:"keyword",begin:"(Procedure|Declare)(C|CDLL|DLL)?",excludeEnd:!0},{className:"type",begin:"\\.\\w*"},qa.UNDERSCORE_TITLE_MODE]},ed,td]}}return purebasic_1=Ra,purebasic_1}var python_1,hasRequiredPython;function requirePython(){if(hasRequiredPython)return python_1;hasRequiredPython=1;function Ra(qa){const ed=qa.regex,td=new RegExp("[\\p{XID_Start}_]\\p{XID_Continue}*","u"),rd=["and","as","assert","async","await","break","case","class","continue","def","del","elif","else","except","finally","for","from","global","if","import","in","is","lambda","match","nonlocal|10","not","or","pass","raise","return","try","while","with","yield"],cd={$pattern:/[A-Za-z]\w+|__\w+__/,keyword:rd,built_in:["__import__","abs","all","any","ascii","bin","bool","breakpoint","bytearray","bytes","callable","chr","classmethod","compile","complex","delattr","dict","dir","divmod","enumerate","eval","exec","filter","float","format","frozenset","getattr","globals","hasattr","hash","help","hex","id","input","int","isinstance","issubclass","iter","len","list","locals","map","max","memoryview","min","next","object","oct","open","ord","pow","print","property","range","repr","reversed","round","set","setattr","slice","sorted","staticmethod","str","sum","super","tuple","type","vars","zip"],literal:["__debug__","Ellipsis","False","None","NotImplemented","True"],type:["Any","Callable","Coroutine","Dict","List","Literal","Generic","Optional","Sequence","Set","Tuple","Type","Union"]},ud={className:"meta",begin:/^(>>>|\.\.\.) /},_d={className:"subst",begin:/\{/,end:/\}/,keywords:cd,illegal:/#/},Ed={begin:/\{\{/,relevance:0},Ad={className:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[{begin:/([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?'''/,end:/'''/,contains:[qa.BACKSLASH_ESCAPE,ud],relevance:10},{begin:/([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?"""/,end:/"""/,contains:[qa.BACKSLASH_ESCAPE,ud],relevance:10},{begin:/([fF][rR]|[rR][fF]|[fF])'''/,end:/'''/,contains:[qa.BACKSLASH_ESCAPE,ud,Ed,_d]},{begin:/([fF][rR]|[rR][fF]|[fF])"""/,end:/"""/,contains:[qa.BACKSLASH_ESCAPE,ud,Ed,_d]},{begin:/([uU]|[rR])'/,end:/'/,relevance:10},{begin:/([uU]|[rR])"/,end:/"/,relevance:10},{begin:/([bB]|[bB][rR]|[rR][bB])'/,end:/'/},{begin:/([bB]|[bB][rR]|[rR][bB])"/,end:/"/},{begin:/([fF][rR]|[rR][fF]|[fF])'/,end:/'/,contains:[qa.BACKSLASH_ESCAPE,Ed,_d]},{begin:/([fF][rR]|[rR][fF]|[fF])"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE,Ed,_d]},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]},Td="[0-9](_?[0-9])*",Nd=`(\\b(${Td}))?\\.(${Td})|\\b(${Td})\\.`,Rd=`\\b|${rd.join("|")}`,Bd={className:"number",relevance:0,variants:[{begin:`(\\b(${Td})|(${Nd}))[eE][+-]?(${Td})[jJ]?(?=${Rd})`},{begin:`(${Nd})[jJ]?`},{begin:`\\b([1-9](_?[0-9])*|0+(_?0)*)[lLjJ]?(?=${Rd})`},{begin:`\\b0[bB](_?[01])+[lL]?(?=${Rd})`},{begin:`\\b0[oO](_?[0-7])+[lL]?(?=${Rd})`},{begin:`\\b0[xX](_?[0-9a-fA-F])+[lL]?(?=${Rd})`},{begin:`\\b(${Td})[jJ](?=${Rd})`}]},kd={className:"comment",begin:ed.lookahead(/# type:/),end:/$/,keywords:cd,contains:[{begin:/# type:/},{begin:/#/,end:/\b\B/,endsWithParent:!0}]},Od={className:"params",variants:[{className:"",begin:/\(\s*\)/,skip:!0},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:cd,contains:["self",ud,Bd,Ad,qa.HASH_COMMENT_MODE]}]};return _d.contains=[Ad,Bd,ud],{name:"Python",aliases:["py","gyp","ipython"],unicodeRegex:!0,keywords:cd,illegal:/(<\/|\?)|=>/,contains:[ud,Bd,{scope:"variable.language",match:/\bself\b/},{beginKeywords:"if",relevance:0},{match:/\bor\b/,scope:"keyword"},Ad,kd,qa.HASH_COMMENT_MODE,{match:[/\bdef/,/\s+/,td],scope:{1:"keyword",3:"title.function"},contains:[Od]},{variants:[{match:[/\bclass/,/\s+/,td,/\s*/,/\(\s*/,td,/\s*\)/]},{match:[/\bclass/,/\s+/,td]}],scope:{1:"keyword",3:"title.class",6:"title.class.inherited"}},{className:"meta",begin:/^[\t ]*@/,end:/(?=#)|$/,contains:[Bd,Od,Ad]}]}}return python_1=Ra,python_1}var pythonRepl_1,hasRequiredPythonRepl;function requirePythonRepl(){if(hasRequiredPythonRepl)return pythonRepl_1;hasRequiredPythonRepl=1;function Ra(qa){return{aliases:["pycon"],contains:[{className:"meta.prompt",starts:{end:/ |$/,starts:{end:"$",subLanguage:"python"}},variants:[{begin:/^>>>(?=[ ]|$)/},{begin:/^\.\.\.(?=[ ]|$)/}]}]}}return pythonRepl_1=Ra,pythonRepl_1}var q_1,hasRequiredQ;function requireQ(){if(hasRequiredQ)return q_1;hasRequiredQ=1;function Ra(qa){return{name:"Q",aliases:["k","kdb"],keywords:{$pattern:/(`?)[A-Za-z0-9_]+\b/,keyword:"do while select delete by update from",literal:"0b 1b",built_in:"neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum",type:"`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid"},contains:[qa.C_LINE_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE]}}return q_1=Ra,q_1}var qml_1,hasRequiredQml;function requireQml(){if(hasRequiredQml)return qml_1;hasRequiredQml=1;function Ra(qa){const ed=qa.regex,td={keyword:"in of on if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await import",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Behavior bool color coordinate date double enumeration font geocircle georectangle geoshape int list matrix4x4 parent point quaternion real rect size string url variant vector2d vector3d vector4d Promise"},rd="[a-zA-Z_][a-zA-Z0-9\\._]*",sd={className:"keyword",begin:"\\bproperty\\b",starts:{className:"string",end:"(:|=|;|,|//|/\\*|$)",returnEnd:!0}},od={className:"keyword",begin:"\\bsignal\\b",starts:{className:"string",end:"(\\(|:|=|;|,|//|/\\*|$)",returnEnd:!0}},ld={className:"attribute",begin:"\\bid\\s*:",starts:{className:"string",end:rd,returnEnd:!1}},cd={begin:rd+"\\s*:",returnBegin:!0,contains:[{className:"attribute",begin:rd,end:"\\s*:",excludeEnd:!0,relevance:0}],relevance:0},ud={begin:ed.concat(rd,/\s*\{/),end:/\{/,returnBegin:!0,relevance:0,contains:[qa.inherit(qa.TITLE_MODE,{begin:rd})]};return{name:"QML",aliases:["qt"],case_insensitive:!1,keywords:td,contains:[{className:"meta",begin:/^\s*['"]use (strict|asm)['"]/},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[qa.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"}]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:qa.C_NUMBER_RE}],relevance:0},{begin:"("+qa.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.REGEXP_MODE,{begin:/\s*[);\]]/,relevance:0,subLanguage:"xml"}],relevance:0},od,sd,{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[qa.inherit(qa.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]}],illegal:/\[|%/},{begin:"\\."+qa.IDENT_RE,relevance:0},ld,cd,ud],illegal:/#/}}return qml_1=Ra,qml_1}var r_1,hasRequiredR;function requireR(){if(hasRequiredR)return r_1;hasRequiredR=1;function Ra(qa){const ed=qa.regex,td=/(?:(?:[a-zA-Z]|\.[._a-zA-Z])[._a-zA-Z0-9]*)|\.(?!\d)/,rd=ed.either(/0[xX][0-9a-fA-F]+\.[0-9a-fA-F]*[pP][+-]?\d+i?/,/0[xX][0-9a-fA-F]+(?:[pP][+-]?\d+)?[Li]?/,/(?:\d+(?:\.\d*)?|\.\d+)(?:[eE][+-]?\d+)?[Li]?/),sd=/[=!<>:]=|\|\||&&|:::?|<-|<<-|->>|->|\|>|[-+*\/?!$&|:<=>@^~]|\*\*/,od=ed.either(/[()]/,/[{}]/,/\[\[/,/[[\]]/,/\\/,/,/);return{name:"R",keywords:{$pattern:td,keyword:"function if in break next repeat else for while",literal:"NULL NA TRUE FALSE Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 NA_complex_|10",built_in:"LETTERS letters month.abb month.name pi T F abs acos acosh all any anyNA Arg as.call as.character as.complex as.double as.environment as.integer as.logical as.null.default as.numeric as.raw asin asinh atan atanh attr attributes baseenv browser c call ceiling class Conj cos cosh cospi cummax cummin cumprod cumsum digamma dim dimnames emptyenv exp expression floor forceAndCall gamma gc.time globalenv Im interactive invisible is.array is.atomic is.call is.character is.complex is.double is.environment is.expression is.finite is.function is.infinite is.integer is.language is.list is.logical is.matrix is.na is.name is.nan is.null is.numeric is.object is.pairlist is.raw is.recursive is.single is.symbol lazyLoadDBfetch length lgamma list log max min missing Mod names nargs nzchar oldClass on.exit pos.to.env proc.time prod quote range Re rep retracemem return round seq_along seq_len seq.int sign signif sin sinh sinpi sqrt standardGeneric substitute sum switch tan tanh tanpi tracemem trigamma trunc unclass untracemem UseMethod xtfrm"},contains:[qa.COMMENT(/#'/,/$/,{contains:[{scope:"doctag",match:/@examples/,starts:{end:ed.lookahead(ed.either(/\n^#'\s*(?=@[a-zA-Z]+)/,/\n^(?!#')/)),endsParent:!0}},{scope:"doctag",begin:"@param",end:/$/,contains:[{scope:"variable",variants:[{match:td},{match:/`(?:\\.|[^`\\])+`/}],endsParent:!0}]},{scope:"doctag",match:/@[a-zA-Z]+/},{scope:"keyword",match:/\\[a-zA-Z]+/}]}),qa.HASH_COMMENT_MODE,{scope:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[qa.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\(/,end:/\)(-*)"/}),qa.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\{/,end:/\}(-*)"/}),qa.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\[/,end:/\](-*)"/}),qa.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\(/,end:/\)(-*)'/}),qa.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\{/,end:/\}(-*)'/}),qa.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\[/,end:/\](-*)'/}),{begin:'"',end:'"',relevance:0},{begin:"'",end:"'",relevance:0}]},{relevance:0,variants:[{scope:{1:"operator",2:"number"},match:[sd,rd]},{scope:{1:"operator",2:"number"},match:[/%[^%]*%/,rd]},{scope:{1:"punctuation",2:"number"},match:[od,rd]},{scope:{2:"number"},match:[/[^a-zA-Z0-9._]|^/,rd]}]},{scope:{3:"operator"},match:[td,/\s+/,/<-/,/\s+/]},{scope:"operator",relevance:0,variants:[{match:sd},{match:/%[^%]*%/}]},{scope:"punctuation",relevance:0,match:od},{begin:"`",end:"`",contains:[{begin:/\\./}]}]}}return r_1=Ra,r_1}var reasonml_1,hasRequiredReasonml;function requireReasonml(){if(hasRequiredReasonml)return reasonml_1;hasRequiredReasonml=1;function Ra(qa){return{name:"ReasonML",aliases:["re"],keywords:{$pattern:/[a-z_]\w*!?/,keyword:["and","as","asr","assert","begin","class","constraint","do","done","downto","else","end","esfun","exception","external","for","fun","function","functor","if","in","include","inherit","initializer","land","lazy","let","lor","lsl","lsr","lxor","mod","module","mutable","new","nonrec","object","of","open","or","pri","pub","rec","sig","struct","switch","then","to","try","type","val","virtual","when","while","with"],built_in:["array","bool","bytes","char","exn|5","float","int","int32","int64","list","lazy_t|5","nativeint|5","ref","string","unit"],literal:["true","false"]},illegal:/(:-|:=|\$\{|\+=)/,contains:[{scope:"literal",match:/\[(\|\|)?\]|\(\)/,relevance:0},qa.C_LINE_COMMENT_MODE,qa.COMMENT(/\/\*/,/\*\//,{illegal:/^(#,\/\/)/}),{scope:"symbol",match:/\'[A-Za-z_](?!\')[\w\']*/},{scope:"type",match:/`[A-Z][\w\']*/},{scope:"type",match:/\b[A-Z][\w\']*/,relevance:0},{match:/[a-z_]\w*\'[\w\']*/,relevance:0},{scope:"operator",match:/\s+(\|\||\+[\+\.]?|\*[\*\/\.]?|\/[\.]?|\.\.\.|\|>|&&|===?)\s+/,relevance:0},qa.inherit(qa.APOS_STRING_MODE,{scope:"string",relevance:0}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{scope:"number",variants:[{match:/\b0[xX][a-fA-F0-9_]+[Lln]?/},{match:/\b0[oO][0-7_]+[Lln]?/},{match:/\b0[bB][01_]+[Lln]?/},{match:/\b[0-9][0-9_]*([Lln]|(\.[0-9_]*)?([eE][-+]?[0-9_]+)?)/}],relevance:0}]}}return reasonml_1=Ra,reasonml_1}var rib_1,hasRequiredRib;function requireRib(){if(hasRequiredRib)return rib_1;hasRequiredRib=1;function Ra(qa){return{name:"RenderMan RIB",keywords:"ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry Hider Hyperboloid Identity Illuminate Imager Interior LightSource MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd TransformPoints Translate TrimCurve WorldBegin WorldEnd",illegal:"/}],illegal:/./},qa.COMMENT("^#","$"),cd,ud,ld,{begin:/[\w-]+=([^\s{}[\]()>]+)/,relevance:0,returnBegin:!0,contains:[{className:"attribute",begin:/[^=]+/},{begin:/=/,endsWithParent:!0,relevance:0,contains:[cd,ud,ld,{className:"literal",begin:"\\b("+sd.split(" ").join("|")+")\\b"},{begin:/("[^"]*"|[^\s{}[\]]+)/}]}]},{className:"number",begin:/\*[0-9a-fA-F]+/},{begin:"\\b("+rd.split(" ").join("|")+")([\\s[(\\]|])",returnBegin:!0,contains:[{className:"built_in",begin:/\w+/}]},{className:"built_in",variants:[{begin:"(\\.\\./|/|\\s)(("+od.split(" ").join("|")+");?\\s)+"},{begin:/\.\./,relevance:0}]}]}}return routeros_1=Ra,routeros_1}var rsl_1,hasRequiredRsl;function requireRsl(){if(hasRequiredRsl)return rsl_1;hasRequiredRsl=1;function Ra(qa){const ed=["abs","acos","ambient","area","asin","atan","atmosphere","attribute","calculatenormal","ceil","cellnoise","clamp","comp","concat","cos","degrees","depth","Deriv","diffuse","distance","Du","Dv","environment","exp","faceforward","filterstep","floor","format","fresnel","incident","length","lightsource","log","match","max","min","mod","noise","normalize","ntransform","opposite","option","phong","pnoise","pow","printf","ptlined","radians","random","reflect","refract","renderinfo","round","setcomp","setxcomp","setycomp","setzcomp","shadow","sign","sin","smoothstep","specular","specularbrdf","spline","sqrt","step","tan","texture","textureinfo","trace","transform","vtransform","xcomp","ycomp","zcomp"],td=["matrix","float","color","point","normal","vector"],rd=["while","for","if","do","return","else","break","extern","continue"],sd={match:[/(surface|displacement|light|volume|imager)/,/\s+/,qa.IDENT_RE],scope:{1:"keyword",3:"title.class"}};return{name:"RenderMan RSL",keywords:{keyword:rd,built_in:ed,type:td},illegal:""},od]}}return rust_1=Ra,rust_1}var sas_1,hasRequiredSas;function requireSas(){if(hasRequiredSas)return sas_1;hasRequiredSas=1;function Ra(qa){const ed=qa.regex,td=["do","if","then","else","end","until","while","abort","array","attrib","by","call","cards","cards4","catname","continue","datalines","datalines4","delete","delim","delimiter","display","dm","drop","endsas","error","file","filename","footnote","format","goto","in","infile","informat","input","keep","label","leave","length","libname","link","list","lostcard","merge","missing","modify","options","output","out","page","put","redirect","remove","rename","replace","retain","return","select","set","skip","startsas","stop","title","update","waitsas","where","window","x|0","systask","add","and","alter","as","cascade","check","create","delete","describe","distinct","drop","foreign","from","group","having","index","insert","into","in","key","like","message","modify","msgtype","not","null","on","or","order","primary","references","reset","restrict","select","set","table","unique","update","validate","view","where"],rd=["abs","addr","airy","arcos","arsin","atan","attrc","attrn","band","betainv","blshift","bnot","bor","brshift","bxor","byte","cdf","ceil","cexist","cinv","close","cnonct","collate","compbl","compound","compress","cos","cosh","css","curobs","cv","daccdb","daccdbsl","daccsl","daccsyd","dacctab","dairy","date","datejul","datepart","datetime","day","dclose","depdb","depdbsl","depdbsl","depsl","depsl","depsyd","depsyd","deptab","deptab","dequote","dhms","dif","digamma","dim","dinfo","dnum","dopen","doptname","doptnum","dread","dropnote","dsname","erf","erfc","exist","exp","fappend","fclose","fcol","fdelete","fetch","fetchobs","fexist","fget","fileexist","filename","fileref","finfo","finv","fipname","fipnamel","fipstate","floor","fnonct","fnote","fopen","foptname","foptnum","fpoint","fpos","fput","fread","frewind","frlen","fsep","fuzz","fwrite","gaminv","gamma","getoption","getvarc","getvarn","hbound","hms","hosthelp","hour","ibessel","index","indexc","indexw","input","inputc","inputn","int","intck","intnx","intrr","irr","jbessel","juldate","kurtosis","lag","lbound","left","length","lgamma","libname","libref","log","log10","log2","logpdf","logpmf","logsdf","lowcase","max","mdy","mean","min","minute","mod","month","mopen","mort","n","netpv","nmiss","normal","note","npv","open","ordinal","pathname","pdf","peek","peekc","pmf","point","poisson","poke","probbeta","probbnml","probchi","probf","probgam","probhypr","probit","probnegb","probnorm","probt","put","putc","putn","qtr","quote","ranbin","rancau","ranexp","rangam","range","rank","rannor","ranpoi","rantbl","rantri","ranuni","repeat","resolve","reverse","rewind","right","round","saving","scan","sdf","second","sign","sin","sinh","skewness","soundex","spedis","sqrt","std","stderr","stfips","stname","stnamel","substr","sum","symget","sysget","sysmsg","sysprod","sysrc","system","tan","tanh","time","timepart","tinv","tnonct","today","translate","tranwrd","trigamma","trim","trimn","trunc","uniform","upcase","uss","var","varfmt","varinfmt","varlabel","varlen","varname","varnum","varray","varrayx","vartype","verify","vformat","vformatd","vformatdx","vformatn","vformatnx","vformatw","vformatwx","vformatx","vinarray","vinarrayx","vinformat","vinformatd","vinformatdx","vinformatn","vinformatnx","vinformatw","vinformatwx","vinformatx","vlabel","vlabelx","vlength","vlengthx","vname","vnamex","vtype","vtypex","weekday","year","yyq","zipfips","zipname","zipnamel","zipstate"],sd=["bquote","nrbquote","cmpres","qcmpres","compstor","datatyp","display","do","else","end","eval","global","goto","if","index","input","keydef","label","left","length","let","local","lowcase","macro","mend","nrbquote","nrquote","nrstr","put","qcmpres","qleft","qlowcase","qscan","qsubstr","qsysfunc","qtrim","quote","qupcase","scan","str","substr","superq","syscall","sysevalf","sysexec","sysfunc","sysget","syslput","sysprod","sysrc","sysrput","then","to","trim","unquote","until","upcase","verify","while","window"];return{name:"SAS",case_insensitive:!0,keywords:{literal:["null","missing","_all_","_automatic_","_character_","_infile_","_n_","_name_","_null_","_numeric_","_user_","_webout_"],keyword:td},contains:[{className:"keyword",begin:/^\s*(proc [\w\d_]+|data|run|quit)[\s;]/},{className:"variable",begin:/&[a-zA-Z_&][a-zA-Z0-9_]*\.?/},{begin:[/^\s*/,/datalines;|cards;/,/(?:.*\n)+/,/^\s*;\s*$/],className:{2:"keyword",3:"string"}},{begin:[/%mend|%macro/,/\s+/,/[a-zA-Z_&][a-zA-Z0-9_]*/],className:{1:"built_in",3:"title.function"}},{className:"built_in",begin:"%"+ed.either(...sd)},{className:"title.function",begin:/%[a-zA-Z_][a-zA-Z_0-9]*/},{className:"meta",begin:ed.either(...rd)+"(?=\\()"},{className:"string",variants:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]},qa.COMMENT("\\*",";"),qa.C_BLOCK_COMMENT_MODE]}}return sas_1=Ra,sas_1}var scala_1,hasRequiredScala;function requireScala(){if(hasRequiredScala)return scala_1;hasRequiredScala=1;function Ra(qa){const ed=qa.regex,td={className:"meta",begin:"@[A-Za-z]+"},rd={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"},{begin:/\$\{/,end:/\}/}]},sd={className:"string",variants:[{begin:'"""',end:'"""'},{begin:'"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE]},{begin:'[a-z]+"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE,rd]},{className:"string",begin:'[a-z]+"""',end:'"""',contains:[rd],relevance:10}]},od={className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},ld={className:"title",begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/,relevance:0},cd={className:"class",beginKeywords:"class object trait type",end:/[:={\[\n;]/,excludeEnd:!0,contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{beginKeywords:"extends with",relevance:10},{begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[od,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[od,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},ld]},ud={className:"function",beginKeywords:"def",end:ed.lookahead(/[:={\[(\n;]/),contains:[ld]},_d={begin:[/^\s*/,"extension",/\s+(?=[[(])/],beginScope:{2:"keyword"}},Ed={begin:[/^\s*/,/end/,/\s+/,/(extension\b)?/],beginScope:{2:"keyword",4:"keyword"}},Ad=[{match:/\.inline\b/},{begin:/\binline(?=\s)/,keywords:"inline"}],Td={begin:[/\(\s*/,/using/,/\s+(?!\))/],beginScope:{2:"keyword"}};return{name:"Scala",keywords:{literal:"true false null",keyword:"type yield lazy override def with val var sealed abstract private trait object if then forSome for while do throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit export enum given transparent"},contains:[{begin:["//>",/\s+/,/using/,/\s+/,/\S+/],beginScope:{1:"comment",3:"keyword",5:"type"},end:/$/,contains:[{className:"string",begin:/\S+/}]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,sd,od,ud,cd,qa.C_NUMBER_MODE,_d,Ed,...Ad,Td,td]}}return scala_1=Ra,scala_1}var scheme_1,hasRequiredScheme;function requireScheme(){if(hasRequiredScheme)return scheme_1;hasRequiredScheme=1;function Ra(qa){const ed="[^\\(\\)\\[\\]\\{\\}\",'`;#|\\\\\\s]+",td="(-|\\+)?\\d+([./]\\d+)?",rd=td+"[+\\-]"+td+"i",sd={$pattern:ed,built_in:"case-lambda call/cc class define-class exit-handler field import inherit init-field interface let*-values let-values let/ec mixin opt-lambda override protect provide public rename require require-for-syntax syntax syntax-case syntax-error unit/sig unless when with-syntax and begin call-with-current-continuation call-with-input-file call-with-output-file case cond define define-syntax delay do dynamic-wind else for-each if lambda let let* let-syntax letrec letrec-syntax map or syntax-rules ' * + , ,@ - ... / ; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan boolean? caar cadr call-with-input-file call-with-output-file call-with-values car cdddar cddddr cdr ceiling char->integer char-alphabetic? char-ci<=? char-ci=? char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase char-upper-case? char-whitespace? char<=? char=? char>? char? close-input-port close-output-port complex? cons cos current-input-port current-output-port denominator display eof-object? eq? equal? eqv? eval even? exact->inexact exact? exp expt floor force gcd imag-part inexact->exact inexact? input-port? integer->char integer? interaction-environment lcm length list list->string list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular make-string make-vector max member memq memv min modulo negative? newline not null-environment null? number->string number? numerator odd? open-input-file open-output-file output-port? pair? peek-char port? positive? procedure? quasiquote quote quotient rational? rationalize read read-char real-part real? remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt string string->list string->number string->symbol string-append string-ci<=? string-ci=? string-ci>? string-copy string-fill! string-length string-ref string-set! string<=? string=? string>? string? substring symbol->string symbol? tan transcript-off transcript-on truncate values vector vector->list vector-fill! vector-length vector-ref vector-set! with-input-from-file with-output-to-file write write-char zero?"},od={className:"literal",begin:"(#t|#f|#\\\\"+ed+"|#\\\\.)"},ld={className:"number",variants:[{begin:td,relevance:0},{begin:rd,relevance:0},{begin:"#b[0-1]+(/[0-1]+)?"},{begin:"#o[0-7]+(/[0-7]+)?"},{begin:"#x[0-9a-f]+(/[0-9a-f]+)?"}]},cd=qa.QUOTE_STRING_MODE,ud=[qa.COMMENT(";","$",{relevance:0}),qa.COMMENT("#\\|","\\|#")],_d={begin:ed,relevance:0},Ed={className:"symbol",begin:"'"+ed},Ad={endsWithParent:!0,relevance:0},Td={variants:[{begin:/'/},{begin:"`"}],contains:[{begin:"\\(",end:"\\)",contains:["self",od,cd,ld,_d,Ed]}]},Nd={className:"name",relevance:0,begin:ed,keywords:sd},Bd={variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}],contains:[{begin:/lambda/,endsWithParent:!0,returnBegin:!0,contains:[Nd,{endsParent:!0,variants:[{begin:/\(/,end:/\)/},{begin:/\[/,end:/\]/}],contains:[_d]}]},Nd,Ad]};return Ad.contains=[od,ld,cd,_d,Ed,Td,Bd].concat(ud),{name:"Scheme",aliases:["scm"],illegal:/\S/,contains:[qa.SHEBANG(),ld,cd,Ed,Td,Bd].concat(ud)}}return scheme_1=Ra,scheme_1}var scilab_1,hasRequiredScilab;function requireScilab(){if(hasRequiredScilab)return scilab_1;hasRequiredScilab=1;function Ra(qa){const ed=[qa.C_NUMBER_MODE,{className:"string",begin:`'|"`,end:`'|"`,contains:[qa.BACKSLASH_ESCAPE,{begin:"''"}]}];return{name:"Scilab",aliases:["sci"],keywords:{$pattern:/%?\w+/,keyword:"abort break case clear catch continue do elseif else endfunction end for function global if pause return resume select try then while",literal:"%f %F %t %T %pi %eps %inf %nan %e %i %z %s",built_in:"abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan type typename warning zeros matrix"},illegal:'("|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[qa.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},{begin:"[a-zA-Z_][a-zA-Z_0-9]*[\\.']+",relevance:0},{begin:"\\[",end:"\\][\\.']*",relevance:0,contains:ed},qa.COMMENT("//","$")].concat(ed)}}return scilab_1=Ra,scilab_1}var scss_1,hasRequiredScss;function requireScss(){if(hasRequiredScss)return scss_1;hasRequiredScss=1;const Ra=ud=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:ud.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[ud.APOS_STRING_MODE,ud.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:ud.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),qa=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","optgroup","option","p","picture","q","quote","samp","section","select","source","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],ed=["defs","g","marker","mask","pattern","svg","switch","symbol","feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feFlood","feGaussianBlur","feImage","feMerge","feMorphology","feOffset","feSpecularLighting","feTile","feTurbulence","linearGradient","radialGradient","stop","circle","ellipse","image","line","path","polygon","polyline","rect","text","use","textPath","tspan","foreignObject","clipPath"],td=[...qa,...ed],rd=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"].sort().reverse(),sd=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"].sort().reverse(),od=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"].sort().reverse(),ld=["accent-color","align-content","align-items","align-self","alignment-baseline","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","baseline-shift","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-end-end-radius","border-end-start-radius","border-right-color","border-right-style","border-right-width","border-spacing","border-start-end-radius","border-start-start-radius","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","cx","cy","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","color-scheme","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","empty-cells","enable-background","fill","fill-opacity","fill-rule","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","flood-color","flood-opacity","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-horizontal","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","inset","inset-block","inset-block-end","inset-block-start","inset-inline","inset-inline-end","inset-inline-start","isolation","kerning","justify-content","justify-items","justify-self","left","letter-spacing","lighting-color","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","marker","marker-end","marker-mid","marker-start","mask","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","r","resize","rest","rest-after","rest-before","right","rotate","row-gap","scale","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","shape-rendering","stop-color","stop-opacity","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","speak","speak-as","src","tab-size","table-layout","text-anchor","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip-ink","text-decoration-style","text-decoration-thickness","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-offset","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","translate","unicode-bidi","vector-effect","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","x","y","z-index"].sort().reverse();function cd(ud){const _d=Ra(ud),Ed=od,Ad=sd,Td="@[a-z-]+",Nd="and or not only",Bd={className:"variable",begin:"(\\$"+"[a-zA-Z-][a-zA-Z0-9_-]*"+")\\b",relevance:0};return{name:"SCSS",case_insensitive:!0,illegal:"[=/|']",contains:[ud.C_LINE_COMMENT_MODE,ud.C_BLOCK_COMMENT_MODE,_d.CSS_NUMBER_MODE,{className:"selector-id",begin:"#[A-Za-z0-9_-]+",relevance:0},{className:"selector-class",begin:"\\.[A-Za-z0-9_-]+",relevance:0},_d.ATTRIBUTE_SELECTOR_MODE,{className:"selector-tag",begin:"\\b("+td.join("|")+")\\b",relevance:0},{className:"selector-pseudo",begin:":("+Ad.join("|")+")"},{className:"selector-pseudo",begin:":(:)?("+Ed.join("|")+")"},Bd,{begin:/\(/,end:/\)/,contains:[_d.CSS_NUMBER_MODE]},_d.CSS_VARIABLE,{className:"attribute",begin:"\\b("+ld.join("|")+")\\b"},{begin:"\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\b"},{begin:/:/,end:/[;}{]/,relevance:0,contains:[_d.BLOCK_COMMENT,Bd,_d.HEXCOLOR,_d.CSS_NUMBER_MODE,ud.QUOTE_STRING_MODE,ud.APOS_STRING_MODE,_d.IMPORTANT,_d.FUNCTION_DISPATCH]},{begin:"@(page|font-face)",keywords:{$pattern:Td,keyword:"@page @font-face"}},{begin:"@",end:"[{;]",returnBegin:!0,keywords:{$pattern:/[a-z-]+/,keyword:Nd,attribute:rd.join(" ")},contains:[{begin:Td,className:"keyword"},{begin:/[a-z-]+(?=:)/,className:"attribute"},Bd,ud.QUOTE_STRING_MODE,ud.APOS_STRING_MODE,_d.HEXCOLOR,_d.CSS_NUMBER_MODE]},_d.FUNCTION_DISPATCH]}}return scss_1=cd,scss_1}var shell_1,hasRequiredShell;function requireShell(){if(hasRequiredShell)return shell_1;hasRequiredShell=1;function Ra(qa){return{name:"Shell Session",aliases:["console","shellsession"],contains:[{className:"meta.prompt",begin:/^\s{0,3}[/~\w\d[\]()@-]*[>%$#][ ]?/,starts:{end:/[^\\](?=\s*$)/,subLanguage:"bash"}}]}}return shell_1=Ra,shell_1}var smali_1,hasRequiredSmali;function requireSmali(){if(hasRequiredSmali)return smali_1;hasRequiredSmali=1;function Ra(qa){const ed=["add","and","cmp","cmpg","cmpl","const","div","double","float","goto","if","int","long","move","mul","neg","new","nop","not","or","rem","return","shl","shr","sput","sub","throw","ushr","xor"],td=["aget","aput","array","check","execute","fill","filled","goto/16","goto/32","iget","instance","invoke","iput","monitor","packed","sget","sparse"],rd=["transient","constructor","abstract","final","synthetic","public","private","protected","static","bridge","system"];return{name:"Smali",contains:[{className:"string",begin:'"',end:'"',relevance:0},qa.COMMENT("#","$",{relevance:0}),{className:"keyword",variants:[{begin:"\\s*\\.end\\s[a-zA-Z0-9]*"},{begin:"^[ ]*\\.[a-zA-Z]*",relevance:0},{begin:"\\s:[a-zA-Z_0-9]*",relevance:0},{begin:"\\s("+rd.join("|")+")"}]},{className:"built_in",variants:[{begin:"\\s("+ed.join("|")+")\\s"},{begin:"\\s("+ed.join("|")+")((-|/)[a-zA-Z0-9]+)+\\s",relevance:10},{begin:"\\s("+td.join("|")+")((-|/)[a-zA-Z0-9]+)*\\s",relevance:10}]},{className:"class",begin:`L[^(;: ]*;`,relevance:0},{begin:"[vp][0-9]+"}]}}return smali_1=Ra,smali_1}var smalltalk_1,hasRequiredSmalltalk;function requireSmalltalk(){if(hasRequiredSmalltalk)return smalltalk_1;hasRequiredSmalltalk=1;function Ra(qa){const ed="[a-z][a-zA-Z0-9_]*",td={className:"string",begin:"\\$.{1}"},rd={className:"symbol",begin:"#"+qa.UNDERSCORE_IDENT_RE};return{name:"Smalltalk",aliases:["st"],keywords:["self","super","nil","true","false","thisContext"],contains:[qa.COMMENT('"','"'),qa.APOS_STRING_MODE,{className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},{begin:ed+":",relevance:0},qa.C_NUMBER_MODE,rd,td,{begin:"\\|[ ]*"+ed+"([ ]+"+ed+")*[ ]*\\|",returnBegin:!0,end:/\|/,illegal:/\S/,contains:[{begin:"(\\|[ ]*)?"+ed}]},{begin:"#\\(",end:"\\)",contains:[qa.APOS_STRING_MODE,td,qa.C_NUMBER_MODE,rd]}]}}return smalltalk_1=Ra,smalltalk_1}var sml_1,hasRequiredSml;function requireSml(){if(hasRequiredSml)return sml_1;hasRequiredSml=1;function Ra(qa){return{name:"SML (Standard ML)",aliases:["ml"],keywords:{$pattern:"[a-z_]\\w*!?",keyword:"abstype and andalso as case datatype do else end eqtype exception fn fun functor handle if in include infix infixr let local nonfix of op open orelse raise rec sharing sig signature struct structure then type val with withtype where while",built_in:"array bool char exn int list option order real ref string substring vector unit word",literal:"true false NONE SOME LESS EQUAL GREATER nil"},illegal:/\/\/|>>/,contains:[{className:"literal",begin:/\[(\|\|)?\]|\(\)/,relevance:0},qa.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*"},qa.inherit(qa.APOS_STRING_MODE,{className:"string",relevance:0}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/[-=]>/}]}}return sml_1=Ra,sml_1}var sqf_1,hasRequiredSqf;function requireSqf(){if(hasRequiredSqf)return sqf_1;hasRequiredSqf=1;function Ra(qa){const ed={className:"variable",begin:/\b_+[a-zA-Z]\w*/},td={className:"title",begin:/[a-zA-Z][a-zA-Z_0-9]*_fnc_[a-zA-Z_0-9]+/},rd={className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]},{begin:"'",end:"'",contains:[{begin:"''",relevance:0}]}]},sd=["break","breakWith","breakOut","breakTo","case","catch","continue","continueWith","default","do","else","exit","exitWith","for","forEach","from","if","local","private","switch","step","then","throw","to","try","waitUntil","while","with"],od=["blufor","civilian","configNull","controlNull","displayNull","diaryRecordNull","east","endl","false","grpNull","independent","lineBreak","locationNull","nil","objNull","opfor","pi","resistance","scriptNull","sideAmbientLife","sideEmpty","sideEnemy","sideFriendly","sideLogic","sideUnknown","taskNull","teamMemberNull","true","west"],ld=["abs","accTime","acos","action","actionIDs","actionKeys","actionKeysEx","actionKeysImages","actionKeysNames","actionKeysNamesArray","actionName","actionParams","activateAddons","activatedAddons","activateKey","activeTitleEffectParams","add3DENConnection","add3DENEventHandler","add3DENLayer","addAction","addBackpack","addBackpackCargo","addBackpackCargoGlobal","addBackpackGlobal","addBinocularItem","addCamShake","addCuratorAddons","addCuratorCameraArea","addCuratorEditableObjects","addCuratorEditingArea","addCuratorPoints","addEditorObject","addEventHandler","addForce","addForceGeneratorRTD","addGoggles","addGroupIcon","addHandgunItem","addHeadgear","addItem","addItemCargo","addItemCargoGlobal","addItemPool","addItemToBackpack","addItemToUniform","addItemToVest","addLiveStats","addMagazine","addMagazineAmmoCargo","addMagazineCargo","addMagazineCargoGlobal","addMagazineGlobal","addMagazinePool","addMagazines","addMagazineTurret","addMenu","addMenuItem","addMissionEventHandler","addMPEventHandler","addMusicEventHandler","addonFiles","addOwnedMine","addPlayerScores","addPrimaryWeaponItem","addPublicVariableEventHandler","addRating","addResources","addScore","addScoreSide","addSecondaryWeaponItem","addSwitchableUnit","addTeamMember","addToRemainsCollector","addTorque","addUniform","addUserActionEventHandler","addVehicle","addVest","addWaypoint","addWeapon","addWeaponCargo","addWeaponCargoGlobal","addWeaponGlobal","addWeaponItem","addWeaponPool","addWeaponTurret","addWeaponWithAttachmentsCargo","addWeaponWithAttachmentsCargoGlobal","admin","agent","agents","AGLToASL","aimedAtTarget","aimPos","airDensityCurveRTD","airDensityRTD","airplaneThrottle","airportSide","AISFinishHeal","alive","all3DENEntities","allActiveTitleEffects","allAddonsInfo","allAirports","allControls","allCurators","allCutLayers","allDead","allDeadMen","allDiaryRecords","allDiarySubjects","allDisplays","allEnv3DSoundSources","allGroups","allLODs","allMapMarkers","allMines","allMissionObjects","allObjects","allow3DMode","allowCrewInImmobile","allowCuratorLogicIgnoreAreas","allowDamage","allowDammage","allowedService","allowFileOperations","allowFleeing","allowGetIn","allowService","allowSprint","allPlayers","allSimpleObjects","allSites","allTurrets","allUnits","allUnitsUAV","allUsers","allVariables","ambientTemperature","ammo","ammoOnPylon","and","animate","animateBay","animateDoor","animatePylon","animateSource","animationNames","animationPhase","animationSourcePhase","animationState","apertureParams","append","apply","armoryPoints","arrayIntersect","asin","ASLToAGL","ASLToATL","assert","assignAsCargo","assignAsCargoIndex","assignAsCommander","assignAsDriver","assignAsGunner","assignAsTurret","assignCurator","assignedCargo","assignedCommander","assignedDriver","assignedGroup","assignedGunner","assignedItems","assignedTarget","assignedTeam","assignedVehicle","assignedVehicleRole","assignedVehicles","assignItem","assignTeam","assignToAirport","atan","atan2","atg","ATLToASL","attachedObject","attachedObjects","attachedTo","attachObject","attachTo","attackEnabled","awake","backpack","backpackCargo","backpackContainer","backpackItems","backpackMagazines","backpackSpaceFor","behaviour","benchmark","bezierInterpolation","binocular","binocularItems","binocularMagazine","boundingBox","boundingBoxReal","boundingCenter","brakesDisabled","briefingName","buildingExit","buildingPos","buldozer_EnableRoadDiag","buldozer_IsEnabledRoadDiag","buldozer_LoadNewRoads","buldozer_reloadOperMap","buttonAction","buttonSetAction","cadetMode","calculatePath","calculatePlayerVisibilityByFriendly","call","callExtension","camCommand","camCommit","camCommitPrepared","camCommitted","camConstuctionSetParams","camCreate","camDestroy","cameraEffect","cameraEffectEnableHUD","cameraInterest","cameraOn","cameraView","campaignConfigFile","camPreload","camPreloaded","camPrepareBank","camPrepareDir","camPrepareDive","camPrepareFocus","camPrepareFov","camPrepareFovRange","camPreparePos","camPrepareRelPos","camPrepareTarget","camSetBank","camSetDir","camSetDive","camSetFocus","camSetFov","camSetFovRange","camSetPos","camSetRelPos","camSetTarget","camTarget","camUseNVG","canAdd","canAddItemToBackpack","canAddItemToUniform","canAddItemToVest","cancelSimpleTaskDestination","canDeployWeapon","canFire","canMove","canSlingLoad","canStand","canSuspend","canTriggerDynamicSimulation","canUnloadInCombat","canVehicleCargo","captive","captiveNum","cbChecked","cbSetChecked","ceil","channelEnabled","cheatsEnabled","checkAIFeature","checkVisibility","className","clear3DENAttribute","clear3DENInventory","clearAllItemsFromBackpack","clearBackpackCargo","clearBackpackCargoGlobal","clearForcesRTD","clearGroupIcons","clearItemCargo","clearItemCargoGlobal","clearItemPool","clearMagazineCargo","clearMagazineCargoGlobal","clearMagazinePool","clearOverlay","clearRadio","clearWeaponCargo","clearWeaponCargoGlobal","clearWeaponPool","clientOwner","closeDialog","closeDisplay","closeOverlay","collapseObjectTree","collect3DENHistory","collectiveRTD","collisionDisabledWith","combatBehaviour","combatMode","commandArtilleryFire","commandChat","commander","commandFire","commandFollow","commandFSM","commandGetOut","commandingMenu","commandMove","commandRadio","commandStop","commandSuppressiveFire","commandTarget","commandWatch","comment","commitOverlay","compatibleItems","compatibleMagazines","compile","compileFinal","compileScript","completedFSM","composeText","configClasses","configFile","configHierarchy","configName","configOf","configProperties","configSourceAddonList","configSourceMod","configSourceModList","confirmSensorTarget","connectTerminalToUAV","connectToServer","controlsGroupCtrl","conversationDisabled","copyFromClipboard","copyToClipboard","copyWaypoints","cos","count","countEnemy","countFriendly","countSide","countType","countUnknown","create3DENComposition","create3DENEntity","createAgent","createCenter","createDialog","createDiaryLink","createDiaryRecord","createDiarySubject","createDisplay","createGearDialog","createGroup","createGuardedPoint","createHashMap","createHashMapFromArray","createLocation","createMarker","createMarkerLocal","createMenu","createMine","createMissionDisplay","createMPCampaignDisplay","createSimpleObject","createSimpleTask","createSite","createSoundSource","createTask","createTeam","createTrigger","createUnit","createVehicle","createVehicleCrew","createVehicleLocal","crew","ctAddHeader","ctAddRow","ctClear","ctCurSel","ctData","ctFindHeaderRows","ctFindRowHeader","ctHeaderControls","ctHeaderCount","ctRemoveHeaders","ctRemoveRows","ctrlActivate","ctrlAddEventHandler","ctrlAngle","ctrlAnimateModel","ctrlAnimationPhaseModel","ctrlAt","ctrlAutoScrollDelay","ctrlAutoScrollRewind","ctrlAutoScrollSpeed","ctrlBackgroundColor","ctrlChecked","ctrlClassName","ctrlCommit","ctrlCommitted","ctrlCreate","ctrlDelete","ctrlEnable","ctrlEnabled","ctrlFade","ctrlFontHeight","ctrlForegroundColor","ctrlHTMLLoaded","ctrlIDC","ctrlIDD","ctrlMapAnimAdd","ctrlMapAnimClear","ctrlMapAnimCommit","ctrlMapAnimDone","ctrlMapCursor","ctrlMapMouseOver","ctrlMapPosition","ctrlMapScale","ctrlMapScreenToWorld","ctrlMapSetPosition","ctrlMapWorldToScreen","ctrlModel","ctrlModelDirAndUp","ctrlModelScale","ctrlMousePosition","ctrlParent","ctrlParentControlsGroup","ctrlPosition","ctrlRemoveAllEventHandlers","ctrlRemoveEventHandler","ctrlScale","ctrlScrollValues","ctrlSetActiveColor","ctrlSetAngle","ctrlSetAutoScrollDelay","ctrlSetAutoScrollRewind","ctrlSetAutoScrollSpeed","ctrlSetBackgroundColor","ctrlSetChecked","ctrlSetDisabledColor","ctrlSetEventHandler","ctrlSetFade","ctrlSetFocus","ctrlSetFont","ctrlSetFontH1","ctrlSetFontH1B","ctrlSetFontH2","ctrlSetFontH2B","ctrlSetFontH3","ctrlSetFontH3B","ctrlSetFontH4","ctrlSetFontH4B","ctrlSetFontH5","ctrlSetFontH5B","ctrlSetFontH6","ctrlSetFontH6B","ctrlSetFontHeight","ctrlSetFontHeightH1","ctrlSetFontHeightH2","ctrlSetFontHeightH3","ctrlSetFontHeightH4","ctrlSetFontHeightH5","ctrlSetFontHeightH6","ctrlSetFontHeightSecondary","ctrlSetFontP","ctrlSetFontPB","ctrlSetFontSecondary","ctrlSetForegroundColor","ctrlSetModel","ctrlSetModelDirAndUp","ctrlSetModelScale","ctrlSetMousePosition","ctrlSetPixelPrecision","ctrlSetPosition","ctrlSetPositionH","ctrlSetPositionW","ctrlSetPositionX","ctrlSetPositionY","ctrlSetScale","ctrlSetScrollValues","ctrlSetShadow","ctrlSetStructuredText","ctrlSetText","ctrlSetTextColor","ctrlSetTextColorSecondary","ctrlSetTextSecondary","ctrlSetTextSelection","ctrlSetTooltip","ctrlSetTooltipColorBox","ctrlSetTooltipColorShade","ctrlSetTooltipColorText","ctrlSetTooltipMaxWidth","ctrlSetURL","ctrlSetURLOverlayMode","ctrlShadow","ctrlShow","ctrlShown","ctrlStyle","ctrlText","ctrlTextColor","ctrlTextHeight","ctrlTextSecondary","ctrlTextSelection","ctrlTextWidth","ctrlTooltip","ctrlType","ctrlURL","ctrlURLOverlayMode","ctrlVisible","ctRowControls","ctRowCount","ctSetCurSel","ctSetData","ctSetHeaderTemplate","ctSetRowTemplate","ctSetValue","ctValue","curatorAddons","curatorCamera","curatorCameraArea","curatorCameraAreaCeiling","curatorCoef","curatorEditableObjects","curatorEditingArea","curatorEditingAreaType","curatorMouseOver","curatorPoints","curatorRegisteredObjects","curatorSelected","curatorWaypointCost","current3DENOperation","currentChannel","currentCommand","currentMagazine","currentMagazineDetail","currentMagazineDetailTurret","currentMagazineTurret","currentMuzzle","currentNamespace","currentPilot","currentTask","currentTasks","currentThrowable","currentVisionMode","currentWaypoint","currentWeapon","currentWeaponMode","currentWeaponTurret","currentZeroing","cursorObject","cursorTarget","customChat","customRadio","customWaypointPosition","cutFadeOut","cutObj","cutRsc","cutText","damage","date","dateToNumber","dayTime","deActivateKey","debriefingText","debugFSM","debugLog","decayGraphValues","deg","delete3DENEntities","deleteAt","deleteCenter","deleteCollection","deleteEditorObject","deleteGroup","deleteGroupWhenEmpty","deleteIdentity","deleteLocation","deleteMarker","deleteMarkerLocal","deleteRange","deleteResources","deleteSite","deleteStatus","deleteTeam","deleteVehicle","deleteVehicleCrew","deleteWaypoint","detach","detectedMines","diag_activeMissionFSMs","diag_activeScripts","diag_activeSQFScripts","diag_activeSQSScripts","diag_allMissionEventHandlers","diag_captureFrame","diag_captureFrameToFile","diag_captureSlowFrame","diag_codePerformance","diag_deltaTime","diag_drawmode","diag_dumpCalltraceToLog","diag_dumpScriptAssembly","diag_dumpTerrainSynth","diag_dynamicSimulationEnd","diag_enable","diag_enabled","diag_exportConfig","diag_exportTerrainSVG","diag_fps","diag_fpsmin","diag_frameno","diag_getTerrainSegmentOffset","diag_lightNewLoad","diag_list","diag_localized","diag_log","diag_logSlowFrame","diag_mergeConfigFile","diag_recordTurretLimits","diag_resetFSM","diag_resetshapes","diag_scope","diag_setLightNew","diag_stacktrace","diag_tickTime","diag_toggle","dialog","diarySubjectExists","didJIP","didJIPOwner","difficulty","difficultyEnabled","difficultyEnabledRTD","difficultyOption","direction","directionStabilizationEnabled","directSay","disableAI","disableBrakes","disableCollisionWith","disableConversation","disableDebriefingStats","disableMapIndicators","disableNVGEquipment","disableRemoteSensors","disableSerialization","disableTIEquipment","disableUAVConnectability","disableUserInput","displayAddEventHandler","displayChild","displayCtrl","displayParent","displayRemoveAllEventHandlers","displayRemoveEventHandler","displaySetEventHandler","displayUniqueName","displayUpdate","dissolveTeam","distance","distance2D","distanceSqr","distributionRegion","do3DENAction","doArtilleryFire","doFire","doFollow","doFSM","doGetOut","doMove","doorPhase","doStop","doSuppressiveFire","doTarget","doWatch","drawArrow","drawEllipse","drawIcon","drawIcon3D","drawLaser","drawLine","drawLine3D","drawLink","drawLocation","drawPolygon","drawRectangle","drawTriangle","driver","drop","dynamicSimulationDistance","dynamicSimulationDistanceCoef","dynamicSimulationEnabled","dynamicSimulationSystemEnabled","echo","edit3DENMissionAttributes","editObject","editorSetEventHandler","effectiveCommander","elevatePeriscope","emptyPositions","enableAI","enableAIFeature","enableAimPrecision","enableAttack","enableAudioFeature","enableAutoStartUpRTD","enableAutoTrimRTD","enableCamShake","enableCaustics","enableChannel","enableCollisionWith","enableCopilot","enableDebriefingStats","enableDiagLegend","enableDirectionStabilization","enableDynamicSimulation","enableDynamicSimulationSystem","enableEndDialog","enableEngineArtillery","enableEnvironment","enableFatigue","enableGunLights","enableInfoPanelComponent","enableIRLasers","enableMimics","enablePersonTurret","enableRadio","enableReload","enableRopeAttach","enableSatNormalOnDetail","enableSaving","enableSentences","enableSimulation","enableSimulationGlobal","enableStamina","enableStressDamage","enableTeamSwitch","enableTraffic","enableUAVConnectability","enableUAVWaypoints","enableVehicleCargo","enableVehicleSensor","enableWeaponDisassembly","endLoadingScreen","endMission","engineOn","enginesIsOnRTD","enginesPowerRTD","enginesRpmRTD","enginesTorqueRTD","entities","environmentEnabled","environmentVolume","equipmentDisabled","estimatedEndServerTime","estimatedTimeLeft","evalObjectArgument","everyBackpack","everyContainer","exec","execEditorScript","execFSM","execVM","exp","expectedDestination","exportJIPMessages","eyeDirection","eyePos","face","faction","fadeEnvironment","fadeMusic","fadeRadio","fadeSound","fadeSpeech","failMission","fileExists","fillWeaponsFromPool","find","findAny","findCover","findDisplay","findEditorObject","findEmptyPosition","findEmptyPositionReady","findIf","findNearestEnemy","finishMissionInit","finite","fire","fireAtTarget","firstBackpack","flag","flagAnimationPhase","flagOwner","flagSide","flagTexture","flatten","fleeing","floor","flyInHeight","flyInHeightASL","focusedCtrl","fog","fogForecast","fogParams","forceAddUniform","forceAtPositionRTD","forceCadetDifficulty","forcedMap","forceEnd","forceFlagTexture","forceFollowRoad","forceGeneratorRTD","forceMap","forceRespawn","forceSpeed","forceUnicode","forceWalk","forceWeaponFire","forceWeatherChange","forEachMember","forEachMemberAgent","forEachMemberTeam","forgetTarget","format","formation","formationDirection","formationLeader","formationMembers","formationPosition","formationTask","formatText","formLeader","freeExtension","freeLook","fromEditor","fuel","fullCrew","gearIDCAmmoCount","gearSlotAmmoCount","gearSlotData","gestureState","get","get3DENActionState","get3DENAttribute","get3DENCamera","get3DENConnections","get3DENEntity","get3DENEntityID","get3DENGrid","get3DENIconsVisible","get3DENLayerEntities","get3DENLinesVisible","get3DENMissionAttribute","get3DENMouseOver","get3DENSelected","getAimingCoef","getAllEnv3DSoundControllers","getAllEnvSoundControllers","getAllHitPointsDamage","getAllOwnedMines","getAllPylonsInfo","getAllSoundControllers","getAllUnitTraits","getAmmoCargo","getAnimAimPrecision","getAnimSpeedCoef","getArray","getArtilleryAmmo","getArtilleryComputerSettings","getArtilleryETA","getAssetDLCInfo","getAssignedCuratorLogic","getAssignedCuratorUnit","getAttackTarget","getAudioOptionVolumes","getBackpackCargo","getBleedingRemaining","getBurningValue","getCalculatePlayerVisibilityByFriendly","getCameraViewDirection","getCargoIndex","getCenterOfMass","getClientState","getClientStateNumber","getCompatiblePylonMagazines","getConnectedUAV","getConnectedUAVUnit","getContainerMaxLoad","getCorpse","getCruiseControl","getCursorObjectParams","getCustomAimCoef","getCustomSoundController","getCustomSoundControllerCount","getDammage","getDebriefingText","getDescription","getDir","getDirVisual","getDiverState","getDLCAssetsUsage","getDLCAssetsUsageByName","getDLCs","getDLCUsageTime","getEditorCamera","getEditorMode","getEditorObjectScope","getElevationOffset","getEngineTargetRPMRTD","getEnv3DSoundController","getEnvSoundController","getEventHandlerInfo","getFatigue","getFieldManualStartPage","getForcedFlagTexture","getForcedSpeed","getFriend","getFSMVariable","getFuelCargo","getGraphValues","getGroupIcon","getGroupIconParams","getGroupIcons","getHideFrom","getHit","getHitIndex","getHitPointDamage","getItemCargo","getLighting","getLightingAt","getLoadedModsInfo","getMagazineCargo","getMarkerColor","getMarkerPos","getMarkerSize","getMarkerType","getMass","getMissionConfig","getMissionConfigValue","getMissionDLCs","getMissionLayerEntities","getMissionLayers","getMissionPath","getModelInfo","getMousePosition","getMusicPlayedTime","getNumber","getObjectArgument","getObjectChildren","getObjectDLC","getObjectFOV","getObjectID","getObjectMaterials","getObjectProxy","getObjectScale","getObjectTextures","getObjectType","getObjectViewDistance","getOpticsMode","getOrDefault","getOrDefaultCall","getOxygenRemaining","getPersonUsedDLCs","getPilotCameraDirection","getPilotCameraPosition","getPilotCameraRotation","getPilotCameraTarget","getPiPViewDistance","getPlateNumber","getPlayerChannel","getPlayerID","getPlayerScores","getPlayerUID","getPlayerVoNVolume","getPos","getPosASL","getPosASLVisual","getPosASLW","getPosATL","getPosATLVisual","getPosVisual","getPosWorld","getPosWorldVisual","getPylonMagazines","getRelDir","getRelPos","getRemoteSensorsDisabled","getRepairCargo","getResolution","getRoadInfo","getRotorBrakeRTD","getSensorTargets","getSensorThreats","getShadowDistance","getShotParents","getSlingLoad","getSoundController","getSoundControllerResult","getSpeed","getStamina","getStatValue","getSteamFriendsServers","getSubtitleOptions","getSuppression","getTerrainGrid","getTerrainHeight","getTerrainHeightASL","getTerrainInfo","getText","getTextRaw","getTextureInfo","getTextWidth","getTiParameters","getTotalDLCUsageTime","getTrimOffsetRTD","getTurretLimits","getTurretOpticsMode","getUnitFreefallInfo","getUnitLoadout","getUnitTrait","getUnloadInCombat","getUserInfo","getUserMFDText","getUserMFDValue","getVariable","getVehicleCargo","getVehicleTiPars","getWeaponCargo","getWeaponSway","getWingsOrientationRTD","getWingsPositionRTD","getWPPos","glanceAt","globalChat","globalRadio","goggles","goto","group","groupChat","groupFromNetId","groupIconSelectable","groupIconsVisible","groupID","groupOwner","groupRadio","groups","groupSelectedUnits","groupSelectUnit","gunner","gusts","halt","handgunItems","handgunMagazine","handgunWeapon","handsHit","hashValue","hasInterface","hasPilotCamera","hasWeapon","hcAllGroups","hcGroupParams","hcLeader","hcRemoveAllGroups","hcRemoveGroup","hcSelected","hcSelectGroup","hcSetGroup","hcShowBar","hcShownBar","headgear","hideBody","hideObject","hideObjectGlobal","hideSelection","hint","hintC","hintCadet","hintSilent","hmd","hostMission","htmlLoad","HUDMovementLevels","humidity","image","importAllGroups","importance","in","inArea","inAreaArray","incapacitatedState","inflame","inflamed","infoPanel","infoPanelComponentEnabled","infoPanelComponents","infoPanels","inGameUISetEventHandler","inheritsFrom","initAmbientLife","inPolygon","inputAction","inputController","inputMouse","inRangeOfArtillery","insert","insertEditorObject","intersect","is3DEN","is3DENMultiplayer","is3DENPreview","isAbleToBreathe","isActionMenuVisible","isAgent","isAimPrecisionEnabled","isAllowedCrewInImmobile","isArray","isAutoHoverOn","isAutonomous","isAutoStartUpEnabledRTD","isAutotest","isAutoTrimOnRTD","isAwake","isBleeding","isBurning","isClass","isCollisionLightOn","isCopilotEnabled","isDamageAllowed","isDedicated","isDLCAvailable","isEngineOn","isEqualRef","isEqualTo","isEqualType","isEqualTypeAll","isEqualTypeAny","isEqualTypeArray","isEqualTypeParams","isFilePatchingEnabled","isFinal","isFlashlightOn","isFlatEmpty","isForcedWalk","isFormationLeader","isGameFocused","isGamePaused","isGroupDeletedWhenEmpty","isHidden","isInRemainsCollector","isInstructorFigureEnabled","isIRLaserOn","isKeyActive","isKindOf","isLaserOn","isLightOn","isLocalized","isManualFire","isMarkedForCollection","isMissionProfileNamespaceLoaded","isMultiplayer","isMultiplayerSolo","isNil","isNotEqualRef","isNotEqualTo","isNull","isNumber","isObjectHidden","isObjectRTD","isOnRoad","isPiPEnabled","isPlayer","isRealTime","isRemoteExecuted","isRemoteExecutedJIP","isSaving","isSensorTargetConfirmed","isServer","isShowing3DIcons","isSimpleObject","isSprintAllowed","isStaminaEnabled","isSteamMission","isSteamOverlayEnabled","isStreamFriendlyUIEnabled","isStressDamageEnabled","isText","isTouchingGround","isTurnedOut","isTutHintsEnabled","isUAVConnectable","isUAVConnected","isUIContext","isUniformAllowed","isVehicleCargo","isVehicleRadarOn","isVehicleSensorEnabled","isWalking","isWeaponDeployed","isWeaponRested","itemCargo","items","itemsWithMagazines","join","joinAs","joinAsSilent","joinSilent","joinString","kbAddDatabase","kbAddDatabaseTargets","kbAddTopic","kbHasTopic","kbReact","kbRemoveTopic","kbTell","kbWasSaid","keyImage","keyName","keys","knowsAbout","land","landAt","landResult","language","laserTarget","lbAdd","lbClear","lbColor","lbColorRight","lbCurSel","lbData","lbDelete","lbIsSelected","lbPicture","lbPictureRight","lbSelection","lbSetColor","lbSetColorRight","lbSetCurSel","lbSetData","lbSetPicture","lbSetPictureColor","lbSetPictureColorDisabled","lbSetPictureColorSelected","lbSetPictureRight","lbSetPictureRightColor","lbSetPictureRightColorDisabled","lbSetPictureRightColorSelected","lbSetSelectColor","lbSetSelectColorRight","lbSetSelected","lbSetText","lbSetTextRight","lbSetTooltip","lbSetValue","lbSize","lbSort","lbSortBy","lbSortByValue","lbText","lbTextRight","lbTooltip","lbValue","leader","leaderboardDeInit","leaderboardGetRows","leaderboardInit","leaderboardRequestRowsFriends","leaderboardRequestRowsGlobal","leaderboardRequestRowsGlobalAroundUser","leaderboardsRequestUploadScore","leaderboardsRequestUploadScoreKeepBest","leaderboardState","leaveVehicle","libraryCredits","libraryDisclaimers","lifeState","lightAttachObject","lightDetachObject","lightIsOn","lightnings","limitSpeed","linearConversion","lineIntersects","lineIntersectsObjs","lineIntersectsSurfaces","lineIntersectsWith","linkItem","list","listObjects","listRemoteTargets","listVehicleSensors","ln","lnbAddArray","lnbAddColumn","lnbAddRow","lnbClear","lnbColor","lnbColorRight","lnbCurSelRow","lnbData","lnbDeleteColumn","lnbDeleteRow","lnbGetColumnsPosition","lnbPicture","lnbPictureRight","lnbSetColor","lnbSetColorRight","lnbSetColumnsPos","lnbSetCurSelRow","lnbSetData","lnbSetPicture","lnbSetPictureColor","lnbSetPictureColorRight","lnbSetPictureColorSelected","lnbSetPictureColorSelectedRight","lnbSetPictureRight","lnbSetText","lnbSetTextRight","lnbSetTooltip","lnbSetValue","lnbSize","lnbSort","lnbSortBy","lnbSortByValue","lnbText","lnbTextRight","lnbValue","load","loadAbs","loadBackpack","loadConfig","loadFile","loadGame","loadIdentity","loadMagazine","loadOverlay","loadStatus","loadUniform","loadVest","localize","localNamespace","locationPosition","lock","lockCameraTo","lockCargo","lockDriver","locked","lockedCameraTo","lockedCargo","lockedDriver","lockedInventory","lockedTurret","lockIdentity","lockInventory","lockTurret","lockWp","log","logEntities","logNetwork","logNetworkTerminate","lookAt","lookAtPos","magazineCargo","magazines","magazinesAllTurrets","magazinesAmmo","magazinesAmmoCargo","magazinesAmmoFull","magazinesDetail","magazinesDetailBackpack","magazinesDetailUniform","magazinesDetailVest","magazinesTurret","magazineTurretAmmo","mapAnimAdd","mapAnimClear","mapAnimCommit","mapAnimDone","mapCenterOnCamera","mapGridPosition","markAsFinishedOnSteam","markerAlpha","markerBrush","markerChannel","markerColor","markerDir","markerPolyline","markerPos","markerShadow","markerShape","markerSize","markerText","markerType","matrixMultiply","matrixTranspose","max","maxLoad","members","menuAction","menuAdd","menuChecked","menuClear","menuCollapse","menuData","menuDelete","menuEnable","menuEnabled","menuExpand","menuHover","menuPicture","menuSetAction","menuSetCheck","menuSetData","menuSetPicture","menuSetShortcut","menuSetText","menuSetURL","menuSetValue","menuShortcut","menuShortcutText","menuSize","menuSort","menuText","menuURL","menuValue","merge","min","mineActive","mineDetectedBy","missileTarget","missileTargetPos","missionConfigFile","missionDifficulty","missionEnd","missionName","missionNameSource","missionNamespace","missionProfileNamespace","missionStart","missionVersion","mod","modelToWorld","modelToWorldVisual","modelToWorldVisualWorld","modelToWorldWorld","modParams","moonIntensity","moonPhase","morale","move","move3DENCamera","moveInAny","moveInCargo","moveInCommander","moveInDriver","moveInGunner","moveInTurret","moveObjectToEnd","moveOut","moveTime","moveTo","moveToCompleted","moveToFailed","musicVolume","name","namedProperties","nameSound","nearEntities","nearestBuilding","nearestLocation","nearestLocations","nearestLocationWithDubbing","nearestMines","nearestObject","nearestObjects","nearestTerrainObjects","nearObjects","nearObjectsReady","nearRoads","nearSupplies","nearTargets","needReload","needService","netId","netObjNull","newOverlay","nextMenuItemIndex","nextWeatherChange","nMenuItems","not","numberOfEnginesRTD","numberToDate","objectCurators","objectFromNetId","objectParent","objStatus","onBriefingGroup","onBriefingNotes","onBriefingPlan","onBriefingTeamSwitch","onCommandModeChanged","onDoubleClick","onEachFrame","onGroupIconClick","onGroupIconOverEnter","onGroupIconOverLeave","onHCGroupSelectionChanged","onMapSingleClick","onPlayerConnected","onPlayerDisconnected","onPreloadFinished","onPreloadStarted","onShowNewObject","onTeamSwitch","openCuratorInterface","openDLCPage","openGPS","openMap","openSteamApp","openYoutubeVideo","or","orderGetIn","overcast","overcastForecast","owner","param","params","parseNumber","parseSimpleArray","parseText","parsingNamespace","particlesQuality","periscopeElevation","pickWeaponPool","pitch","pixelGrid","pixelGridBase","pixelGridNoUIScale","pixelH","pixelW","playableSlotsNumber","playableUnits","playAction","playActionNow","player","playerRespawnTime","playerSide","playersNumber","playGesture","playMission","playMove","playMoveNow","playMusic","playScriptedMission","playSound","playSound3D","playSoundUI","pose","position","positionCameraToWorld","posScreenToWorld","posWorldToScreen","ppEffectAdjust","ppEffectCommit","ppEffectCommitted","ppEffectCreate","ppEffectDestroy","ppEffectEnable","ppEffectEnabled","ppEffectForceInNVG","precision","preloadCamera","preloadObject","preloadSound","preloadTitleObj","preloadTitleRsc","preprocessFile","preprocessFileLineNumbers","primaryWeapon","primaryWeaponItems","primaryWeaponMagazine","priority","processDiaryLink","productVersion","profileName","profileNamespace","profileNameSteam","progressLoadingScreen","progressPosition","progressSetPosition","publicVariable","publicVariableClient","publicVariableServer","pushBack","pushBackUnique","putWeaponPool","queryItemsPool","queryMagazinePool","queryWeaponPool","rad","radioChannelAdd","radioChannelCreate","radioChannelInfo","radioChannelRemove","radioChannelSetCallSign","radioChannelSetLabel","radioEnabled","radioVolume","rain","rainbow","rainParams","random","rank","rankId","rating","rectangular","regexFind","regexMatch","regexReplace","registeredTasks","registerTask","reload","reloadEnabled","remoteControl","remoteExec","remoteExecCall","remoteExecutedOwner","remove3DENConnection","remove3DENEventHandler","remove3DENLayer","removeAction","removeAll3DENEventHandlers","removeAllActions","removeAllAssignedItems","removeAllBinocularItems","removeAllContainers","removeAllCuratorAddons","removeAllCuratorCameraAreas","removeAllCuratorEditingAreas","removeAllEventHandlers","removeAllHandgunItems","removeAllItems","removeAllItemsWithMagazines","removeAllMissionEventHandlers","removeAllMPEventHandlers","removeAllMusicEventHandlers","removeAllOwnedMines","removeAllPrimaryWeaponItems","removeAllSecondaryWeaponItems","removeAllUserActionEventHandlers","removeAllWeapons","removeBackpack","removeBackpackGlobal","removeBinocularItem","removeCuratorAddons","removeCuratorCameraArea","removeCuratorEditableObjects","removeCuratorEditingArea","removeDiaryRecord","removeDiarySubject","removeDrawIcon","removeDrawLinks","removeEventHandler","removeFromRemainsCollector","removeGoggles","removeGroupIcon","removeHandgunItem","removeHeadgear","removeItem","removeItemFromBackpack","removeItemFromUniform","removeItemFromVest","removeItems","removeMagazine","removeMagazineGlobal","removeMagazines","removeMagazinesTurret","removeMagazineTurret","removeMenuItem","removeMissionEventHandler","removeMPEventHandler","removeMusicEventHandler","removeOwnedMine","removePrimaryWeaponItem","removeSecondaryWeaponItem","removeSimpleTask","removeSwitchableUnit","removeTeamMember","removeUniform","removeUserActionEventHandler","removeVest","removeWeapon","removeWeaponAttachmentCargo","removeWeaponCargo","removeWeaponGlobal","removeWeaponTurret","reportRemoteTarget","requiredVersion","resetCamShake","resetSubgroupDirection","resize","resources","respawnVehicle","restartEditorCamera","reveal","revealMine","reverse","reversedMouseY","roadAt","roadsConnectedTo","roleDescription","ropeAttachedObjects","ropeAttachedTo","ropeAttachEnabled","ropeAttachTo","ropeCreate","ropeCut","ropeDestroy","ropeDetach","ropeEndPosition","ropeLength","ropes","ropesAttachedTo","ropeSegments","ropeUnwind","ropeUnwound","rotorsForcesRTD","rotorsRpmRTD","round","runInitScript","safeZoneH","safeZoneW","safeZoneWAbs","safeZoneX","safeZoneXAbs","safeZoneY","save3DENInventory","saveGame","saveIdentity","saveJoysticks","saveMissionProfileNamespace","saveOverlay","saveProfileNamespace","saveStatus","saveVar","savingEnabled","say","say2D","say3D","scopeName","score","scoreSide","screenshot","screenToWorld","scriptDone","scriptName","scudState","secondaryWeapon","secondaryWeaponItems","secondaryWeaponMagazine","select","selectBestPlaces","selectDiarySubject","selectedEditorObjects","selectEditorObject","selectionNames","selectionPosition","selectionVectorDirAndUp","selectLeader","selectMax","selectMin","selectNoPlayer","selectPlayer","selectRandom","selectRandomWeighted","selectWeapon","selectWeaponTurret","sendAUMessage","sendSimpleCommand","sendTask","sendTaskResult","sendUDPMessage","sentencesEnabled","serverCommand","serverCommandAvailable","serverCommandExecutable","serverName","serverNamespace","serverTime","set","set3DENAttribute","set3DENAttributes","set3DENGrid","set3DENIconsVisible","set3DENLayer","set3DENLinesVisible","set3DENLogicType","set3DENMissionAttribute","set3DENMissionAttributes","set3DENModelsVisible","set3DENObjectType","set3DENSelected","setAccTime","setActualCollectiveRTD","setAirplaneThrottle","setAirportSide","setAmmo","setAmmoCargo","setAmmoOnPylon","setAnimSpeedCoef","setAperture","setApertureNew","setArmoryPoints","setAttributes","setAutonomous","setBehaviour","setBehaviourStrong","setBleedingRemaining","setBrakesRTD","setCameraInterest","setCamShakeDefParams","setCamShakeParams","setCamUseTi","setCaptive","setCenterOfMass","setCollisionLight","setCombatBehaviour","setCombatMode","setCompassOscillation","setConvoySeparation","setCruiseControl","setCuratorCameraAreaCeiling","setCuratorCoef","setCuratorEditingAreaType","setCuratorWaypointCost","setCurrentChannel","setCurrentTask","setCurrentWaypoint","setCustomAimCoef","SetCustomMissionData","setCustomSoundController","setCustomWeightRTD","setDamage","setDammage","setDate","setDebriefingText","setDefaultCamera","setDestination","setDetailMapBlendPars","setDiaryRecordText","setDiarySubjectPicture","setDir","setDirection","setDrawIcon","setDriveOnPath","setDropInterval","setDynamicSimulationDistance","setDynamicSimulationDistanceCoef","setEditorMode","setEditorObjectScope","setEffectCondition","setEffectiveCommander","setEngineRpmRTD","setFace","setFaceanimation","setFatigue","setFeatureType","setFlagAnimationPhase","setFlagOwner","setFlagSide","setFlagTexture","setFog","setForceGeneratorRTD","setFormation","setFormationTask","setFormDir","setFriend","setFromEditor","setFSMVariable","setFuel","setFuelCargo","setGroupIcon","setGroupIconParams","setGroupIconsSelectable","setGroupIconsVisible","setGroupid","setGroupIdGlobal","setGroupOwner","setGusts","setHideBehind","setHit","setHitIndex","setHitPointDamage","setHorizonParallaxCoef","setHUDMovementLevels","setHumidity","setIdentity","setImportance","setInfoPanel","setLeader","setLightAmbient","setLightAttenuation","setLightBrightness","setLightColor","setLightConePars","setLightDayLight","setLightFlareMaxDistance","setLightFlareSize","setLightIntensity","setLightIR","setLightnings","setLightUseFlare","setLightVolumeShape","setLocalWindParams","setMagazineTurretAmmo","setMarkerAlpha","setMarkerAlphaLocal","setMarkerBrush","setMarkerBrushLocal","setMarkerColor","setMarkerColorLocal","setMarkerDir","setMarkerDirLocal","setMarkerPolyline","setMarkerPolylineLocal","setMarkerPos","setMarkerPosLocal","setMarkerShadow","setMarkerShadowLocal","setMarkerShape","setMarkerShapeLocal","setMarkerSize","setMarkerSizeLocal","setMarkerText","setMarkerTextLocal","setMarkerType","setMarkerTypeLocal","setMass","setMaxLoad","setMimic","setMissileTarget","setMissileTargetPos","setMousePosition","setMusicEffect","setMusicEventHandler","setName","setNameSound","setObjectArguments","setObjectMaterial","setObjectMaterialGlobal","setObjectProxy","setObjectScale","setObjectTexture","setObjectTextureGlobal","setObjectViewDistance","setOpticsMode","setOvercast","setOwner","setOxygenRemaining","setParticleCircle","setParticleClass","setParticleFire","setParticleParams","setParticleRandom","setPilotCameraDirection","setPilotCameraRotation","setPilotCameraTarget","setPilotLight","setPiPEffect","setPiPViewDistance","setPitch","setPlateNumber","setPlayable","setPlayerRespawnTime","setPlayerVoNVolume","setPos","setPosASL","setPosASL2","setPosASLW","setPosATL","setPosition","setPosWorld","setPylonLoadout","setPylonsPriority","setRadioMsg","setRain","setRainbow","setRandomLip","setRank","setRectangular","setRepairCargo","setRotorBrakeRTD","setShadowDistance","setShotParents","setSide","setSimpleTaskAlwaysVisible","setSimpleTaskCustomData","setSimpleTaskDescription","setSimpleTaskDestination","setSimpleTaskTarget","setSimpleTaskType","setSimulWeatherLayers","setSize","setSkill","setSlingLoad","setSoundEffect","setSpeaker","setSpeech","setSpeedMode","setStamina","setStaminaScheme","setStatValue","setSuppression","setSystemOfUnits","setTargetAge","setTaskMarkerOffset","setTaskResult","setTaskState","setTerrainGrid","setTerrainHeight","setText","setTimeMultiplier","setTiParameter","setTitleEffect","setTowParent","setTrafficDensity","setTrafficDistance","setTrafficGap","setTrafficSpeed","setTriggerActivation","setTriggerArea","setTriggerInterval","setTriggerStatements","setTriggerText","setTriggerTimeout","setTriggerType","setTurretLimits","setTurretOpticsMode","setType","setUnconscious","setUnitAbility","setUnitCombatMode","setUnitFreefallHeight","setUnitLoadout","setUnitPos","setUnitPosWeak","setUnitRank","setUnitRecoilCoefficient","setUnitTrait","setUnloadInCombat","setUserActionText","setUserMFDText","setUserMFDValue","setVariable","setVectorDir","setVectorDirAndUp","setVectorUp","setVehicleAmmo","setVehicleAmmoDef","setVehicleArmor","setVehicleCargo","setVehicleId","setVehicleLock","setVehiclePosition","setVehicleRadar","setVehicleReceiveRemoteTargets","setVehicleReportOwnPosition","setVehicleReportRemoteTargets","setVehicleTiPars","setVehicleVarName","setVelocity","setVelocityModelSpace","setVelocityTransformation","setViewDistance","setVisibleIfTreeCollapsed","setWantedRPMRTD","setWaves","setWaypointBehaviour","setWaypointCombatMode","setWaypointCompletionRadius","setWaypointDescription","setWaypointForceBehaviour","setWaypointFormation","setWaypointHousePosition","setWaypointLoiterAltitude","setWaypointLoiterRadius","setWaypointLoiterType","setWaypointName","setWaypointPosition","setWaypointScript","setWaypointSpeed","setWaypointStatements","setWaypointTimeout","setWaypointType","setWaypointVisible","setWeaponReloadingTime","setWeaponZeroing","setWind","setWindDir","setWindForce","setWindStr","setWingForceScaleRTD","setWPPos","show3DIcons","showChat","showCinemaBorder","showCommandingMenu","showCompass","showCuratorCompass","showGps","showHUD","showLegend","showMap","shownArtilleryComputer","shownChat","shownCompass","shownCuratorCompass","showNewEditorObject","shownGps","shownHUD","shownMap","shownPad","shownRadio","shownScoretable","shownSubtitles","shownUAVFeed","shownWarrant","shownWatch","showPad","showRadio","showScoretable","showSubtitles","showUAVFeed","showWarrant","showWatch","showWaypoint","showWaypoints","side","sideChat","sideRadio","simpleTasks","simulationEnabled","simulCloudDensity","simulCloudOcclusion","simulInClouds","simulWeatherSync","sin","size","sizeOf","skill","skillFinal","skipTime","sleep","sliderPosition","sliderRange","sliderSetPosition","sliderSetRange","sliderSetSpeed","sliderSpeed","slingLoadAssistantShown","soldierMagazines","someAmmo","sort","soundVolume","spawn","speaker","speechVolume","speed","speedMode","splitString","sqrt","squadParams","stance","startLoadingScreen","stop","stopEngineRTD","stopped","str","sunOrMoon","supportInfo","suppressFor","surfaceIsWater","surfaceNormal","surfaceTexture","surfaceType","swimInDepth","switchableUnits","switchAction","switchCamera","switchGesture","switchLight","switchMove","synchronizedObjects","synchronizedTriggers","synchronizedWaypoints","synchronizeObjectsAdd","synchronizeObjectsRemove","synchronizeTrigger","synchronizeWaypoint","systemChat","systemOfUnits","systemTime","systemTimeUTC","tan","targetKnowledge","targets","targetsAggregate","targetsQuery","taskAlwaysVisible","taskChildren","taskCompleted","taskCustomData","taskDescription","taskDestination","taskHint","taskMarkerOffset","taskName","taskParent","taskResult","taskState","taskType","teamMember","teamName","teams","teamSwitch","teamSwitchEnabled","teamType","terminate","terrainIntersect","terrainIntersectASL","terrainIntersectAtASL","text","textLog","textLogFormat","tg","time","timeMultiplier","titleCut","titleFadeOut","titleObj","titleRsc","titleText","toArray","toFixed","toLower","toLowerANSI","toString","toUpper","toUpperANSI","triggerActivated","triggerActivation","triggerAmmo","triggerArea","triggerAttachedVehicle","triggerAttachObject","triggerAttachVehicle","triggerDynamicSimulation","triggerInterval","triggerStatements","triggerText","triggerTimeout","triggerTimeoutCurrent","triggerType","trim","turretLocal","turretOwner","turretUnit","tvAdd","tvClear","tvCollapse","tvCollapseAll","tvCount","tvCurSel","tvData","tvDelete","tvExpand","tvExpandAll","tvIsSelected","tvPicture","tvPictureRight","tvSelection","tvSetColor","tvSetCurSel","tvSetData","tvSetPicture","tvSetPictureColor","tvSetPictureColorDisabled","tvSetPictureColorSelected","tvSetPictureRight","tvSetPictureRightColor","tvSetPictureRightColorDisabled","tvSetPictureRightColorSelected","tvSetSelectColor","tvSetSelected","tvSetText","tvSetTooltip","tvSetValue","tvSort","tvSortAll","tvSortByValue","tvSortByValueAll","tvText","tvTooltip","tvValue","type","typeName","typeOf","UAVControl","uiNamespace","uiSleep","unassignCurator","unassignItem","unassignTeam","unassignVehicle","underwater","uniform","uniformContainer","uniformItems","uniformMagazines","uniqueUnitItems","unitAddons","unitAimPosition","unitAimPositionVisual","unitBackpack","unitCombatMode","unitIsUAV","unitPos","unitReady","unitRecoilCoefficient","units","unitsBelowHeight","unitTurret","unlinkItem","unlockAchievement","unregisterTask","updateDrawIcon","updateMenuItem","updateObjectTree","useAIOperMapObstructionTest","useAISteeringComponent","useAudioTimeForMoves","userInputDisabled","values","vectorAdd","vectorCos","vectorCrossProduct","vectorDiff","vectorDir","vectorDirVisual","vectorDistance","vectorDistanceSqr","vectorDotProduct","vectorFromTo","vectorLinearConversion","vectorMagnitude","vectorMagnitudeSqr","vectorModelToWorld","vectorModelToWorldVisual","vectorMultiply","vectorNormalized","vectorUp","vectorUpVisual","vectorWorldToModel","vectorWorldToModelVisual","vehicle","vehicleCargoEnabled","vehicleChat","vehicleMoveInfo","vehicleRadio","vehicleReceiveRemoteTargets","vehicleReportOwnPosition","vehicleReportRemoteTargets","vehicles","vehicleVarName","velocity","velocityModelSpace","verifySignature","vest","vestContainer","vestItems","vestMagazines","viewDistance","visibleCompass","visibleGps","visibleMap","visiblePosition","visiblePositionASL","visibleScoretable","visibleWatch","waves","waypointAttachedObject","waypointAttachedVehicle","waypointAttachObject","waypointAttachVehicle","waypointBehaviour","waypointCombatMode","waypointCompletionRadius","waypointDescription","waypointForceBehaviour","waypointFormation","waypointHousePosition","waypointLoiterAltitude","waypointLoiterRadius","waypointLoiterType","waypointName","waypointPosition","waypoints","waypointScript","waypointsEnabledUAV","waypointShow","waypointSpeed","waypointStatements","waypointTimeout","waypointTimeoutCurrent","waypointType","waypointVisible","weaponAccessories","weaponAccessoriesCargo","weaponCargo","weaponDirection","weaponInertia","weaponLowered","weaponReloadingTime","weapons","weaponsInfo","weaponsItems","weaponsItemsCargo","weaponState","weaponsTurret","weightRTD","WFSideText","wind","windDir","windRTD","windStr","wingsForcesRTD","worldName","worldSize","worldToModel","worldToModelVisual","worldToScreen"],cd={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:"define undef ifdef ifndef else endif include if",contains:[{begin:/\\\n/,relevance:0},qa.inherit(rd,{className:"string"}),{begin:/<[^\n>]*>/,end:/$/,illegal:"\\n"},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]};return{name:"SQF",case_insensitive:!0,keywords:{keyword:sd,built_in:ld,literal:od},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.NUMBER_MODE,ed,td,rd,cd],illegal:[/\$[^a-fA-F0-9]/,/\w\$/,/\?/,/@/,/ \| /,/[a-zA-Z_]\./,/\:\=/,/\[\:/]}}return sqf_1=Ra,sqf_1}var sql_1,hasRequiredSql;function requireSql(){if(hasRequiredSql)return sql_1;hasRequiredSql=1;function Ra(qa){const ed=qa.regex,td=qa.COMMENT("--","$"),rd={className:"string",variants:[{begin:/'/,end:/'/,contains:[{begin:/''/}]}]},sd={begin:/"/,end:/"/,contains:[{begin:/""/}]},od=["true","false","unknown"],ld=["double precision","large object","with timezone","without timezone"],cd=["bigint","binary","blob","boolean","char","character","clob","date","dec","decfloat","decimal","float","int","integer","interval","nchar","nclob","national","numeric","real","row","smallint","time","timestamp","varchar","varying","varbinary"],ud=["add","asc","collation","desc","final","first","last","view"],_d=["abs","acos","all","allocate","alter","and","any","are","array","array_agg","array_max_cardinality","as","asensitive","asin","asymmetric","at","atan","atomic","authorization","avg","begin","begin_frame","begin_partition","between","bigint","binary","blob","boolean","both","by","call","called","cardinality","cascaded","case","cast","ceil","ceiling","char","char_length","character","character_length","check","classifier","clob","close","coalesce","collate","collect","column","commit","condition","connect","constraint","contains","convert","copy","corr","corresponding","cos","cosh","count","covar_pop","covar_samp","create","cross","cube","cume_dist","current","current_catalog","current_date","current_default_transform_group","current_path","current_role","current_row","current_schema","current_time","current_timestamp","current_path","current_role","current_transform_group_for_type","current_user","cursor","cycle","date","day","deallocate","dec","decimal","decfloat","declare","default","define","delete","dense_rank","deref","describe","deterministic","disconnect","distinct","double","drop","dynamic","each","element","else","empty","end","end_frame","end_partition","end-exec","equals","escape","every","except","exec","execute","exists","exp","external","extract","false","fetch","filter","first_value","float","floor","for","foreign","frame_row","free","from","full","function","fusion","get","global","grant","group","grouping","groups","having","hold","hour","identity","in","indicator","initial","inner","inout","insensitive","insert","int","integer","intersect","intersection","interval","into","is","join","json_array","json_arrayagg","json_exists","json_object","json_objectagg","json_query","json_table","json_table_primitive","json_value","lag","language","large","last_value","lateral","lead","leading","left","like","like_regex","listagg","ln","local","localtime","localtimestamp","log","log10","lower","match","match_number","match_recognize","matches","max","member","merge","method","min","minute","mod","modifies","module","month","multiset","national","natural","nchar","nclob","new","no","none","normalize","not","nth_value","ntile","null","nullif","numeric","octet_length","occurrences_regex","of","offset","old","omit","on","one","only","open","or","order","out","outer","over","overlaps","overlay","parameter","partition","pattern","per","percent","percent_rank","percentile_cont","percentile_disc","period","portion","position","position_regex","power","precedes","precision","prepare","primary","procedure","ptf","range","rank","reads","real","recursive","ref","references","referencing","regr_avgx","regr_avgy","regr_count","regr_intercept","regr_r2","regr_slope","regr_sxx","regr_sxy","regr_syy","release","result","return","returns","revoke","right","rollback","rollup","row","row_number","rows","running","savepoint","scope","scroll","search","second","seek","select","sensitive","session_user","set","show","similar","sin","sinh","skip","smallint","some","specific","specifictype","sql","sqlexception","sqlstate","sqlwarning","sqrt","start","static","stddev_pop","stddev_samp","submultiset","subset","substring","substring_regex","succeeds","sum","symmetric","system","system_time","system_user","table","tablesample","tan","tanh","then","time","timestamp","timezone_hour","timezone_minute","to","trailing","translate","translate_regex","translation","treat","trigger","trim","trim_array","true","truncate","uescape","union","unique","unknown","unnest","update","upper","user","using","value","values","value_of","var_pop","var_samp","varbinary","varchar","varying","versioning","when","whenever","where","width_bucket","window","with","within","without","year"],Ed=["abs","acos","array_agg","asin","atan","avg","cast","ceil","ceiling","coalesce","corr","cos","cosh","count","covar_pop","covar_samp","cume_dist","dense_rank","deref","element","exp","extract","first_value","floor","json_array","json_arrayagg","json_exists","json_object","json_objectagg","json_query","json_table","json_table_primitive","json_value","lag","last_value","lead","listagg","ln","log","log10","lower","max","min","mod","nth_value","ntile","nullif","percent_rank","percentile_cont","percentile_disc","position","position_regex","power","rank","regr_avgx","regr_avgy","regr_count","regr_intercept","regr_r2","regr_slope","regr_sxx","regr_sxy","regr_syy","row_number","sin","sinh","sqrt","stddev_pop","stddev_samp","substring","substring_regex","sum","tan","tanh","translate","translate_regex","treat","trim","trim_array","unnest","upper","value_of","var_pop","var_samp","width_bucket"],Ad=["current_catalog","current_date","current_default_transform_group","current_path","current_role","current_schema","current_transform_group_for_type","current_user","session_user","system_time","system_user","current_time","localtime","current_timestamp","localtimestamp"],Td=["create table","insert into","primary key","foreign key","not null","alter table","add constraint","grouping sets","on overflow","character set","respect nulls","ignore nulls","nulls first","nulls last","depth first","breadth first"],Nd=Ed,Rd=[..._d,...ud].filter(Pd=>!Ed.includes(Pd)),Bd={className:"variable",begin:/@[a-z0-9][a-z0-9_]*/},kd={className:"operator",begin:/[-+*/=%^~]|&&?|\|\|?|!=?|<(?:=>?|<|>)?|>[>=]?/,relevance:0},Od={begin:ed.concat(/\b/,ed.either(...Nd),/\s*\(/),relevance:0,keywords:{built_in:Nd}};function Md(Pd,{exceptions:Fd,when:Ud}={}){const Gd=Ud;return Fd=Fd||[],Pd.map(Qd=>Qd.match(/\|\d+$/)||Fd.includes(Qd)?Qd:Gd(Qd)?`${Qd}|0`:Qd)}return{name:"SQL",case_insensitive:!0,illegal:/[{}]|<\//,keywords:{$pattern:/\b[\w\.]+/,keyword:Md(Rd,{when:Pd=>Pd.length<3}),literal:od,type:cd,built_in:Ad},contains:[{begin:ed.either(...Td),relevance:0,keywords:{$pattern:/[\w\.]+/,keyword:Rd.concat(Td),literal:od,type:cd}},{className:"type",begin:ed.either(...ld)},Od,Bd,rd,sd,qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE,td,kd]}}return sql_1=Ra,sql_1}var stan_1,hasRequiredStan;function requireStan(){if(hasRequiredStan)return stan_1;hasRequiredStan=1;function Ra(qa){const ed=qa.regex,td=["functions","model","data","parameters","quantities","transformed","generated"],rd=["for","in","if","else","while","break","continue","return"],sd=["array","tuple","complex","int","real","vector","complex_vector","ordered","positive_ordered","simplex","unit_vector","row_vector","complex_row_vector","matrix","complex_matrix","cholesky_factor_corr|10","cholesky_factor_cov|10","corr_matrix|10","cov_matrix|10","void"],od=["abs","acos","acosh","add_diag","algebra_solver","algebra_solver_newton","append_array","append_col","append_row","asin","asinh","atan","atan2","atanh","bessel_first_kind","bessel_second_kind","binary_log_loss","block","cbrt","ceil","chol2inv","cholesky_decompose","choose","col","cols","columns_dot_product","columns_dot_self","complex_schur_decompose","complex_schur_decompose_t","complex_schur_decompose_u","conj","cos","cosh","cov_exp_quad","crossprod","csr_extract","csr_extract_u","csr_extract_v","csr_extract_w","csr_matrix_times_vector","csr_to_dense_matrix","cumulative_sum","dae","dae_tol","determinant","diag_matrix","diagonal","diag_post_multiply","diag_pre_multiply","digamma","dims","distance","dot_product","dot_self","eigendecompose","eigendecompose_sym","eigenvalues","eigenvalues_sym","eigenvectors","eigenvectors_sym","erf","erfc","exp","exp2","expm1","falling_factorial","fdim","fft","fft2","floor","fma","fmax","fmin","fmod","gamma_p","gamma_q","generalized_inverse","get_imag","get_real","head","hmm_hidden_state_prob","hmm_marginal","hypot","identity_matrix","inc_beta","integrate_1d","integrate_ode","integrate_ode_adams","integrate_ode_bdf","integrate_ode_rk45","int_step","inv","inv_cloglog","inv_erfc","inverse","inverse_spd","inv_fft","inv_fft2","inv_inc_beta","inv_logit","inv_Phi","inv_sqrt","inv_square","is_inf","is_nan","lambert_w0","lambert_wm1","lbeta","lchoose","ldexp","lgamma","linspaced_array","linspaced_int_array","linspaced_row_vector","linspaced_vector","lmgamma","lmultiply","log","log1m","log1m_exp","log1m_inv_logit","log1p","log1p_exp","log_determinant","log_diff_exp","log_falling_factorial","log_inv_logit","log_inv_logit_diff","logit","log_mix","log_modified_bessel_first_kind","log_rising_factorial","log_softmax","log_sum_exp","machine_precision","map_rect","matrix_exp","matrix_exp_multiply","matrix_power","max","mdivide_left_spd","mdivide_left_tri_low","mdivide_right_spd","mdivide_right_tri_low","mean","min","modified_bessel_first_kind","modified_bessel_second_kind","multiply_lower_tri_self_transpose","negative_infinity","norm","norm1","norm2","not_a_number","num_elements","ode_adams","ode_adams_tol","ode_adjoint_tol_ctl","ode_bdf","ode_bdf_tol","ode_ckrk","ode_ckrk_tol","ode_rk45","ode_rk45_tol","one_hot_array","one_hot_int_array","one_hot_row_vector","one_hot_vector","ones_array","ones_int_array","ones_row_vector","ones_vector","owens_t","Phi","Phi_approx","polar","positive_infinity","pow","print","prod","proj","qr","qr_Q","qr_R","qr_thin","qr_thin_Q","qr_thin_R","quad_form","quad_form_diag","quad_form_sym","quantile","rank","reduce_sum","reject","rep_array","rep_matrix","rep_row_vector","rep_vector","reverse","rising_factorial","round","row","rows","rows_dot_product","rows_dot_self","scale_matrix_exp_multiply","sd","segment","sin","singular_values","sinh","size","softmax","sort_asc","sort_desc","sort_indices_asc","sort_indices_desc","sqrt","square","squared_distance","step","sub_col","sub_row","sum","svd","svd_U","svd_V","symmetrize_from_lower_tri","tail","tan","tanh","target","tcrossprod","tgamma","to_array_1d","to_array_2d","to_complex","to_int","to_matrix","to_row_vector","to_vector","trace","trace_gen_quad_form","trace_quad_form","trigamma","trunc","uniform_simplex","variance","zeros_array","zeros_int_array","zeros_row_vector"],ld=["bernoulli","bernoulli_logit","bernoulli_logit_glm","beta","beta_binomial","beta_proportion","binomial","binomial_logit","categorical","categorical_logit","categorical_logit_glm","cauchy","chi_square","dirichlet","discrete_range","double_exponential","exp_mod_normal","exponential","frechet","gamma","gaussian_dlm_obs","gumbel","hmm_latent","hypergeometric","inv_chi_square","inv_gamma","inv_wishart","inv_wishart_cholesky","lkj_corr","lkj_corr_cholesky","logistic","loglogistic","lognormal","multi_gp","multi_gp_cholesky","multinomial","multinomial_logit","multi_normal","multi_normal_cholesky","multi_normal_prec","multi_student_cholesky_t","multi_student_t","multi_student_t_cholesky","neg_binomial","neg_binomial_2","neg_binomial_2_log","neg_binomial_2_log_glm","normal","normal_id_glm","ordered_logistic","ordered_logistic_glm","ordered_probit","pareto","pareto_type_2","poisson","poisson_log","poisson_log_glm","rayleigh","scaled_inv_chi_square","skew_double_exponential","skew_normal","std_normal","std_normal_log","student_t","uniform","von_mises","weibull","wiener","wishart","wishart_cholesky"],cd=qa.COMMENT(/\/\*/,/\*\//,{relevance:0,contains:[{scope:"doctag",match:/@(return|param)/}]}),ud={scope:"meta",begin:/#include\b/,end:/$/,contains:[{match:/[a-z][a-z-._]+/,scope:"string"},qa.C_LINE_COMMENT_MODE]},_d=["lower","upper","offset","multiplier"];return{name:"Stan",aliases:["stanfuncs"],keywords:{$pattern:qa.IDENT_RE,title:td,type:sd,keyword:rd,built_in:od},contains:[qa.C_LINE_COMMENT_MODE,ud,qa.HASH_COMMENT_MODE,cd,{scope:"built_in",match:/\s(pi|e|sqrt2|log2|log10)(?=\()/,relevance:0},{match:ed.concat(/[<,]\s*/,ed.either(..._d),/\s*=/),keywords:_d},{scope:"keyword",match:/\btarget(?=\s*\+=)/},{match:[/~\s*/,ed.either(...ld),/(?:\(\))/,/\s*T(?=\s*\[)/],scope:{2:"built_in",4:"keyword"}},{scope:"built_in",keywords:ld,begin:ed.concat(/\w*/,ed.either(...ld),/(_lpdf|_lupdf|_lpmf|_cdf|_lcdf|_lccdf|_qf)(?=\s*[\(.*\)])/)},{begin:[/~/,/\s*/,ed.concat(ed.either(...ld),/(?=\s*[\(.*\)])/)],scope:{3:"built_in"}},{begin:[/~/,/\s*\w+(?=\s*[\(.*\)])/,"(?!.*/\b("+ed.either(...ld)+")\b)"],scope:{2:"title.function"}},{scope:"title.function",begin:/\w*(_lpdf|_lupdf|_lpmf|_cdf|_lcdf|_lccdf|_qf)(?=\s*[\(.*\)])/},{scope:"number",match:ed.concat(/(?:\b\d+(?:_\d+)*(?:\.(?:\d+(?:_\d+)*)?)?|\B\.\d+(?:_\d+)*)/,/(?:[eE][+-]?\d+(?:_\d+)*)?i?(?!\w)/),relevance:0},{scope:"string",begin:/"/,end:/"/}]}}return stan_1=Ra,stan_1}var stata_1,hasRequiredStata;function requireStata(){if(hasRequiredStata)return stata_1;hasRequiredStata=1;function Ra(qa){return{name:"Stata",aliases:["do","ado"],case_insensitive:!0,keywords:"if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5",contains:[{className:"symbol",begin:/`[a-zA-Z0-9_]+'/},{className:"variable",begin:/\$\{?[a-zA-Z0-9_]+\}?/,relevance:0},{className:"string",variants:[{begin:`\`"[^\r ]*?"'`},{begin:`"[^\r "]*"`}]},{className:"built_in",variants:[{begin:"\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\()"}]},qa.COMMENT("^[ ]*\\*.*$",!1),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]}}return stata_1=Ra,stata_1}var step21_1,hasRequiredStep21;function requireStep21(){if(hasRequiredStep21)return step21_1;hasRequiredStep21=1;function Ra(qa){return{name:"STEP Part 21",aliases:["p21","step","stp"],case_insensitive:!0,keywords:{$pattern:"[A-Z_][A-Z0-9_.]*",keyword:["HEADER","ENDSEC","DATA"]},contains:[{className:"meta",begin:"ISO-10303-21;",relevance:10},{className:"meta",begin:"END-ISO-10303-21;",relevance:10},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.COMMENT("/\\*\\*!","\\*/"),qa.C_NUMBER_MODE,qa.inherit(qa.APOS_STRING_MODE,{illegal:null}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"'",end:"'"},{className:"symbol",variants:[{begin:"#",end:"\\d+",illegal:"\\W"}]}]}}return step21_1=Ra,step21_1}var stylus_1,hasRequiredStylus;function requireStylus(){if(hasRequiredStylus)return stylus_1;hasRequiredStylus=1;const Ra=ud=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:ud.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[ud.APOS_STRING_MODE,ud.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:ud.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),qa=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","optgroup","option","p","picture","q","quote","samp","section","select","source","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],ed=["defs","g","marker","mask","pattern","svg","switch","symbol","feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feFlood","feGaussianBlur","feImage","feMerge","feMorphology","feOffset","feSpecularLighting","feTile","feTurbulence","linearGradient","radialGradient","stop","circle","ellipse","image","line","path","polygon","polyline","rect","text","use","textPath","tspan","foreignObject","clipPath"],td=[...qa,...ed],rd=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"].sort().reverse(),sd=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"].sort().reverse(),od=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"].sort().reverse(),ld=["accent-color","align-content","align-items","align-self","alignment-baseline","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","baseline-shift","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-end-end-radius","border-end-start-radius","border-right-color","border-right-style","border-right-width","border-spacing","border-start-end-radius","border-start-start-radius","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","cx","cy","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","color-scheme","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","empty-cells","enable-background","fill","fill-opacity","fill-rule","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","flood-color","flood-opacity","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-horizontal","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","inset","inset-block","inset-block-end","inset-block-start","inset-inline","inset-inline-end","inset-inline-start","isolation","kerning","justify-content","justify-items","justify-self","left","letter-spacing","lighting-color","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","marker","marker-end","marker-mid","marker-start","mask","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","r","resize","rest","rest-after","rest-before","right","rotate","row-gap","scale","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","shape-rendering","stop-color","stop-opacity","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","speak","speak-as","src","tab-size","table-layout","text-anchor","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip-ink","text-decoration-style","text-decoration-thickness","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-offset","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","translate","unicode-bidi","vector-effect","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","x","y","z-index"].sort().reverse();function cd(ud){const _d=Ra(ud),Ed="and or not only",Ad={className:"variable",begin:"\\$"+ud.IDENT_RE},Td=["charset","css","debug","extend","font-face","for","import","include","keyframes","media","mixin","page","warn","while"],Nd="(?=[.\\s\\n[:,(])";return{name:"Stylus",aliases:["styl"],case_insensitive:!1,keywords:"if else for in",illegal:"("+["\\?","(\\bReturn\\b)","(\\bEnd\\b)","(\\bend\\b)","(\\bdef\\b)",";","#\\s","\\*\\s","===\\s","\\|","%"].join("|")+")",contains:[ud.QUOTE_STRING_MODE,ud.APOS_STRING_MODE,ud.C_LINE_COMMENT_MODE,ud.C_BLOCK_COMMENT_MODE,_d.HEXCOLOR,{begin:"\\.[a-zA-Z][a-zA-Z0-9_-]*"+Nd,className:"selector-class"},{begin:"#[a-zA-Z][a-zA-Z0-9_-]*"+Nd,className:"selector-id"},{begin:"\\b("+td.join("|")+")"+Nd,className:"selector-tag"},{className:"selector-pseudo",begin:"&?:("+sd.join("|")+")"+Nd},{className:"selector-pseudo",begin:"&?:(:)?("+od.join("|")+")"+Nd},_d.ATTRIBUTE_SELECTOR_MODE,{className:"keyword",begin:/@media/,starts:{end:/[{;}]/,keywords:{$pattern:/[a-z-]+/,keyword:Ed,attribute:rd.join(" ")},contains:[_d.CSS_NUMBER_MODE]}},{className:"keyword",begin:"@((-(o|moz|ms|webkit)-)?("+Td.join("|")+"))\\b"},Ad,_d.CSS_NUMBER_MODE,{className:"function",begin:"^[a-zA-Z][a-zA-Z0-9_-]*\\(.*\\)",illegal:"[\\n]",returnBegin:!0,contains:[{className:"title",begin:"\\b[a-zA-Z][a-zA-Z0-9_-]*"},{className:"params",begin:/\(/,end:/\)/,contains:[_d.HEXCOLOR,Ad,ud.APOS_STRING_MODE,_d.CSS_NUMBER_MODE,ud.QUOTE_STRING_MODE]}]},_d.CSS_VARIABLE,{className:"attribute",begin:"\\b("+ld.join("|")+")\\b",starts:{end:/;|$/,contains:[_d.HEXCOLOR,Ad,ud.APOS_STRING_MODE,ud.QUOTE_STRING_MODE,_d.CSS_NUMBER_MODE,ud.C_BLOCK_COMMENT_MODE,_d.IMPORTANT,_d.FUNCTION_DISPATCH],illegal:/\./,relevance:0}},_d.FUNCTION_DISPATCH]}}return stylus_1=cd,stylus_1}var subunit_1,hasRequiredSubunit;function requireSubunit(){if(hasRequiredSubunit)return subunit_1;hasRequiredSubunit=1;function Ra(qa){return{name:"SubUnit",case_insensitive:!0,contains:[{className:"string",begin:`\\[ (multipart)?`,end:`\\] `},{className:"string",begin:"\\d{4}-\\d{2}-\\d{2}(\\s+)\\d{2}:\\d{2}:\\d{2}.\\d+Z"},{className:"string",begin:"(\\+|-)\\d+"},{className:"keyword",relevance:10,variants:[{begin:"^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\s+(test)?"},{begin:"^progress(:?)(\\s+)?(pop|push)?"},{begin:"^tags:"},{begin:"^time:"}]}]}}return subunit_1=Ra,subunit_1}var swift_1,hasRequiredSwift;function requireSwift(){if(hasRequiredSwift)return swift_1;hasRequiredSwift=1;function Ra(Qd){return Qd?typeof Qd=="string"?Qd:Qd.source:null}function qa(Qd){return ed("(?=",Qd,")")}function ed(...Qd){return Qd.map(zd=>Ra(zd)).join("")}function td(Qd){const Vd=Qd[Qd.length-1];return typeof Vd=="object"&&Vd.constructor===Object?(Qd.splice(Qd.length-1,1),Vd):{}}function rd(...Qd){return"("+(td(Qd).capture?"":"?:")+Qd.map(Xd=>Ra(Xd)).join("|")+")"}const sd=Qd=>ed(/\b/,Qd,/\w$/.test(Qd)?/\b/:/\B/),od=["Protocol","Type"].map(sd),ld=["init","self"].map(sd),cd=["Any","Self"],ud=["actor","any","associatedtype","async","await",/as\?/,/as!/,"as","borrowing","break","case","catch","class","consume","consuming","continue","convenience","copy","default","defer","deinit","didSet","distributed","do","dynamic","each","else","enum","extension","fallthrough",/fileprivate\(set\)/,"fileprivate","final","for","func","get","guard","if","import","indirect","infix",/init\?/,/init!/,"inout",/internal\(set\)/,"internal","in","is","isolated","nonisolated","lazy","let","macro","mutating","nonmutating",/open\(set\)/,"open","operator","optional","override","package","postfix","precedencegroup","prefix",/private\(set\)/,"private","protocol",/public\(set\)/,"public","repeat","required","rethrows","return","set","some","static","struct","subscript","super","switch","throws","throw",/try\?/,/try!/,"try","typealias",/unowned\(safe\)/,/unowned\(unsafe\)/,"unowned","var","weak","where","while","willSet"],_d=["false","nil","true"],Ed=["assignment","associativity","higherThan","left","lowerThan","none","right"],Ad=["#colorLiteral","#column","#dsohandle","#else","#elseif","#endif","#error","#file","#fileID","#fileLiteral","#filePath","#function","#if","#imageLiteral","#keyPath","#line","#selector","#sourceLocation","#warning"],Td=["abs","all","any","assert","assertionFailure","debugPrint","dump","fatalError","getVaList","isKnownUniquelyReferenced","max","min","numericCast","pointwiseMax","pointwiseMin","precondition","preconditionFailure","print","readLine","repeatElement","sequence","stride","swap","swift_unboxFromSwiftValueWithType","transcode","type","unsafeBitCast","unsafeDowncast","withExtendedLifetime","withUnsafeMutablePointer","withUnsafePointer","withVaList","withoutActuallyEscaping","zip"],Nd=rd(/[/=\-+!*%<>&|^~?]/,/[\u00A1-\u00A7]/,/[\u00A9\u00AB]/,/[\u00AC\u00AE]/,/[\u00B0\u00B1]/,/[\u00B6\u00BB\u00BF\u00D7\u00F7]/,/[\u2016-\u2017]/,/[\u2020-\u2027]/,/[\u2030-\u203E]/,/[\u2041-\u2053]/,/[\u2055-\u205E]/,/[\u2190-\u23FF]/,/[\u2500-\u2775]/,/[\u2794-\u2BFF]/,/[\u2E00-\u2E7F]/,/[\u3001-\u3003]/,/[\u3008-\u3020]/,/[\u3030]/),Rd=rd(Nd,/[\u0300-\u036F]/,/[\u1DC0-\u1DFF]/,/[\u20D0-\u20FF]/,/[\uFE00-\uFE0F]/,/[\uFE20-\uFE2F]/),Bd=ed(Nd,Rd,"*"),kd=rd(/[a-zA-Z_]/,/[\u00A8\u00AA\u00AD\u00AF\u00B2-\u00B5\u00B7-\u00BA]/,/[\u00BC-\u00BE\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u00FF]/,/[\u0100-\u02FF\u0370-\u167F\u1681-\u180D\u180F-\u1DBF]/,/[\u1E00-\u1FFF]/,/[\u200B-\u200D\u202A-\u202E\u203F-\u2040\u2054\u2060-\u206F]/,/[\u2070-\u20CF\u2100-\u218F\u2460-\u24FF\u2776-\u2793]/,/[\u2C00-\u2DFF\u2E80-\u2FFF]/,/[\u3004-\u3007\u3021-\u302F\u3031-\u303F\u3040-\uD7FF]/,/[\uF900-\uFD3D\uFD40-\uFDCF\uFDF0-\uFE1F\uFE30-\uFE44]/,/[\uFE47-\uFEFE\uFF00-\uFFFD]/),Od=rd(kd,/\d/,/[\u0300-\u036F\u1DC0-\u1DFF\u20D0-\u20FF\uFE20-\uFE2F]/),Md=ed(kd,Od,"*"),Pd=ed(/[A-Z]/,Od,"*"),Fd=["attached","autoclosure",ed(/convention\(/,rd("swift","block","c"),/\)/),"discardableResult","dynamicCallable","dynamicMemberLookup","escaping","freestanding","frozen","GKInspectable","IBAction","IBDesignable","IBInspectable","IBOutlet","IBSegueAction","inlinable","main","nonobjc","NSApplicationMain","NSCopying","NSManaged",ed(/objc\(/,Md,/\)/),"objc","objcMembers","propertyWrapper","requires_stored_property_inits","resultBuilder","Sendable","testable","UIApplicationMain","unchecked","unknown","usableFromInline","warn_unqualified_access"],Ud=["iOS","iOSApplicationExtension","macOS","macOSApplicationExtension","macCatalyst","macCatalystApplicationExtension","watchOS","watchOSApplicationExtension","tvOS","tvOSApplicationExtension","swift"];function Gd(Qd){const Vd={match:/\s+/,relevance:0},zd=Qd.COMMENT("/\\*","\\*/",{contains:["self"]}),Xd=[Qd.C_LINE_COMMENT_MODE,zd],df={match:[/\./,rd(...od,...ld)],className:{2:"keyword"}},mf={match:ed(/\./,rd(...ud)),relevance:0},_f=ud.filter(Tm=>typeof Tm=="string").concat(["_|0"]),hf=ud.filter(Tm=>typeof Tm!="string").concat(cd).map(sd),gf={variants:[{className:"keyword",match:rd(...hf,...ld)}]},yf={$pattern:rd(/\b\w+/,/#\w+/),keyword:_f.concat(Ad),literal:_d},Nf=[df,mf,gf],Pf={match:ed(/\./,rd(...Td)),relevance:0},Yf={className:"built_in",match:ed(/\b/,rd(...Td),/(?=\()/)},Uf=[Pf,Yf],Lf={match:/->/,relevance:0},xf={className:"operator",relevance:0,variants:[{match:Bd},{match:`\\.(\\.|${Rd})+`}]},wf=[Lf,xf],Jf="([0-9]_*)+",Qf="([0-9a-fA-F]_*)+",Ef={className:"number",relevance:0,variants:[{match:`\\b(${Jf})(\\.(${Jf}))?([eE][+-]?(${Jf}))?\\b`},{match:`\\b0x(${Qf})(\\.(${Qf}))?([pP][+-]?(${Jf}))?\\b`},{match:/\b0o([0-7]_*)+\b/},{match:/\b0b([01]_*)+\b/}]},bf=(Tm="")=>({className:"subst",variants:[{match:ed(/\\/,Tm,/[0\\tnr"']/)},{match:ed(/\\/,Tm,/u\{[0-9a-fA-F]{1,8}\}/)}]}),Bf=(Tm="")=>({className:"subst",match:ed(/\\/,Tm,/[\t ]*(?:[\r\n]|\r\n)/)}),Kf=(Tm="")=>({className:"subst",label:"interpol",begin:ed(/\\/,Tm,/\(/),end:/\)/}),nh=(Tm="")=>({begin:ed(Tm,/"""/),end:ed(/"""/,Tm),contains:[bf(Tm),Bf(Tm),Kf(Tm)]}),zf=(Tm="")=>({begin:ed(Tm,/"/),end:ed(/"/,Tm),contains:[bf(Tm),Kf(Tm)]}),$f={className:"string",variants:[nh(),nh("#"),nh("##"),nh("###"),zf(),zf("#"),zf("##"),zf("###")]},th=[Qd.BACKSLASH_ESCAPE,{begin:/\[/,end:/\]/,relevance:0,contains:[Qd.BACKSLASH_ESCAPE]}],hh={begin:/\/[^\s](?=[^/\n]*\/)/,end:/\//,contains:th},Xf=Tm=>{const um=ed(Tm,/\//),Wm=ed(/\//,Tm);return{begin:um,end:Wm,contains:[...th,{scope:"comment",begin:`#(?!.*${Wm})`,end:/$/}]}},Df={scope:"regexp",variants:[Xf("###"),Xf("##"),Xf("#"),hh]},Of={match:ed(/`/,Md,/`/)},Vf={className:"variable",match:/\$\d+/},dh={className:"variable",match:`\\$${Od}+`},bh=[Of,Vf,dh],oh={match:/(@|#(un)?)available/,scope:"keyword",starts:{contains:[{begin:/\(/,end:/\)/,keywords:Ud,contains:[...wf,Ef,$f]}]}},Ih={scope:"keyword",match:ed(/@/,rd(...Fd),qa(rd(/\(/,/\s+/)))},_h={scope:"meta",match:ed(/@/,Md)},Dh=[oh,Ih,_h],Xh={match:qa(/\b[A-Z]/),relevance:0,contains:[{className:"type",match:ed(/(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)/,Od,"+")},{className:"type",match:Pd,relevance:0},{match:/[?!]+/,relevance:0},{match:/\.\.\./,relevance:0},{match:ed(/\s+&\s+/,qa(Pd)),relevance:0}]},qh={begin://,keywords:yf,contains:[...Xd,...Nf,...Dh,Lf,Xh]};Xh.contains.push(qh);const sm={match:ed(Md,/\s*:/),keywords:"_|0",relevance:0},hm={begin:/\(/,end:/\)/,relevance:0,keywords:yf,contains:["self",sm,...Xd,Df,...Nf,...Uf,...wf,Ef,$f,...bh,...Dh,Xh]},ah={begin://,keywords:"repeat each",contains:[...Xd,Xh]},kf={begin:rd(qa(ed(Md,/\s*:/)),qa(ed(Md,/\s+/,Md,/\s*:/))),end:/:/,relevance:0,contains:[{className:"keyword",match:/\b_\b/},{className:"params",match:Md}]},If={begin:/\(/,end:/\)/,keywords:yf,contains:[kf,...Xd,...Nf,...wf,Ef,$f,...Dh,Xh,hm],endsParent:!0,illegal:/["']/},rh={match:[/(func|macro)/,/\s+/,rd(Of.match,Md,Bd)],className:{1:"keyword",3:"title.function"},contains:[ah,If,Vd],illegal:[/\[/,/%/]},ch={match:[/\b(?:subscript|init[?!]?)/,/\s*(?=[<(])/],className:{1:"keyword"},contains:[ah,If,Vd],illegal:/\[|%/},wh={match:[/operator/,/\s+/,Bd],className:{1:"keyword",3:"title"}},$h={begin:[/precedencegroup/,/\s+/,Pd],className:{1:"keyword",3:"title"},contains:[Xh],keywords:[...Ed,..._d],end:/}/},om={begin:[/(struct|protocol|class|extension|enum|actor)/,/\s+/,Md,/\s*/],beginScope:{1:"keyword",3:"title.class"},keywords:yf,contains:[ah,...Nf,{begin:/:/,end:/\{/,keywords:yf,contains:[{scope:"title.class.inherited",match:Pd},...Nf],relevance:0}]};for(const Tm of $f.variants){const um=Tm.contains.find(Fm=>Fm.label==="interpol");um.keywords=yf;const Wm=[...Nf,...Uf,...wf,Ef,$f,...bh];um.contains=[...Wm,{begin:/\(/,end:/\)/,contains:["self",...Wm]}]}return{name:"Swift",keywords:yf,contains:[...Xd,rh,ch,om,wh,$h,{beginKeywords:"import",end:/$/,contains:[...Xd],relevance:0},Df,...Nf,...Uf,...wf,Ef,$f,...bh,...Dh,Xh,hm]}}return swift_1=Gd,swift_1}var taggerscript_1,hasRequiredTaggerscript;function requireTaggerscript(){if(hasRequiredTaggerscript)return taggerscript_1;hasRequiredTaggerscript=1;function Ra(qa){return{name:"Tagger Script",contains:[{className:"comment",begin:/\$noop\(/,end:/\)/,contains:[{begin:/\\[()]/},{begin:/\(/,end:/\)/,contains:[{begin:/\\[()]/},"self"]}],relevance:10},{className:"keyword",begin:/\$[_a-zA-Z0-9]+(?=\()/},{className:"variable",begin:/%[_a-zA-Z0-9:]+%/},{className:"symbol",begin:/\\[\\nt$%,()]/},{className:"symbol",begin:/\\u[a-fA-F0-9]{4}/}]}}return taggerscript_1=Ra,taggerscript_1}var yaml_1,hasRequiredYaml;function requireYaml(){if(hasRequiredYaml)return yaml_1;hasRequiredYaml=1;function Ra(qa){const ed="true false yes no null",td="[\\w#;/?:@&=+$,.~*'()[\\]]+",rd={className:"attr",variants:[{begin:/\w[\w :()\./-]*:(?=[ \t]|$)/},{begin:/"\w[\w :()\./-]*":(?=[ \t]|$)/},{begin:/'\w[\w :()\./-]*':(?=[ \t]|$)/}]},sd={className:"template-variable",variants:[{begin:/\{\{/,end:/\}\}/},{begin:/%\{/,end:/\}/}]},od={className:"string",relevance:0,variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/\S+/}],contains:[qa.BACKSLASH_ESCAPE,sd]},ld=qa.inherit(od,{variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/[^\s,{}[\]]+/}]}),Ad={className:"number",begin:"\\b"+"[0-9]{4}(-[0-9][0-9]){0,2}"+"([Tt \\t][0-9][0-9]?(:[0-9][0-9]){2})?"+"(\\.[0-9]*)?"+"([ \\t])*(Z|[-+][0-9][0-9]?(:[0-9][0-9])?)?"+"\\b"},Td={end:",",endsWithParent:!0,excludeEnd:!0,keywords:ed,relevance:0},Nd={begin:/\{/,end:/\}/,contains:[Td],illegal:"\\n",relevance:0},Rd={begin:"\\[",end:"\\]",contains:[Td],illegal:"\\n",relevance:0},Bd=[rd,{className:"meta",begin:"^---\\s*$",relevance:10},{className:"string",begin:"[\\|>]([1-9]?[+-])?[ ]*\\n( +)[^ ][^\\n]*\\n(\\2[^\\n]+\\n?)*"},{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:"!\\w+!"+td},{className:"type",begin:"!<"+td+">"},{className:"type",begin:"!"+td},{className:"type",begin:"!!"+td},{className:"meta",begin:"&"+qa.UNDERSCORE_IDENT_RE+"$"},{className:"meta",begin:"\\*"+qa.UNDERSCORE_IDENT_RE+"$"},{className:"bullet",begin:"-(?=[ ]|$)",relevance:0},qa.HASH_COMMENT_MODE,{beginKeywords:ed,keywords:{literal:ed}},Ad,{className:"number",begin:qa.C_NUMBER_RE+"\\b",relevance:0},Nd,Rd,od],kd=[...Bd];return kd.pop(),kd.push(ld),Td.contains=kd,{name:"YAML",case_insensitive:!0,aliases:["yml"],contains:Bd}}return yaml_1=Ra,yaml_1}var tap_1,hasRequiredTap;function requireTap(){if(hasRequiredTap)return tap_1;hasRequiredTap=1;function Ra(qa){return{name:"Test Anything Protocol",case_insensitive:!0,contains:[qa.HASH_COMMENT_MODE,{className:"meta",variants:[{begin:"^TAP version (\\d+)$"},{begin:"^1\\.\\.(\\d+)$"}]},{begin:/---$/,end:"\\.\\.\\.$",subLanguage:"yaml",relevance:0},{className:"number",begin:" (\\d+) "},{className:"symbol",variants:[{begin:"^ok"},{begin:"^not ok"}]}]}}return tap_1=Ra,tap_1}var tcl_1,hasRequiredTcl;function requireTcl(){if(hasRequiredTcl)return tcl_1;hasRequiredTcl=1;function Ra(qa){const ed=qa.regex,td=/[a-zA-Z_][a-zA-Z0-9_]*/,rd={className:"number",variants:[qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE]};return{name:"Tcl",aliases:["tk"],keywords:["after","append","apply","array","auto_execok","auto_import","auto_load","auto_mkindex","auto_mkindex_old","auto_qualify","auto_reset","bgerror","binary","break","catch","cd","chan","clock","close","concat","continue","dde","dict","encoding","eof","error","eval","exec","exit","expr","fblocked","fconfigure","fcopy","file","fileevent","filename","flush","for","foreach","format","gets","glob","global","history","http","if","incr","info","interp","join","lappend|10","lassign|10","lindex|10","linsert|10","list","llength|10","load","lrange|10","lrepeat|10","lreplace|10","lreverse|10","lsearch|10","lset|10","lsort|10","mathfunc","mathop","memory","msgcat","namespace","open","package","parray","pid","pkg::create","pkg_mkIndex","platform","platform::shell","proc","puts","pwd","read","refchan","regexp","registry","regsub|10","rename","return","safe","scan","seek","set","socket","source","split","string","subst","switch","tcl_endOfWord","tcl_findLibrary","tcl_startOfNextWord","tcl_startOfPreviousWord","tcl_wordBreakAfter","tcl_wordBreakBefore","tcltest","tclvars","tell","time","tm","trace","unknown","unload","unset","update","uplevel","upvar","variable","vwait","while"],contains:[qa.COMMENT(";[ \\t]*#","$"),qa.COMMENT("^[ \\t]*#","$"),{beginKeywords:"proc",end:"[\\{]",excludeEnd:!0,contains:[{className:"title",begin:"[ \\t\\n\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"[ \\t\\n\\r]",endsWithParent:!0,excludeEnd:!0}]},{className:"variable",variants:[{begin:ed.concat(/\$/,ed.optional(/::/),td,"(::",td,")*")},{begin:"\\$\\{(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"\\}",contains:[rd]}]},{className:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null})]},rd]}}return tcl_1=Ra,tcl_1}var thrift_1,hasRequiredThrift;function requireThrift(){if(hasRequiredThrift)return thrift_1;hasRequiredThrift=1;function Ra(qa){const ed=["bool","byte","i16","i32","i64","double","string","binary"];return{name:"Thrift",keywords:{keyword:["namespace","const","typedef","struct","enum","service","exception","void","oneway","set","list","map","required","optional"],type:ed,literal:"true false"},contains:[qa.QUOTE_STRING_MODE,qa.NUMBER_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"struct enum service exception",end:/\{/,illegal:/\n/,contains:[qa.inherit(qa.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{begin:"\\b(set|list|map)\\s*<",keywords:{type:[...ed,"set","list","map"]},end:">",contains:["self"]}]}}return thrift_1=Ra,thrift_1}var tp_1,hasRequiredTp;function requireTp(){if(hasRequiredTp)return tp_1;hasRequiredTp=1;function Ra(qa){const ed={className:"number",begin:"[1-9][0-9]*",relevance:0},td={className:"symbol",begin:":[^\\]]+"},rd={className:"built_in",begin:"(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\[",end:"\\]",contains:["self",ed,td]},sd={className:"built_in",begin:"(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\[",end:"\\]",contains:["self",ed,qa.QUOTE_STRING_MODE,td]};return{name:"TP",keywords:{keyword:["ABORT","ACC","ADJUST","AND","AP_LD","BREAK","CALL","CNT","COL","CONDITION","CONFIG","DA","DB","DIV","DETECT","ELSE","END","ENDFOR","ERR_NUM","ERROR_PROG","FINE","FOR","GP","GUARD","INC","IF","JMP","LINEAR_MAX_SPEED","LOCK","MOD","MONITOR","OFFSET","Offset","OR","OVERRIDE","PAUSE","PREG","PTH","RT_LD","RUN","SELECT","SKIP","Skip","TA","TB","TO","TOOL_OFFSET","Tool_Offset","UF","UT","UFRAME_NUM","UTOOL_NUM","UNLOCK","WAIT","X","Y","Z","W","P","R","STRLEN","SUBSTR","FINDSTR","VOFFSET","PROG","ATTR","MN","POS"],literal:["ON","OFF","max_speed","LPOS","JPOS","ENABLE","DISABLE","START","STOP","RESET"]},contains:[rd,sd,{className:"keyword",begin:"/(PROG|ATTR|MN|POS|END)\\b"},{className:"keyword",begin:"(CALL|RUN|POINT_LOGIC|LBL)\\b"},{className:"keyword",begin:"\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)"},{className:"number",begin:"\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\b",relevance:0},qa.COMMENT("//","[;$]"),qa.COMMENT("!","[;$]"),qa.COMMENT("--eg:","$"),qa.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"'"},qa.C_NUMBER_MODE,{className:"variable",begin:"\\$[A-Za-z0-9_]+"}]}}return tp_1=Ra,tp_1}var twig_1,hasRequiredTwig;function requireTwig(){if(hasRequiredTwig)return twig_1;hasRequiredTwig=1;function Ra(qa){const ed=qa.regex,td=["absolute_url","asset|0","asset_version","attribute","block","constant","controller|0","country_timezones","csrf_token","cycle","date","dump","expression","form|0","form_end","form_errors","form_help","form_label","form_rest","form_row","form_start","form_widget","html_classes","include","is_granted","logout_path","logout_url","max","min","parent","path|0","random","range","relative_path","render","render_esi","source","template_from_string","url|0"],rd=["abs","abbr_class","abbr_method","batch","capitalize","column","convert_encoding","country_name","currency_name","currency_symbol","data_uri","date","date_modify","default","escape","file_excerpt","file_link","file_relative","filter","first","format","format_args","format_args_as_text","format_currency","format_date","format_datetime","format_file","format_file_from_text","format_number","format_time","html_to_markdown","humanize","inky_to_html","inline_css","join","json_encode","keys","language_name","last","length","locale_name","lower","map","markdown","markdown_to_html","merge","nl2br","number_format","raw","reduce","replace","reverse","round","slice","slug","sort","spaceless","split","striptags","timezone_name","title","trans","transchoice","trim","u|0","upper","url_encode","yaml_dump","yaml_encode"];let sd=["apply","autoescape","block","cache","deprecated","do","embed","extends","filter","flush","for","form_theme","from","if","import","include","macro","sandbox","set","stopwatch","trans","trans_default_domain","transchoice","use","verbatim","with"];sd=sd.concat(sd.map(Rd=>`end${Rd}`));const od={scope:"string",variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},ld={scope:"number",match:/\d+/},cd={begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[od,ld]},ud={beginKeywords:td.join(" "),keywords:{name:td},relevance:0,contains:[cd]},_d={match:/\|(?=[A-Za-z_]+:?)/,beginScope:"punctuation",relevance:0,contains:[{match:/[A-Za-z_]+:?/,keywords:rd}]},Ed=(Rd,{relevance:Bd})=>({beginScope:{1:"template-tag",3:"name"},relevance:Bd||2,endScope:"template-tag",begin:[/\{%/,/\s*/,ed.either(...Rd)],end:/%\}/,keywords:"in",contains:[_d,ud,od,ld]}),Ad=/[a-z_]+/,Td=Ed(sd,{relevance:2}),Nd=Ed([Ad],{relevance:1});return{name:"Twig",aliases:["craftcms"],case_insensitive:!0,subLanguage:"xml",contains:[qa.COMMENT(/\{#/,/#\}/),Td,Nd,{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:["self",_d,ud,od,ld]}]}}return twig_1=Ra,twig_1}var typescript_1,hasRequiredTypescript;function requireTypescript(){if(hasRequiredTypescript)return typescript_1;hasRequiredTypescript=1;const Ra="[A-Za-z$_][0-9A-Za-z$_]*",qa=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],ed=["true","false","null","undefined","NaN","Infinity"],td=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],rd=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],sd=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],od=["arguments","this","super","console","window","document","localStorage","sessionStorage","module","global"],ld=[].concat(sd,td,rd);function cd(_d){const Ed=_d.regex,Ad=(bf,{after:Bf})=>{const Kf="",end:""},Rd=/<[A-Za-z0-9\\._:-]+\s*\/>/,Bd={begin:/<[A-Za-z0-9\\._:-]+/,end:/\/[A-Za-z0-9\\._:-]+>|\/>/,isTrulyOpeningTag:(bf,Bf)=>{const Kf=bf[0].length+bf.index,nh=bf.input[Kf];if(nh==="<"||nh===","){Bf.ignoreMatch();return}nh===">"&&(Ad(bf,{after:Kf})||Bf.ignoreMatch());let zf;const $f=bf.input.substring(Kf);if(zf=$f.match(/^\s*=/)){Bf.ignoreMatch();return}if((zf=$f.match(/^\s+extends\s+/))&&zf.index===0){Bf.ignoreMatch();return}}},kd={$pattern:Ra,keyword:qa,literal:ed,built_in:ld,"variable.language":od},Od="[0-9](_?[0-9])*",Md=`\\.(${Od})`,Pd="0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*",Fd={className:"number",variants:[{begin:`(\\b(${Pd})((${Md})|\\.)?|(${Md}))[eE][+-]?(${Od})\\b`},{begin:`\\b(${Pd})\\b((${Md})\\b|\\.)?|(${Md})\\b`},{begin:"\\b(0|[1-9](_?[0-9])*)n\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*n?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*n?\\b"},{begin:"\\b0[0-7]+n?\\b"}],relevance:0},Ud={className:"subst",begin:"\\$\\{",end:"\\}",keywords:kd,contains:[]},Gd={begin:".?html`",end:"",starts:{end:"`",returnEnd:!1,contains:[_d.BACKSLASH_ESCAPE,Ud],subLanguage:"xml"}},Qd={begin:".?css`",end:"",starts:{end:"`",returnEnd:!1,contains:[_d.BACKSLASH_ESCAPE,Ud],subLanguage:"css"}},Vd={begin:".?gql`",end:"",starts:{end:"`",returnEnd:!1,contains:[_d.BACKSLASH_ESCAPE,Ud],subLanguage:"graphql"}},zd={className:"string",begin:"`",end:"`",contains:[_d.BACKSLASH_ESCAPE,Ud]},df={className:"comment",variants:[_d.COMMENT(/\/\*\*(?!\/)/,"\\*/",{relevance:0,contains:[{begin:"(?=@[A-Za-z]+)",relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"},{className:"type",begin:"\\{",end:"\\}",excludeEnd:!0,excludeBegin:!0,relevance:0},{className:"variable",begin:Td+"(?=\\s*(-)|$)",endsParent:!0,relevance:0},{begin:/(?=[^\n])\s/,relevance:0}]}]}),_d.C_BLOCK_COMMENT_MODE,_d.C_LINE_COMMENT_MODE]},mf=[_d.APOS_STRING_MODE,_d.QUOTE_STRING_MODE,Gd,Qd,Vd,zd,{match:/\$\d+/},Fd];Ud.contains=mf.concat({begin:/\{/,end:/\}/,keywords:kd,contains:["self"].concat(mf)});const _f=[].concat(df,Ud.contains),hf=_f.concat([{begin:/(\s*)\(/,end:/\)/,keywords:kd,contains:["self"].concat(_f)}]),gf={className:"params",begin:/(\s*)\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:kd,contains:hf},yf={variants:[{match:[/class/,/\s+/,Td,/\s+/,/extends/,/\s+/,Ed.concat(Td,"(",Ed.concat(/\./,Td),")*")],scope:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},{match:[/class/,/\s+/,Td],scope:{1:"keyword",3:"title.class"}}]},Nf={relevance:0,match:Ed.either(/\bJSON/,/\b[A-Z][a-z]+([A-Z][a-z]*|\d)*/,/\b[A-Z]{2,}([A-Z][a-z]+|\d)+([A-Z][a-z]*)*/,/\b[A-Z]{2,}[a-z]+([A-Z][a-z]+|\d)*([A-Z][a-z]*)*/),className:"title.class",keywords:{_:[...td,...rd]}},Pf={label:"use_strict",className:"meta",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},Yf={variants:[{match:[/function/,/\s+/,Td,/(?=\s*\()/]},{match:[/function/,/\s*(?=\()/]}],className:{1:"keyword",3:"title.function"},label:"func.def",contains:[gf],illegal:/%/},Uf={relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"};function Lf(bf){return Ed.concat("(?!",bf.join("|"),")")}const xf={match:Ed.concat(/\b/,Lf([...sd,"super","import"].map(bf=>`${bf}\\s*\\(`)),Td,Ed.lookahead(/\s*\(/)),className:"title.function",relevance:0},wf={begin:Ed.concat(/\./,Ed.lookahead(Ed.concat(Td,/(?![0-9A-Za-z$_(])/))),end:Td,excludeBegin:!0,keywords:"prototype",className:"property",relevance:0},Jf={match:[/get|set/,/\s+/,Td,/(?=\()/],className:{1:"keyword",3:"title.function"},contains:[{begin:/\(\)/},gf]},Qf="(\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)|"+_d.UNDERSCORE_IDENT_RE+")\\s*=>",Ef={match:[/const|var|let/,/\s+/,Td,/\s*/,/=\s*/,/(async\s*)?/,Ed.lookahead(Qf)],keywords:"async",className:{1:"keyword",3:"title.function"},contains:[gf]};return{name:"JavaScript",aliases:["js","jsx","mjs","cjs"],keywords:kd,exports:{PARAMS_CONTAINS:hf,CLASS_REFERENCE:Nf},illegal:/#(?![$_A-z])/,contains:[_d.SHEBANG({label:"shebang",binary:"node",relevance:5}),Pf,_d.APOS_STRING_MODE,_d.QUOTE_STRING_MODE,Gd,Qd,Vd,zd,df,{match:/\$\d+/},Fd,Nf,{className:"attr",begin:Td+Ed.lookahead(":"),relevance:0},Ef,{begin:"("+_d.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",relevance:0,contains:[df,_d.REGEXP_MODE,{className:"function",begin:Qf,returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:_d.UNDERSCORE_IDENT_RE,relevance:0},{className:null,begin:/\(\s*\)/,skip:!0},{begin:/(\s*)\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:kd,contains:hf}]}]},{begin:/,/,relevance:0},{match:/\s+/,relevance:0},{variants:[{begin:Nd.begin,end:Nd.end},{match:Rd},{begin:Bd.begin,"on:begin":Bd.isTrulyOpeningTag,end:Bd.end}],subLanguage:"xml",contains:[{begin:Bd.begin,end:Bd.end,skip:!0,contains:["self"]}]}]},Yf,{beginKeywords:"while if switch catch for"},{begin:"\\b(?!function)"+_d.UNDERSCORE_IDENT_RE+"\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)\\s*\\{",returnBegin:!0,label:"func.def",contains:[gf,_d.inherit(_d.TITLE_MODE,{begin:Td,className:"title.function"})]},{match:/\.\.\./,relevance:0},wf,{match:"\\$"+Td,relevance:0},{match:[/\bconstructor(?=\s*\()/],className:{1:"title.function"},contains:[gf]},xf,Uf,yf,Jf,{match:/\$[(.]/}]}}function ud(_d){const Ed=cd(_d),Ad=Ra,Td=["any","void","number","boolean","string","object","never","symbol","bigint","unknown"],Nd={begin:[/namespace/,/\s+/,_d.IDENT_RE],beginScope:{1:"keyword",3:"title.class"}},Rd={beginKeywords:"interface",end:/\{/,excludeEnd:!0,keywords:{keyword:"interface extends",built_in:Td},contains:[Ed.exports.CLASS_REFERENCE]},Bd={className:"meta",relevance:10,begin:/^\s*['"]use strict['"]/},kd=["type","interface","public","private","protected","implements","declare","abstract","readonly","enum","override","satisfies"],Od={$pattern:Ra,keyword:qa.concat(kd),literal:ed,built_in:ld.concat(Td),"variable.language":od},Md={className:"meta",begin:"@"+Ad},Pd=(Gd,Qd,Vd)=>{const zd=Gd.contains.findIndex(Xd=>Xd.label===Qd);if(zd===-1)throw new Error("can not find mode to replace");Gd.contains.splice(zd,1,Vd)};Object.assign(Ed.keywords,Od),Ed.exports.PARAMS_CONTAINS.push(Md);const Fd=Ed.contains.find(Gd=>Gd.className==="attr");Ed.exports.PARAMS_CONTAINS.push([Ed.exports.CLASS_REFERENCE,Fd]),Ed.contains=Ed.contains.concat([Md,Nd,Rd]),Pd(Ed,"shebang",_d.SHEBANG()),Pd(Ed,"use_strict",Bd);const Ud=Ed.contains.find(Gd=>Gd.label==="func.def");return Ud.relevance=0,Object.assign(Ed,{name:"TypeScript",aliases:["ts","tsx","mts","cts"]}),Ed}return typescript_1=ud,typescript_1}var vala_1,hasRequiredVala;function requireVala(){if(hasRequiredVala)return vala_1;hasRequiredVala=1;function Ra(qa){return{name:"Vala",keywords:{keyword:"char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override virtual delegate if while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var",built_in:"DBus GLib CCode Gee Object Gtk Posix",literal:"false true null"},contains:[{className:"class",beginKeywords:"class interface namespace",end:/\{/,excludeEnd:!0,illegal:"[^,:\\n\\s\\.]",contains:[qa.UNDERSCORE_TITLE_MODE]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"string",begin:'"""',end:'"""',relevance:5},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,{className:"meta",begin:"^#",end:"$"}]}}return vala_1=Ra,vala_1}var vbnet_1,hasRequiredVbnet;function requireVbnet(){if(hasRequiredVbnet)return vbnet_1;hasRequiredVbnet=1;function Ra(qa){const ed=qa.regex,td={className:"string",begin:/"(""|[^/n])"C\b/},rd={className:"string",begin:/"/,end:/"/,illegal:/\n/,contains:[{begin:/""/}]},sd=/\d{1,2}\/\d{1,2}\/\d{4}/,od=/\d{4}-\d{1,2}-\d{1,2}/,ld=/(\d|1[012])(:\d+){0,2} *(AM|PM)/,cd=/\d{1,2}(:\d{1,2}){1,2}/,ud={className:"literal",variants:[{begin:ed.concat(/# */,ed.either(od,sd),/ *#/)},{begin:ed.concat(/# */,cd,/ *#/)},{begin:ed.concat(/# */,ld,/ *#/)},{begin:ed.concat(/# */,ed.either(od,sd),/ +/,ed.either(ld,cd),/ *#/)}]},_d={className:"number",relevance:0,variants:[{begin:/\b\d[\d_]*((\.[\d_]+(E[+-]?[\d_]+)?)|(E[+-]?[\d_]+))[RFD@!#]?/},{begin:/\b\d[\d_]*((U?[SIL])|[%&])?/},{begin:/&H[\dA-F_]+((U?[SIL])|[%&])?/},{begin:/&O[0-7_]+((U?[SIL])|[%&])?/},{begin:/&B[01_]+((U?[SIL])|[%&])?/}]},Ed={className:"label",begin:/^\w+:/},Ad=qa.COMMENT(/'''/,/$/,{contains:[{className:"doctag",begin:/<\/?/,end:/>/}]}),Td=qa.COMMENT(null,/$/,{variants:[{begin:/'/},{begin:/([\t ]|^)REM(?=\s)/}]});return{name:"Visual Basic .NET",aliases:["vb"],case_insensitive:!0,classNameAliases:{label:"symbol"},keywords:{keyword:"addhandler alias aggregate ansi as async assembly auto binary by byref byval call case catch class compare const continue custom declare default delegate dim distinct do each equals else elseif end enum erase error event exit explicit finally for friend from function get global goto group handles if implements imports in inherits interface into iterator join key let lib loop me mid module mustinherit mustoverride mybase myclass namespace narrowing new next notinheritable notoverridable of off on operator option optional order overloads overridable overrides paramarray partial preserve private property protected public raiseevent readonly redim removehandler resume return select set shadows shared skip static step stop structure strict sub synclock take text then throw to try unicode until using when where while widening with withevents writeonly yield",built_in:"addressof and andalso await directcast gettype getxmlnamespace is isfalse isnot istrue like mod nameof new not or orelse trycast typeof xor cbool cbyte cchar cdate cdbl cdec cint clng cobj csbyte cshort csng cstr cuint culng cushort",type:"boolean byte char date decimal double integer long object sbyte short single string uinteger ulong ushort",literal:"true false nothing"},illegal:"//|\\{|\\}|endif|gosub|variant|wend|^\\$ ",contains:[td,rd,ud,_d,Ed,Ad,Td,{className:"meta",begin:/[\t ]*#(const|disable|else|elseif|enable|end|externalsource|if|region)\b/,end:/$/,keywords:{keyword:"const disable else elseif enable end externalsource if region then"},contains:[Td]}]}}return vbnet_1=Ra,vbnet_1}var vbscript_1,hasRequiredVbscript;function requireVbscript(){if(hasRequiredVbscript)return vbscript_1;hasRequiredVbscript=1;function Ra(qa){const ed=qa.regex,td=["lcase","month","vartype","instrrev","ubound","setlocale","getobject","rgb","getref","string","weekdayname","rnd","dateadd","monthname","now","day","minute","isarray","cbool","round","formatcurrency","conversions","csng","timevalue","second","year","space","abs","clng","timeserial","fixs","len","asc","isempty","maths","dateserial","atn","timer","isobject","filter","weekday","datevalue","ccur","isdate","instr","datediff","formatdatetime","replace","isnull","right","sgn","array","snumeric","log","cdbl","hex","chr","lbound","msgbox","ucase","getlocale","cos","cdate","cbyte","rtrim","join","hour","oct","typename","trim","strcomp","int","createobject","loadpicture","tan","formatnumber","mid","split","cint","sin","datepart","ltrim","sqr","time","derived","eval","date","formatpercent","exp","inputbox","left","ascw","chrw","regexp","cstr","err"],rd=["server","response","request","scriptengine","scriptenginebuildversion","scriptengineminorversion","scriptenginemajorversion"],sd={begin:ed.concat(ed.either(...td),"\\s*\\("),relevance:0,keywords:{built_in:td}};return{name:"VBScript",aliases:["vbs"],case_insensitive:!0,keywords:{keyword:["call","class","const","dim","do","loop","erase","execute","executeglobal","exit","for","each","next","function","if","then","else","on","error","option","explicit","new","private","property","let","get","public","randomize","redim","rem","select","case","set","stop","sub","while","wend","with","end","to","elseif","is","or","xor","and","not","class_initialize","class_terminate","default","preserve","in","me","byval","byref","step","resume","goto"],built_in:rd,literal:["true","false","null","nothing","empty"]},illegal:"//",contains:[sd,qa.inherit(qa.QUOTE_STRING_MODE,{contains:[{begin:'""'}]}),qa.COMMENT(/'/,/$/,{relevance:0}),qa.C_NUMBER_MODE]}}return vbscript_1=Ra,vbscript_1}var vbscriptHtml_1,hasRequiredVbscriptHtml;function requireVbscriptHtml(){if(hasRequiredVbscriptHtml)return vbscriptHtml_1;hasRequiredVbscriptHtml=1;function Ra(qa){return{name:"VBScript in HTML",subLanguage:"xml",contains:[{begin:"<%",end:"%>",subLanguage:"vbscript"}]}}return vbscriptHtml_1=Ra,vbscriptHtml_1}var verilog_1,hasRequiredVerilog;function requireVerilog(){if(hasRequiredVerilog)return verilog_1;hasRequiredVerilog=1;function Ra(qa){const ed=qa.regex,td={$pattern:/\$?[\w]+(\$[\w]+)*/,keyword:["accept_on","alias","always","always_comb","always_ff","always_latch","and","assert","assign","assume","automatic","before","begin","bind","bins","binsof","bit","break","buf|0","bufif0","bufif1","byte","case","casex","casez","cell","chandle","checker","class","clocking","cmos","config","const","constraint","context","continue","cover","covergroup","coverpoint","cross","deassign","default","defparam","design","disable","dist","do","edge","else","end","endcase","endchecker","endclass","endclocking","endconfig","endfunction","endgenerate","endgroup","endinterface","endmodule","endpackage","endprimitive","endprogram","endproperty","endspecify","endsequence","endtable","endtask","enum","event","eventually","expect","export","extends","extern","final","first_match","for","force","foreach","forever","fork","forkjoin","function","generate|5","genvar","global","highz0","highz1","if","iff","ifnone","ignore_bins","illegal_bins","implements","implies","import","incdir","include","initial","inout","input","inside","instance","int","integer","interconnect","interface","intersect","join","join_any","join_none","large","let","liblist","library","local","localparam","logic","longint","macromodule","matches","medium","modport","module","nand","negedge","nettype","new","nexttime","nmos","nor","noshowcancelled","not","notif0","notif1","or","output","package","packed","parameter","pmos","posedge","primitive","priority","program","property","protected","pull0","pull1","pulldown","pullup","pulsestyle_ondetect","pulsestyle_onevent","pure","rand","randc","randcase","randsequence","rcmos","real","realtime","ref","reg","reject_on","release","repeat","restrict","return","rnmos","rpmos","rtran","rtranif0","rtranif1","s_always","s_eventually","s_nexttime","s_until","s_until_with","scalared","sequence","shortint","shortreal","showcancelled","signed","small","soft","solve","specify","specparam","static","string","strong","strong0","strong1","struct","super","supply0","supply1","sync_accept_on","sync_reject_on","table","tagged","task","this","throughout","time","timeprecision","timeunit","tran","tranif0","tranif1","tri","tri0","tri1","triand","trior","trireg","type","typedef","union","unique","unique0","unsigned","until","until_with","untyped","use","uwire","var","vectored","virtual","void","wait","wait_order","wand","weak","weak0","weak1","while","wildcard","wire","with","within","wor","xnor","xor"],literal:["null"],built_in:["$finish","$stop","$exit","$fatal","$error","$warning","$info","$realtime","$time","$printtimescale","$bitstoreal","$bitstoshortreal","$itor","$signed","$cast","$bits","$stime","$timeformat","$realtobits","$shortrealtobits","$rtoi","$unsigned","$asserton","$assertkill","$assertpasson","$assertfailon","$assertnonvacuouson","$assertoff","$assertcontrol","$assertpassoff","$assertfailoff","$assertvacuousoff","$isunbounded","$sampled","$fell","$changed","$past_gclk","$fell_gclk","$changed_gclk","$rising_gclk","$steady_gclk","$coverage_control","$coverage_get","$coverage_save","$set_coverage_db_name","$rose","$stable","$past","$rose_gclk","$stable_gclk","$future_gclk","$falling_gclk","$changing_gclk","$display","$coverage_get_max","$coverage_merge","$get_coverage","$load_coverage_db","$typename","$unpacked_dimensions","$left","$low","$increment","$clog2","$ln","$log10","$exp","$sqrt","$pow","$floor","$ceil","$sin","$cos","$tan","$countbits","$onehot","$isunknown","$fatal","$warning","$dimensions","$right","$high","$size","$asin","$acos","$atan","$atan2","$hypot","$sinh","$cosh","$tanh","$asinh","$acosh","$atanh","$countones","$onehot0","$error","$info","$random","$dist_chi_square","$dist_erlang","$dist_exponential","$dist_normal","$dist_poisson","$dist_t","$dist_uniform","$q_initialize","$q_remove","$q_exam","$async$and$array","$async$nand$array","$async$or$array","$async$nor$array","$sync$and$array","$sync$nand$array","$sync$or$array","$sync$nor$array","$q_add","$q_full","$psprintf","$async$and$plane","$async$nand$plane","$async$or$plane","$async$nor$plane","$sync$and$plane","$sync$nand$plane","$sync$or$plane","$sync$nor$plane","$system","$display","$displayb","$displayh","$displayo","$strobe","$strobeb","$strobeh","$strobeo","$write","$readmemb","$readmemh","$writememh","$value$plusargs","$dumpvars","$dumpon","$dumplimit","$dumpports","$dumpportson","$dumpportslimit","$writeb","$writeh","$writeo","$monitor","$monitorb","$monitorh","$monitoro","$writememb","$dumpfile","$dumpoff","$dumpall","$dumpflush","$dumpportsoff","$dumpportsall","$dumpportsflush","$fclose","$fdisplay","$fdisplayb","$fdisplayh","$fdisplayo","$fstrobe","$fstrobeb","$fstrobeh","$fstrobeo","$swrite","$swriteb","$swriteh","$swriteo","$fscanf","$fread","$fseek","$fflush","$feof","$fopen","$fwrite","$fwriteb","$fwriteh","$fwriteo","$fmonitor","$fmonitorb","$fmonitorh","$fmonitoro","$sformat","$sformatf","$fgetc","$ungetc","$fgets","$sscanf","$rewind","$ftell","$ferror"]},rd=["__FILE__","__LINE__"],sd=["begin_keywords","celldefine","default_nettype","default_decay_time","default_trireg_strength","define","delay_mode_distributed","delay_mode_path","delay_mode_unit","delay_mode_zero","else","elsif","end_keywords","endcelldefine","endif","ifdef","ifndef","include","line","nounconnected_drive","pragma","resetall","timescale","unconnected_drive","undef","undefineall"];return{name:"Verilog",aliases:["v","sv","svh"],case_insensitive:!1,keywords:td,contains:[qa.C_BLOCK_COMMENT_MODE,qa.C_LINE_COMMENT_MODE,qa.QUOTE_STRING_MODE,{scope:"number",contains:[qa.BACKSLASH_ESCAPE],variants:[{begin:/\b((\d+'([bhodBHOD]))[0-9xzXZa-fA-F_]+)/},{begin:/\B(('([bhodBHOD]))[0-9xzXZa-fA-F_]+)/},{begin:/\b[0-9][0-9_]*/,relevance:0}]},{scope:"variable",variants:[{begin:"#\\((?!parameter).+\\)"},{begin:"\\.\\w+",relevance:0}]},{scope:"variable.constant",match:ed.concat(/`/,ed.either(...rd))},{scope:"meta",begin:ed.concat(/`/,ed.either(...sd)),end:/$|\/\/|\/\*/,returnEnd:!0,keywords:sd}]}}return verilog_1=Ra,verilog_1}var vhdl_1,hasRequiredVhdl;function requireVhdl(){if(hasRequiredVhdl)return vhdl_1;hasRequiredVhdl=1;function Ra(qa){const ed="\\d(_|\\d)*",td="[eE][-+]?"+ed,rd=ed+"(\\."+ed+")?("+td+")?",sd="\\w+",ld="\\b("+(ed+"#"+sd+"(\\."+sd+")?#("+td+")?")+"|"+rd+")";return{name:"VHDL",case_insensitive:!0,keywords:{keyword:["abs","access","after","alias","all","and","architecture","array","assert","assume","assume_guarantee","attribute","begin","block","body","buffer","bus","case","component","configuration","constant","context","cover","disconnect","downto","default","else","elsif","end","entity","exit","fairness","file","for","force","function","generate","generic","group","guarded","if","impure","in","inertial","inout","is","label","library","linkage","literal","loop","map","mod","nand","new","next","nor","not","null","of","on","open","or","others","out","package","parameter","port","postponed","procedure","process","property","protected","pure","range","record","register","reject","release","rem","report","restrict","restrict_guarantee","return","rol","ror","select","sequence","severity","shared","signal","sla","sll","sra","srl","strong","subtype","then","to","transport","type","unaffected","units","until","use","variable","view","vmode","vprop","vunit","wait","when","while","with","xnor","xor"],built_in:["boolean","bit","character","integer","time","delay_length","natural","positive","string","bit_vector","file_open_kind","file_open_status","std_logic","std_logic_vector","unsigned","signed","boolean_vector","integer_vector","std_ulogic","std_ulogic_vector","unresolved_unsigned","u_unsigned","unresolved_signed","u_signed","real_vector","time_vector"],literal:["false","true","note","warning","error","failure","line","text","side","width"]},illegal:/\{/,contains:[qa.C_BLOCK_COMMENT_MODE,qa.COMMENT("--","$"),qa.QUOTE_STRING_MODE,{className:"number",begin:ld,relevance:0},{className:"string",begin:"'(U|X|0|1|Z|W|L|H|-)'",contains:[qa.BACKSLASH_ESCAPE]},{className:"symbol",begin:"'[A-Za-z](_?[A-Za-z0-9])*",contains:[qa.BACKSLASH_ESCAPE]}]}}return vhdl_1=Ra,vhdl_1}var vim_1,hasRequiredVim;function requireVim(){if(hasRequiredVim)return vim_1;hasRequiredVim=1;function Ra(qa){return{name:"Vim Script",keywords:{$pattern:/[!#@\w]+/,keyword:"N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank",built_in:"synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv complete_check add getwinposx getqflist getwinposy screencol clearmatches empty extend getcmdpos mzeval garbagecollect setreg ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable shiftwidth max sinh isdirectory synID system inputrestore winline atan visualmode inputlist tabpagewinnr round getregtype mapcheck hasmapto histdel argidx findfile sha256 exists toupper getcmdline taglist string getmatches bufnr strftime winwidth bufexists strtrans tabpagebuflist setcmdpos remote_read printf setloclist getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval resolve libcallnr foldclosedend reverse filter has_key bufname str2float strlen setline getcharmod setbufvar index searchpos shellescape undofile foldclosed setqflist buflisted strchars str2nr virtcol floor remove undotree remote_expr winheight gettabwinvar reltime cursor tabpagenr finddir localtime acos getloclist search tanh matchend rename gettabvar strdisplaywidth type abs py3eval setwinvar tolower wildmenumode log10 spellsuggest bufloaded synconcealed nextnonblank server2client complete settabwinvar executable input wincol setmatches getftype hlID inputsave searchpair or screenrow line settabvar histadd deepcopy strpart remote_peek and eval getftime submatch screenchar winsaveview matchadd mkdir screenattr getfontname libcall reltimestr getfsize winnr invert pow getbufline byte2line soundfold repeat fnameescape tagfiles sin strwidth spellbadword trunc maparg log lispindent hostname setpos globpath remote_foreground getchar synIDattr fnamemodify cscope_connection stridx winbufnr indent min complete_add nr2char searchpairpos inputdialog values matchlist items hlexists strridx browsedir expand fmod pathshorten line2byte argc count getwinvar glob foldtextresult getreg foreground cosh matchdelete has char2nr simplify histget searchdecl iconv winrestcmd pumvisible writefile foldlevel haslocaldir keys cos matchstr foldtext histnr tan tempname getcwd byteidx getbufvar islocked escape eventhandler remote_send serverlist winrestview synstack pyeval prevnonblank readfile cindent filereadable changenr exp"},illegal:/;/,contains:[qa.NUMBER_MODE,{className:"string",begin:"'",end:"'",illegal:"\\n"},{className:"string",begin:/"(\\"|\n\\|[^"\n])*"/},qa.COMMENT('"',"$"),{className:"variable",begin:/[bwtglsav]:[\w\d_]+/},{begin:[/\b(?:function|function!)/,/\s+/,qa.IDENT_RE],className:{1:"keyword",3:"title"},end:"$",relevance:0,contains:[{className:"params",begin:"\\(",end:"\\)"}]},{className:"symbol",begin:/<[\w-]+>/}]}}return vim_1=Ra,vim_1}var wasm_1,hasRequiredWasm;function requireWasm(){if(hasRequiredWasm)return wasm_1;hasRequiredWasm=1;function Ra(qa){qa.regex;const ed=qa.COMMENT(/\(;/,/;\)/);ed.contains.push("self");const td=qa.COMMENT(/;;/,/$/),rd=["anyfunc","block","br","br_if","br_table","call","call_indirect","data","drop","elem","else","end","export","func","global.get","global.set","local.get","local.set","local.tee","get_global","get_local","global","if","import","local","loop","memory","memory.grow","memory.size","module","mut","nop","offset","param","result","return","select","set_global","set_local","start","table","tee_local","then","type","unreachable"],sd={begin:[/(?:func|call|call_indirect)/,/\s+/,/\$[^\s)]+/],className:{1:"keyword",3:"title.function"}},od={className:"variable",begin:/\$[\w_]+/},ld={match:/(\((?!;)|\))+/,className:"punctuation",relevance:0},cd={className:"number",relevance:0,match:/[+-]?\b(?:\d(?:_?\d)*(?:\.\d(?:_?\d)*)?(?:[eE][+-]?\d(?:_?\d)*)?|0x[\da-fA-F](?:_?[\da-fA-F])*(?:\.[\da-fA-F](?:_?[\da-fA-D])*)?(?:[pP][+-]?\d(?:_?\d)*)?)\b|\binf\b|\bnan(?::0x[\da-fA-F](?:_?[\da-fA-D])*)?\b/},ud={match:/(i32|i64|f32|f64)(?!\.)/,className:"type"},_d={className:"keyword",match:/\b(f32|f64|i32|i64)(?:\.(?:abs|add|and|ceil|clz|const|convert_[su]\/i(?:32|64)|copysign|ctz|demote\/f64|div(?:_[su])?|eqz?|extend_[su]\/i32|floor|ge(?:_[su])?|gt(?:_[su])?|le(?:_[su])?|load(?:(?:8|16|32)_[su])?|lt(?:_[su])?|max|min|mul|nearest|neg?|or|popcnt|promote\/f32|reinterpret\/[fi](?:32|64)|rem_[su]|rot[lr]|shl|shr_[su]|store(?:8|16|32)?|sqrt|sub|trunc(?:_[su]\/f(?:32|64))?|wrap\/i64|xor))\b/};return{name:"WebAssembly",keywords:{$pattern:/[\w.]+/,keyword:rd},contains:[td,ed,{match:[/(?:offset|align)/,/\s*/,/=/],className:{1:"keyword",3:"operator"}},od,ld,sd,qa.QUOTE_STRING_MODE,ud,_d,cd]}}return wasm_1=Ra,wasm_1}var wren_1,hasRequiredWren;function requireWren(){if(hasRequiredWren)return wren_1;hasRequiredWren=1;function Ra(qa){const ed=qa.regex,td=/[a-zA-Z]\w*/,rd=["as","break","class","construct","continue","else","for","foreign","if","import","in","is","return","static","var","while"],sd=["true","false","null"],od=["this","super"],ld=["Bool","Class","Fiber","Fn","List","Map","Null","Num","Object","Range","Sequence","String","System"],cd=["-","~",/\*/,"%",/\.\.\./,/\.\./,/\+/,"<<",">>",">=","<=","<",">",/\^/,/!=/,/!/,/\bis\b/,"==","&&","&",/\|\|/,/\|/,/\?:/,"="],ud={relevance:0,match:ed.concat(/\b(?!(if|while|for|else|super)\b)/,td,/(?=\s*[({])/),className:"title.function"},_d={match:ed.concat(ed.either(ed.concat(/\b(?!(if|while|for|else|super)\b)/,td),ed.either(...cd)),/(?=\s*\([^)]+\)\s*\{)/),className:"title.function",starts:{contains:[{begin:/\(/,end:/\)/,contains:[{relevance:0,scope:"params",match:td}]}]}},Ed={variants:[{match:[/class\s+/,td,/\s+is\s+/,td]},{match:[/class\s+/,td]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:rd},Ad={relevance:0,match:ed.either(...cd),className:"operator"},Td={className:"string",begin:/"""/,end:/"""/},Nd={className:"property",begin:ed.concat(/\./,ed.lookahead(td)),end:td,excludeBegin:!0,relevance:0},Rd={relevance:0,match:ed.concat(/\b_/,td),scope:"variable"},Bd={relevance:0,match:/\b[A-Z]+[a-z]+([A-Z]+[a-z]+)*/,scope:"title.class",keywords:{_:ld}},kd=qa.C_NUMBER_MODE,Od={match:[td,/\s*/,/=/,/\s*/,/\(/,td,/\)\s*\{/],scope:{1:"title.function",3:"operator",6:"params"}},Md=qa.COMMENT(/\/\*\*/,/\*\//,{contains:[{match:/@[a-z]+/,scope:"doctag"},"self"]}),Pd={scope:"subst",begin:/%\(/,end:/\)/,contains:[kd,Bd,ud,Rd,Ad]},Fd={scope:"string",begin:/"/,end:/"/,contains:[Pd,{scope:"char.escape",variants:[{match:/\\\\|\\["0%abefnrtv]/},{match:/\\x[0-9A-F]{2}/},{match:/\\u[0-9A-F]{4}/},{match:/\\U[0-9A-F]{8}/}]}]};Pd.contains.push(Fd);const Ud=[...rd,...od,...sd],Gd={relevance:0,match:ed.concat("\\b(?!",Ud.join("|"),"\\b)",/[a-zA-Z_]\w*(?:[?!]|\b)/),className:"variable"};return{name:"Wren",keywords:{keyword:rd,"variable.language":od,literal:sd},contains:[{scope:"comment",variants:[{begin:[/#!?/,/[A-Za-z_]+(?=\()/],beginScope:{},keywords:{literal:sd},contains:[],end:/\)/},{begin:[/#!?/,/[A-Za-z_]+/],beginScope:{},end:/$/}]},kd,Fd,Td,Md,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,Bd,Ed,Od,_d,ud,Ad,Rd,Nd,Gd]}}return wren_1=Ra,wren_1}var x86asm_1,hasRequiredX86asm;function requireX86asm(){if(hasRequiredX86asm)return x86asm_1;hasRequiredX86asm=1;function Ra(qa){return{name:"Intel x86 Assembly",case_insensitive:!0,keywords:{$pattern:"[.%]?"+qa.IDENT_RE,keyword:"lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63",built_in:"ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr",meta:"%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist __FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__"},contains:[qa.COMMENT(";","$",{relevance:0}),{className:"number",variants:[{begin:"\\b(?:([0-9][0-9_]*)?\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*(\\.[0-9_]*)?(?:[pP](?:[+-]?[0-9_]+)?)?)\\b",relevance:0},{begin:"\\$[0-9][0-9A-Fa-f]*",relevance:0},{begin:"\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\b"},{begin:"\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\b"}]},qa.QUOTE_STRING_MODE,{className:"string",variants:[{begin:"'",end:"[^\\\\]'"},{begin:"`",end:"[^\\\\]`"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)"},{begin:"^\\s*%%[A-Za-z0-9_$#@~.?]*:"}],relevance:0},{className:"subst",begin:"%[0-9]+",relevance:0},{className:"subst",begin:"%!S+",relevance:0},{className:"meta",begin:/^\s*\.[\w_-]+/}]}}return x86asm_1=Ra,x86asm_1}var xl_1,hasRequiredXl;function requireXl(){if(hasRequiredXl)return xl_1;hasRequiredXl=1;function Ra(qa){const ed=["if","then","else","do","while","until","for","loop","import","with","is","as","where","when","by","data","constant","integer","real","text","name","boolean","symbol","infix","prefix","postfix","block","tree"],td=["in","mod","rem","and","or","xor","not","abs","sign","floor","ceil","sqrt","sin","cos","tan","asin","acos","atan","exp","expm1","log","log2","log10","log1p","pi","at","text_length","text_range","text_find","text_replace","contains","page","slide","basic_slide","title_slide","title","subtitle","fade_in","fade_out","fade_at","clear_color","color","line_color","line_width","texture_wrap","texture_transform","texture","scale_?x","scale_?y","scale_?z?","translate_?x","translate_?y","translate_?z?","rotate_?x","rotate_?y","rotate_?z?","rectangle","circle","ellipse","sphere","path","line_to","move_to","quad_to","curve_to","theme","background","contents","locally","time","mouse_?x","mouse_?y","mouse_buttons"],rd=["ObjectLoader","Animate","MovieCredits","Slides","Filters","Shading","Materials","LensFlare","Mapping","VLCAudioVideo","StereoDecoder","PointCloud","NetworkAccess","RemoteControl","RegExp","ChromaKey","Snowfall","NodeJS","Speech","Charts"],od={$pattern:/[a-zA-Z][a-zA-Z0-9_?]*/,keyword:ed,literal:["true","false","nil"],built_in:td.concat(rd)},ld={className:"string",begin:'"',end:'"',illegal:"\\n"},cd={className:"string",begin:"'",end:"'",illegal:"\\n"},ud={className:"string",begin:"<<",end:">>"},_d={className:"number",begin:"[0-9]+#[0-9A-Z_]+(\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?"},Ed={beginKeywords:"import",end:"$",keywords:od,contains:[ld]},Ad={className:"function",begin:/[a-z][^\n]*->/,returnBegin:!0,end:/->/,contains:[qa.inherit(qa.TITLE_MODE,{starts:{endsWithParent:!0,keywords:od}})]};return{name:"XL",aliases:["tao"],keywords:od,contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,ld,cd,ud,Ad,Ed,_d,qa.NUMBER_MODE]}}return xl_1=Ra,xl_1}var xquery_1,hasRequiredXquery;function requireXquery(){if(hasRequiredXquery)return xquery_1;hasRequiredXquery=1;function Ra(qa){return{name:"XQuery",aliases:["xpath","xq","xqm"],case_insensitive:!1,illegal:/(proc)|(abstract)|(extends)|(until)|(#)/,keywords:{$pattern:/[a-zA-Z$][a-zA-Z0-9_:-]*/,keyword:["module","schema","namespace","boundary-space","preserve","no-preserve","strip","default","collation","base-uri","ordering","context","decimal-format","decimal-separator","copy-namespaces","empty-sequence","except","exponent-separator","external","grouping-separator","inherit","no-inherit","lax","minus-sign","per-mille","percent","schema-attribute","schema-element","strict","unordered","zero-digit","declare","import","option","function","validate","variable","for","at","in","let","where","order","group","by","return","if","then","else","tumbling","sliding","window","start","when","only","end","previous","next","stable","ascending","descending","allowing","empty","greatest","least","some","every","satisfies","switch","case","typeswitch","try","catch","and","or","to","union","intersect","instance","of","treat","as","castable","cast","map","array","delete","insert","into","replace","value","rename","copy","modify","update"],type:["item","document-node","node","attribute","document","element","comment","namespace","namespace-node","processing-instruction","text","construction","xs:anyAtomicType","xs:untypedAtomic","xs:duration","xs:time","xs:decimal","xs:float","xs:double","xs:gYearMonth","xs:gYear","xs:gMonthDay","xs:gMonth","xs:gDay","xs:boolean","xs:base64Binary","xs:hexBinary","xs:anyURI","xs:QName","xs:NOTATION","xs:dateTime","xs:dateTimeStamp","xs:date","xs:string","xs:normalizedString","xs:token","xs:language","xs:NMTOKEN","xs:Name","xs:NCName","xs:ID","xs:IDREF","xs:ENTITY","xs:integer","xs:nonPositiveInteger","xs:negativeInteger","xs:long","xs:int","xs:short","xs:byte","xs:nonNegativeInteger","xs:unisignedLong","xs:unsignedInt","xs:unsignedShort","xs:unsignedByte","xs:positiveInteger","xs:yearMonthDuration","xs:dayTimeDuration"],literal:["eq","ne","lt","le","gt","ge","is","self::","child::","descendant::","descendant-or-self::","attribute::","following::","following-sibling::","parent::","ancestor::","ancestor-or-self::","preceding::","preceding-sibling::","NaN"]},contains:[{className:"variable",begin:/[$][\w\-:]+/},{className:"built_in",variants:[{begin:/\barray:/,end:/(?:append|filter|flatten|fold-(?:left|right)|for-each(?:-pair)?|get|head|insert-before|join|put|remove|reverse|size|sort|subarray|tail)\b/},{begin:/\bmap:/,end:/(?:contains|entry|find|for-each|get|keys|merge|put|remove|size)\b/},{begin:/\bmath:/,end:/(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\b/},{begin:/\bop:/,end:/\(/,excludeEnd:!0},{begin:/\bfn:/,end:/\(/,excludeEnd:!0},{begin:/[^/,end:/(\/[\w._:-]+>)/,subLanguage:"xml",contains:[{begin:/\{/,end:/\}/,subLanguage:"xquery"},"self"]}]}}return xquery_1=Ra,xquery_1}var zephir_1,hasRequiredZephir;function requireZephir(){if(hasRequiredZephir)return zephir_1;hasRequiredZephir=1;function Ra(qa){const ed={className:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[qa.inherit(qa.APOS_STRING_MODE,{illegal:null}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null})]},td=qa.UNDERSCORE_TITLE_MODE,rd={variants:[qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE]},sd="namespace class interface use extends function return abstract final public protected private static deprecated throw try catch Exception echo empty isset instanceof unset let var new const self require if else elseif switch case default do while loop for continue break likely unlikely __LINE__ __FILE__ __DIR__ __FUNCTION__ __CLASS__ __TRAIT__ __METHOD__ __NAMESPACE__ array boolean float double integer object resource string char long unsigned bool int uint ulong uchar true false null undefined";return{name:"Zephir",aliases:["zep"],keywords:sd,contains:[qa.C_LINE_COMMENT_MODE,qa.COMMENT(/\/\*/,/\*\//,{contains:[{className:"doctag",begin:/@[A-Za-z]+/}]}),{className:"string",begin:/<<<['"]?\w+['"]?$/,end:/^\w+;/,contains:[qa.BACKSLASH_ESCAPE]},{begin:/(::|->)+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/},{className:"function",beginKeywords:"function fn",end:/[;{]/,excludeEnd:!0,illegal:/\$|\[|%/,contains:[td,{className:"params",begin:/\(/,end:/\)/,keywords:sd,contains:["self",qa.C_BLOCK_COMMENT_MODE,ed,rd]}]},{className:"class",beginKeywords:"class interface",end:/\{/,excludeEnd:!0,illegal:/[:($"]/,contains:[{beginKeywords:"extends implements"},td]},{beginKeywords:"namespace",end:/;/,illegal:/[.']/,contains:[td]},{beginKeywords:"use",end:/;/,contains:[td]},{begin:/=>/},ed,rd]}}return zephir_1=Ra,zephir_1}var hljs=core$1;hljs.registerLanguage("1c",require_1c());hljs.registerLanguage("abnf",requireAbnf());hljs.registerLanguage("accesslog",requireAccesslog());hljs.registerLanguage("actionscript",requireActionscript());hljs.registerLanguage("ada",requireAda());hljs.registerLanguage("angelscript",requireAngelscript());hljs.registerLanguage("apache",requireApache());hljs.registerLanguage("applescript",requireApplescript());hljs.registerLanguage("arcade",requireArcade());hljs.registerLanguage("arduino",requireArduino());hljs.registerLanguage("armasm",requireArmasm());hljs.registerLanguage("xml",requireXml$1());hljs.registerLanguage("asciidoc",requireAsciidoc());hljs.registerLanguage("aspectj",requireAspectj());hljs.registerLanguage("autohotkey",requireAutohotkey());hljs.registerLanguage("autoit",requireAutoit());hljs.registerLanguage("avrasm",requireAvrasm());hljs.registerLanguage("awk",requireAwk());hljs.registerLanguage("axapta",requireAxapta());hljs.registerLanguage("bash",requireBash());hljs.registerLanguage("basic",requireBasic());hljs.registerLanguage("bnf",requireBnf());hljs.registerLanguage("brainfuck",requireBrainfuck());hljs.registerLanguage("c",requireC());hljs.registerLanguage("cal",requireCal());hljs.registerLanguage("capnproto",requireCapnproto());hljs.registerLanguage("ceylon",requireCeylon());hljs.registerLanguage("clean",requireClean());hljs.registerLanguage("clojure",requireClojure());hljs.registerLanguage("clojure-repl",requireClojureRepl());hljs.registerLanguage("cmake",requireCmake());hljs.registerLanguage("coffeescript",requireCoffeescript());hljs.registerLanguage("coq",requireCoq());hljs.registerLanguage("cos",requireCos());hljs.registerLanguage("cpp",requireCpp());hljs.registerLanguage("crmsh",requireCrmsh());hljs.registerLanguage("crystal",requireCrystal());hljs.registerLanguage("csharp",requireCsharp());hljs.registerLanguage("csp",requireCsp());hljs.registerLanguage("css",requireCss$1());hljs.registerLanguage("d",requireD());hljs.registerLanguage("markdown",requireMarkdown());hljs.registerLanguage("dart",requireDart());hljs.registerLanguage("delphi",requireDelphi());hljs.registerLanguage("diff",requireDiff());hljs.registerLanguage("django",requireDjango());hljs.registerLanguage("dns",requireDns());hljs.registerLanguage("dockerfile",requireDockerfile());hljs.registerLanguage("dos",requireDos());hljs.registerLanguage("dsconfig",requireDsconfig());hljs.registerLanguage("dts",requireDts());hljs.registerLanguage("dust",requireDust());hljs.registerLanguage("ebnf",requireEbnf());hljs.registerLanguage("elixir",requireElixir());hljs.registerLanguage("elm",requireElm());hljs.registerLanguage("ruby",requireRuby());hljs.registerLanguage("erb",requireErb());hljs.registerLanguage("erlang-repl",requireErlangRepl());hljs.registerLanguage("erlang",requireErlang());hljs.registerLanguage("excel",requireExcel());hljs.registerLanguage("fix",requireFix());hljs.registerLanguage("flix",requireFlix());hljs.registerLanguage("fortran",requireFortran());hljs.registerLanguage("fsharp",requireFsharp());hljs.registerLanguage("gams",requireGams());hljs.registerLanguage("gauss",requireGauss());hljs.registerLanguage("gcode",requireGcode());hljs.registerLanguage("gherkin",requireGherkin());hljs.registerLanguage("glsl",requireGlsl());hljs.registerLanguage("gml",requireGml());hljs.registerLanguage("go",requireGo());hljs.registerLanguage("golo",requireGolo());hljs.registerLanguage("gradle",requireGradle());hljs.registerLanguage("graphql",requireGraphql());hljs.registerLanguage("groovy",requireGroovy());hljs.registerLanguage("haml",requireHaml());hljs.registerLanguage("handlebars",requireHandlebars());hljs.registerLanguage("haskell",requireHaskell());hljs.registerLanguage("haxe",requireHaxe());hljs.registerLanguage("hsp",requireHsp());hljs.registerLanguage("http",requireHttp());hljs.registerLanguage("hy",requireHy());hljs.registerLanguage("inform7",requireInform7());hljs.registerLanguage("ini",requireIni());hljs.registerLanguage("irpf90",requireIrpf90());hljs.registerLanguage("isbl",requireIsbl());hljs.registerLanguage("java",requireJava());hljs.registerLanguage("javascript",requireJavascript());hljs.registerLanguage("jboss-cli",requireJbossCli());hljs.registerLanguage("json",requireJson());hljs.registerLanguage("julia",requireJulia());hljs.registerLanguage("julia-repl",requireJuliaRepl());hljs.registerLanguage("kotlin",requireKotlin());hljs.registerLanguage("lasso",requireLasso());hljs.registerLanguage("latex",requireLatex());hljs.registerLanguage("ldif",requireLdif());hljs.registerLanguage("leaf",requireLeaf());hljs.registerLanguage("less",requireLess());hljs.registerLanguage("lisp",requireLisp());hljs.registerLanguage("livecodeserver",requireLivecodeserver());hljs.registerLanguage("livescript",requireLivescript());hljs.registerLanguage("llvm",requireLlvm());hljs.registerLanguage("lsl",requireLsl());hljs.registerLanguage("lua",requireLua());hljs.registerLanguage("makefile",requireMakefile());hljs.registerLanguage("mathematica",requireMathematica());hljs.registerLanguage("matlab",requireMatlab());hljs.registerLanguage("maxima",requireMaxima());hljs.registerLanguage("mel",requireMel());hljs.registerLanguage("mercury",requireMercury());hljs.registerLanguage("mipsasm",requireMipsasm());hljs.registerLanguage("mizar",requireMizar());hljs.registerLanguage("perl",requirePerl());hljs.registerLanguage("mojolicious",requireMojolicious());hljs.registerLanguage("monkey",requireMonkey());hljs.registerLanguage("moonscript",requireMoonscript());hljs.registerLanguage("n1ql",requireN1ql());hljs.registerLanguage("nestedtext",requireNestedtext());hljs.registerLanguage("nginx",requireNginx());hljs.registerLanguage("nim",requireNim());hljs.registerLanguage("nix",requireNix());hljs.registerLanguage("node-repl",requireNodeRepl());hljs.registerLanguage("nsis",requireNsis());hljs.registerLanguage("objectivec",requireObjectivec());hljs.registerLanguage("ocaml",requireOcaml());hljs.registerLanguage("openscad",requireOpenscad());hljs.registerLanguage("oxygene",requireOxygene());hljs.registerLanguage("parser3",requireParser3());hljs.registerLanguage("pf",requirePf());hljs.registerLanguage("pgsql",requirePgsql());hljs.registerLanguage("php",requirePhp());hljs.registerLanguage("php-template",requirePhpTemplate());hljs.registerLanguage("plaintext",requirePlaintext());hljs.registerLanguage("pony",requirePony());hljs.registerLanguage("powershell",requirePowershell());hljs.registerLanguage("processing",requireProcessing());hljs.registerLanguage("profile",requireProfile());hljs.registerLanguage("prolog",requireProlog());hljs.registerLanguage("properties",requireProperties());hljs.registerLanguage("protobuf",requireProtobuf());hljs.registerLanguage("puppet",requirePuppet());hljs.registerLanguage("purebasic",requirePurebasic());hljs.registerLanguage("python",requirePython());hljs.registerLanguage("python-repl",requirePythonRepl());hljs.registerLanguage("q",requireQ());hljs.registerLanguage("qml",requireQml());hljs.registerLanguage("r",requireR());hljs.registerLanguage("reasonml",requireReasonml());hljs.registerLanguage("rib",requireRib());hljs.registerLanguage("roboconf",requireRoboconf());hljs.registerLanguage("routeros",requireRouteros());hljs.registerLanguage("rsl",requireRsl());hljs.registerLanguage("ruleslanguage",requireRuleslanguage());hljs.registerLanguage("rust",requireRust());hljs.registerLanguage("sas",requireSas());hljs.registerLanguage("scala",requireScala());hljs.registerLanguage("scheme",requireScheme());hljs.registerLanguage("scilab",requireScilab());hljs.registerLanguage("scss",requireScss());hljs.registerLanguage("shell",requireShell());hljs.registerLanguage("smali",requireSmali());hljs.registerLanguage("smalltalk",requireSmalltalk());hljs.registerLanguage("sml",requireSml());hljs.registerLanguage("sqf",requireSqf());hljs.registerLanguage("sql",requireSql());hljs.registerLanguage("stan",requireStan());hljs.registerLanguage("stata",requireStata());hljs.registerLanguage("step21",requireStep21());hljs.registerLanguage("stylus",requireStylus());hljs.registerLanguage("subunit",requireSubunit());hljs.registerLanguage("swift",requireSwift());hljs.registerLanguage("taggerscript",requireTaggerscript());hljs.registerLanguage("yaml",requireYaml());hljs.registerLanguage("tap",requireTap());hljs.registerLanguage("tcl",requireTcl());hljs.registerLanguage("thrift",requireThrift());hljs.registerLanguage("tp",requireTp());hljs.registerLanguage("twig",requireTwig());hljs.registerLanguage("typescript",requireTypescript());hljs.registerLanguage("vala",requireVala());hljs.registerLanguage("vbnet",requireVbnet());hljs.registerLanguage("vbscript",requireVbscript());hljs.registerLanguage("vbscript-html",requireVbscriptHtml());hljs.registerLanguage("verilog",requireVerilog());hljs.registerLanguage("vhdl",requireVhdl());hljs.registerLanguage("vim",requireVim());hljs.registerLanguage("wasm",requireWasm());hljs.registerLanguage("wren",requireWren());hljs.registerLanguage("x86asm",requireX86asm());hljs.registerLanguage("xl",requireXl());hljs.registerLanguage("xquery",requireXquery());hljs.registerLanguage("zephir",requireZephir());hljs.HighlightJS=hljs;hljs.default=hljs;var lib$c=hljs;const HighlightJS=getDefaultExportFromCjs(lib$c),scriptRel="modulepreload",assetsURL=function(Ra){return"/md/"+Ra},seen={},__vitePreload=function Ra(qa,ed,td){let rd=Promise.resolve();if(ed&&ed.length>0){document.getElementsByTagName("link");const sd=document.querySelector("meta[property=csp-nonce]"),od=(sd==null?void 0:sd.nonce)||(sd==null?void 0:sd.getAttribute("nonce"));rd=Promise.all(ed.map(ld=>{if(ld=assetsURL(ld),ld in seen)return;seen[ld]=!0;const cd=ld.endsWith(".css"),ud=cd?'[rel="stylesheet"]':"";if(document.querySelector(`link[href="${ld}"]${ud}`))return;const _d=document.createElement("link");if(_d.rel=cd?"stylesheet":scriptRel,cd||(_d.as="script"),_d.crossOrigin="",_d.href=ld,od&&_d.setAttribute("nonce",od),document.head.appendChild(_d),cd)return new Promise((Ed,Ad)=>{_d.addEventListener("load",Ed),_d.addEventListener("error",()=>Ad(new Error(`Unable to preload CSS for ${ld}`)))})}))}return rd.then(()=>qa()).catch(sd=>{const od=new Event("vite:preloadError",{cancelable:!0});if(od.payload=sd,window.dispatchEvent(od),!od.defaultPrevented)throw sd})},Channel={min:{r:0,g:0,b:0,s:0,l:0,a:0},max:{r:255,g:255,b:255,h:360,s:100,l:100,a:1},clamp:{r:Ra=>Ra>=255?255:Ra<0?0:Ra,g:Ra=>Ra>=255?255:Ra<0?0:Ra,b:Ra=>Ra>=255?255:Ra<0?0:Ra,h:Ra=>Ra%360,s:Ra=>Ra>=100?100:Ra<0?0:Ra,l:Ra=>Ra>=100?100:Ra<0?0:Ra,a:Ra=>Ra>=1?1:Ra<0?0:Ra},toLinear:Ra=>{const qa=Ra/255;return Ra>.03928?Math.pow((qa+.055)/1.055,2.4):qa/12.92},hue2rgb:(Ra,qa,ed)=>(ed<0&&(ed+=1),ed>1&&(ed-=1),ed<1/6?Ra+(qa-Ra)*6*ed:ed<1/2?qa:ed<2/3?Ra+(qa-Ra)*(2/3-ed)*6:Ra),hsl2rgb:({h:Ra,s:qa,l:ed},td)=>{if(!qa)return ed*2.55;Ra/=360,qa/=100,ed/=100;const rd=ed<.5?ed*(1+qa):ed+qa-ed*qa,sd=2*ed-rd;switch(td){case"r":return Channel.hue2rgb(sd,rd,Ra+1/3)*255;case"g":return Channel.hue2rgb(sd,rd,Ra)*255;case"b":return Channel.hue2rgb(sd,rd,Ra-1/3)*255}},rgb2hsl:({r:Ra,g:qa,b:ed},td)=>{Ra/=255,qa/=255,ed/=255;const rd=Math.max(Ra,qa,ed),sd=Math.min(Ra,qa,ed),od=(rd+sd)/2;if(td==="l")return od*100;if(rd===sd)return 0;const ld=rd-sd,cd=od>.5?ld/(2-rd-sd):ld/(rd+sd);if(td==="s")return cd*100;switch(rd){case Ra:return((qa-ed)/ld+(qaqa>ed?Math.min(qa,Math.max(ed,Ra)):Math.min(ed,Math.max(qa,Ra)),round:Ra=>Math.round(Ra*1e10)/1e10},Unit={dec2hex:Ra=>{const qa=Math.round(Ra).toString(16);return qa.length>1?qa:`0${qa}`}},Utils={channel:Channel,lang:Lang$2,unit:Unit},DEC2HEX={};for(let Ra=0;Ra<=255;Ra++)DEC2HEX[Ra]=Utils.unit.dec2hex(Ra);const TYPE={ALL:0,RGB:1,HSL:2};class Type{constructor(){this.type=TYPE.ALL}get(){return this.type}set(qa){if(this.type&&this.type!==qa)throw new Error("Cannot change both RGB and HSL channels at the same time");this.type=qa}reset(){this.type=TYPE.ALL}is(qa){return this.type===qa}}class Channels{constructor(qa,ed){this.color=ed,this.changed=!1,this.data=qa,this.type=new Type}set(qa,ed){return this.color=ed,this.changed=!1,this.data=qa,this.type.type=TYPE.ALL,this}_ensureHSL(){const qa=this.data,{h:ed,s:td,l:rd}=qa;ed===void 0&&(qa.h=Utils.channel.rgb2hsl(qa,"h")),td===void 0&&(qa.s=Utils.channel.rgb2hsl(qa,"s")),rd===void 0&&(qa.l=Utils.channel.rgb2hsl(qa,"l"))}_ensureRGB(){const qa=this.data,{r:ed,g:td,b:rd}=qa;ed===void 0&&(qa.r=Utils.channel.hsl2rgb(qa,"r")),td===void 0&&(qa.g=Utils.channel.hsl2rgb(qa,"g")),rd===void 0&&(qa.b=Utils.channel.hsl2rgb(qa,"b"))}get r(){const qa=this.data,ed=qa.r;return!this.type.is(TYPE.HSL)&&ed!==void 0?ed:(this._ensureHSL(),Utils.channel.hsl2rgb(qa,"r"))}get g(){const qa=this.data,ed=qa.g;return!this.type.is(TYPE.HSL)&&ed!==void 0?ed:(this._ensureHSL(),Utils.channel.hsl2rgb(qa,"g"))}get b(){const qa=this.data,ed=qa.b;return!this.type.is(TYPE.HSL)&&ed!==void 0?ed:(this._ensureHSL(),Utils.channel.hsl2rgb(qa,"b"))}get h(){const qa=this.data,ed=qa.h;return!this.type.is(TYPE.RGB)&&ed!==void 0?ed:(this._ensureRGB(),Utils.channel.rgb2hsl(qa,"h"))}get s(){const qa=this.data,ed=qa.s;return!this.type.is(TYPE.RGB)&&ed!==void 0?ed:(this._ensureRGB(),Utils.channel.rgb2hsl(qa,"s"))}get l(){const qa=this.data,ed=qa.l;return!this.type.is(TYPE.RGB)&&ed!==void 0?ed:(this._ensureRGB(),Utils.channel.rgb2hsl(qa,"l"))}get a(){return this.data.a}set r(qa){this.type.set(TYPE.RGB),this.changed=!0,this.data.r=qa}set g(qa){this.type.set(TYPE.RGB),this.changed=!0,this.data.g=qa}set b(qa){this.type.set(TYPE.RGB),this.changed=!0,this.data.b=qa}set h(qa){this.type.set(TYPE.HSL),this.changed=!0,this.data.h=qa}set s(qa){this.type.set(TYPE.HSL),this.changed=!0,this.data.s=qa}set l(qa){this.type.set(TYPE.HSL),this.changed=!0,this.data.l=qa}set a(qa){this.changed=!0,this.data.a=qa}}const channels=new Channels({r:0,g:0,b:0,a:0},"transparent"),Hex={re:/^#((?:[a-f0-9]{2}){2,4}|[a-f0-9]{3})$/i,parse:Ra=>{if(Ra.charCodeAt(0)!==35)return;const qa=Ra.match(Hex.re);if(!qa)return;const ed=qa[1],td=parseInt(ed,16),rd=ed.length,sd=rd%4===0,od=rd>4,ld=od?1:17,cd=od?8:4,ud=sd?0:-1,_d=od?255:15;return channels.set({r:(td>>cd*(ud+3)&_d)*ld,g:(td>>cd*(ud+2)&_d)*ld,b:(td>>cd*(ud+1)&_d)*ld,a:sd?(td&_d)*ld/255:1},Ra)},stringify:Ra=>{const{r:qa,g:ed,b:td,a:rd}=Ra;return rd<1?`#${DEC2HEX[Math.round(qa)]}${DEC2HEX[Math.round(ed)]}${DEC2HEX[Math.round(td)]}${DEC2HEX[Math.round(rd*255)]}`:`#${DEC2HEX[Math.round(qa)]}${DEC2HEX[Math.round(ed)]}${DEC2HEX[Math.round(td)]}`}},HSL={re:/^hsla?\(\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e-?\d+)?(?:deg|grad|rad|turn)?)\s*?(?:,|\s)\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e-?\d+)?%)\s*?(?:,|\s)\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e-?\d+)?%)(?:\s*?(?:,|\/)\s*?\+?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e-?\d+)?(%)?))?\s*?\)$/i,hueRe:/^(.+?)(deg|grad|rad|turn)$/i,_hue2deg:Ra=>{const qa=Ra.match(HSL.hueRe);if(qa){const[,ed,td]=qa;switch(td){case"grad":return Utils.channel.clamp.h(parseFloat(ed)*.9);case"rad":return Utils.channel.clamp.h(parseFloat(ed)*180/Math.PI);case"turn":return Utils.channel.clamp.h(parseFloat(ed)*360)}}return Utils.channel.clamp.h(parseFloat(Ra))},parse:Ra=>{const qa=Ra.charCodeAt(0);if(qa!==104&&qa!==72)return;const ed=Ra.match(HSL.re);if(!ed)return;const[,td,rd,sd,od,ld]=ed;return channels.set({h:HSL._hue2deg(td),s:Utils.channel.clamp.s(parseFloat(rd)),l:Utils.channel.clamp.l(parseFloat(sd)),a:od?Utils.channel.clamp.a(ld?parseFloat(od)/100:parseFloat(od)):1},Ra)},stringify:Ra=>{const{h:qa,s:ed,l:td,a:rd}=Ra;return rd<1?`hsla(${Utils.lang.round(qa)}, ${Utils.lang.round(ed)}%, ${Utils.lang.round(td)}%, ${rd})`:`hsl(${Utils.lang.round(qa)}, ${Utils.lang.round(ed)}%, ${Utils.lang.round(td)}%)`}},Keyword={colors:{aliceblue:"#f0f8ff",antiquewhite:"#faebd7",aqua:"#00ffff",aquamarine:"#7fffd4",azure:"#f0ffff",beige:"#f5f5dc",bisque:"#ffe4c4",black:"#000000",blanchedalmond:"#ffebcd",blue:"#0000ff",blueviolet:"#8a2be2",brown:"#a52a2a",burlywood:"#deb887",cadetblue:"#5f9ea0",chartreuse:"#7fff00",chocolate:"#d2691e",coral:"#ff7f50",cornflowerblue:"#6495ed",cornsilk:"#fff8dc",crimson:"#dc143c",cyanaqua:"#00ffff",darkblue:"#00008b",darkcyan:"#008b8b",darkgoldenrod:"#b8860b",darkgray:"#a9a9a9",darkgreen:"#006400",darkgrey:"#a9a9a9",darkkhaki:"#bdb76b",darkmagenta:"#8b008b",darkolivegreen:"#556b2f",darkorange:"#ff8c00",darkorchid:"#9932cc",darkred:"#8b0000",darksalmon:"#e9967a",darkseagreen:"#8fbc8f",darkslateblue:"#483d8b",darkslategray:"#2f4f4f",darkslategrey:"#2f4f4f",darkturquoise:"#00ced1",darkviolet:"#9400d3",deeppink:"#ff1493",deepskyblue:"#00bfff",dimgray:"#696969",dimgrey:"#696969",dodgerblue:"#1e90ff",firebrick:"#b22222",floralwhite:"#fffaf0",forestgreen:"#228b22",fuchsia:"#ff00ff",gainsboro:"#dcdcdc",ghostwhite:"#f8f8ff",gold:"#ffd700",goldenrod:"#daa520",gray:"#808080",green:"#008000",greenyellow:"#adff2f",grey:"#808080",honeydew:"#f0fff0",hotpink:"#ff69b4",indianred:"#cd5c5c",indigo:"#4b0082",ivory:"#fffff0",khaki:"#f0e68c",lavender:"#e6e6fa",lavenderblush:"#fff0f5",lawngreen:"#7cfc00",lemonchiffon:"#fffacd",lightblue:"#add8e6",lightcoral:"#f08080",lightcyan:"#e0ffff",lightgoldenrodyellow:"#fafad2",lightgray:"#d3d3d3",lightgreen:"#90ee90",lightgrey:"#d3d3d3",lightpink:"#ffb6c1",lightsalmon:"#ffa07a",lightseagreen:"#20b2aa",lightskyblue:"#87cefa",lightslategray:"#778899",lightslategrey:"#778899",lightsteelblue:"#b0c4de",lightyellow:"#ffffe0",lime:"#00ff00",limegreen:"#32cd32",linen:"#faf0e6",magenta:"#ff00ff",maroon:"#800000",mediumaquamarine:"#66cdaa",mediumblue:"#0000cd",mediumorchid:"#ba55d3",mediumpurple:"#9370db",mediumseagreen:"#3cb371",mediumslateblue:"#7b68ee",mediumspringgreen:"#00fa9a",mediumturquoise:"#48d1cc",mediumvioletred:"#c71585",midnightblue:"#191970",mintcream:"#f5fffa",mistyrose:"#ffe4e1",moccasin:"#ffe4b5",navajowhite:"#ffdead",navy:"#000080",oldlace:"#fdf5e6",olive:"#808000",olivedrab:"#6b8e23",orange:"#ffa500",orangered:"#ff4500",orchid:"#da70d6",palegoldenrod:"#eee8aa",palegreen:"#98fb98",paleturquoise:"#afeeee",palevioletred:"#db7093",papayawhip:"#ffefd5",peachpuff:"#ffdab9",peru:"#cd853f",pink:"#ffc0cb",plum:"#dda0dd",powderblue:"#b0e0e6",purple:"#800080",rebeccapurple:"#663399",red:"#ff0000",rosybrown:"#bc8f8f",royalblue:"#4169e1",saddlebrown:"#8b4513",salmon:"#fa8072",sandybrown:"#f4a460",seagreen:"#2e8b57",seashell:"#fff5ee",sienna:"#a0522d",silver:"#c0c0c0",skyblue:"#87ceeb",slateblue:"#6a5acd",slategray:"#708090",slategrey:"#708090",snow:"#fffafa",springgreen:"#00ff7f",tan:"#d2b48c",teal:"#008080",thistle:"#d8bfd8",transparent:"#00000000",turquoise:"#40e0d0",violet:"#ee82ee",wheat:"#f5deb3",white:"#ffffff",whitesmoke:"#f5f5f5",yellow:"#ffff00",yellowgreen:"#9acd32"},parse:Ra=>{Ra=Ra.toLowerCase();const qa=Keyword.colors[Ra];if(qa)return Hex.parse(qa)},stringify:Ra=>{const qa=Hex.stringify(Ra);for(const ed in Keyword.colors)if(Keyword.colors[ed]===qa)return ed}},RGB={re:/^rgba?\(\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e\d+)?(%?))\s*?(?:,|\s)\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e\d+)?(%?))\s*?(?:,|\s)\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e\d+)?(%?))(?:\s*?(?:,|\/)\s*?\+?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e\d+)?(%?)))?\s*?\)$/i,parse:Ra=>{const qa=Ra.charCodeAt(0);if(qa!==114&&qa!==82)return;const ed=Ra.match(RGB.re);if(!ed)return;const[,td,rd,sd,od,ld,cd,ud,_d]=ed;return channels.set({r:Utils.channel.clamp.r(rd?parseFloat(td)*2.55:parseFloat(td)),g:Utils.channel.clamp.g(od?parseFloat(sd)*2.55:parseFloat(sd)),b:Utils.channel.clamp.b(cd?parseFloat(ld)*2.55:parseFloat(ld)),a:ud?Utils.channel.clamp.a(_d?parseFloat(ud)/100:parseFloat(ud)):1},Ra)},stringify:Ra=>{const{r:qa,g:ed,b:td,a:rd}=Ra;return rd<1?`rgba(${Utils.lang.round(qa)}, ${Utils.lang.round(ed)}, ${Utils.lang.round(td)}, ${Utils.lang.round(rd)})`:`rgb(${Utils.lang.round(qa)}, ${Utils.lang.round(ed)}, ${Utils.lang.round(td)})`}},Color$1={format:{keyword:Keyword,hex:Hex,rgb:RGB,rgba:RGB,hsl:HSL,hsla:HSL},parse:Ra=>{if(typeof Ra!="string")return Ra;const qa=Hex.parse(Ra)||RGB.parse(Ra)||HSL.parse(Ra)||Keyword.parse(Ra);if(qa)return qa;throw new Error(`Unsupported color format: "${Ra}"`)},stringify:Ra=>!Ra.changed&&Ra.color?Ra.color:Ra.type.is(TYPE.HSL)||Ra.data.r===void 0?HSL.stringify(Ra):Ra.a<1||!Number.isInteger(Ra.r)||!Number.isInteger(Ra.g)||!Number.isInteger(Ra.b)?RGB.stringify(Ra):Hex.stringify(Ra)},change=(Ra,qa)=>{const ed=Color$1.parse(Ra);for(const td in qa)ed[td]=Utils.channel.clamp[td](qa[td]);return Color$1.stringify(ed)},rgba$1=(Ra,qa,ed=0,td=1)=>{if(typeof Ra!="number")return change(Ra,{a:qa});const rd=channels.set({r:Utils.channel.clamp.r(Ra),g:Utils.channel.clamp.g(qa),b:Utils.channel.clamp.b(ed),a:Utils.channel.clamp.a(td)});return Color$1.stringify(rd)},luminance=Ra=>{const{r:qa,g:ed,b:td}=Color$1.parse(Ra),rd=.2126*Utils.channel.toLinear(qa)+.7152*Utils.channel.toLinear(ed)+.0722*Utils.channel.toLinear(td);return Utils.lang.round(rd)},isLight=Ra=>luminance(Ra)>=.5,isDark=Ra=>!isLight(Ra),adjustChannel=(Ra,qa,ed)=>{const td=Color$1.parse(Ra),rd=td[qa],sd=Utils.channel.clamp[qa](rd+ed);return rd!==sd&&(td[qa]=sd),Color$1.stringify(td)},lighten=(Ra,qa)=>adjustChannel(Ra,"l",qa),darken=(Ra,qa)=>adjustChannel(Ra,"l",-qa),adjust=(Ra,qa)=>{const ed=Color$1.parse(Ra),td={};for(const rd in qa)qa[rd]&&(td[rd]=ed[rd]+qa[rd]);return change(Ra,td)},mix=(Ra,qa,ed=50)=>{const{r:td,g:rd,b:sd,a:od}=Color$1.parse(Ra),{r:ld,g:cd,b:ud,a:_d}=Color$1.parse(qa),Ed=ed/100,Ad=Ed*2-1,Td=od-_d,Rd=((Ad*Td===-1?Ad:(Ad+Td)/(1+Ad*Td))+1)/2,Bd=1-Rd,kd=td*Rd+ld*Bd,Od=rd*Rd+cd*Bd,Md=sd*Rd+ud*Bd,Pd=od*Ed+_d*(1-Ed);return rgba$1(kd,Od,Md,Pd)},invert=(Ra,qa=100)=>{const ed=Color$1.parse(Ra);return ed.r=255-ed.r,ed.g=255-ed.g,ed.b=255-ed.b,mix(ed,Ra,qa)};/*! @license DOMPurify 3.1.6 | (c) Cure53 and other contributors | Released under the Apache license 2.0 and Mozilla Public License 2.0 | github.com/cure53/DOMPurify/blob/3.1.6/LICENSE */const{entries,setPrototypeOf,isFrozen,getPrototypeOf,getOwnPropertyDescriptor}=Object;let{freeze,seal,create:create$1}=Object,{apply,construct}=typeof Reflect<"u"&&Reflect;freeze||(freeze=function(qa){return qa});seal||(seal=function(qa){return qa});apply||(apply=function(qa,ed,td){return qa.apply(ed,td)});construct||(construct=function(qa,ed){return new qa(...ed)});const arrayForEach=unapply(Array.prototype.forEach),arrayPop=unapply(Array.prototype.pop),arrayPush=unapply(Array.prototype.push),stringToLowerCase=unapply(String.prototype.toLowerCase),stringToString=unapply(String.prototype.toString),stringMatch=unapply(String.prototype.match),stringReplace=unapply(String.prototype.replace),stringIndexOf=unapply(String.prototype.indexOf),stringTrim=unapply(String.prototype.trim),objectHasOwnProperty=unapply(Object.prototype.hasOwnProperty),regExpTest=unapply(RegExp.prototype.test),typeErrorCreate=unconstruct(TypeError);function unapply(Ra){return function(qa){for(var ed=arguments.length,td=new Array(ed>1?ed-1:0),rd=1;rd2&&arguments[2]!==void 0?arguments[2]:stringToLowerCase;setPrototypeOf&&setPrototypeOf(Ra,null);let td=qa.length;for(;td--;){let rd=qa[td];if(typeof rd=="string"){const sd=ed(rd);sd!==rd&&(isFrozen(qa)||(qa[td]=sd),rd=sd)}Ra[rd]=!0}return Ra}function cleanArray(Ra){for(let qa=0;qa/gm),TMPLIT_EXPR=seal(/\${[\w\W]*}/gm),DATA_ATTR=seal(/^data-[\-\w.\u00B7-\uFFFF]/),ARIA_ATTR=seal(/^aria-[\-\w]+$/),IS_ALLOWED_URI=seal(/^(?:(?:(?:f|ht)tps?|mailto|tel|callto|sms|cid|xmpp):|[^a-z]|[a-z+.\-]+(?:[^a-z+.\-:]|$))/i),IS_SCRIPT_OR_DATA=seal(/^(?:\w+script|data):/i),ATTR_WHITESPACE=seal(/[\u0000-\u0020\u00A0\u1680\u180E\u2000-\u2029\u205F\u3000]/g),DOCTYPE_NAME=seal(/^html$/i),CUSTOM_ELEMENT=seal(/^[a-z][.\w]*(-[.\w]+)+$/i);var EXPRESSIONS=Object.freeze({__proto__:null,MUSTACHE_EXPR,ERB_EXPR,TMPLIT_EXPR,DATA_ATTR,ARIA_ATTR,IS_ALLOWED_URI,IS_SCRIPT_OR_DATA,ATTR_WHITESPACE,DOCTYPE_NAME,CUSTOM_ELEMENT});const NODE_TYPE={element:1,attribute:2,text:3,cdataSection:4,entityReference:5,entityNode:6,progressingInstruction:7,comment:8,document:9,documentType:10,documentFragment:11,notation:12},getGlobal=function Ra(){return typeof window>"u"?null:window},_createTrustedTypesPolicy=function Ra(qa,ed){if(typeof qa!="object"||typeof qa.createPolicy!="function")return null;let td=null;const rd="data-tt-policy-suffix";ed&&ed.hasAttribute(rd)&&(td=ed.getAttribute(rd));const sd="dompurify"+(td?"#"+td:"");try{return qa.createPolicy(sd,{createHTML(od){return od},createScriptURL(od){return od}})}catch{return console.warn("TrustedTypes policy "+sd+" could not be created."),null}};function createDOMPurify(){let Ra=arguments.length>0&&arguments[0]!==void 0?arguments[0]:getGlobal();const qa=Rh=>createDOMPurify(Rh);if(qa.version="3.1.6",qa.removed=[],!Ra||!Ra.document||Ra.document.nodeType!==NODE_TYPE.document)return qa.isSupported=!1,qa;let{document:ed}=Ra;const td=ed,rd=td.currentScript,{DocumentFragment:sd,HTMLTemplateElement:od,Node:ld,Element:cd,NodeFilter:ud,NamedNodeMap:_d=Ra.NamedNodeMap||Ra.MozNamedAttrMap,HTMLFormElement:Ed,DOMParser:Ad,trustedTypes:Td}=Ra,Nd=cd.prototype,Rd=lookupGetter(Nd,"cloneNode"),Bd=lookupGetter(Nd,"remove"),kd=lookupGetter(Nd,"nextSibling"),Od=lookupGetter(Nd,"childNodes"),Md=lookupGetter(Nd,"parentNode");if(typeof od=="function"){const Rh=ed.createElement("template");Rh.content&&Rh.content.ownerDocument&&(ed=Rh.content.ownerDocument)}let Pd,Fd="";const{implementation:Ud,createNodeIterator:Gd,createDocumentFragment:Qd,getElementsByTagName:Vd}=ed,{importNode:zd}=td;let Xd={};qa.isSupported=typeof entries=="function"&&typeof Md=="function"&&Ud&&Ud.createHTMLDocument!==void 0;const{MUSTACHE_EXPR:df,ERB_EXPR:mf,TMPLIT_EXPR:_f,DATA_ATTR:hf,ARIA_ATTR:gf,IS_SCRIPT_OR_DATA:yf,ATTR_WHITESPACE:Nf,CUSTOM_ELEMENT:Pf}=EXPRESSIONS;let{IS_ALLOWED_URI:Yf}=EXPRESSIONS,Uf=null;const Lf=addToSet({},[...html$1$1,...svg$1,...svgFilters,...mathMl$1,...text]);let xf=null;const wf=addToSet({},[...html$2,...svg,...mathMl,...xml$1]);let Jf=Object.seal(create$1(null,{tagNameCheck:{writable:!0,configurable:!1,enumerable:!0,value:null},attributeNameCheck:{writable:!0,configurable:!1,enumerable:!0,value:null},allowCustomizedBuiltInElements:{writable:!0,configurable:!1,enumerable:!0,value:!1}})),Qf=null,Ef=null,bf=!0,Bf=!0,Kf=!1,nh=!0,zf=!1,$f=!0,th=!1,hh=!1,Xf=!1,Df=!1,Of=!1,Vf=!1,dh=!0,bh=!1;const oh="user-content-";let Ih=!0,_h=!1,Dh={},Xh=null;const qh=addToSet({},["annotation-xml","audio","colgroup","desc","foreignobject","head","iframe","math","mi","mn","mo","ms","mtext","noembed","noframes","noscript","plaintext","script","style","svg","template","thead","title","video","xmp"]);let sm=null;const hm=addToSet({},["audio","video","img","source","image","track"]);let ah=null;const kf=addToSet({},["alt","class","for","id","label","name","pattern","placeholder","role","summary","title","value","style","xmlns"]),If="http://www.w3.org/1998/Math/MathML",rh="http://www.w3.org/2000/svg",ch="http://www.w3.org/1999/xhtml";let wh=ch,$h=!1,om=null;const Tm=addToSet({},[If,rh,ch],stringToString);let um=null;const Wm=["application/xhtml+xml","text/html"],Fm="text/html";let Lm=null,e_=null;const F_=ed.createElement("form"),R_=function(gh){return gh instanceof RegExp||gh instanceof Function},w_=function(){let gh=arguments.length>0&&arguments[0]!==void 0?arguments[0]:{};if(!(e_&&e_===gh)){if((!gh||typeof gh!="object")&&(gh={}),gh=clone(gh),um=Wm.indexOf(gh.PARSER_MEDIA_TYPE)===-1?Fm:gh.PARSER_MEDIA_TYPE,Lm=um==="application/xhtml+xml"?stringToString:stringToLowerCase,Uf=objectHasOwnProperty(gh,"ALLOWED_TAGS")?addToSet({},gh.ALLOWED_TAGS,Lm):Lf,xf=objectHasOwnProperty(gh,"ALLOWED_ATTR")?addToSet({},gh.ALLOWED_ATTR,Lm):wf,om=objectHasOwnProperty(gh,"ALLOWED_NAMESPACES")?addToSet({},gh.ALLOWED_NAMESPACES,stringToString):Tm,ah=objectHasOwnProperty(gh,"ADD_URI_SAFE_ATTR")?addToSet(clone(kf),gh.ADD_URI_SAFE_ATTR,Lm):kf,sm=objectHasOwnProperty(gh,"ADD_DATA_URI_TAGS")?addToSet(clone(hm),gh.ADD_DATA_URI_TAGS,Lm):hm,Xh=objectHasOwnProperty(gh,"FORBID_CONTENTS")?addToSet({},gh.FORBID_CONTENTS,Lm):qh,Qf=objectHasOwnProperty(gh,"FORBID_TAGS")?addToSet({},gh.FORBID_TAGS,Lm):{},Ef=objectHasOwnProperty(gh,"FORBID_ATTR")?addToSet({},gh.FORBID_ATTR,Lm):{},Dh=objectHasOwnProperty(gh,"USE_PROFILES")?gh.USE_PROFILES:!1,bf=gh.ALLOW_ARIA_ATTR!==!1,Bf=gh.ALLOW_DATA_ATTR!==!1,Kf=gh.ALLOW_UNKNOWN_PROTOCOLS||!1,nh=gh.ALLOW_SELF_CLOSE_IN_ATTR!==!1,zf=gh.SAFE_FOR_TEMPLATES||!1,$f=gh.SAFE_FOR_XML!==!1,th=gh.WHOLE_DOCUMENT||!1,Df=gh.RETURN_DOM||!1,Of=gh.RETURN_DOM_FRAGMENT||!1,Vf=gh.RETURN_TRUSTED_TYPE||!1,Xf=gh.FORCE_BODY||!1,dh=gh.SANITIZE_DOM!==!1,bh=gh.SANITIZE_NAMED_PROPS||!1,Ih=gh.KEEP_CONTENT!==!1,_h=gh.IN_PLACE||!1,Yf=gh.ALLOWED_URI_REGEXP||IS_ALLOWED_URI,wh=gh.NAMESPACE||ch,Jf=gh.CUSTOM_ELEMENT_HANDLING||{},gh.CUSTOM_ELEMENT_HANDLING&&R_(gh.CUSTOM_ELEMENT_HANDLING.tagNameCheck)&&(Jf.tagNameCheck=gh.CUSTOM_ELEMENT_HANDLING.tagNameCheck),gh.CUSTOM_ELEMENT_HANDLING&&R_(gh.CUSTOM_ELEMENT_HANDLING.attributeNameCheck)&&(Jf.attributeNameCheck=gh.CUSTOM_ELEMENT_HANDLING.attributeNameCheck),gh.CUSTOM_ELEMENT_HANDLING&&typeof gh.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements=="boolean"&&(Jf.allowCustomizedBuiltInElements=gh.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements),zf&&(Bf=!1),Of&&(Df=!0),Dh&&(Uf=addToSet({},text),xf=[],Dh.html===!0&&(addToSet(Uf,html$1$1),addToSet(xf,html$2)),Dh.svg===!0&&(addToSet(Uf,svg$1),addToSet(xf,svg),addToSet(xf,xml$1)),Dh.svgFilters===!0&&(addToSet(Uf,svgFilters),addToSet(xf,svg),addToSet(xf,xml$1)),Dh.mathMl===!0&&(addToSet(Uf,mathMl$1),addToSet(xf,mathMl),addToSet(xf,xml$1))),gh.ADD_TAGS&&(Uf===Lf&&(Uf=clone(Uf)),addToSet(Uf,gh.ADD_TAGS,Lm)),gh.ADD_ATTR&&(xf===wf&&(xf=clone(xf)),addToSet(xf,gh.ADD_ATTR,Lm)),gh.ADD_URI_SAFE_ATTR&&addToSet(ah,gh.ADD_URI_SAFE_ATTR,Lm),gh.FORBID_CONTENTS&&(Xh===qh&&(Xh=clone(Xh)),addToSet(Xh,gh.FORBID_CONTENTS,Lm)),Ih&&(Uf["#text"]=!0),th&&addToSet(Uf,["html","head","body"]),Uf.table&&(addToSet(Uf,["tbody"]),delete Qf.tbody),gh.TRUSTED_TYPES_POLICY){if(typeof gh.TRUSTED_TYPES_POLICY.createHTML!="function")throw typeErrorCreate('TRUSTED_TYPES_POLICY configuration option must provide a "createHTML" hook.');if(typeof gh.TRUSTED_TYPES_POLICY.createScriptURL!="function")throw typeErrorCreate('TRUSTED_TYPES_POLICY configuration option must provide a "createScriptURL" hook.');Pd=gh.TRUSTED_TYPES_POLICY,Fd=Pd.createHTML("")}else Pd===void 0&&(Pd=_createTrustedTypesPolicy(Td,rd)),Pd!==null&&typeof Fd=="string"&&(Fd=Pd.createHTML(""));freeze&&freeze(gh),e_=gh}},Qm=addToSet({},["mi","mo","mn","ms","mtext"]),P_=addToSet({},["foreignobject","annotation-xml"]),V_=addToSet({},["title","style","font","a","script"]),Z_=addToSet({},[...svg$1,...svgFilters,...svgDisallowed]),t1=addToSet({},[...mathMl$1,...mathMlDisallowed]),j_=function(gh){let dm=Md(gh);(!dm||!dm.tagName)&&(dm={namespaceURI:wh,tagName:"template"});const cm=stringToLowerCase(gh.tagName),_m=stringToLowerCase(dm.tagName);return om[gh.namespaceURI]?gh.namespaceURI===rh?dm.namespaceURI===ch?cm==="svg":dm.namespaceURI===If?cm==="svg"&&(_m==="annotation-xml"||Qm[_m]):!!Z_[cm]:gh.namespaceURI===If?dm.namespaceURI===ch?cm==="math":dm.namespaceURI===rh?cm==="math"&&P_[_m]:!!t1[cm]:gh.namespaceURI===ch?dm.namespaceURI===rh&&!P_[_m]||dm.namespaceURI===If&&!Qm[_m]?!1:!t1[cm]&&(V_[cm]||!Z_[cm]):!!(um==="application/xhtml+xml"&&om[gh.namespaceURI]):!1},$_=function(gh){arrayPush(qa.removed,{element:gh});try{Md(gh).removeChild(gh)}catch{Bd(gh)}},Hm=function(gh,dm){try{arrayPush(qa.removed,{attribute:dm.getAttributeNode(gh),from:dm})}catch{arrayPush(qa.removed,{attribute:null,from:dm})}if(dm.removeAttribute(gh),gh==="is"&&!xf[gh])if(Df||Of)try{$_(dm)}catch{}else try{dm.setAttribute(gh,"")}catch{}},v_=function(gh){let dm=null,cm=null;if(Xf)gh=""+gh;else{const Mm=stringMatch(gh,/^[\r\n\t ]+/);cm=Mm&&Mm[0]}um==="application/xhtml+xml"&&wh===ch&&(gh=''+gh+"");const _m=Pd?Pd.createHTML(gh):gh;if(wh===ch)try{dm=new Ad().parseFromString(_m,um)}catch{}if(!dm||!dm.documentElement){dm=Ud.createDocument(wh,"template",null);try{dm.documentElement.innerHTML=$h?Fd:_m}catch{}}const Gf=dm.body||dm.documentElement;return gh&&cm&&Gf.insertBefore(ed.createTextNode(cm),Gf.childNodes[0]||null),wh===ch?Vd.call(dm,th?"html":"body")[0]:th?dm.documentElement:Gf},m1=function(gh){return Gd.call(gh.ownerDocument||gh,gh,ud.SHOW_ELEMENT|ud.SHOW_COMMENT|ud.SHOW_TEXT|ud.SHOW_PROCESSING_INSTRUCTION|ud.SHOW_CDATA_SECTION,null)},am=function(gh){return gh instanceof Ed&&(typeof gh.nodeName!="string"||typeof gh.textContent!="string"||typeof gh.removeChild!="function"||!(gh.attributes instanceof _d)||typeof gh.removeAttribute!="function"||typeof gh.setAttribute!="function"||typeof gh.namespaceURI!="string"||typeof gh.insertBefore!="function"||typeof gh.hasChildNodes!="function")},Af=function(gh){return typeof ld=="function"&&gh instanceof ld},ph=function(gh,dm,cm){Xd[gh]&&arrayForEach(Xd[gh],_m=>{_m.call(qa,dm,cm,e_)})},ih=function(gh){let dm=null;if(ph("beforeSanitizeElements",gh,null),am(gh))return $_(gh),!0;const cm=Lm(gh.nodeName);if(ph("uponSanitizeElement",gh,{tagName:cm,allowedTags:Uf}),gh.hasChildNodes()&&!Af(gh.firstElementChild)&®ExpTest(/<[/\w]/g,gh.innerHTML)&®ExpTest(/<[/\w]/g,gh.textContent)||gh.nodeType===NODE_TYPE.progressingInstruction||$f&&gh.nodeType===NODE_TYPE.comment&®ExpTest(/<[/\w]/g,gh.data))return $_(gh),!0;if(!Uf[cm]||Qf[cm]){if(!Qf[cm]&&Ah(cm)&&(Jf.tagNameCheck instanceof RegExp&®ExpTest(Jf.tagNameCheck,cm)||Jf.tagNameCheck instanceof Function&&Jf.tagNameCheck(cm)))return!1;if(Ih&&!Xh[cm]){const _m=Md(gh)||gh.parentNode,Gf=Od(gh)||gh.childNodes;if(Gf&&_m){const Mm=Gf.length;for(let g_=Mm-1;g_>=0;--g_){const m_=Rd(Gf[g_],!0);m_.__removalCount=(gh.__removalCount||0)+1,_m.insertBefore(m_,kd(gh))}}}return $_(gh),!0}return gh instanceof cd&&!j_(gh)||(cm==="noscript"||cm==="noembed"||cm==="noframes")&®ExpTest(/<\/no(script|embed|frames)/i,gh.innerHTML)?($_(gh),!0):(zf&&gh.nodeType===NODE_TYPE.text&&(dm=gh.textContent,arrayForEach([df,mf,_f],_m=>{dm=stringReplace(dm,_m," ")}),gh.textContent!==dm&&(arrayPush(qa.removed,{element:gh.cloneNode()}),gh.textContent=dm)),ph("afterSanitizeElements",gh,null),!1)},fh=function(gh,dm,cm){if(dh&&(dm==="id"||dm==="name")&&(cm in ed||cm in F_))return!1;if(!(Bf&&!Ef[dm]&®ExpTest(hf,dm))){if(!(bf&®ExpTest(gf,dm))){if(!xf[dm]||Ef[dm]){if(!(Ah(gh)&&(Jf.tagNameCheck instanceof RegExp&®ExpTest(Jf.tagNameCheck,gh)||Jf.tagNameCheck instanceof Function&&Jf.tagNameCheck(gh))&&(Jf.attributeNameCheck instanceof RegExp&®ExpTest(Jf.attributeNameCheck,dm)||Jf.attributeNameCheck instanceof Function&&Jf.attributeNameCheck(dm))||dm==="is"&&Jf.allowCustomizedBuiltInElements&&(Jf.tagNameCheck instanceof RegExp&®ExpTest(Jf.tagNameCheck,cm)||Jf.tagNameCheck instanceof Function&&Jf.tagNameCheck(cm))))return!1}else if(!ah[dm]){if(!regExpTest(Yf,stringReplace(cm,Nf,""))){if(!((dm==="src"||dm==="xlink:href"||dm==="href")&&gh!=="script"&&stringIndexOf(cm,"data:")===0&&sm[gh])){if(!(Kf&&!regExpTest(yf,stringReplace(cm,Nf,"")))){if(cm)return!1}}}}}}return!0},Ah=function(gh){return gh!=="annotation-xml"&&stringMatch(gh,Pf)},Ch=function(gh){ph("beforeSanitizeAttributes",gh,null);const{attributes:dm}=gh;if(!dm)return;const cm={attrName:"",attrValue:"",keepAttr:!0,allowedAttributes:xf};let _m=dm.length;for(;_m--;){const Gf=dm[_m],{name:Mm,namespaceURI:g_,value:m_}=Gf,s_=Lm(Mm);let Rm=Mm==="value"?m_:stringTrim(m_);if(cm.attrName=s_,cm.attrValue=Rm,cm.keepAttr=!0,cm.forceKeepAttr=void 0,ph("uponSanitizeAttribute",gh,cm),Rm=cm.attrValue,$f&®ExpTest(/((--!?|])>)|<\/(style|title)/i,Rm)){Hm(Mm,gh);continue}if(cm.forceKeepAttr||(Hm(Mm,gh),!cm.keepAttr))continue;if(!nh&®ExpTest(/\/>/i,Rm)){Hm(Mm,gh);continue}zf&&arrayForEach([df,mf,_f],p_=>{Rm=stringReplace(Rm,p_," ")});const T_=Lm(gh.nodeName);if(fh(T_,s_,Rm)){if(bh&&(s_==="id"||s_==="name")&&(Hm(Mm,gh),Rm=oh+Rm),Pd&&typeof Td=="object"&&typeof Td.getAttributeType=="function"&&!g_)switch(Td.getAttributeType(T_,s_)){case"TrustedHTML":{Rm=Pd.createHTML(Rm);break}case"TrustedScriptURL":{Rm=Pd.createScriptURL(Rm);break}}try{g_?gh.setAttributeNS(g_,Mm,Rm):gh.setAttribute(Mm,Rm),am(gh)?$_(gh):arrayPop(qa.removed)}catch{}}}ph("afterSanitizeAttributes",gh,null)},Bh=function Rh(gh){let dm=null;const cm=m1(gh);for(ph("beforeSanitizeShadowDOM",gh,null);dm=cm.nextNode();)ph("uponSanitizeShadowNode",dm,null),!ih(dm)&&(dm.content instanceof sd&&Rh(dm.content),Ch(dm));ph("afterSanitizeShadowDOM",gh,null)};return qa.sanitize=function(Rh){let gh=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{},dm=null,cm=null,_m=null,Gf=null;if($h=!Rh,$h&&(Rh=""),typeof Rh!="string"&&!Af(Rh))if(typeof Rh.toString=="function"){if(Rh=Rh.toString(),typeof Rh!="string")throw typeErrorCreate("dirty is not a string, aborting")}else throw typeErrorCreate("toString is not a function");if(!qa.isSupported)return Rh;if(hh||w_(gh),qa.removed=[],typeof Rh=="string"&&(_h=!1),_h){if(Rh.nodeName){const m_=Lm(Rh.nodeName);if(!Uf[m_]||Qf[m_])throw typeErrorCreate("root node is forbidden and cannot be sanitized in-place")}}else if(Rh instanceof ld)dm=v_(""),cm=dm.ownerDocument.importNode(Rh,!0),cm.nodeType===NODE_TYPE.element&&cm.nodeName==="BODY"||cm.nodeName==="HTML"?dm=cm:dm.appendChild(cm);else{if(!Df&&!zf&&!th&&Rh.indexOf("<")===-1)return Pd&&Vf?Pd.createHTML(Rh):Rh;if(dm=v_(Rh),!dm)return Df?null:Vf?Fd:""}dm&&Xf&&$_(dm.firstChild);const Mm=m1(_h?Rh:dm);for(;_m=Mm.nextNode();)ih(_m)||(_m.content instanceof sd&&Bh(_m.content),Ch(_m));if(_h)return Rh;if(Df){if(Of)for(Gf=Qd.call(dm.ownerDocument);dm.firstChild;)Gf.appendChild(dm.firstChild);else Gf=dm;return(xf.shadowroot||xf.shadowrootmode)&&(Gf=zd.call(td,Gf,!0)),Gf}let g_=th?dm.outerHTML:dm.innerHTML;return th&&Uf["!doctype"]&&dm.ownerDocument&&dm.ownerDocument.doctype&&dm.ownerDocument.doctype.name&®ExpTest(DOCTYPE_NAME,dm.ownerDocument.doctype.name)&&(g_=" `+g_),zf&&arrayForEach([df,mf,_f],m_=>{g_=stringReplace(g_,m_," ")}),Pd&&Vf?Pd.createHTML(g_):g_},qa.setConfig=function(){let Rh=arguments.length>0&&arguments[0]!==void 0?arguments[0]:{};w_(Rh),hh=!0},qa.clearConfig=function(){e_=null,hh=!1},qa.isValidAttribute=function(Rh,gh,dm){e_||w_({});const cm=Lm(Rh),_m=Lm(gh);return fh(cm,_m,dm)},qa.addHook=function(Rh,gh){typeof gh=="function"&&(Xd[Rh]=Xd[Rh]||[],arrayPush(Xd[Rh],gh))},qa.removeHook=function(Rh){if(Xd[Rh])return arrayPop(Xd[Rh])},qa.removeHooks=function(Rh){Xd[Rh]&&(Xd[Rh]=[])},qa.removeAllHooks=function(){Xd={}},qa}var purify=createDOMPurify(),__defProp=Object.defineProperty,__name=(Ra,qa)=>__defProp(Ra,"name",{value:qa,configurable:!0}),__export=(Ra,qa)=>{for(var ed in qa)__defProp(Ra,ed,{get:qa[ed],enumerable:!0})},LEVELS={trace:0,debug:1,info:2,warn:3,error:4,fatal:5},log={trace:__name((...Ra)=>{},"trace"),debug:__name((...Ra)=>{},"debug"),info:__name((...Ra)=>{},"info"),warn:__name((...Ra)=>{},"warn"),error:__name((...Ra)=>{},"error"),fatal:__name((...Ra)=>{},"fatal")},setLogLevel=__name(function(Ra="fatal"){let qa=LEVELS.fatal;typeof Ra=="string"?Ra.toLowerCase()in LEVELS&&(qa=LEVELS[Ra]):typeof Ra=="number"&&(qa=Ra),log.trace=()=>{},log.debug=()=>{},log.info=()=>{},log.warn=()=>{},log.error=()=>{},log.fatal=()=>{},qa<=LEVELS.fatal&&(log.fatal=console.error?console.error.bind(console,format("FATAL"),"color: orange"):console.log.bind(console,"\x1B[35m",format("FATAL"))),qa<=LEVELS.error&&(log.error=console.error?console.error.bind(console,format("ERROR"),"color: orange"):console.log.bind(console,"\x1B[31m",format("ERROR"))),qa<=LEVELS.warn&&(log.warn=console.warn?console.warn.bind(console,format("WARN"),"color: orange"):console.log.bind(console,"\x1B[33m",format("WARN"))),qa<=LEVELS.info&&(log.info=console.info?console.info.bind(console,format("INFO"),"color: lightblue"):console.log.bind(console,"\x1B[34m",format("INFO"))),qa<=LEVELS.debug&&(log.debug=console.debug?console.debug.bind(console,format("DEBUG"),"color: lightgreen"):console.log.bind(console,"\x1B[32m",format("DEBUG"))),qa<=LEVELS.trace&&(log.trace=console.debug?console.debug.bind(console,format("TRACE"),"color: lightgreen"):console.log.bind(console,"\x1B[32m",format("TRACE")))},"setLogLevel"),format=__name(Ra=>`%c${dayjs2().format("ss.SSS")} : ${Ra} : `,"format"),frontMatterRegex=/^-{3}\s*[\n\r](.*?)[\n\r]-{3}\s*[\n\r]+/s,directiveRegex=/%{2}{\s*(?:(\w+)\s*:|(\w+))\s*(?:(\w+)|((?:(?!}%{2}).|\r?\n)*))?\s*(?:}%{2})?/gi,anyCommentRegex=/\s*%%.*\n/gm,Cy,UnknownDiagramError=(Cy=class extends Error{constructor(qa){super(qa),this.name="UnknownDiagramError"}},__name(Cy,"UnknownDiagramError"),Cy),detectors={},detectType=__name(function(Ra,qa){Ra=Ra.replace(frontMatterRegex,"").replace(directiveRegex,"").replace(anyCommentRegex,` `);for(const[ed,{detector:td}]of Object.entries(detectors))if(td(Ra,qa))return ed;throw new UnknownDiagramError(`No diagram type detected matching given configuration for text: ${Ra}`)},"detectType"),registerLazyLoadedDiagrams=__name((...Ra)=>{for(const{id:qa,detector:ed,loader:td}of Ra)addDetector(qa,ed,td)},"registerLazyLoadedDiagrams"),addDetector=__name((Ra,qa,ed)=>{detectors[Ra]&&log.warn(`Detector with key ${Ra} already exists. Overwriting.`),detectors[Ra]={detector:qa,loader:ed},log.debug(`Detector with key ${Ra} added${ed?" with loader":""}`)},"addDetector"),getDiagramLoader=__name(Ra=>detectors[Ra].loader,"getDiagramLoader"),assignWithDepth=__name((Ra,qa,{depth:ed=2,clobber:td=!1}={})=>{const rd={depth:ed,clobber:td};return Array.isArray(qa)&&!Array.isArray(Ra)?(qa.forEach(sd=>assignWithDepth(Ra,sd,rd)),Ra):Array.isArray(qa)&&Array.isArray(Ra)?(qa.forEach(sd=>{Ra.includes(sd)||Ra.push(sd)}),Ra):Ra===void 0||ed<=0?Ra!=null&&typeof Ra=="object"&&typeof qa=="object"?Object.assign(Ra,qa):qa:(qa!==void 0&&typeof Ra=="object"&&typeof qa=="object"&&Object.keys(qa).forEach(sd=>{typeof qa[sd]=="object"&&(Ra[sd]===void 0||typeof Ra[sd]=="object")?(Ra[sd]===void 0&&(Ra[sd]=Array.isArray(qa[sd])?[]:{}),Ra[sd]=assignWithDepth(Ra[sd],qa[sd],{depth:ed-1,clobber:td})):(td||typeof Ra[sd]!="object"&&typeof qa[sd]!="object")&&(Ra[sd]=qa[sd])}),Ra)},"assignWithDepth"),assignWithDepth_default=assignWithDepth,oldAttributeBackgroundColorOdd="#ffffff",oldAttributeBackgroundColorEven="#f2f2f2",mkBorder=__name((Ra,qa)=>qa?adjust(Ra,{s:-40,l:10}):adjust(Ra,{s:-40,l:-10}),"mkBorder"),Dy,Theme=(Dy=class{constructor(){this.background="#f4f4f4",this.primaryColor="#fff4dd",this.noteBkgColor="#fff5ad",this.noteTextColor="#333",this.THEME_COLOR_LIMIT=12,this.fontFamily='"trebuchet ms", verdana, arial, sans-serif',this.fontSize="16px"}updateColors(){var ed,td,rd,sd,od,ld,cd,ud,_d,Ed,Ad;if(this.primaryTextColor=this.primaryTextColor||(this.darkMode?"#eee":"#333"),this.secondaryColor=this.secondaryColor||adjust(this.primaryColor,{h:-120}),this.tertiaryColor=this.tertiaryColor||adjust(this.primaryColor,{h:180,l:5}),this.primaryBorderColor=this.primaryBorderColor||mkBorder(this.primaryColor,this.darkMode),this.secondaryBorderColor=this.secondaryBorderColor||mkBorder(this.secondaryColor,this.darkMode),this.tertiaryBorderColor=this.tertiaryBorderColor||mkBorder(this.tertiaryColor,this.darkMode),this.noteBorderColor=this.noteBorderColor||mkBorder(this.noteBkgColor,this.darkMode),this.noteBkgColor=this.noteBkgColor||"#fff5ad",this.noteTextColor=this.noteTextColor||"#333",this.secondaryTextColor=this.secondaryTextColor||invert(this.secondaryColor),this.tertiaryTextColor=this.tertiaryTextColor||invert(this.tertiaryColor),this.lineColor=this.lineColor||invert(this.background),this.arrowheadColor=this.arrowheadColor||invert(this.background),this.textColor=this.textColor||this.primaryTextColor,this.border2=this.border2||this.tertiaryBorderColor,this.nodeBkg=this.nodeBkg||this.primaryColor,this.mainBkg=this.mainBkg||this.primaryColor,this.nodeBorder=this.nodeBorder||this.primaryBorderColor,this.clusterBkg=this.clusterBkg||this.tertiaryColor,this.clusterBorder=this.clusterBorder||this.tertiaryBorderColor,this.defaultLinkColor=this.defaultLinkColor||this.lineColor,this.titleColor=this.titleColor||this.tertiaryTextColor,this.edgeLabelBackground=this.edgeLabelBackground||(this.darkMode?darken(this.secondaryColor,30):this.secondaryColor),this.nodeTextColor=this.nodeTextColor||this.primaryTextColor,this.actorBorder=this.actorBorder||this.primaryBorderColor,this.actorBkg=this.actorBkg||this.mainBkg,this.actorTextColor=this.actorTextColor||this.primaryTextColor,this.actorLineColor=this.actorLineColor||this.actorBorder,this.labelBoxBkgColor=this.labelBoxBkgColor||this.actorBkg,this.signalColor=this.signalColor||this.textColor,this.signalTextColor=this.signalTextColor||this.textColor,this.labelBoxBorderColor=this.labelBoxBorderColor||this.actorBorder,this.labelTextColor=this.labelTextColor||this.actorTextColor,this.loopTextColor=this.loopTextColor||this.actorTextColor,this.activationBorderColor=this.activationBorderColor||darken(this.secondaryColor,10),this.activationBkgColor=this.activationBkgColor||this.secondaryColor,this.sequenceNumberColor=this.sequenceNumberColor||invert(this.lineColor),this.sectionBkgColor=this.sectionBkgColor||this.tertiaryColor,this.altSectionBkgColor=this.altSectionBkgColor||"white",this.sectionBkgColor=this.sectionBkgColor||this.secondaryColor,this.sectionBkgColor2=this.sectionBkgColor2||this.primaryColor,this.excludeBkgColor=this.excludeBkgColor||"#eeeeee",this.taskBorderColor=this.taskBorderColor||this.primaryBorderColor,this.taskBkgColor=this.taskBkgColor||this.primaryColor,this.activeTaskBorderColor=this.activeTaskBorderColor||this.primaryColor,this.activeTaskBkgColor=this.activeTaskBkgColor||lighten(this.primaryColor,23),this.gridColor=this.gridColor||"lightgrey",this.doneTaskBkgColor=this.doneTaskBkgColor||"lightgrey",this.doneTaskBorderColor=this.doneTaskBorderColor||"grey",this.critBorderColor=this.critBorderColor||"#ff8888",this.critBkgColor=this.critBkgColor||"red",this.todayLineColor=this.todayLineColor||"red",this.taskTextColor=this.taskTextColor||this.textColor,this.taskTextOutsideColor=this.taskTextOutsideColor||this.textColor,this.taskTextLightColor=this.taskTextLightColor||this.textColor,this.taskTextColor=this.taskTextColor||this.primaryTextColor,this.taskTextDarkColor=this.taskTextDarkColor||this.textColor,this.taskTextClickableColor=this.taskTextClickableColor||"#003163",this.personBorder=this.personBorder||this.primaryBorderColor,this.personBkg=this.personBkg||this.mainBkg,this.transitionColor=this.transitionColor||this.lineColor,this.transitionLabelColor=this.transitionLabelColor||this.textColor,this.stateLabelColor=this.stateLabelColor||this.stateBkg||this.primaryTextColor,this.stateBkg=this.stateBkg||this.mainBkg,this.labelBackgroundColor=this.labelBackgroundColor||this.stateBkg,this.compositeBackground=this.compositeBackground||this.background||this.tertiaryColor,this.altBackground=this.altBackground||this.tertiaryColor,this.compositeTitleBackground=this.compositeTitleBackground||this.mainBkg,this.compositeBorder=this.compositeBorder||this.nodeBorder,this.innerEndBackground=this.nodeBorder,this.errorBkgColor=this.errorBkgColor||this.tertiaryColor,this.errorTextColor=this.errorTextColor||this.tertiaryTextColor,this.transitionColor=this.transitionColor||this.lineColor,this.specialStateColor=this.lineColor,this.cScale0=this.cScale0||this.primaryColor,this.cScale1=this.cScale1||this.secondaryColor,this.cScale2=this.cScale2||this.tertiaryColor,this.cScale3=this.cScale3||adjust(this.primaryColor,{h:30}),this.cScale4=this.cScale4||adjust(this.primaryColor,{h:60}),this.cScale5=this.cScale5||adjust(this.primaryColor,{h:90}),this.cScale6=this.cScale6||adjust(this.primaryColor,{h:120}),this.cScale7=this.cScale7||adjust(this.primaryColor,{h:150}),this.cScale8=this.cScale8||adjust(this.primaryColor,{h:210,l:150}),this.cScale9=this.cScale9||adjust(this.primaryColor,{h:270}),this.cScale10=this.cScale10||adjust(this.primaryColor,{h:300}),this.cScale11=this.cScale11||adjust(this.primaryColor,{h:330}),this.darkMode)for(let Td=0;Td{this[td]=qa[td]}),this.updateColors(),ed.forEach(td=>{this[td]=qa[td]})}},__name(Dy,"Theme"),Dy),getThemeVariables=__name(Ra=>{const qa=new Theme;return qa.calculate(Ra),qa},"getThemeVariables"),Ry,Theme2=(Ry=class{constructor(){this.background="#333",this.primaryColor="#1f2020",this.secondaryColor=lighten(this.primaryColor,16),this.tertiaryColor=adjust(this.primaryColor,{h:-160}),this.primaryBorderColor=invert(this.background),this.secondaryBorderColor=mkBorder(this.secondaryColor,this.darkMode),this.tertiaryBorderColor=mkBorder(this.tertiaryColor,this.darkMode),this.primaryTextColor=invert(this.primaryColor),this.secondaryTextColor=invert(this.secondaryColor),this.tertiaryTextColor=invert(this.tertiaryColor),this.lineColor=invert(this.background),this.textColor=invert(this.background),this.mainBkg="#1f2020",this.secondBkg="calculated",this.mainContrastColor="lightgrey",this.darkTextColor=lighten(invert("#323D47"),10),this.lineColor="calculated",this.border1="#ccc",this.border2=rgba$1(255,255,255,.25),this.arrowheadColor="calculated",this.fontFamily='"trebuchet ms", verdana, arial, sans-serif',this.fontSize="16px",this.labelBackground="#181818",this.textColor="#ccc",this.THEME_COLOR_LIMIT=12,this.nodeBkg="calculated",this.nodeBorder="calculated",this.clusterBkg="calculated",this.clusterBorder="calculated",this.defaultLinkColor="calculated",this.titleColor="#F9FFFE",this.edgeLabelBackground="calculated",this.actorBorder="calculated",this.actorBkg="calculated",this.actorTextColor="calculated",this.actorLineColor="calculated",this.signalColor="calculated",this.signalTextColor="calculated",this.labelBoxBkgColor="calculated",this.labelBoxBorderColor="calculated",this.labelTextColor="calculated",this.loopTextColor="calculated",this.noteBorderColor="calculated",this.noteBkgColor="#fff5ad",this.noteTextColor="calculated",this.activationBorderColor="calculated",this.activationBkgColor="calculated",this.sequenceNumberColor="black",this.sectionBkgColor=darken("#EAE8D9",30),this.altSectionBkgColor="calculated",this.sectionBkgColor2="#EAE8D9",this.excludeBkgColor=darken(this.sectionBkgColor,10),this.taskBorderColor=rgba$1(255,255,255,70),this.taskBkgColor="calculated",this.taskTextColor="calculated",this.taskTextLightColor="calculated",this.taskTextOutsideColor="calculated",this.taskTextClickableColor="#003163",this.activeTaskBorderColor=rgba$1(255,255,255,50),this.activeTaskBkgColor="#81B1DB",this.gridColor="calculated",this.doneTaskBkgColor="calculated",this.doneTaskBorderColor="grey",this.critBorderColor="#E83737",this.critBkgColor="#E83737",this.taskTextDarkColor="calculated",this.todayLineColor="#DB5757",this.personBorder=this.primaryBorderColor,this.personBkg=this.mainBkg,this.archEdgeColor="calculated",this.archEdgeArrowColor="calculated",this.archEdgeWidth="3",this.archGroupBorderColor=this.primaryBorderColor,this.archGroupBorderWidth="2px",this.labelColor="calculated",this.errorBkgColor="#a44141",this.errorTextColor="#ddd"}updateColors(){var qa,ed,td,rd,sd,od,ld,cd,ud,_d,Ed;this.secondBkg=lighten(this.mainBkg,16),this.lineColor=this.mainContrastColor,this.arrowheadColor=this.mainContrastColor,this.nodeBkg=this.mainBkg,this.nodeBorder=this.border1,this.clusterBkg=this.secondBkg,this.clusterBorder=this.border2,this.defaultLinkColor=this.lineColor,this.edgeLabelBackground=lighten(this.labelBackground,25),this.actorBorder=this.border1,this.actorBkg=this.mainBkg,this.actorTextColor=this.mainContrastColor,this.actorLineColor=this.actorBorder,this.signalColor=this.mainContrastColor,this.signalTextColor=this.mainContrastColor,this.labelBoxBkgColor=this.actorBkg,this.labelBoxBorderColor=this.actorBorder,this.labelTextColor=this.mainContrastColor,this.loopTextColor=this.mainContrastColor,this.noteBorderColor=this.secondaryBorderColor,this.noteBkgColor=this.secondBkg,this.noteTextColor=this.secondaryTextColor,this.activationBorderColor=this.border1,this.activationBkgColor=this.secondBkg,this.altSectionBkgColor=this.background,this.taskBkgColor=lighten(this.mainBkg,23),this.taskTextColor=this.darkTextColor,this.taskTextLightColor=this.mainContrastColor,this.taskTextOutsideColor=this.taskTextLightColor,this.gridColor=this.mainContrastColor,this.doneTaskBkgColor=this.mainContrastColor,this.taskTextDarkColor=this.darkTextColor,this.archEdgeColor=this.lineColor,this.archEdgeArrowColor=this.lineColor,this.transitionColor=this.transitionColor||this.lineColor,this.transitionLabelColor=this.transitionLabelColor||this.textColor,this.stateLabelColor=this.stateLabelColor||this.stateBkg||this.primaryTextColor,this.stateBkg=this.stateBkg||this.mainBkg,this.labelBackgroundColor=this.labelBackgroundColor||this.stateBkg,this.compositeBackground=this.compositeBackground||this.background||this.tertiaryColor,this.altBackground=this.altBackground||"#555",this.compositeTitleBackground=this.compositeTitleBackground||this.mainBkg,this.compositeBorder=this.compositeBorder||this.nodeBorder,this.innerEndBackground=this.primaryBorderColor,this.specialStateColor="#f4f4f4",this.errorBkgColor=this.errorBkgColor||this.tertiaryColor,this.errorTextColor=this.errorTextColor||this.tertiaryTextColor,this.fillType0=this.primaryColor,this.fillType1=this.secondaryColor,this.fillType2=adjust(this.primaryColor,{h:64}),this.fillType3=adjust(this.secondaryColor,{h:64}),this.fillType4=adjust(this.primaryColor,{h:-64}),this.fillType5=adjust(this.secondaryColor,{h:-64}),this.fillType6=adjust(this.primaryColor,{h:128}),this.fillType7=adjust(this.secondaryColor,{h:128}),this.cScale1=this.cScale1||"#0b0000",this.cScale2=this.cScale2||"#4d1037",this.cScale3=this.cScale3||"#3f5258",this.cScale4=this.cScale4||"#4f2f1b",this.cScale5=this.cScale5||"#6e0a0a",this.cScale6=this.cScale6||"#3b0048",this.cScale7=this.cScale7||"#995a01",this.cScale8=this.cScale8||"#154706",this.cScale9=this.cScale9||"#161722",this.cScale10=this.cScale10||"#00296f",this.cScale11=this.cScale11||"#01629c",this.cScale12=this.cScale12||"#010029",this.cScale0=this.cScale0||this.primaryColor,this.cScale1=this.cScale1||this.secondaryColor,this.cScale2=this.cScale2||this.tertiaryColor,this.cScale3=this.cScale3||adjust(this.primaryColor,{h:30}),this.cScale4=this.cScale4||adjust(this.primaryColor,{h:60}),this.cScale5=this.cScale5||adjust(this.primaryColor,{h:90}),this.cScale6=this.cScale6||adjust(this.primaryColor,{h:120}),this.cScale7=this.cScale7||adjust(this.primaryColor,{h:150}),this.cScale8=this.cScale8||adjust(this.primaryColor,{h:210}),this.cScale9=this.cScale9||adjust(this.primaryColor,{h:270}),this.cScale10=this.cScale10||adjust(this.primaryColor,{h:300}),this.cScale11=this.cScale11||adjust(this.primaryColor,{h:330});for(let Ad=0;Ad{this[td]=qa[td]}),this.updateColors(),ed.forEach(td=>{this[td]=qa[td]})}},__name(Ry,"Theme"),Ry),getThemeVariables2=__name(Ra=>{const qa=new Theme2;return qa.calculate(Ra),qa},"getThemeVariables"),Iy,Theme3=(Iy=class{constructor(){this.background="#f4f4f4",this.primaryColor="#ECECFF",this.secondaryColor=adjust(this.primaryColor,{h:120}),this.secondaryColor="#ffffde",this.tertiaryColor=adjust(this.primaryColor,{h:-160}),this.primaryBorderColor=mkBorder(this.primaryColor,this.darkMode),this.secondaryBorderColor=mkBorder(this.secondaryColor,this.darkMode),this.tertiaryBorderColor=mkBorder(this.tertiaryColor,this.darkMode),this.primaryTextColor=invert(this.primaryColor),this.secondaryTextColor=invert(this.secondaryColor),this.tertiaryTextColor=invert(this.tertiaryColor),this.lineColor=invert(this.background),this.textColor=invert(this.background),this.background="white",this.mainBkg="#ECECFF",this.secondBkg="#ffffde",this.lineColor="#333333",this.border1="#9370DB",this.border2="#aaaa33",this.arrowheadColor="#333333",this.fontFamily='"trebuchet ms", verdana, arial, sans-serif',this.fontSize="16px",this.labelBackground="rgba(232,232,232, 0.8)",this.textColor="#333",this.THEME_COLOR_LIMIT=12,this.nodeBkg="calculated",this.nodeBorder="calculated",this.clusterBkg="calculated",this.clusterBorder="calculated",this.defaultLinkColor="calculated",this.titleColor="calculated",this.edgeLabelBackground="calculated",this.actorBorder="calculated",this.actorBkg="calculated",this.actorTextColor="black",this.actorLineColor="calculated",this.signalColor="calculated",this.signalTextColor="calculated",this.labelBoxBkgColor="calculated",this.labelBoxBorderColor="calculated",this.labelTextColor="calculated",this.loopTextColor="calculated",this.noteBorderColor="calculated",this.noteBkgColor="#fff5ad",this.noteTextColor="calculated",this.activationBorderColor="#666",this.activationBkgColor="#f4f4f4",this.sequenceNumberColor="white",this.sectionBkgColor="calculated",this.altSectionBkgColor="calculated",this.sectionBkgColor2="calculated",this.excludeBkgColor="#eeeeee",this.taskBorderColor="calculated",this.taskBkgColor="calculated",this.taskTextLightColor="calculated",this.taskTextColor=this.taskTextLightColor,this.taskTextDarkColor="calculated",this.taskTextOutsideColor=this.taskTextDarkColor,this.taskTextClickableColor="calculated",this.activeTaskBorderColor="calculated",this.activeTaskBkgColor="calculated",this.gridColor="calculated",this.doneTaskBkgColor="calculated",this.doneTaskBorderColor="calculated",this.critBorderColor="calculated",this.critBkgColor="calculated",this.todayLineColor="calculated",this.sectionBkgColor=rgba$1(102,102,255,.49),this.altSectionBkgColor="white",this.sectionBkgColor2="#fff400",this.taskBorderColor="#534fbc",this.taskBkgColor="#8a90dd",this.taskTextLightColor="white",this.taskTextColor="calculated",this.taskTextDarkColor="black",this.taskTextOutsideColor="calculated",this.taskTextClickableColor="#003163",this.activeTaskBorderColor="#534fbc",this.activeTaskBkgColor="#bfc7ff",this.gridColor="lightgrey",this.doneTaskBkgColor="lightgrey",this.doneTaskBorderColor="grey",this.critBorderColor="#ff8888",this.critBkgColor="red",this.todayLineColor="red",this.personBorder=this.primaryBorderColor,this.personBkg=this.mainBkg,this.archEdgeColor="calculated",this.archEdgeArrowColor="calculated",this.archEdgeWidth="3",this.archGroupBorderColor=this.primaryBorderColor,this.archGroupBorderWidth="2px",this.labelColor="black",this.errorBkgColor="#552222",this.errorTextColor="#552222",this.updateColors()}updateColors(){var qa,ed,td,rd,sd,od,ld,cd,ud,_d,Ed;this.cScale0=this.cScale0||this.primaryColor,this.cScale1=this.cScale1||this.secondaryColor,this.cScale2=this.cScale2||this.tertiaryColor,this.cScale3=this.cScale3||adjust(this.primaryColor,{h:30}),this.cScale4=this.cScale4||adjust(this.primaryColor,{h:60}),this.cScale5=this.cScale5||adjust(this.primaryColor,{h:90}),this.cScale6=this.cScale6||adjust(this.primaryColor,{h:120}),this.cScale7=this.cScale7||adjust(this.primaryColor,{h:150}),this.cScale8=this.cScale8||adjust(this.primaryColor,{h:210}),this.cScale9=this.cScale9||adjust(this.primaryColor,{h:270}),this.cScale10=this.cScale10||adjust(this.primaryColor,{h:300}),this.cScale11=this.cScale11||adjust(this.primaryColor,{h:330}),this.cScalePeer1=this.cScalePeer1||darken(this.secondaryColor,45),this.cScalePeer2=this.cScalePeer2||darken(this.tertiaryColor,40);for(let Ad=0;Ad{this[td]=qa[td]}),this.updateColors(),ed.forEach(td=>{this[td]=qa[td]})}},__name(Iy,"Theme"),Iy),getThemeVariables3=__name(Ra=>{const qa=new Theme3;return qa.calculate(Ra),qa},"getThemeVariables"),$y,Theme4=($y=class{constructor(){this.background="#f4f4f4",this.primaryColor="#cde498",this.secondaryColor="#cdffb2",this.background="white",this.mainBkg="#cde498",this.secondBkg="#cdffb2",this.lineColor="green",this.border1="#13540c",this.border2="#6eaa49",this.arrowheadColor="green",this.fontFamily='"trebuchet ms", verdana, arial, sans-serif',this.fontSize="16px",this.tertiaryColor=lighten("#cde498",10),this.primaryBorderColor=mkBorder(this.primaryColor,this.darkMode),this.secondaryBorderColor=mkBorder(this.secondaryColor,this.darkMode),this.tertiaryBorderColor=mkBorder(this.tertiaryColor,this.darkMode),this.primaryTextColor=invert(this.primaryColor),this.secondaryTextColor=invert(this.secondaryColor),this.tertiaryTextColor=invert(this.primaryColor),this.lineColor=invert(this.background),this.textColor=invert(this.background),this.THEME_COLOR_LIMIT=12,this.nodeBkg="calculated",this.nodeBorder="calculated",this.clusterBkg="calculated",this.clusterBorder="calculated",this.defaultLinkColor="calculated",this.titleColor="#333",this.edgeLabelBackground="#e8e8e8",this.actorBorder="calculated",this.actorBkg="calculated",this.actorTextColor="black",this.actorLineColor="calculated",this.signalColor="#333",this.signalTextColor="#333",this.labelBoxBkgColor="calculated",this.labelBoxBorderColor="#326932",this.labelTextColor="calculated",this.loopTextColor="calculated",this.noteBorderColor="calculated",this.noteBkgColor="#fff5ad",this.noteTextColor="calculated",this.activationBorderColor="#666",this.activationBkgColor="#f4f4f4",this.sequenceNumberColor="white",this.sectionBkgColor="#6eaa49",this.altSectionBkgColor="white",this.sectionBkgColor2="#6eaa49",this.excludeBkgColor="#eeeeee",this.taskBorderColor="calculated",this.taskBkgColor="#487e3a",this.taskTextLightColor="white",this.taskTextColor="calculated",this.taskTextDarkColor="black",this.taskTextOutsideColor="calculated",this.taskTextClickableColor="#003163",this.activeTaskBorderColor="calculated",this.activeTaskBkgColor="calculated",this.gridColor="lightgrey",this.doneTaskBkgColor="lightgrey",this.doneTaskBorderColor="grey",this.critBorderColor="#ff8888",this.critBkgColor="red",this.todayLineColor="red",this.personBorder=this.primaryBorderColor,this.personBkg=this.mainBkg,this.archEdgeColor="calculated",this.archEdgeArrowColor="calculated",this.archEdgeWidth="3",this.archGroupBorderColor=this.primaryBorderColor,this.archGroupBorderWidth="2px",this.labelColor="black",this.errorBkgColor="#552222",this.errorTextColor="#552222"}updateColors(){var qa,ed,td,rd,sd,od,ld,cd,ud,_d,Ed;this.actorBorder=darken(this.mainBkg,20),this.actorBkg=this.mainBkg,this.labelBoxBkgColor=this.actorBkg,this.labelTextColor=this.actorTextColor,this.loopTextColor=this.actorTextColor,this.noteBorderColor=this.border2,this.noteTextColor=this.actorTextColor,this.actorLineColor=this.actorBorder,this.cScale0=this.cScale0||this.primaryColor,this.cScale1=this.cScale1||this.secondaryColor,this.cScale2=this.cScale2||this.tertiaryColor,this.cScale3=this.cScale3||adjust(this.primaryColor,{h:30}),this.cScale4=this.cScale4||adjust(this.primaryColor,{h:60}),this.cScale5=this.cScale5||adjust(this.primaryColor,{h:90}),this.cScale6=this.cScale6||adjust(this.primaryColor,{h:120}),this.cScale7=this.cScale7||adjust(this.primaryColor,{h:150}),this.cScale8=this.cScale8||adjust(this.primaryColor,{h:210}),this.cScale9=this.cScale9||adjust(this.primaryColor,{h:270}),this.cScale10=this.cScale10||adjust(this.primaryColor,{h:300}),this.cScale11=this.cScale11||adjust(this.primaryColor,{h:330}),this.cScalePeer1=this.cScalePeer1||darken(this.secondaryColor,45),this.cScalePeer2=this.cScalePeer2||darken(this.tertiaryColor,40);for(let Ad=0;Ad{this[td]=qa[td]}),this.updateColors(),ed.forEach(td=>{this[td]=qa[td]})}},__name($y,"Theme"),$y),getThemeVariables4=__name(Ra=>{const qa=new Theme4;return qa.calculate(Ra),qa},"getThemeVariables"),By,Theme5=(By=class{constructor(){this.primaryColor="#eee",this.contrast="#707070",this.secondaryColor=lighten(this.contrast,55),this.background="#ffffff",this.tertiaryColor=adjust(this.primaryColor,{h:-160}),this.primaryBorderColor=mkBorder(this.primaryColor,this.darkMode),this.secondaryBorderColor=mkBorder(this.secondaryColor,this.darkMode),this.tertiaryBorderColor=mkBorder(this.tertiaryColor,this.darkMode),this.primaryTextColor=invert(this.primaryColor),this.secondaryTextColor=invert(this.secondaryColor),this.tertiaryTextColor=invert(this.tertiaryColor),this.lineColor=invert(this.background),this.textColor=invert(this.background),this.mainBkg="#eee",this.secondBkg="calculated",this.lineColor="#666",this.border1="#999",this.border2="calculated",this.note="#ffa",this.text="#333",this.critical="#d42",this.done="#bbb",this.arrowheadColor="#333333",this.fontFamily='"trebuchet ms", verdana, arial, sans-serif',this.fontSize="16px",this.THEME_COLOR_LIMIT=12,this.nodeBkg="calculated",this.nodeBorder="calculated",this.clusterBkg="calculated",this.clusterBorder="calculated",this.defaultLinkColor="calculated",this.titleColor="calculated",this.edgeLabelBackground="white",this.actorBorder="calculated",this.actorBkg="calculated",this.actorTextColor="calculated",this.actorLineColor=this.actorBorder,this.signalColor="calculated",this.signalTextColor="calculated",this.labelBoxBkgColor="calculated",this.labelBoxBorderColor="calculated",this.labelTextColor="calculated",this.loopTextColor="calculated",this.noteBorderColor="calculated",this.noteBkgColor="calculated",this.noteTextColor="calculated",this.activationBorderColor="#666",this.activationBkgColor="#f4f4f4",this.sequenceNumberColor="white",this.sectionBkgColor="calculated",this.altSectionBkgColor="white",this.sectionBkgColor2="calculated",this.excludeBkgColor="#eeeeee",this.taskBorderColor="calculated",this.taskBkgColor="calculated",this.taskTextLightColor="white",this.taskTextColor="calculated",this.taskTextDarkColor="calculated",this.taskTextOutsideColor="calculated",this.taskTextClickableColor="#003163",this.activeTaskBorderColor="calculated",this.activeTaskBkgColor="calculated",this.gridColor="calculated",this.doneTaskBkgColor="calculated",this.doneTaskBorderColor="calculated",this.critBkgColor="calculated",this.critBorderColor="calculated",this.todayLineColor="calculated",this.personBorder=this.primaryBorderColor,this.personBkg=this.mainBkg,this.archEdgeColor="calculated",this.archEdgeArrowColor="calculated",this.archEdgeWidth="3",this.archGroupBorderColor=this.primaryBorderColor,this.archGroupBorderWidth="2px",this.labelColor="black",this.errorBkgColor="#552222",this.errorTextColor="#552222"}updateColors(){var qa,ed,td,rd,sd,od,ld,cd,ud,_d,Ed;this.secondBkg=lighten(this.contrast,55),this.border2=this.contrast,this.actorBorder=lighten(this.border1,23),this.actorBkg=this.mainBkg,this.actorTextColor=this.text,this.actorLineColor=this.actorBorder,this.signalColor=this.text,this.signalTextColor=this.text,this.labelBoxBkgColor=this.actorBkg,this.labelBoxBorderColor=this.actorBorder,this.labelTextColor=this.text,this.loopTextColor=this.text,this.noteBorderColor="#999",this.noteBkgColor="#666",this.noteTextColor="#fff",this.cScale0=this.cScale0||"#555",this.cScale1=this.cScale1||"#F4F4F4",this.cScale2=this.cScale2||"#555",this.cScale3=this.cScale3||"#BBB",this.cScale4=this.cScale4||"#777",this.cScale5=this.cScale5||"#999",this.cScale6=this.cScale6||"#DDD",this.cScale7=this.cScale7||"#FFF",this.cScale8=this.cScale8||"#DDD",this.cScale9=this.cScale9||"#BBB",this.cScale10=this.cScale10||"#999",this.cScale11=this.cScale11||"#777";for(let Ad=0;Ad{this[td]=qa[td]}),this.updateColors(),ed.forEach(td=>{this[td]=qa[td]})}},__name(By,"Theme"),By),getThemeVariables5=__name(Ra=>{const qa=new Theme5;return qa.calculate(Ra),qa},"getThemeVariables"),themes_default={base:{getThemeVariables},dark:{getThemeVariables:getThemeVariables2},default:{getThemeVariables:getThemeVariables3},forest:{getThemeVariables:getThemeVariables4},neutral:{getThemeVariables:getThemeVariables5}},config_schema_default={flowchart:{useMaxWidth:!0,titleTopMargin:25,subGraphTitleMargin:{top:0,bottom:0},diagramPadding:8,htmlLabels:!0,nodeSpacing:50,rankSpacing:50,curve:"basis",padding:15,defaultRenderer:"dagre-wrapper",wrappingWidth:200},sequence:{useMaxWidth:!0,hideUnusedParticipants:!1,activationWidth:10,diagramMarginX:50,diagramMarginY:10,actorMargin:50,width:150,height:65,boxMargin:10,boxTextMargin:5,noteMargin:10,messageMargin:35,messageAlign:"center",mirrorActors:!0,forceMenus:!1,bottomMarginAdj:1,rightAngles:!1,showSequenceNumbers:!1,actorFontSize:14,actorFontFamily:'"Open Sans", sans-serif',actorFontWeight:400,noteFontSize:14,noteFontFamily:'"trebuchet ms", verdana, arial, sans-serif',noteFontWeight:400,noteAlign:"center",messageFontSize:16,messageFontFamily:'"trebuchet ms", verdana, arial, sans-serif',messageFontWeight:400,wrap:!1,wrapPadding:10,labelBoxWidth:50,labelBoxHeight:20},gantt:{useMaxWidth:!0,titleTopMargin:25,barHeight:20,barGap:4,topPadding:50,rightPadding:75,leftPadding:75,gridLineStartPadding:35,fontSize:11,sectionFontSize:11,numberSectionStyles:4,axisFormat:"%Y-%m-%d",topAxis:!1,displayMode:"",weekday:"sunday"},journey:{useMaxWidth:!0,diagramMarginX:50,diagramMarginY:10,leftMargin:150,width:150,height:50,boxMargin:10,boxTextMargin:5,noteMargin:10,messageMargin:35,messageAlign:"center",bottomMarginAdj:1,rightAngles:!1,taskFontSize:14,taskFontFamily:'"Open Sans", sans-serif',taskMargin:50,activationWidth:10,textPlacement:"fo",actorColours:["#8FBC8F","#7CFC00","#00FFFF","#20B2AA","#B0E0E6","#FFFFE0"],sectionFills:["#191970","#8B008B","#4B0082","#2F4F4F","#800000","#8B4513","#00008B"],sectionColours:["#fff"]},class:{useMaxWidth:!0,titleTopMargin:25,arrowMarkerAbsolute:!1,dividerMargin:10,padding:5,textHeight:10,defaultRenderer:"dagre-wrapper",htmlLabels:!1},state:{useMaxWidth:!0,titleTopMargin:25,dividerMargin:10,sizeUnit:5,padding:8,textHeight:10,titleShift:-15,noteMargin:10,forkWidth:70,forkHeight:7,miniPadding:2,fontSizeFactor:5.02,fontSize:24,labelHeight:16,edgeLengthFactor:"20",compositTitleSize:35,radius:5,defaultRenderer:"dagre-wrapper"},er:{useMaxWidth:!0,titleTopMargin:25,diagramPadding:20,layoutDirection:"TB",minEntityWidth:100,minEntityHeight:75,entityPadding:15,stroke:"gray",fill:"honeydew",fontSize:12},pie:{useMaxWidth:!0,textPosition:.75},quadrantChart:{useMaxWidth:!0,chartWidth:500,chartHeight:500,titleFontSize:20,titlePadding:10,quadrantPadding:5,xAxisLabelPadding:5,yAxisLabelPadding:5,xAxisLabelFontSize:16,yAxisLabelFontSize:16,quadrantLabelFontSize:16,quadrantTextTopPadding:5,pointTextPadding:5,pointLabelFontSize:12,pointRadius:5,xAxisPosition:"top",yAxisPosition:"left",quadrantInternalBorderStrokeWidth:1,quadrantExternalBorderStrokeWidth:2},xyChart:{useMaxWidth:!0,width:700,height:500,titleFontSize:20,titlePadding:10,showTitle:!0,xAxis:{$ref:"#/$defs/XYChartAxisConfig",showLabel:!0,labelFontSize:14,labelPadding:5,showTitle:!0,titleFontSize:16,titlePadding:5,showTick:!0,tickLength:5,tickWidth:2,showAxisLine:!0,axisLineWidth:2},yAxis:{$ref:"#/$defs/XYChartAxisConfig",showLabel:!0,labelFontSize:14,labelPadding:5,showTitle:!0,titleFontSize:16,titlePadding:5,showTick:!0,tickLength:5,tickWidth:2,showAxisLine:!0,axisLineWidth:2},chartOrientation:"vertical",plotReservedSpacePercent:50},requirement:{useMaxWidth:!0,rect_fill:"#f9f9f9",text_color:"#333",rect_border_size:"0.5px",rect_border_color:"#bbb",rect_min_width:200,rect_min_height:200,fontSize:14,rect_padding:10,line_height:20},mindmap:{useMaxWidth:!0,padding:10,maxNodeWidth:200},timeline:{useMaxWidth:!0,diagramMarginX:50,diagramMarginY:10,leftMargin:150,width:150,height:50,boxMargin:10,boxTextMargin:5,noteMargin:10,messageMargin:35,messageAlign:"center",bottomMarginAdj:1,rightAngles:!1,taskFontSize:14,taskFontFamily:'"Open Sans", sans-serif',taskMargin:50,activationWidth:10,textPlacement:"fo",actorColours:["#8FBC8F","#7CFC00","#00FFFF","#20B2AA","#B0E0E6","#FFFFE0"],sectionFills:["#191970","#8B008B","#4B0082","#2F4F4F","#800000","#8B4513","#00008B"],sectionColours:["#fff"],disableMulticolor:!1},gitGraph:{useMaxWidth:!0,titleTopMargin:25,diagramPadding:8,nodeLabel:{width:75,height:100,x:-25,y:0},mainBranchName:"main",mainBranchOrder:0,showCommitLabel:!0,showBranches:!0,rotateCommitLabel:!0,parallelCommits:!1,arrowMarkerAbsolute:!1},c4:{useMaxWidth:!0,diagramMarginX:50,diagramMarginY:10,c4ShapeMargin:50,c4ShapePadding:20,width:216,height:60,boxMargin:10,c4ShapeInRow:4,nextLinePaddingX:0,c4BoundaryInRow:2,personFontSize:14,personFontFamily:'"Open Sans", sans-serif',personFontWeight:"normal",external_personFontSize:14,external_personFontFamily:'"Open Sans", sans-serif',external_personFontWeight:"normal",systemFontSize:14,systemFontFamily:'"Open Sans", sans-serif',systemFontWeight:"normal",external_systemFontSize:14,external_systemFontFamily:'"Open Sans", sans-serif',external_systemFontWeight:"normal",system_dbFontSize:14,system_dbFontFamily:'"Open Sans", sans-serif',system_dbFontWeight:"normal",external_system_dbFontSize:14,external_system_dbFontFamily:'"Open Sans", sans-serif',external_system_dbFontWeight:"normal",system_queueFontSize:14,system_queueFontFamily:'"Open Sans", sans-serif',system_queueFontWeight:"normal",external_system_queueFontSize:14,external_system_queueFontFamily:'"Open Sans", sans-serif',external_system_queueFontWeight:"normal",boundaryFontSize:14,boundaryFontFamily:'"Open Sans", sans-serif',boundaryFontWeight:"normal",messageFontSize:12,messageFontFamily:'"Open Sans", sans-serif',messageFontWeight:"normal",containerFontSize:14,containerFontFamily:'"Open Sans", sans-serif',containerFontWeight:"normal",external_containerFontSize:14,external_containerFontFamily:'"Open Sans", sans-serif',external_containerFontWeight:"normal",container_dbFontSize:14,container_dbFontFamily:'"Open Sans", sans-serif',container_dbFontWeight:"normal",external_container_dbFontSize:14,external_container_dbFontFamily:'"Open Sans", sans-serif',external_container_dbFontWeight:"normal",container_queueFontSize:14,container_queueFontFamily:'"Open Sans", sans-serif',container_queueFontWeight:"normal",external_container_queueFontSize:14,external_container_queueFontFamily:'"Open Sans", sans-serif',external_container_queueFontWeight:"normal",componentFontSize:14,componentFontFamily:'"Open Sans", sans-serif',componentFontWeight:"normal",external_componentFontSize:14,external_componentFontFamily:'"Open Sans", sans-serif',external_componentFontWeight:"normal",component_dbFontSize:14,component_dbFontFamily:'"Open Sans", sans-serif',component_dbFontWeight:"normal",external_component_dbFontSize:14,external_component_dbFontFamily:'"Open Sans", sans-serif',external_component_dbFontWeight:"normal",component_queueFontSize:14,component_queueFontFamily:'"Open Sans", sans-serif',component_queueFontWeight:"normal",external_component_queueFontSize:14,external_component_queueFontFamily:'"Open Sans", sans-serif',external_component_queueFontWeight:"normal",wrap:!0,wrapPadding:10,person_bg_color:"#08427B",person_border_color:"#073B6F",external_person_bg_color:"#686868",external_person_border_color:"#8A8A8A",system_bg_color:"#1168BD",system_border_color:"#3C7FC0",system_db_bg_color:"#1168BD",system_db_border_color:"#3C7FC0",system_queue_bg_color:"#1168BD",system_queue_border_color:"#3C7FC0",external_system_bg_color:"#999999",external_system_border_color:"#8A8A8A",external_system_db_bg_color:"#999999",external_system_db_border_color:"#8A8A8A",external_system_queue_bg_color:"#999999",external_system_queue_border_color:"#8A8A8A",container_bg_color:"#438DD5",container_border_color:"#3C7FC0",container_db_bg_color:"#438DD5",container_db_border_color:"#3C7FC0",container_queue_bg_color:"#438DD5",container_queue_border_color:"#3C7FC0",external_container_bg_color:"#B3B3B3",external_container_border_color:"#A6A6A6",external_container_db_bg_color:"#B3B3B3",external_container_db_border_color:"#A6A6A6",external_container_queue_bg_color:"#B3B3B3",external_container_queue_border_color:"#A6A6A6",component_bg_color:"#85BBF0",component_border_color:"#78A8D8",component_db_bg_color:"#85BBF0",component_db_border_color:"#78A8D8",component_queue_bg_color:"#85BBF0",component_queue_border_color:"#78A8D8",external_component_bg_color:"#CCCCCC",external_component_border_color:"#BFBFBF",external_component_db_bg_color:"#CCCCCC",external_component_db_border_color:"#BFBFBF",external_component_queue_bg_color:"#CCCCCC",external_component_queue_border_color:"#BFBFBF"},sankey:{useMaxWidth:!0,width:600,height:400,linkColor:"gradient",nodeAlignment:"justify",showValues:!0,prefix:"",suffix:""},block:{useMaxWidth:!0,padding:8},packet:{useMaxWidth:!0,rowHeight:32,bitWidth:32,bitsPerRow:32,showBits:!0,paddingX:5,paddingY:5},architecture:{useMaxWidth:!0,padding:40,iconSize:80,fontSize:16},theme:"default",look:"classic",handDrawnSeed:0,layout:"dagre",maxTextSize:5e4,maxEdges:500,darkMode:!1,fontFamily:'"trebuchet ms", verdana, arial, sans-serif;',logLevel:5,securityLevel:"strict",startOnLoad:!0,arrowMarkerAbsolute:!1,secure:["secure","securityLevel","startOnLoad","maxTextSize","suppressErrorRendering","maxEdges"],legacyMathML:!1,forceLegacyMathML:!1,deterministicIds:!1,fontSize:16,markdownAutoWrap:!0,suppressErrorRendering:!1},config={...config_schema_default,deterministicIDSeed:void 0,elk:{mergeEdges:!1,nodePlacementStrategy:"SIMPLE"},themeCSS:void 0,themeVariables:themes_default.default.getThemeVariables(),sequence:{...config_schema_default.sequence,messageFont:__name(function(){return{fontFamily:this.messageFontFamily,fontSize:this.messageFontSize,fontWeight:this.messageFontWeight}},"messageFont"),noteFont:__name(function(){return{fontFamily:this.noteFontFamily,fontSize:this.noteFontSize,fontWeight:this.noteFontWeight}},"noteFont"),actorFont:__name(function(){return{fontFamily:this.actorFontFamily,fontSize:this.actorFontSize,fontWeight:this.actorFontWeight}},"actorFont")},gantt:{...config_schema_default.gantt,tickInterval:void 0,useWidth:void 0},c4:{...config_schema_default.c4,useWidth:void 0,personFont:__name(function(){return{fontFamily:this.personFontFamily,fontSize:this.personFontSize,fontWeight:this.personFontWeight}},"personFont"),external_personFont:__name(function(){return{fontFamily:this.external_personFontFamily,fontSize:this.external_personFontSize,fontWeight:this.external_personFontWeight}},"external_personFont"),systemFont:__name(function(){return{fontFamily:this.systemFontFamily,fontSize:this.systemFontSize,fontWeight:this.systemFontWeight}},"systemFont"),external_systemFont:__name(function(){return{fontFamily:this.external_systemFontFamily,fontSize:this.external_systemFontSize,fontWeight:this.external_systemFontWeight}},"external_systemFont"),system_dbFont:__name(function(){return{fontFamily:this.system_dbFontFamily,fontSize:this.system_dbFontSize,fontWeight:this.system_dbFontWeight}},"system_dbFont"),external_system_dbFont:__name(function(){return{fontFamily:this.external_system_dbFontFamily,fontSize:this.external_system_dbFontSize,fontWeight:this.external_system_dbFontWeight}},"external_system_dbFont"),system_queueFont:__name(function(){return{fontFamily:this.system_queueFontFamily,fontSize:this.system_queueFontSize,fontWeight:this.system_queueFontWeight}},"system_queueFont"),external_system_queueFont:__name(function(){return{fontFamily:this.external_system_queueFontFamily,fontSize:this.external_system_queueFontSize,fontWeight:this.external_system_queueFontWeight}},"external_system_queueFont"),containerFont:__name(function(){return{fontFamily:this.containerFontFamily,fontSize:this.containerFontSize,fontWeight:this.containerFontWeight}},"containerFont"),external_containerFont:__name(function(){return{fontFamily:this.external_containerFontFamily,fontSize:this.external_containerFontSize,fontWeight:this.external_containerFontWeight}},"external_containerFont"),container_dbFont:__name(function(){return{fontFamily:this.container_dbFontFamily,fontSize:this.container_dbFontSize,fontWeight:this.container_dbFontWeight}},"container_dbFont"),external_container_dbFont:__name(function(){return{fontFamily:this.external_container_dbFontFamily,fontSize:this.external_container_dbFontSize,fontWeight:this.external_container_dbFontWeight}},"external_container_dbFont"),container_queueFont:__name(function(){return{fontFamily:this.container_queueFontFamily,fontSize:this.container_queueFontSize,fontWeight:this.container_queueFontWeight}},"container_queueFont"),external_container_queueFont:__name(function(){return{fontFamily:this.external_container_queueFontFamily,fontSize:this.external_container_queueFontSize,fontWeight:this.external_container_queueFontWeight}},"external_container_queueFont"),componentFont:__name(function(){return{fontFamily:this.componentFontFamily,fontSize:this.componentFontSize,fontWeight:this.componentFontWeight}},"componentFont"),external_componentFont:__name(function(){return{fontFamily:this.external_componentFontFamily,fontSize:this.external_componentFontSize,fontWeight:this.external_componentFontWeight}},"external_componentFont"),component_dbFont:__name(function(){return{fontFamily:this.component_dbFontFamily,fontSize:this.component_dbFontSize,fontWeight:this.component_dbFontWeight}},"component_dbFont"),external_component_dbFont:__name(function(){return{fontFamily:this.external_component_dbFontFamily,fontSize:this.external_component_dbFontSize,fontWeight:this.external_component_dbFontWeight}},"external_component_dbFont"),component_queueFont:__name(function(){return{fontFamily:this.component_queueFontFamily,fontSize:this.component_queueFontSize,fontWeight:this.component_queueFontWeight}},"component_queueFont"),external_component_queueFont:__name(function(){return{fontFamily:this.external_component_queueFontFamily,fontSize:this.external_component_queueFontSize,fontWeight:this.external_component_queueFontWeight}},"external_component_queueFont"),boundaryFont:__name(function(){return{fontFamily:this.boundaryFontFamily,fontSize:this.boundaryFontSize,fontWeight:this.boundaryFontWeight}},"boundaryFont"),messageFont:__name(function(){return{fontFamily:this.messageFontFamily,fontSize:this.messageFontSize,fontWeight:this.messageFontWeight}},"messageFont")},pie:{...config_schema_default.pie,useWidth:984},xyChart:{...config_schema_default.xyChart,useWidth:void 0},requirement:{...config_schema_default.requirement,useWidth:void 0},packet:{...config_schema_default.packet}},keyify=__name((Ra,qa="")=>Object.keys(Ra).reduce((ed,td)=>Array.isArray(Ra[td])?ed:typeof Ra[td]=="object"&&Ra[td]!==null?[...ed,qa+td,...keyify(Ra[td],"")]:[...ed,qa+td],[]),"keyify"),configKeys=new Set(keyify(config,"")),defaultConfig_default=config,sanitizeDirective=__name(Ra=>{if(log.debug("sanitizeDirective called with",Ra),!(typeof Ra!="object"||Ra==null)){if(Array.isArray(Ra)){Ra.forEach(qa=>sanitizeDirective(qa));return}for(const qa of Object.keys(Ra)){if(log.debug("Checking key",qa),qa.startsWith("__")||qa.includes("proto")||qa.includes("constr")||!configKeys.has(qa)||Ra[qa]==null){log.debug("sanitize deleting key: ",qa),delete Ra[qa];continue}if(typeof Ra[qa]=="object"){log.debug("sanitizing object",qa),sanitizeDirective(Ra[qa]);continue}const ed=["themeCSS","fontFamily","altFontFamily"];for(const td of ed)qa.includes(td)&&(log.debug("sanitizing css option",qa),Ra[qa]=sanitizeCss(Ra[qa]))}if(Ra.themeVariables)for(const qa of Object.keys(Ra.themeVariables)){const ed=Ra.themeVariables[qa];ed!=null&&ed.match&&!ed.match(/^[\d "#%(),.;A-Za-z]+$/)&&(Ra.themeVariables[qa]="")}log.debug("After sanitization",Ra)}},"sanitizeDirective"),sanitizeCss=__name(Ra=>{let qa=0,ed=0;for(const td of Ra){if(qa{let ed=assignWithDepth_default({},Ra),td={};for(const rd of qa)sanitize(rd),td=assignWithDepth_default(td,rd);if(ed=assignWithDepth_default(ed,td),td.theme&&td.theme in themes_default){const rd=assignWithDepth_default({},configFromInitialize),sd=assignWithDepth_default(rd.themeVariables||{},td.themeVariables);ed.theme&&ed.theme in themes_default&&(ed.themeVariables=themes_default[ed.theme].getThemeVariables(sd))}return currentConfig=ed,checkConfig(currentConfig),currentConfig},"updateCurrentConfig"),setSiteConfig=__name(Ra=>(siteConfig=assignWithDepth_default({},defaultConfig),siteConfig=assignWithDepth_default(siteConfig,Ra),Ra.theme&&themes_default[Ra.theme]&&(siteConfig.themeVariables=themes_default[Ra.theme].getThemeVariables(Ra.themeVariables)),updateCurrentConfig(siteConfig,directives),siteConfig),"setSiteConfig"),saveConfigFromInitialize=__name(Ra=>{configFromInitialize=assignWithDepth_default({},Ra)},"saveConfigFromInitialize"),updateSiteConfig=__name(Ra=>(siteConfig=assignWithDepth_default(siteConfig,Ra),updateCurrentConfig(siteConfig,directives),siteConfig),"updateSiteConfig"),getSiteConfig=__name(()=>assignWithDepth_default({},siteConfig),"getSiteConfig"),setConfig=__name(Ra=>(checkConfig(Ra),assignWithDepth_default(currentConfig,Ra),getConfig()),"setConfig"),getConfig=__name(()=>assignWithDepth_default({},currentConfig),"getConfig"),sanitize=__name(Ra=>{Ra&&(["secure",...siteConfig.secure??[]].forEach(qa=>{Object.hasOwn(Ra,qa)&&(log.debug(`Denied attempt to modify a secure key ${qa}`,Ra[qa]),delete Ra[qa])}),Object.keys(Ra).forEach(qa=>{qa.startsWith("__")&&delete Ra[qa]}),Object.keys(Ra).forEach(qa=>{typeof Ra[qa]=="string"&&(Ra[qa].includes("<")||Ra[qa].includes(">")||Ra[qa].includes("url(data:"))&&delete Ra[qa],typeof Ra[qa]=="object"&&sanitize(Ra[qa])}))},"sanitize"),addDirective=__name(Ra=>{var qa;sanitizeDirective(Ra),Ra.fontFamily&&!((qa=Ra.themeVariables)!=null&&qa.fontFamily)&&(Ra.themeVariables={...Ra.themeVariables,fontFamily:Ra.fontFamily}),directives.push(Ra),updateCurrentConfig(siteConfig,directives)},"addDirective"),reset=__name((Ra=siteConfig)=>{directives=[],updateCurrentConfig(Ra,directives)},"reset"),ConfigWarning={LAZY_LOAD_DEPRECATED:"The configuration options lazyLoadedDiagrams and loadExternalDiagramsAtStartup are deprecated. Please use registerExternalDiagrams instead."},issuedWarnings={},issueWarning=__name(Ra=>{issuedWarnings[Ra]||(log.warn(ConfigWarning[Ra]),issuedWarnings[Ra]=!0)},"issueWarning"),checkConfig=__name(Ra=>{Ra&&(Ra.lazyLoadedDiagrams||Ra.loadExternalDiagramsAtStartup)&&issueWarning("LAZY_LOAD_DEPRECATED")},"checkConfig"),lineBreakRegex=//gi,getRows=__name(Ra=>Ra?breakToPlaceholder(Ra).replace(/\\n/g,"#br#").split("#br#"):[""],"getRows"),setupDompurifyHooksIfNotSetup=(()=>{let Ra=!1;return()=>{Ra||(setupDompurifyHooks(),Ra=!0)}})();function setupDompurifyHooks(){const Ra="data-temp-href-target";purify.addHook("beforeSanitizeAttributes",qa=>{qa.tagName==="A"&&qa.hasAttribute("target")&&qa.setAttribute(Ra,qa.getAttribute("target")??"")}),purify.addHook("afterSanitizeAttributes",qa=>{qa.tagName==="A"&&qa.hasAttribute(Ra)&&(qa.setAttribute("target",qa.getAttribute(Ra)??""),qa.removeAttribute(Ra),qa.getAttribute("target")==="_blank"&&qa.setAttribute("rel","noopener"))})}__name(setupDompurifyHooks,"setupDompurifyHooks");var removeScript=__name(Ra=>(setupDompurifyHooksIfNotSetup(),purify.sanitize(Ra)),"removeScript"),sanitizeMore=__name((Ra,qa)=>{var ed;if(((ed=qa.flowchart)==null?void 0:ed.htmlLabels)!==!1){const td=qa.securityLevel;td==="antiscript"||td==="strict"?Ra=removeScript(Ra):td!=="loose"&&(Ra=breakToPlaceholder(Ra),Ra=Ra.replace(//g,">"),Ra=Ra.replace(/=/g,"="),Ra=placeholderToBreak(Ra))}return Ra},"sanitizeMore"),sanitizeText=__name((Ra,qa)=>Ra&&(qa.dompurifyConfig?Ra=purify.sanitize(sanitizeMore(Ra,qa),qa.dompurifyConfig).toString():Ra=purify.sanitize(sanitizeMore(Ra,qa),{FORBID_TAGS:["style"]}).toString(),Ra),"sanitizeText"),sanitizeTextOrArray=__name((Ra,qa)=>typeof Ra=="string"?sanitizeText(Ra,qa):Ra.flat().map(ed=>sanitizeText(ed,qa)),"sanitizeTextOrArray"),hasBreaks=__name(Ra=>lineBreakRegex.test(Ra),"hasBreaks"),splitBreaks=__name(Ra=>Ra.split(lineBreakRegex),"splitBreaks"),placeholderToBreak=__name(Ra=>Ra.replace(/#br#/g,"
    "),"placeholderToBreak"),breakToPlaceholder=__name(Ra=>Ra.replace(lineBreakRegex,"#br#"),"breakToPlaceholder"),getUrl=__name(Ra=>{let qa="";return Ra&&(qa=window.location.protocol+"//"+window.location.host+window.location.pathname+window.location.search,qa=qa.replaceAll(/\(/g,"\\("),qa=qa.replaceAll(/\)/g,"\\)")),qa},"getUrl"),evaluate=__name(Ra=>!(Ra===!1||["false","null","0"].includes(String(Ra).trim().toLowerCase())),"evaluate"),getMax=__name(function(...Ra){const qa=Ra.filter(ed=>!isNaN(ed));return Math.max(...qa)},"getMax"),getMin=__name(function(...Ra){const qa=Ra.filter(ed=>!isNaN(ed));return Math.min(...qa)},"getMin"),parseGenericTypes=__name(function(Ra){const qa=Ra.split(/(,)/),ed=[];for(let td=0;td0&&td+1Math.max(0,Ra.split(qa).length-1),"countOccurrence"),shouldCombineSets=__name((Ra,qa)=>{const ed=countOccurrence(Ra,"~"),td=countOccurrence(qa,"~");return ed===1&&td===1},"shouldCombineSets"),processSet=__name(Ra=>{const qa=countOccurrence(Ra,"~");let ed=!1;if(qa<=1)return Ra;qa%2!==0&&Ra.startsWith("~")&&(Ra=Ra.substring(1),ed=!0);const td=[...Ra];let rd=td.indexOf("~"),sd=td.lastIndexOf("~");for(;rd!==-1&&sd!==-1&&rd!==sd;)td[rd]="<",td[sd]=">",rd=td.indexOf("~"),sd=td.lastIndexOf("~");return ed&&td.unshift("~"),td.join("")},"processSet"),isMathMLSupported=__name(()=>window.MathMLElement!==void 0,"isMathMLSupported"),katexRegex=/\$\$(.*)\$\$/g,hasKatex=__name(Ra=>{var qa;return(((qa=Ra.match(katexRegex))==null?void 0:qa.length)??0)>0},"hasKatex"),calculateMathMLDimensions=__name(async(Ra,qa)=>{Ra=await renderKatex(Ra,qa);const ed=document.createElement("div");ed.innerHTML=Ra,ed.id="katex-temp",ed.style.visibility="hidden",ed.style.position="absolute",ed.style.top="0";const td=document.querySelector("body");td==null||td.insertAdjacentElement("beforeend",ed);const rd={width:ed.clientWidth,height:ed.clientHeight};return ed.remove(),rd},"calculateMathMLDimensions"),renderKatex=__name(async(Ra,qa)=>{if(!hasKatex(Ra))return Ra;if(!(isMathMLSupported()||qa.legacyMathML||qa.forceLegacyMathML))return Ra.replace(katexRegex,"MathML is unsupported in this environment.");const{default:ed}=await __vitePreload(async()=>{const{default:rd}=await import("./katex-DAk0vv_m.js");return{default:rd}},[]),td=qa.forceLegacyMathML||!isMathMLSupported()&&qa.legacyMathML?"htmlAndMathml":"mathml";return Ra.split(lineBreakRegex).map(rd=>hasKatex(rd)?`
    ${rd}
    `:`
    ${rd}
    `).join("").replace(katexRegex,(rd,sd)=>ed.renderToString(sd,{throwOnError:!0,displayMode:!0,output:td}).replace(/\n/g," ").replace(//g,""))},"renderKatex"),common_default={getRows,sanitizeText,sanitizeTextOrArray,hasBreaks,splitBreaks,lineBreakRegex,removeScript,getUrl,evaluate,getMax,getMin},d3Attrs=__name(function(Ra,qa){for(let ed of qa)Ra.attr(ed[0],ed[1])},"d3Attrs"),calculateSvgSizeAttrs=__name(function(Ra,qa,ed){let td=new Map;return ed?(td.set("width","100%"),td.set("style",`max-width: ${qa}px;`)):(td.set("height",Ra),td.set("width",qa)),td},"calculateSvgSizeAttrs"),configureSvgSize=__name(function(Ra,qa,ed,td){const rd=calculateSvgSizeAttrs(qa,ed,td);d3Attrs(Ra,rd)},"configureSvgSize"),setupGraphViewbox=__name(function(Ra,qa,ed,td){const rd=qa.node().getBBox(),sd=rd.width,od=rd.height;log.info(`SVG bounds: ${sd}x${od}`,rd);let ld=0,cd=0;log.info(`Graph bounds: ${ld}x${cd}`,Ra),ld=sd+ed*2,cd=od+ed*2,log.info(`Calculated bounds: ${ld}x${cd}`),configureSvgSize(qa,cd,ld,td);const ud=`${rd.x-ed} ${rd.y-ed} ${rd.width+2*ed} ${rd.height+2*ed}`;qa.attr("viewBox",ud)},"setupGraphViewbox"),themes={},getStyles$1=__name((Ra,qa,ed)=>{let td="";return Ra in themes&&themes[Ra]?td=themes[Ra](ed):log.warn(`No theme found for ${Ra}`),` & { font-family: ${ed.fontFamily}; font-size: ${ed.fontSize}; fill: ${ed.textColor} } /* Classes common for multiple diagrams */ & .error-icon { fill: ${ed.errorBkgColor}; } & .error-text { fill: ${ed.errorTextColor}; stroke: ${ed.errorTextColor}; } & .edge-thickness-normal { stroke-width: 1px; } & .edge-thickness-thick { stroke-width: 3.5px } & .edge-pattern-solid { stroke-dasharray: 0; } & .edge-thickness-invisible { stroke-width: 0; fill: none; } & .edge-pattern-dashed{ stroke-dasharray: 3; } .edge-pattern-dotted { stroke-dasharray: 2; } & .marker { fill: ${ed.lineColor}; stroke: ${ed.lineColor}; } & .marker.cross { stroke: ${ed.lineColor}; } & svg { font-family: ${ed.fontFamily}; font-size: ${ed.fontSize}; } & p { margin: 0 } ${td} ${qa} `},"getStyles"),addStylesForDiagram=__name((Ra,qa)=>{qa!==void 0&&(themes[Ra]=qa)},"addStylesForDiagram"),styles_default=getStyles$1,commonDb_exports={};__export(commonDb_exports,{clear:()=>clear$1,getAccDescription:()=>getAccDescription,getAccTitle:()=>getAccTitle,getDiagramTitle:()=>getDiagramTitle,setAccDescription:()=>setAccDescription,setAccTitle:()=>setAccTitle,setDiagramTitle:()=>setDiagramTitle});var accTitle="",diagramTitle="",accDescription="",sanitizeText2=__name(Ra=>sanitizeText(Ra,getConfig()),"sanitizeText"),clear$1=__name(()=>{accTitle="",accDescription="",diagramTitle=""},"clear"),setAccTitle=__name(Ra=>{accTitle=sanitizeText2(Ra).replace(/^\s+/g,"")},"setAccTitle"),getAccTitle=__name(()=>accTitle,"getAccTitle"),setAccDescription=__name(Ra=>{accDescription=sanitizeText2(Ra).replace(/\n\s+/g,` `)},"setAccDescription"),getAccDescription=__name(()=>accDescription,"getAccDescription"),setDiagramTitle=__name(Ra=>{diagramTitle=sanitizeText2(Ra)},"setDiagramTitle"),getDiagramTitle=__name(()=>diagramTitle,"getDiagramTitle"),log2=log,setLogLevel2=setLogLevel,getConfig2=getConfig,setConfig2=setConfig,defaultConfig2=defaultConfig,sanitizeText3=__name(Ra=>sanitizeText(Ra,getConfig2()),"sanitizeText"),setupGraphViewbox2=setupGraphViewbox,getCommonDb=__name(()=>commonDb_exports,"getCommonDb"),diagrams={},registerDiagram=__name((Ra,qa,ed)=>{var td;diagrams[Ra]&&log2.warn(`Diagram with id ${Ra} already registered. Overwriting.`),diagrams[Ra]=qa,ed&&addDetector(Ra,ed),addStylesForDiagram(Ra,qa.styles),(td=qa.injectUtils)==null||td.call(qa,log2,setLogLevel2,getConfig2,sanitizeText3,setupGraphViewbox2,getCommonDb(),()=>{})},"registerDiagram"),getDiagram=__name(Ra=>{if(Ra in diagrams)return diagrams[Ra];throw new DiagramNotFoundError(Ra)},"getDiagram"),Py,DiagramNotFoundError=(Py=class extends Error{constructor(qa){super(`Diagram ${qa} not found.`)}},__name(Py,"DiagramNotFoundError"),Py);const defaultIconDimensions=Object.freeze({left:0,top:0,width:16,height:16}),defaultIconTransformations=Object.freeze({rotate:0,vFlip:!1,hFlip:!1}),defaultIconProps=Object.freeze({...defaultIconDimensions,...defaultIconTransformations}),defaultExtendedIconProps=Object.freeze({...defaultIconProps,body:"",hidden:!1}),defaultIconSizeCustomisations=Object.freeze({width:null,height:null}),defaultIconCustomisations=Object.freeze({...defaultIconSizeCustomisations,...defaultIconTransformations}),matchIconName=/^[a-z0-9]+(-[a-z0-9]+)*$/,stringToIcon=(Ra,qa,ed,td="")=>{const rd=Ra.split(":");if(Ra.slice(0,1)==="@"){if(rd.length<2||rd.length>3)return null;td=rd.shift().slice(1)}if(rd.length>3||!rd.length)return null;if(rd.length>1){const ld=rd.pop(),cd=rd.pop(),ud={provider:rd.length>0?rd[0]:td,prefix:cd,name:ld};return validateIconName(ud)?ud:null}const sd=rd[0],od=sd.split("-");if(od.length>1){const ld={provider:td,prefix:od.shift(),name:od.join("-")};return validateIconName(ld)?ld:null}if(ed&&td===""){const ld={provider:td,prefix:"",name:sd};return validateIconName(ld,ed)?ld:null}return null},validateIconName=(Ra,qa)=>Ra?!!((Ra.provider===""||Ra.provider.match(matchIconName))&&(qa&&Ra.prefix===""||Ra.prefix.match(matchIconName))&&Ra.name.match(matchIconName)):!1;function mergeIconTransformations(Ra,qa){const ed={};!Ra.hFlip!=!qa.hFlip&&(ed.hFlip=!0),!Ra.vFlip!=!qa.vFlip&&(ed.vFlip=!0);const td=((Ra.rotate||0)+(qa.rotate||0))%4;return td&&(ed.rotate=td),ed}function mergeIconData(Ra,qa){const ed=mergeIconTransformations(Ra,qa);for(const td in defaultExtendedIconProps)td in defaultIconTransformations?td in Ra&&!(td in ed)&&(ed[td]=defaultIconTransformations[td]):td in qa?ed[td]=qa[td]:td in Ra&&(ed[td]=Ra[td]);return ed}function getIconsTree(Ra,qa){const ed=Ra.icons,td=Ra.aliases||Object.create(null),rd=Object.create(null);function sd(od){if(ed[od])return rd[od]=[];if(!(od in rd)){rd[od]=null;const ld=td[od]&&td[od].parent,cd=ld&&sd(ld);cd&&(rd[od]=[ld].concat(cd))}return rd[od]}return(qa||Object.keys(ed).concat(Object.keys(td))).forEach(sd),rd}function internalGetIconData(Ra,qa,ed){const td=Ra.icons,rd=Ra.aliases||Object.create(null);let sd={};function od(ld){sd=mergeIconData(td[ld]||rd[ld],sd)}return od(qa),ed.forEach(od),mergeIconData(Ra,sd)}function getIconData(Ra,qa){if(Ra.icons[qa])return internalGetIconData(Ra,qa,[]);const ed=getIconsTree(Ra,[qa])[qa];return ed?internalGetIconData(Ra,qa,ed):null}const unitsSplit=/(-?[0-9.]*[0-9]+[0-9.]*)/g,unitsTest=/^-?[0-9.]*[0-9]+[0-9.]*$/g;function calculateSize(Ra,qa,ed){if(qa===1)return Ra;if(ed=ed||100,typeof Ra=="number")return Math.ceil(Ra*qa*ed)/ed;if(typeof Ra!="string")return Ra;const td=Ra.split(unitsSplit);if(td===null||!td.length)return Ra;const rd=[];let sd=td.shift(),od=unitsTest.test(sd);for(;;){if(od){const ld=parseFloat(sd);isNaN(ld)?rd.push(sd):rd.push(Math.ceil(ld*qa*ed)/ed)}else rd.push(sd);if(sd=td.shift(),sd===void 0)return rd.join("");od=!od}}function splitSVGDefs(Ra,qa="defs"){let ed="";const td=Ra.indexOf("<"+qa);for(;td>=0;){const rd=Ra.indexOf(">",td),sd=Ra.indexOf("",sd);if(od===-1)break;ed+=Ra.slice(rd+1,sd).trim(),Ra=Ra.slice(0,td).trim()+Ra.slice(od+1)}return{defs:ed,content:Ra}}function mergeDefsAndContent(Ra,qa){return Ra?""+Ra+""+qa:qa}function wrapSVGContent(Ra,qa,ed){const td=splitSVGDefs(Ra);return mergeDefsAndContent(td.defs,qa+td.content+ed)}const isUnsetKeyword=Ra=>Ra==="unset"||Ra==="undefined"||Ra==="none";function iconToSVG(Ra,qa){const ed={...defaultIconProps,...Ra},td={...defaultIconCustomisations,...qa},rd={left:ed.left,top:ed.top,width:ed.width,height:ed.height};let sd=ed.body;[ed,td].forEach(Rd=>{const Bd=[],kd=Rd.hFlip,Od=Rd.vFlip;let Md=Rd.rotate;kd?Od?Md+=2:(Bd.push("translate("+(rd.width+rd.left).toString()+" "+(0-rd.top).toString()+")"),Bd.push("scale(-1 1)"),rd.top=rd.left=0):Od&&(Bd.push("translate("+(0-rd.left).toString()+" "+(rd.height+rd.top).toString()+")"),Bd.push("scale(1 -1)"),rd.top=rd.left=0);let Pd;switch(Md<0&&(Md-=Math.floor(Md/4)*4),Md=Md%4,Md){case 1:Pd=rd.height/2+rd.top,Bd.unshift("rotate(90 "+Pd.toString()+" "+Pd.toString()+")");break;case 2:Bd.unshift("rotate(180 "+(rd.width/2+rd.left).toString()+" "+(rd.height/2+rd.top).toString()+")");break;case 3:Pd=rd.width/2+rd.left,Bd.unshift("rotate(-90 "+Pd.toString()+" "+Pd.toString()+")");break}Md%2===1&&(rd.left!==rd.top&&(Pd=rd.left,rd.left=rd.top,rd.top=Pd),rd.width!==rd.height&&(Pd=rd.width,rd.width=rd.height,rd.height=Pd)),Bd.length&&(sd=wrapSVGContent(sd,'',""))});const od=td.width,ld=td.height,cd=rd.width,ud=rd.height;let _d,Ed;od===null?(Ed=ld===null?"1em":ld==="auto"?ud:ld,_d=calculateSize(Ed,cd/ud)):(_d=od==="auto"?cd:od,Ed=ld===null?calculateSize(_d,ud/cd):ld==="auto"?ud:ld);const Ad={},Td=(Rd,Bd)=>{isUnsetKeyword(Bd)||(Ad[Rd]=Bd.toString())};Td("width",_d),Td("height",Ed);const Nd=[rd.left,rd.top,cd,ud];return Ad.viewBox=Nd.join(" "),{attributes:Ad,viewBox:Nd,body:sd}}const regex=/\sid="(\S+)"/g,randomPrefix="IconifyId"+Date.now().toString(16)+(Math.random()*16777216|0).toString(16);let counter=0;function replaceIDs(Ra,qa=randomPrefix){const ed=[];let td;for(;td=regex.exec(Ra);)ed.push(td[1]);if(!ed.length)return Ra;const rd="suffix"+(Math.random()*16777216|Date.now()).toString(16);return ed.forEach(sd=>{const od=typeof qa=="function"?qa(sd):qa+(counter++).toString(),ld=sd.replace(/[.*+?^${}()|[\]\\]/g,"\\$&");Ra=Ra.replace(new RegExp('([#;"])('+ld+')([")]|\\.[a-z])',"g"),"$1"+od+rd+"$3")}),Ra=Ra.replace(new RegExp(rd,"g"),""),Ra}function iconToHTML(Ra,qa){let ed=Ra.indexOf("xlink:")===-1?"":' xmlns:xlink="http://www.w3.org/1999/xlink"';for(const td in qa)ed+=" "+td+'="'+qa[td]+'"';return'"+Ra+""}var unknownIcon={body:'?',height:80,width:80},iconsStore=new Map,loaderStore=new Map,registerIconPacks=__name(Ra=>{for(const qa of Ra){if(!qa.name)throw new Error('Invalid icon loader. Must have a "name" property with non-empty string value.');if(log.debug("Registering icon pack:",qa.name),"loader"in qa)loaderStore.set(qa.name,qa.loader);else if("icons"in qa)iconsStore.set(qa.name,qa.icons);else throw log.error("Invalid icon loader:",qa),new Error('Invalid icon loader. Must have either "icons" or "loader" property.')}},"registerIconPacks"),getRegisteredIconData=__name(async(Ra,qa)=>{const ed=stringToIcon(Ra,!0,qa!==void 0);if(!ed)throw new Error(`Invalid icon name: ${Ra}`);const td=ed.prefix||qa;if(!td)throw new Error(`Icon name must contain a prefix: ${Ra}`);let rd=iconsStore.get(td);if(!rd){const od=loaderStore.get(td);if(!od)throw new Error(`Icon set not found: ${ed.prefix}`);try{rd={...await od(),prefix:td},iconsStore.set(td,rd)}catch(ld){throw log.error(ld),new Error(`Failed to load icon set: ${ed.prefix}`)}}const sd=getIconData(rd,ed.name);if(!sd)throw new Error(`Icon not found: ${Ra}`);return sd},"getRegisteredIconData"),getIconSVG=__name(async(Ra,qa)=>{let ed;try{ed=await getRegisteredIconData(Ra,qa==null?void 0:qa.fallbackPrefix)}catch(sd){log.error(sd),ed=unknownIcon}const td=iconToSVG(ed,qa);return iconToHTML(replaceIDs(td.body),td.attributes)},"getIconSVG"),getSubGraphTitleMargins=__name(({flowchart:Ra})=>{var rd,sd;const qa=((rd=Ra==null?void 0:Ra.subGraphTitleMargin)==null?void 0:rd.top)??0,ed=((sd=Ra==null?void 0:Ra.subGraphTitleMargin)==null?void 0:sd.bottom)??0,td=qa+ed;return{subGraphTitleTopMargin:qa,subGraphTitleBottomMargin:ed,subGraphTitleTotalMargin:td}},"getSubGraphTitleMargins"),markerOffsets={aggregation:18,extension:18,composition:18,dependency:6,lollipop:13.5,arrow_point:4};function calculateDeltaAndAngle(Ra,qa){if(Ra===void 0||qa===void 0)return{angle:0,deltaX:0,deltaY:0};Ra=pointTransformer(Ra),qa=pointTransformer(qa);const[ed,td]=[Ra.x,Ra.y],[rd,sd]=[qa.x,qa.y],od=rd-ed,ld=sd-td;return{angle:Math.atan(ld/od),deltaX:od,deltaY:ld}}__name(calculateDeltaAndAngle,"calculateDeltaAndAngle");var pointTransformer=__name(Ra=>Array.isArray(Ra)?{x:Ra[0],y:Ra[1]}:Ra,"pointTransformer"),getLineFunctionsWithOffset=__name(Ra=>({x:__name(function(qa,ed,td){let rd=0;if(ed===0&&Object.hasOwn(markerOffsets,Ra.arrowTypeStart)){const{angle:sd,deltaX:od}=calculateDeltaAndAngle(td[0],td[1]);rd=markerOffsets[Ra.arrowTypeStart]*Math.cos(sd)*(od>=0?1:-1)}else if(ed===td.length-1&&Object.hasOwn(markerOffsets,Ra.arrowTypeEnd)){const{angle:sd,deltaX:od}=calculateDeltaAndAngle(td[td.length-1],td[td.length-2]);rd=markerOffsets[Ra.arrowTypeEnd]*Math.cos(sd)*(od>=0?1:-1)}return pointTransformer(qa).x+rd},"x"),y:__name(function(qa,ed,td){let rd=0;if(ed===0&&Object.hasOwn(markerOffsets,Ra.arrowTypeStart)){const{angle:sd,deltaY:od}=calculateDeltaAndAngle(td[0],td[1]);rd=markerOffsets[Ra.arrowTypeStart]*Math.abs(Math.sin(sd))*(od>=0?1:-1)}else if(ed===td.length-1&&Object.hasOwn(markerOffsets,Ra.arrowTypeEnd)){const{angle:sd,deltaY:od}=calculateDeltaAndAngle(td[td.length-1],td[td.length-2]);rd=markerOffsets[Ra.arrowTypeEnd]*Math.abs(Math.sin(sd))*(od>=0?1:-1)}return pointTransformer(qa).y+rd},"y")}),"getLineFunctionsWithOffset"),dist={},constants={};Object.defineProperty(constants,"__esModule",{value:!0});constants.BLANK_URL=constants.relativeFirstCharacters=constants.whitespaceEscapeCharsRegex=constants.urlSchemeRegex=constants.ctrlCharactersRegex=constants.htmlCtrlEntityRegex=constants.htmlEntitiesRegex=constants.invalidProtocolRegex=void 0;constants.invalidProtocolRegex=/^([^\w]*)(javascript|data|vbscript)/im;constants.htmlEntitiesRegex=/&#(\w+)(^\w|;)?/g;constants.htmlCtrlEntityRegex=/&(newline|tab);/gi;constants.ctrlCharactersRegex=/[\u0000-\u001F\u007F-\u009F\u2000-\u200D\uFEFF]/gim;constants.urlSchemeRegex=/^.+(:|:)/gim;constants.whitespaceEscapeCharsRegex=/(\\|%5[cC])((%(6[eE]|72|74))|[nrt])/g;constants.relativeFirstCharacters=[".","/"];constants.BLANK_URL="about:blank";Object.defineProperty(dist,"__esModule",{value:!0});var sanitizeUrl_1=dist.sanitizeUrl=void 0,constants_1=constants;function isRelativeUrlWithoutProtocol(Ra){return constants_1.relativeFirstCharacters.indexOf(Ra[0])>-1}function decodeHtmlCharacters(Ra){var qa=Ra.replace(constants_1.ctrlCharactersRegex,"");return qa.replace(constants_1.htmlEntitiesRegex,function(ed,td){return String.fromCharCode(td)})}function isValidUrl(Ra){return URL.canParse(Ra)}function decodeURI$1(Ra){try{return decodeURIComponent(Ra)}catch{return Ra}}function sanitizeUrl(Ra){if(!Ra)return constants_1.BLANK_URL;var qa,ed=decodeURI$1(Ra.trim());do ed=decodeHtmlCharacters(ed).replace(constants_1.htmlCtrlEntityRegex,"").replace(constants_1.ctrlCharactersRegex,"").replace(constants_1.whitespaceEscapeCharsRegex,"").trim(),ed=decodeURI$1(ed),qa=ed.match(constants_1.ctrlCharactersRegex)||ed.match(constants_1.htmlEntitiesRegex)||ed.match(constants_1.htmlCtrlEntityRegex)||ed.match(constants_1.whitespaceEscapeCharsRegex);while(qa&&qa.length>0);var td=ed;if(!td)return constants_1.BLANK_URL;if(isRelativeUrlWithoutProtocol(td))return td;var rd=td.trimStart(),sd=rd.match(constants_1.urlSchemeRegex);if(!sd)return td;var od=sd[0].toLowerCase().trim();if(constants_1.invalidProtocolRegex.test(od))return constants_1.BLANK_URL;var ld=rd.replace(/\\/g,"/");if(od==="mailto:"||od.includes("://"))return ld;if(od==="http:"||od==="https:"){if(!isValidUrl(ld))return constants_1.BLANK_URL;var cd=new URL(ld);return cd.protocol=cd.protocol.toLowerCase(),cd.hostname=cd.hostname.toLowerCase(),cd.toString()}return ld}sanitizeUrl_1=dist.sanitizeUrl=sanitizeUrl;var noop$1={value:()=>{}};function dispatch(){for(var Ra=0,qa=arguments.length,ed={},td;Ra=0&&(td=ed.slice(rd+1),ed=ed.slice(0,rd)),ed&&!qa.hasOwnProperty(ed))throw new Error("unknown type: "+ed);return{type:ed,name:td}})}Dispatch.prototype=dispatch.prototype={constructor:Dispatch,on:function(Ra,qa){var ed=this._,td=parseTypenames$1(Ra+"",ed),rd,sd=-1,od=td.length;if(arguments.length<2){for(;++sd0)for(var ed=new Array(rd),td=0,rd,sd;td=0&&(qa=Ra.slice(0,ed))!=="xmlns"&&(Ra=Ra.slice(ed+1)),namespaces.hasOwnProperty(qa)?{space:namespaces[qa],local:Ra}:Ra}function creatorInherit(Ra){return function(){var qa=this.ownerDocument,ed=this.namespaceURI;return ed===xhtml&&qa.documentElement.namespaceURI===xhtml?qa.createElement(Ra):qa.createElementNS(ed,Ra)}}function creatorFixed(Ra){return function(){return this.ownerDocument.createElementNS(Ra.space,Ra.local)}}function creator(Ra){var qa=namespace(Ra);return(qa.local?creatorFixed:creatorInherit)(qa)}function none(){}function selector$1(Ra){return Ra==null?none:function(){return this.querySelector(Ra)}}function selection_select(Ra){typeof Ra!="function"&&(Ra=selector$1(Ra));for(var qa=this._groups,ed=qa.length,td=new Array(ed),rd=0;rd=Md&&(Md=Od+1);!(Fd=Bd[Md])&&++Md=0;)(od=td[rd])&&(sd&&od.compareDocumentPosition(sd)^4&&sd.parentNode.insertBefore(od,sd),sd=od);return this}function selection_sort(Ra){Ra||(Ra=ascending);function qa(Ed,Ad){return Ed&&Ad?Ra(Ed.__data__,Ad.__data__):!Ed-!Ad}for(var ed=this._groups,td=ed.length,rd=new Array(td),sd=0;sdqa?1:Ra>=qa?0:NaN}function selection_call(){var Ra=arguments[0];return arguments[0]=this,Ra.apply(null,arguments),this}function selection_nodes(){return Array.from(this)}function selection_node(){for(var Ra=this._groups,qa=0,ed=Ra.length;qa1?this.each((qa==null?styleRemove$1:typeof qa=="function"?styleFunction$1:styleConstant$1)(Ra,qa,ed??"")):styleValue(this.node(),Ra)}function styleValue(Ra,qa){return Ra.style.getPropertyValue(qa)||defaultView(Ra).getComputedStyle(Ra,null).getPropertyValue(qa)}function propertyRemove(Ra){return function(){delete this[Ra]}}function propertyConstant(Ra,qa){return function(){this[Ra]=qa}}function propertyFunction(Ra,qa){return function(){var ed=qa.apply(this,arguments);ed==null?delete this[Ra]:this[Ra]=ed}}function selection_property(Ra,qa){return arguments.length>1?this.each((qa==null?propertyRemove:typeof qa=="function"?propertyFunction:propertyConstant)(Ra,qa)):this.node()[Ra]}function classArray(Ra){return Ra.trim().split(/^|\s+/)}function classList(Ra){return Ra.classList||new ClassList(Ra)}function ClassList(Ra){this._node=Ra,this._names=classArray(Ra.getAttribute("class")||"")}ClassList.prototype={add:function(Ra){var qa=this._names.indexOf(Ra);qa<0&&(this._names.push(Ra),this._node.setAttribute("class",this._names.join(" ")))},remove:function(Ra){var qa=this._names.indexOf(Ra);qa>=0&&(this._names.splice(qa,1),this._node.setAttribute("class",this._names.join(" ")))},contains:function(Ra){return this._names.indexOf(Ra)>=0}};function classedAdd(Ra,qa){for(var ed=classList(Ra),td=-1,rd=qa.length;++td=0&&(ed=qa.slice(td+1),qa=qa.slice(0,td)),{type:qa,name:ed}})}function onRemove(Ra){return function(){var qa=this.__on;if(qa){for(var ed=0,td=-1,rd=qa.length,sd;ed>8&15|qa>>4&240,qa>>4&15|qa&240,(qa&15)<<4|qa&15,1):ed===8?rgba(qa>>24&255,qa>>16&255,qa>>8&255,(qa&255)/255):ed===4?rgba(qa>>12&15|qa>>8&240,qa>>8&15|qa>>4&240,qa>>4&15|qa&240,((qa&15)<<4|qa&15)/255):null):(qa=reRgbInteger.exec(Ra))?new Rgb(qa[1],qa[2],qa[3],1):(qa=reRgbPercent.exec(Ra))?new Rgb(qa[1]*255/100,qa[2]*255/100,qa[3]*255/100,1):(qa=reRgbaInteger.exec(Ra))?rgba(qa[1],qa[2],qa[3],qa[4]):(qa=reRgbaPercent.exec(Ra))?rgba(qa[1]*255/100,qa[2]*255/100,qa[3]*255/100,qa[4]):(qa=reHslPercent.exec(Ra))?hsla(qa[1],qa[2]/100,qa[3]/100,1):(qa=reHslaPercent.exec(Ra))?hsla(qa[1],qa[2]/100,qa[3]/100,qa[4]):named.hasOwnProperty(Ra)?rgbn(named[Ra]):Ra==="transparent"?new Rgb(NaN,NaN,NaN,0):null}function rgbn(Ra){return new Rgb(Ra>>16&255,Ra>>8&255,Ra&255,1)}function rgba(Ra,qa,ed,td){return td<=0&&(Ra=qa=ed=NaN),new Rgb(Ra,qa,ed,td)}function rgbConvert(Ra){return Ra instanceof Color||(Ra=color(Ra)),Ra?(Ra=Ra.rgb(),new Rgb(Ra.r,Ra.g,Ra.b,Ra.opacity)):new Rgb}function rgb(Ra,qa,ed,td){return arguments.length===1?rgbConvert(Ra):new Rgb(Ra,qa,ed,td??1)}function Rgb(Ra,qa,ed,td){this.r=+Ra,this.g=+qa,this.b=+ed,this.opacity=+td}define(Rgb,rgb,extend$1(Color,{brighter(Ra){return Ra=Ra==null?brighter:Math.pow(brighter,Ra),new Rgb(this.r*Ra,this.g*Ra,this.b*Ra,this.opacity)},darker(Ra){return Ra=Ra==null?darker:Math.pow(darker,Ra),new Rgb(this.r*Ra,this.g*Ra,this.b*Ra,this.opacity)},rgb(){return this},clamp(){return new Rgb(clampi(this.r),clampi(this.g),clampi(this.b),clampa(this.opacity))},displayable(){return-.5<=this.r&&this.r<255.5&&-.5<=this.g&&this.g<255.5&&-.5<=this.b&&this.b<255.5&&0<=this.opacity&&this.opacity<=1},hex:rgb_formatHex,formatHex:rgb_formatHex,formatHex8:rgb_formatHex8,formatRgb:rgb_formatRgb,toString:rgb_formatRgb}));function rgb_formatHex(){return`#${hex(this.r)}${hex(this.g)}${hex(this.b)}`}function rgb_formatHex8(){return`#${hex(this.r)}${hex(this.g)}${hex(this.b)}${hex((isNaN(this.opacity)?1:this.opacity)*255)}`}function rgb_formatRgb(){const Ra=clampa(this.opacity);return`${Ra===1?"rgb(":"rgba("}${clampi(this.r)}, ${clampi(this.g)}, ${clampi(this.b)}${Ra===1?")":`, ${Ra})`}`}function clampa(Ra){return isNaN(Ra)?1:Math.max(0,Math.min(1,Ra))}function clampi(Ra){return Math.max(0,Math.min(255,Math.round(Ra)||0))}function hex(Ra){return Ra=clampi(Ra),(Ra<16?"0":"")+Ra.toString(16)}function hsla(Ra,qa,ed,td){return td<=0?Ra=qa=ed=NaN:ed<=0||ed>=1?Ra=qa=NaN:qa<=0&&(Ra=NaN),new Hsl(Ra,qa,ed,td)}function hslConvert(Ra){if(Ra instanceof Hsl)return new Hsl(Ra.h,Ra.s,Ra.l,Ra.opacity);if(Ra instanceof Color||(Ra=color(Ra)),!Ra)return new Hsl;if(Ra instanceof Hsl)return Ra;Ra=Ra.rgb();var qa=Ra.r/255,ed=Ra.g/255,td=Ra.b/255,rd=Math.min(qa,ed,td),sd=Math.max(qa,ed,td),od=NaN,ld=sd-rd,cd=(sd+rd)/2;return ld?(qa===sd?od=(ed-td)/ld+(ed0&&cd<1?0:od,new Hsl(od,ld,cd,Ra.opacity)}function hsl(Ra,qa,ed,td){return arguments.length===1?hslConvert(Ra):new Hsl(Ra,qa,ed,td??1)}function Hsl(Ra,qa,ed,td){this.h=+Ra,this.s=+qa,this.l=+ed,this.opacity=+td}define(Hsl,hsl,extend$1(Color,{brighter(Ra){return Ra=Ra==null?brighter:Math.pow(brighter,Ra),new Hsl(this.h,this.s,this.l*Ra,this.opacity)},darker(Ra){return Ra=Ra==null?darker:Math.pow(darker,Ra),new Hsl(this.h,this.s,this.l*Ra,this.opacity)},rgb(){var Ra=this.h%360+(this.h<0)*360,qa=isNaN(Ra)||isNaN(this.s)?0:this.s,ed=this.l,td=ed+(ed<.5?ed:1-ed)*qa,rd=2*ed-td;return new Rgb(hsl2rgb(Ra>=240?Ra-240:Ra+120,rd,td),hsl2rgb(Ra,rd,td),hsl2rgb(Ra<120?Ra+240:Ra-120,rd,td),this.opacity)},clamp(){return new Hsl(clamph(this.h),clampt(this.s),clampt(this.l),clampa(this.opacity))},displayable(){return(0<=this.s&&this.s<=1||isNaN(this.s))&&0<=this.l&&this.l<=1&&0<=this.opacity&&this.opacity<=1},formatHsl(){const Ra=clampa(this.opacity);return`${Ra===1?"hsl(":"hsla("}${clamph(this.h)}, ${clampt(this.s)*100}%, ${clampt(this.l)*100}%${Ra===1?")":`, ${Ra})`}`}}));function clamph(Ra){return Ra=(Ra||0)%360,Ra<0?Ra+360:Ra}function clampt(Ra){return Math.max(0,Math.min(1,Ra||0))}function hsl2rgb(Ra,qa,ed){return(Ra<60?qa+(ed-qa)*Ra/60:Ra<180?ed:Ra<240?qa+(ed-qa)*(240-Ra)/60:qa)*255}const constant$1=Ra=>()=>Ra;function linear(Ra,qa){return function(ed){return Ra+ed*qa}}function exponential(Ra,qa,ed){return Ra=Math.pow(Ra,ed),qa=Math.pow(qa,ed)-Ra,ed=1/ed,function(td){return Math.pow(Ra+td*qa,ed)}}function hue(Ra,qa){var ed=qa-Ra;return ed?linear(Ra,ed>180||ed<-180?ed-360*Math.round(ed/360):ed):constant$1(isNaN(Ra)?qa:Ra)}function gamma$2(Ra){return(Ra=+Ra)==1?nogamma:function(qa,ed){return ed-qa?exponential(qa,ed,Ra):constant$1(isNaN(qa)?ed:qa)}}function nogamma(Ra,qa){var ed=qa-Ra;return ed?linear(Ra,ed):constant$1(isNaN(Ra)?qa:Ra)}const interpolateRgb=function Ra(qa){var ed=gamma$2(qa);function td(rd,sd){var od=ed((rd=rgb(rd)).r,(sd=rgb(sd)).r),ld=ed(rd.g,sd.g),cd=ed(rd.b,sd.b),ud=nogamma(rd.opacity,sd.opacity);return function(_d){return rd.r=od(_d),rd.g=ld(_d),rd.b=cd(_d),rd.opacity=ud(_d),rd+""}}return td.gamma=Ra,td}(1);function interpolateNumber(Ra,qa){return Ra=+Ra,qa=+qa,function(ed){return Ra*(1-ed)+qa*ed}}var reA=/[-+]?(?:\d+\.?\d*|\.?\d+)(?:[eE][-+]?\d+)?/g,reB=new RegExp(reA.source,"g");function zero(Ra){return function(){return Ra}}function one(Ra){return function(qa){return Ra(qa)+""}}function interpolateString(Ra,qa){var ed=reA.lastIndex=reB.lastIndex=0,td,rd,sd,od=-1,ld=[],cd=[];for(Ra=Ra+"",qa=qa+"";(td=reA.exec(Ra))&&(rd=reB.exec(qa));)(sd=rd.index)>ed&&(sd=qa.slice(ed,sd),ld[od]?ld[od]+=sd:ld[++od]=sd),(td=td[0])===(rd=rd[0])?ld[od]?ld[od]+=rd:ld[++od]=rd:(ld[++od]=null,cd.push({i:od,x:interpolateNumber(td,rd)})),ed=reB.lastIndex;return ed180?_d+=360:_d-ud>180&&(ud+=360),Ad.push({i:Ed.push(rd(Ed)+"rotate(",null,td)-2,x:interpolateNumber(ud,_d)})):_d&&Ed.push(rd(Ed)+"rotate("+_d+td)}function ld(ud,_d,Ed,Ad){ud!==_d?Ad.push({i:Ed.push(rd(Ed)+"skewX(",null,td)-2,x:interpolateNumber(ud,_d)}):_d&&Ed.push(rd(Ed)+"skewX("+_d+td)}function cd(ud,_d,Ed,Ad,Td,Nd){if(ud!==Ed||_d!==Ad){var Rd=Td.push(rd(Td)+"scale(",null,",",null,")");Nd.push({i:Rd-4,x:interpolateNumber(ud,Ed)},{i:Rd-2,x:interpolateNumber(_d,Ad)})}else(Ed!==1||Ad!==1)&&Td.push(rd(Td)+"scale("+Ed+","+Ad+")")}return function(ud,_d){var Ed=[],Ad=[];return ud=Ra(ud),_d=Ra(_d),sd(ud.translateX,ud.translateY,_d.translateX,_d.translateY,Ed,Ad),od(ud.rotate,_d.rotate,Ed,Ad),ld(ud.skewX,_d.skewX,Ed,Ad),cd(ud.scaleX,ud.scaleY,_d.scaleX,_d.scaleY,Ed,Ad),ud=_d=null,function(Td){for(var Nd=-1,Rd=Ad.length,Bd;++Nd=0&&Ra._call.call(void 0,qa),Ra=Ra._next;--frame}function wake(){clockNow=(clockLast=clock.now())+clockSkew,frame=timeout$1=0;try{timerFlush()}finally{frame=0,nap$2(),clockNow=0}}function poke(){var Ra=clock.now(),qa=Ra-clockLast;qa>pokeDelay&&(clockSkew-=qa,clockLast=Ra)}function nap$2(){for(var Ra,qa=taskHead,ed,td=1/0;qa;)qa._call?(td>qa._time&&(td=qa._time),Ra=qa,qa=qa._next):(ed=qa._next,qa._next=null,qa=Ra?Ra._next=ed:taskHead=ed);taskTail=Ra,sleep(td)}function sleep(Ra){if(!frame){timeout$1&&(timeout$1=clearTimeout(timeout$1));var qa=Ra-clockNow;qa>24?(Ra<1/0&&(timeout$1=setTimeout(wake,Ra-clock.now()-clockSkew)),interval&&(interval=clearInterval(interval))):(interval||(clockLast=clock.now(),interval=setInterval(poke,pokeDelay)),frame=1,setFrame(wake))}}function timeout(Ra,qa,ed){var td=new Timer;return qa=qa==null?0:+qa,td.restart(rd=>{td.stop(),Ra(rd+qa)},qa,ed),td}var emptyOn=dispatch("start","end","cancel","interrupt"),emptyTween=[],CREATED=0,SCHEDULED=1,STARTING=2,STARTED=3,RUNNING=4,ENDING=5,ENDED=6;function schedule(Ra,qa,ed,td,rd,sd){var od=Ra.__transition;if(!od)Ra.__transition={};else if(ed in od)return;create(Ra,ed,{name:qa,index:td,group:rd,on:emptyOn,tween:emptyTween,time:sd.time,delay:sd.delay,duration:sd.duration,ease:sd.ease,timer:null,state:CREATED})}function init$1(Ra,qa){var ed=get(Ra,qa);if(ed.state>CREATED)throw new Error("too late; already scheduled");return ed}function set$1(Ra,qa){var ed=get(Ra,qa);if(ed.state>STARTED)throw new Error("too late; already running");return ed}function get(Ra,qa){var ed=Ra.__transition;if(!ed||!(ed=ed[qa]))throw new Error("transition not found");return ed}function create(Ra,qa,ed){var td=Ra.__transition,rd;td[qa]=ed,ed.timer=timer(sd,0,ed.time);function sd(ud){ed.state=SCHEDULED,ed.timer.restart(od,ed.delay,ed.time),ed.delay<=ud&&od(ud-ed.delay)}function od(ud){var _d,Ed,Ad,Td;if(ed.state!==SCHEDULED)return cd();for(_d in td)if(Td=td[_d],Td.name===ed.name){if(Td.state===STARTED)return timeout(od);Td.state===RUNNING?(Td.state=ENDED,Td.timer.stop(),Td.on.call("interrupt",Ra,Ra.__data__,Td.index,Td.group),delete td[_d]):+_dSTARTING&&td.state=0&&(qa=qa.slice(0,ed)),!qa||qa==="start"})}function onFunction(Ra,qa,ed){var td,rd,sd=start(qa)?init$1:set$1;return function(){var od=sd(this,Ra),ld=od.on;ld!==td&&(rd=(td=ld).copy()).on(qa,ed),od.on=rd}}function transition_on(Ra,qa){var ed=this._id;return arguments.length<2?get(this.node(),ed).on.on(Ra):this.each(onFunction(ed,Ra,qa))}function removeFunction(Ra){return function(){var qa=this.parentNode;for(var ed in this.__transition)if(+ed!==Ra)return;qa&&qa.removeChild(this)}}function transition_remove(){return this.on("end.remove",removeFunction(this._id))}function transition_select(Ra){var qa=this._name,ed=this._id;typeof Ra!="function"&&(Ra=selector$1(Ra));for(var td=this._groups,rd=td.length,sd=new Array(rd),od=0;od=0))throw new Error(`invalid digits: ${Ra}`);if(qa>15)return append$1;const ed=10**qa;return function(td){this._+=td[0];for(let rd=1,sd=td.length;rdepsilon$3)if(!(Math.abs(Ed*cd-ud*_d)>epsilon$3)||!sd)this._append`L${this._x1=qa},${this._y1=ed}`;else{let Td=td-od,Nd=rd-ld,Rd=cd*cd+ud*ud,Bd=Td*Td+Nd*Nd,kd=Math.sqrt(Rd),Od=Math.sqrt(Ad),Md=sd*Math.tan((pi$8-Math.acos((Rd+Ad-Bd)/(2*kd*Od)))/2),Pd=Md/Od,Fd=Md/kd;Math.abs(Pd-1)>epsilon$3&&this._append`L${qa+Pd*_d},${ed+Pd*Ed}`,this._append`A${sd},${sd},0,0,${+(Ed*Td>_d*Nd)},${this._x1=qa+Fd*cd},${this._y1=ed+Fd*ud}`}}arc(qa,ed,td,rd,sd,od){if(qa=+qa,ed=+ed,td=+td,od=!!od,td<0)throw new Error(`negative radius: ${td}`);let ld=td*Math.cos(rd),cd=td*Math.sin(rd),ud=qa+ld,_d=ed+cd,Ed=1^od,Ad=od?rd-sd:sd-rd;this._x1===null?this._append`M${ud},${_d}`:(Math.abs(this._x1-ud)>epsilon$3||Math.abs(this._y1-_d)>epsilon$3)&&this._append`L${ud},${_d}`,td&&(Ad<0&&(Ad=Ad%tau$3+tau$3),Ad>tauEpsilon?this._append`A${td},${td},0,1,${Ed},${qa-ld},${ed-cd}A${td},${td},0,1,${Ed},${this._x1=ud},${this._y1=_d}`:Ad>epsilon$3&&this._append`A${td},${td},0,${+(Ad>=pi$8)},${Ed},${this._x1=qa+td*Math.cos(sd)},${this._y1=ed+td*Math.sin(sd)}`)}rect(qa,ed,td,rd){this._append`M${this._x0=this._x1=+qa},${this._y0=this._y1=+ed}h${td=+td}v${+rd}h${-td}Z`}toString(){return this._}}function constant(Ra){return function(){return Ra}}const abs$1=Math.abs,atan2=Math.atan2,cos=Math.cos,max=Math.max,min=Math.min,sin=Math.sin,sqrt=Math.sqrt,epsilon$2=1e-12,pi$7=Math.PI,halfPi=pi$7/2,tau$2=2*pi$7;function acos(Ra){return Ra>1?0:Ra<-1?pi$7:Math.acos(Ra)}function asin(Ra){return Ra>=1?halfPi:Ra<=-1?-halfPi:Math.asin(Ra)}function withPath(Ra){let qa=3;return Ra.digits=function(ed){if(!arguments.length)return qa;if(ed==null)qa=null;else{const td=Math.floor(ed);if(!(td>=0))throw new RangeError(`invalid digits: ${ed}`);qa=td}return Ra},()=>new Path(qa)}function array(Ra){return typeof Ra=="object"&&"length"in Ra?Ra:Array.from(Ra)}function Linear(Ra){this._context=Ra}Linear.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._point=0},lineEnd:function(){(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2;default:this._context.lineTo(Ra,qa);break}}};function curveLinear(Ra){return new Linear(Ra)}function x$3(Ra){return Ra[0]}function y$3(Ra){return Ra[1]}function line$1(Ra,qa){var ed=constant(!0),td=null,rd=curveLinear,sd=null,od=withPath(ld);Ra=typeof Ra=="function"?Ra:Ra===void 0?x$3:constant(Ra),qa=typeof qa=="function"?qa:qa===void 0?y$3:constant(qa);function ld(cd){var ud,_d=(cd=array(cd)).length,Ed,Ad=!1,Td;for(td==null&&(sd=rd(Td=od())),ud=0;ud<=_d;++ud)!(ud<_d&&ed(Ed=cd[ud],ud,cd))===Ad&&((Ad=!Ad)?sd.lineStart():sd.lineEnd()),Ad&&sd.point(+Ra(Ed,ud,cd),+qa(Ed,ud,cd));if(Td)return sd=null,Td+""||null}return ld.x=function(cd){return arguments.length?(Ra=typeof cd=="function"?cd:constant(+cd),ld):Ra},ld.y=function(cd){return arguments.length?(qa=typeof cd=="function"?cd:constant(+cd),ld):qa},ld.defined=function(cd){return arguments.length?(ed=typeof cd=="function"?cd:constant(!!cd),ld):ed},ld.curve=function(cd){return arguments.length?(rd=cd,td!=null&&(sd=rd(td)),ld):rd},ld.context=function(cd){return arguments.length?(cd==null?td=sd=null:sd=rd(td=cd),ld):td},ld}class Bump{constructor(qa,ed){this._context=qa,this._x=ed}areaStart(){this._line=0}areaEnd(){this._line=NaN}lineStart(){this._point=0}lineEnd(){(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line}point(qa,ed){switch(qa=+qa,ed=+ed,this._point){case 0:{this._point=1,this._line?this._context.lineTo(qa,ed):this._context.moveTo(qa,ed);break}case 1:this._point=2;default:{this._x?this._context.bezierCurveTo(this._x0=(this._x0+qa)/2,this._y0,this._x0,ed,qa,ed):this._context.bezierCurveTo(this._x0,this._y0=(this._y0+ed)/2,qa,this._y0,qa,ed);break}}this._x0=qa,this._y0=ed}}function bumpX(Ra){return new Bump(Ra,!0)}function bumpY(Ra){return new Bump(Ra,!1)}function noop(){}function point$4(Ra,qa,ed){Ra._context.bezierCurveTo((2*Ra._x0+Ra._x1)/3,(2*Ra._y0+Ra._y1)/3,(Ra._x0+2*Ra._x1)/3,(Ra._y0+2*Ra._y1)/3,(Ra._x0+4*Ra._x1+qa)/6,(Ra._y0+4*Ra._y1+ed)/6)}function Basis(Ra){this._context=Ra}Basis.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._y0=this._y1=NaN,this._point=0},lineEnd:function(){switch(this._point){case 3:point$4(this,this._x1,this._y1);case 2:this._context.lineTo(this._x1,this._y1);break}(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2;break;case 2:this._point=3,this._context.lineTo((5*this._x0+this._x1)/6,(5*this._y0+this._y1)/6);default:point$4(this,Ra,qa);break}this._x0=this._x1,this._x1=Ra,this._y0=this._y1,this._y1=qa}};function curveBasis(Ra){return new Basis(Ra)}function BasisClosed(Ra){this._context=Ra}BasisClosed.prototype={areaStart:noop,areaEnd:noop,lineStart:function(){this._x0=this._x1=this._x2=this._x3=this._x4=this._y0=this._y1=this._y2=this._y3=this._y4=NaN,this._point=0},lineEnd:function(){switch(this._point){case 1:{this._context.moveTo(this._x2,this._y2),this._context.closePath();break}case 2:{this._context.moveTo((this._x2+2*this._x3)/3,(this._y2+2*this._y3)/3),this._context.lineTo((this._x3+2*this._x2)/3,(this._y3+2*this._y2)/3),this._context.closePath();break}case 3:{this.point(this._x2,this._y2),this.point(this._x3,this._y3),this.point(this._x4,this._y4);break}}},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._x2=Ra,this._y2=qa;break;case 1:this._point=2,this._x3=Ra,this._y3=qa;break;case 2:this._point=3,this._x4=Ra,this._y4=qa,this._context.moveTo((this._x0+4*this._x1+Ra)/6,(this._y0+4*this._y1+qa)/6);break;default:point$4(this,Ra,qa);break}this._x0=this._x1,this._x1=Ra,this._y0=this._y1,this._y1=qa}};function curveBasisClosed(Ra){return new BasisClosed(Ra)}function BasisOpen(Ra){this._context=Ra}BasisOpen.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._y0=this._y1=NaN,this._point=0},lineEnd:function(){(this._line||this._line!==0&&this._point===3)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1;break;case 1:this._point=2;break;case 2:this._point=3;var ed=(this._x0+4*this._x1+Ra)/6,td=(this._y0+4*this._y1+qa)/6;this._line?this._context.lineTo(ed,td):this._context.moveTo(ed,td);break;case 3:this._point=4;default:point$4(this,Ra,qa);break}this._x0=this._x1,this._x1=Ra,this._y0=this._y1,this._y1=qa}};function curveBasisOpen(Ra){return new BasisOpen(Ra)}function Bundle(Ra,qa){this._basis=new Basis(Ra),this._beta=qa}Bundle.prototype={lineStart:function(){this._x=[],this._y=[],this._basis.lineStart()},lineEnd:function(){var Ra=this._x,qa=this._y,ed=Ra.length-1;if(ed>0)for(var td=Ra[0],rd=qa[0],sd=Ra[ed]-td,od=qa[ed]-rd,ld=-1,cd;++ld<=ed;)cd=ld/ed,this._basis.point(this._beta*Ra[ld]+(1-this._beta)*(td+cd*sd),this._beta*qa[ld]+(1-this._beta)*(rd+cd*od));this._x=this._y=null,this._basis.lineEnd()},point:function(Ra,qa){this._x.push(+Ra),this._y.push(+qa)}};const curveBundle=function Ra(qa){function ed(td){return qa===1?new Basis(td):new Bundle(td,qa)}return ed.beta=function(td){return Ra(+td)},ed}(.85);function point$3(Ra,qa,ed){Ra._context.bezierCurveTo(Ra._x1+Ra._k*(Ra._x2-Ra._x0),Ra._y1+Ra._k*(Ra._y2-Ra._y0),Ra._x2+Ra._k*(Ra._x1-qa),Ra._y2+Ra._k*(Ra._y1-ed),Ra._x2,Ra._y2)}function Cardinal(Ra,qa){this._context=Ra,this._k=(1-qa)/6}Cardinal.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._x2=this._y0=this._y1=this._y2=NaN,this._point=0},lineEnd:function(){switch(this._point){case 2:this._context.lineTo(this._x2,this._y2);break;case 3:point$3(this,this._x1,this._y1);break}(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2,this._x1=Ra,this._y1=qa;break;case 2:this._point=3;default:point$3(this,Ra,qa);break}this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCardinal=function Ra(qa){function ed(td){return new Cardinal(td,qa)}return ed.tension=function(td){return Ra(+td)},ed}(0);function CardinalClosed(Ra,qa){this._context=Ra,this._k=(1-qa)/6}CardinalClosed.prototype={areaStart:noop,areaEnd:noop,lineStart:function(){this._x0=this._x1=this._x2=this._x3=this._x4=this._x5=this._y0=this._y1=this._y2=this._y3=this._y4=this._y5=NaN,this._point=0},lineEnd:function(){switch(this._point){case 1:{this._context.moveTo(this._x3,this._y3),this._context.closePath();break}case 2:{this._context.lineTo(this._x3,this._y3),this._context.closePath();break}case 3:{this.point(this._x3,this._y3),this.point(this._x4,this._y4),this.point(this._x5,this._y5);break}}},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._x3=Ra,this._y3=qa;break;case 1:this._point=2,this._context.moveTo(this._x4=Ra,this._y4=qa);break;case 2:this._point=3,this._x5=Ra,this._y5=qa;break;default:point$3(this,Ra,qa);break}this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCardinalClosed=function Ra(qa){function ed(td){return new CardinalClosed(td,qa)}return ed.tension=function(td){return Ra(+td)},ed}(0);function CardinalOpen(Ra,qa){this._context=Ra,this._k=(1-qa)/6}CardinalOpen.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._x2=this._y0=this._y1=this._y2=NaN,this._point=0},lineEnd:function(){(this._line||this._line!==0&&this._point===3)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1;break;case 1:this._point=2;break;case 2:this._point=3,this._line?this._context.lineTo(this._x2,this._y2):this._context.moveTo(this._x2,this._y2);break;case 3:this._point=4;default:point$3(this,Ra,qa);break}this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCardinalOpen=function Ra(qa){function ed(td){return new CardinalOpen(td,qa)}return ed.tension=function(td){return Ra(+td)},ed}(0);function point$2(Ra,qa,ed){var td=Ra._x1,rd=Ra._y1,sd=Ra._x2,od=Ra._y2;if(Ra._l01_a>epsilon$2){var ld=2*Ra._l01_2a+3*Ra._l01_a*Ra._l12_a+Ra._l12_2a,cd=3*Ra._l01_a*(Ra._l01_a+Ra._l12_a);td=(td*ld-Ra._x0*Ra._l12_2a+Ra._x2*Ra._l01_2a)/cd,rd=(rd*ld-Ra._y0*Ra._l12_2a+Ra._y2*Ra._l01_2a)/cd}if(Ra._l23_a>epsilon$2){var ud=2*Ra._l23_2a+3*Ra._l23_a*Ra._l12_a+Ra._l12_2a,_d=3*Ra._l23_a*(Ra._l23_a+Ra._l12_a);sd=(sd*ud+Ra._x1*Ra._l23_2a-qa*Ra._l12_2a)/_d,od=(od*ud+Ra._y1*Ra._l23_2a-ed*Ra._l12_2a)/_d}Ra._context.bezierCurveTo(td,rd,sd,od,Ra._x2,Ra._y2)}function CatmullRom(Ra,qa){this._context=Ra,this._alpha=qa}CatmullRom.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._x2=this._y0=this._y1=this._y2=NaN,this._l01_a=this._l12_a=this._l23_a=this._l01_2a=this._l12_2a=this._l23_2a=this._point=0},lineEnd:function(){switch(this._point){case 2:this._context.lineTo(this._x2,this._y2);break;case 3:this.point(this._x2,this._y2);break}(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){if(Ra=+Ra,qa=+qa,this._point){var ed=this._x2-Ra,td=this._y2-qa;this._l23_a=Math.sqrt(this._l23_2a=Math.pow(ed*ed+td*td,this._alpha))}switch(this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2;break;case 2:this._point=3;default:point$2(this,Ra,qa);break}this._l01_a=this._l12_a,this._l12_a=this._l23_a,this._l01_2a=this._l12_2a,this._l12_2a=this._l23_2a,this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCatmullRom=function Ra(qa){function ed(td){return qa?new CatmullRom(td,qa):new Cardinal(td,0)}return ed.alpha=function(td){return Ra(+td)},ed}(.5);function CatmullRomClosed(Ra,qa){this._context=Ra,this._alpha=qa}CatmullRomClosed.prototype={areaStart:noop,areaEnd:noop,lineStart:function(){this._x0=this._x1=this._x2=this._x3=this._x4=this._x5=this._y0=this._y1=this._y2=this._y3=this._y4=this._y5=NaN,this._l01_a=this._l12_a=this._l23_a=this._l01_2a=this._l12_2a=this._l23_2a=this._point=0},lineEnd:function(){switch(this._point){case 1:{this._context.moveTo(this._x3,this._y3),this._context.closePath();break}case 2:{this._context.lineTo(this._x3,this._y3),this._context.closePath();break}case 3:{this.point(this._x3,this._y3),this.point(this._x4,this._y4),this.point(this._x5,this._y5);break}}},point:function(Ra,qa){if(Ra=+Ra,qa=+qa,this._point){var ed=this._x2-Ra,td=this._y2-qa;this._l23_a=Math.sqrt(this._l23_2a=Math.pow(ed*ed+td*td,this._alpha))}switch(this._point){case 0:this._point=1,this._x3=Ra,this._y3=qa;break;case 1:this._point=2,this._context.moveTo(this._x4=Ra,this._y4=qa);break;case 2:this._point=3,this._x5=Ra,this._y5=qa;break;default:point$2(this,Ra,qa);break}this._l01_a=this._l12_a,this._l12_a=this._l23_a,this._l01_2a=this._l12_2a,this._l12_2a=this._l23_2a,this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCatmullRomClosed=function Ra(qa){function ed(td){return qa?new CatmullRomClosed(td,qa):new CardinalClosed(td,0)}return ed.alpha=function(td){return Ra(+td)},ed}(.5);function CatmullRomOpen(Ra,qa){this._context=Ra,this._alpha=qa}CatmullRomOpen.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._x2=this._y0=this._y1=this._y2=NaN,this._l01_a=this._l12_a=this._l23_a=this._l01_2a=this._l12_2a=this._l23_2a=this._point=0},lineEnd:function(){(this._line||this._line!==0&&this._point===3)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){if(Ra=+Ra,qa=+qa,this._point){var ed=this._x2-Ra,td=this._y2-qa;this._l23_a=Math.sqrt(this._l23_2a=Math.pow(ed*ed+td*td,this._alpha))}switch(this._point){case 0:this._point=1;break;case 1:this._point=2;break;case 2:this._point=3,this._line?this._context.lineTo(this._x2,this._y2):this._context.moveTo(this._x2,this._y2);break;case 3:this._point=4;default:point$2(this,Ra,qa);break}this._l01_a=this._l12_a,this._l12_a=this._l23_a,this._l01_2a=this._l12_2a,this._l12_2a=this._l23_2a,this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCatmullRomOpen=function Ra(qa){function ed(td){return qa?new CatmullRomOpen(td,qa):new CardinalOpen(td,0)}return ed.alpha=function(td){return Ra(+td)},ed}(.5);function LinearClosed(Ra){this._context=Ra}LinearClosed.prototype={areaStart:noop,areaEnd:noop,lineStart:function(){this._point=0},lineEnd:function(){this._point&&this._context.closePath()},point:function(Ra,qa){Ra=+Ra,qa=+qa,this._point?this._context.lineTo(Ra,qa):(this._point=1,this._context.moveTo(Ra,qa))}};function curveLinearClosed(Ra){return new LinearClosed(Ra)}function sign(Ra){return Ra<0?-1:1}function slope3(Ra,qa,ed){var td=Ra._x1-Ra._x0,rd=qa-Ra._x1,sd=(Ra._y1-Ra._y0)/(td||rd<0&&-0),od=(ed-Ra._y1)/(rd||td<0&&-0),ld=(sd*rd+od*td)/(td+rd);return(sign(sd)+sign(od))*Math.min(Math.abs(sd),Math.abs(od),.5*Math.abs(ld))||0}function slope2(Ra,qa){var ed=Ra._x1-Ra._x0;return ed?(3*(Ra._y1-Ra._y0)/ed-qa)/2:qa}function point$1(Ra,qa,ed){var td=Ra._x0,rd=Ra._y0,sd=Ra._x1,od=Ra._y1,ld=(sd-td)/3;Ra._context.bezierCurveTo(td+ld,rd+ld*qa,sd-ld,od-ld*ed,sd,od)}function MonotoneX(Ra){this._context=Ra}MonotoneX.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._y0=this._y1=this._t0=NaN,this._point=0},lineEnd:function(){switch(this._point){case 2:this._context.lineTo(this._x1,this._y1);break;case 3:point$1(this,this._t0,slope2(this,this._t0));break}(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){var ed=NaN;if(Ra=+Ra,qa=+qa,!(Ra===this._x1&&qa===this._y1)){switch(this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2;break;case 2:this._point=3,point$1(this,slope2(this,ed=slope3(this,Ra,qa)),ed);break;default:point$1(this,this._t0,ed=slope3(this,Ra,qa));break}this._x0=this._x1,this._x1=Ra,this._y0=this._y1,this._y1=qa,this._t0=ed}}};function MonotoneY(Ra){this._context=new ReflectContext(Ra)}(MonotoneY.prototype=Object.create(MonotoneX.prototype)).point=function(Ra,qa){MonotoneX.prototype.point.call(this,qa,Ra)};function ReflectContext(Ra){this._context=Ra}ReflectContext.prototype={moveTo:function(Ra,qa){this._context.moveTo(qa,Ra)},closePath:function(){this._context.closePath()},lineTo:function(Ra,qa){this._context.lineTo(qa,Ra)},bezierCurveTo:function(Ra,qa,ed,td,rd,sd){this._context.bezierCurveTo(qa,Ra,td,ed,sd,rd)}};function monotoneX(Ra){return new MonotoneX(Ra)}function monotoneY(Ra){return new MonotoneY(Ra)}function Natural(Ra){this._context=Ra}Natural.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x=[],this._y=[]},lineEnd:function(){var Ra=this._x,qa=this._y,ed=Ra.length;if(ed)if(this._line?this._context.lineTo(Ra[0],qa[0]):this._context.moveTo(Ra[0],qa[0]),ed===2)this._context.lineTo(Ra[1],qa[1]);else for(var td=controlPoints(Ra),rd=controlPoints(qa),sd=0,od=1;od=0;--qa)rd[qa]=(od[qa]-rd[qa+1])/sd[qa];for(sd[ed-1]=(Ra[ed]+rd[ed-1])/2,qa=0;qa=0&&(this._t=1-this._t,this._line=1-this._line)},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2;default:{if(this._t<=0)this._context.lineTo(this._x,qa),this._context.lineTo(Ra,qa);else{var ed=this._x*(1-this._t)+Ra*this._t;this._context.lineTo(ed,this._y),this._context.lineTo(ed,qa)}break}}this._x=Ra,this._y=qa}};function curveStep(Ra){return new Step(Ra,.5)}function stepBefore(Ra){return new Step(Ra,0)}function stepAfter(Ra){return new Step(Ra,1)}function Transform(Ra,qa,ed){this.k=Ra,this.x=qa,this.y=ed}Transform.prototype={constructor:Transform,scale:function(Ra){return Ra===1?this:new Transform(this.k*Ra,this.x,this.y)},translate:function(Ra,qa){return Ra===0&qa===0?this:new Transform(this.k,this.x+this.k*Ra,this.y+this.k*qa)},apply:function(Ra){return[Ra[0]*this.k+this.x,Ra[1]*this.k+this.y]},applyX:function(Ra){return Ra*this.k+this.x},applyY:function(Ra){return Ra*this.k+this.y},invert:function(Ra){return[(Ra[0]-this.x)/this.k,(Ra[1]-this.y)/this.k]},invertX:function(Ra){return(Ra-this.x)/this.k},invertY:function(Ra){return(Ra-this.y)/this.k},rescaleX:function(Ra){return Ra.copy().domain(Ra.range().map(this.invertX,this).map(Ra.invert,Ra))},rescaleY:function(Ra){return Ra.copy().domain(Ra.range().map(this.invertY,this).map(Ra.invert,Ra))},toString:function(){return"translate("+this.x+","+this.y+") scale("+this.k+")"}};Transform.prototype;var ZERO_WIDTH_SPACE="​",d3CurveTypes={curveBasis,curveBasisClosed,curveBasisOpen,curveBumpX:bumpX,curveBumpY:bumpY,curveBundle,curveCardinalClosed,curveCardinalOpen,curveCardinal,curveCatmullRomClosed,curveCatmullRomOpen,curveCatmullRom,curveLinear,curveLinearClosed,curveMonotoneX:monotoneX,curveMonotoneY:monotoneY,curveNatural,curveStep,curveStepAfter:stepAfter,curveStepBefore:stepBefore},directiveWithoutOpen=/\s*(?:(\w+)(?=:):|(\w+))\s*(?:(\w+)|((?:(?!}%{2}).|\r?\n)*))?\s*(?:}%{2})?/gi,detectInit=__name(function(Ra,qa){const ed=detectDirective(Ra,/(?:init\b)|(?:initialize\b)/);let td={};if(Array.isArray(ed)){const od=ed.map(ld=>ld.args);sanitizeDirective(od),td=assignWithDepth_default(td,[...od])}else td=ed.args;if(!td)return;let rd=detectType(Ra,qa);const sd="config";return td[sd]!==void 0&&(rd==="flowchart-v2"&&(rd="flowchart"),td[rd]=td[sd],delete td[sd]),td},"detectInit"),detectDirective=__name(function(Ra,qa=null){var ed,td;try{const rd=new RegExp(`[%]{2}(?![{]${directiveWithoutOpen.source})(?=[}][%]{2}).* `,"ig");Ra=Ra.trim().replace(rd,"").replace(/'/gm,'"'),log.debug(`Detecting diagram directive${qa!==null?" type:"+qa:""} based on the text:${Ra}`);let sd;const od=[];for(;(sd=directiveRegex.exec(Ra))!==null;)if(sd.index===directiveRegex.lastIndex&&directiveRegex.lastIndex++,sd&&!qa||qa&&((ed=sd[1])!=null&&ed.match(qa))||qa&&((td=sd[2])!=null&&td.match(qa))){const ld=sd[1]?sd[1]:sd[2],cd=sd[3]?sd[3].trim():sd[4]?JSON.parse(sd[4].trim()):null;od.push({type:ld,args:cd})}return od.length===0?{type:Ra,args:null}:od.length===1?od[0]:od}catch(rd){return log.error(`ERROR: ${rd.message} - Unable to parse directive type: '${qa}' based on the text: '${Ra}'`),{type:void 0,args:null}}},"detectDirective"),removeDirectives=__name(function(Ra){return Ra.replace(directiveRegex,"")},"removeDirectives"),isSubstringInArray=__name(function(Ra,qa){for(const[ed,td]of qa.entries())if(td.match(Ra))return ed;return-1},"isSubstringInArray");function interpolateToCurve(Ra,qa){if(!Ra)return qa;const ed=`curve${Ra.charAt(0).toUpperCase()+Ra.slice(1)}`;return d3CurveTypes[ed]??qa}__name(interpolateToCurve,"interpolateToCurve");function formatUrl(Ra,qa){const ed=Ra.trim();if(ed)return qa.securityLevel!=="loose"?sanitizeUrl_1(ed):ed}__name(formatUrl,"formatUrl");var runFunc=__name((Ra,...qa)=>{const ed=Ra.split("."),td=ed.length-1,rd=ed[td];let sd=window;for(let od=0;od{ed+=distance(rd,qa),qa=rd});const td=ed/2;return calculatePoint(Ra,td)}__name(traverseEdge,"traverseEdge");function calcLabelPosition(Ra){return Ra.length===1?Ra[0]:traverseEdge(Ra)}__name(calcLabelPosition,"calcLabelPosition");var roundNumber=__name((Ra,qa=2)=>{const ed=Math.pow(10,qa);return Math.round(Ra*ed)/ed},"roundNumber"),calculatePoint=__name((Ra,qa)=>{let ed,td=qa;for(const rd of Ra){if(ed){const sd=distance(rd,ed);if(sd=1)return{x:rd.x,y:rd.y};if(od>0&&od<1)return{x:roundNumber((1-od)*ed.x+od*rd.x,5),y:roundNumber((1-od)*ed.y+od*rd.y,5)}}}ed=rd}throw new Error("Could not find a suitable point for the given distance")},"calculatePoint"),calcCardinalityPosition=__name((Ra,qa,ed)=>{log.info(`our points ${JSON.stringify(qa)}`),qa[0]!==ed&&(qa=qa.reverse());const rd=calculatePoint(qa,25),sd=Ra?10:5,od=Math.atan2(qa[0].y-rd.y,qa[0].x-rd.x),ld={x:0,y:0};return ld.x=Math.sin(od)*sd+(qa[0].x+rd.x)/2,ld.y=-Math.cos(od)*sd+(qa[0].y+rd.y)/2,ld},"calcCardinalityPosition");function calcTerminalLabelPosition(Ra,qa,ed){const td=structuredClone(ed);log.info("our points",td),qa!=="start_left"&&qa!=="start_right"&&td.reverse();const rd=25+Ra,sd=calculatePoint(td,rd),od=10+Ra*.5,ld=Math.atan2(td[0].y-sd.y,td[0].x-sd.x),cd={x:0,y:0};return qa==="start_left"?(cd.x=Math.sin(ld+Math.PI)*od+(td[0].x+sd.x)/2,cd.y=-Math.cos(ld+Math.PI)*od+(td[0].y+sd.y)/2):qa==="end_right"?(cd.x=Math.sin(ld-Math.PI)*od+(td[0].x+sd.x)/2-5,cd.y=-Math.cos(ld-Math.PI)*od+(td[0].y+sd.y)/2-5):qa==="end_left"?(cd.x=Math.sin(ld)*od+(td[0].x+sd.x)/2-5,cd.y=-Math.cos(ld)*od+(td[0].y+sd.y)/2-5):(cd.x=Math.sin(ld)*od+(td[0].x+sd.x)/2,cd.y=-Math.cos(ld)*od+(td[0].y+sd.y)/2),cd}__name(calcTerminalLabelPosition,"calcTerminalLabelPosition");function getStylesFromArray(Ra){let qa="",ed="";for(const td of Ra)td!==void 0&&(td.startsWith("color:")||td.startsWith("text-align:")?ed=ed+td+";":qa=qa+td+";");return{style:qa,labelStyle:ed}}__name(getStylesFromArray,"getStylesFromArray");var cnt=0,generateId=__name(()=>(cnt++,"id-"+Math.random().toString(36).substr(2,12)+"-"+cnt),"generateId");function makeRandomHex(Ra){let qa="";const ed="0123456789abcdef",td=ed.length;for(let rd=0;rdmakeRandomHex(Ra.length),"random"),getTextObj=__name(function(){return{x:0,y:0,fill:void 0,anchor:"start",style:"#666",width:100,height:100,textMargin:0,rx:0,ry:0,valign:void 0,text:""}},"getTextObj"),drawSimpleText=__name(function(Ra,qa){const ed=qa.text.replace(common_default.lineBreakRegex," "),[,td]=parseFontSize(qa.fontSize),rd=Ra.append("text");rd.attr("x",qa.x),rd.attr("y",qa.y),rd.style("text-anchor",qa.anchor),rd.style("font-family",qa.fontFamily),rd.style("font-size",td),rd.style("font-weight",qa.fontWeight),rd.attr("fill",qa.fill),qa.class!==void 0&&rd.attr("class",qa.class);const sd=rd.append("tspan");return sd.attr("x",qa.x+qa.textMargin*2),sd.attr("fill",qa.fill),sd.text(ed),rd},"drawSimpleText"),wrapLabel=memoize$1((Ra,qa,ed)=>{if(!Ra||(ed=Object.assign({fontSize:12,fontWeight:400,fontFamily:"Arial",joinWith:"
    "},ed),common_default.lineBreakRegex.test(Ra)))return Ra;const td=Ra.split(" ").filter(Boolean),rd=[];let sd="";return td.forEach((od,ld)=>{const cd=calculateTextWidth(`${od} `,ed),ud=calculateTextWidth(sd,ed);if(cd>qa){const{hyphenatedStrings:Ad,remainingWord:Td}=breakString(od,qa,"-",ed);rd.push(sd,...Ad),sd=Td}else ud+cd>=qa?(rd.push(sd),sd=od):sd=[sd,od].filter(Boolean).join(" ");ld+1===td.length&&rd.push(sd)}),rd.filter(od=>od!=="").join(ed.joinWith)},(Ra,qa,ed)=>`${Ra}${qa}${ed.fontSize}${ed.fontWeight}${ed.fontFamily}${ed.joinWith}`),breakString=memoize$1((Ra,qa,ed="-",td)=>{td=Object.assign({fontSize:12,fontWeight:400,fontFamily:"Arial",margin:0},td);const rd=[...Ra],sd=[];let od="";return rd.forEach((ld,cd)=>{const ud=`${od}${ld}`;if(calculateTextWidth(ud,td)>=qa){const Ed=cd+1,Ad=rd.length===Ed,Td=`${ud}${ed}`;sd.push(Ad?ud:Td),od=""}else od=ud}),{hyphenatedStrings:sd,remainingWord:od}},(Ra,qa,ed="-",td)=>`${Ra}${qa}${ed}${td.fontSize}${td.fontWeight}${td.fontFamily}`);function calculateTextHeight(Ra,qa){return calculateTextDimensions(Ra,qa).height}__name(calculateTextHeight,"calculateTextHeight");function calculateTextWidth(Ra,qa){return calculateTextDimensions(Ra,qa).width}__name(calculateTextWidth,"calculateTextWidth");var calculateTextDimensions=memoize$1((Ra,qa)=>{const{fontSize:ed=12,fontFamily:td="Arial",fontWeight:rd=400}=qa;if(!Ra)return{width:0,height:0};const[,sd]=parseFontSize(ed),od=["sans-serif",td],ld=Ra.split(common_default.lineBreakRegex),cd=[],ud=select("body");if(!ud.remove)return{width:0,height:0,lineHeight:0};const _d=ud.append("svg");for(const Ad of od){let Td=0;const Nd={width:0,height:0,lineHeight:0};for(const Rd of ld){const Bd=getTextObj();Bd.text=Rd||ZERO_WIDTH_SPACE;const kd=drawSimpleText(_d,Bd).style("font-size",sd).style("font-weight",rd).style("font-family",Ad),Od=(kd._groups||kd)[0][0].getBBox();if(Od.width===0&&Od.height===0)throw new Error("svg element not in render tree");Nd.width=Math.round(Math.max(Nd.width,Od.width)),Td=Math.round(Od.height),Nd.height+=Td,Nd.lineHeight=Math.round(Math.max(Nd.lineHeight,Td))}cd.push(Nd)}_d.remove();const Ed=isNaN(cd[1].height)||isNaN(cd[1].width)||isNaN(cd[1].lineHeight)||cd[0].height>cd[1].height&&cd[0].width>cd[1].width&&cd[0].lineHeight>cd[1].lineHeight?0:1;return cd[Ed]},(Ra,qa)=>`${Ra}${qa.fontSize}${qa.fontWeight}${qa.fontFamily}`),Fy,InitIDGenerator=(Fy=class{constructor(qa=!1,ed){this.count=0,this.count=ed?ed.length:0,this.next=qa?()=>this.count++:()=>Date.now()}},__name(Fy,"InitIDGenerator"),Fy),decoder,entityDecode=__name(function(Ra){return decoder=decoder||document.createElement("div"),Ra=escape(Ra).replace(/%26/g,"&").replace(/%23/g,"#").replace(/%3B/g,";"),decoder.innerHTML=Ra,unescape(decoder.textContent)},"entityDecode");function isDetailedError(Ra){return"str"in Ra}__name(isDetailedError,"isDetailedError");var insertTitle=__name((Ra,qa,ed,td)=>{var sd;if(!td)return;const rd=(sd=Ra.node())==null?void 0:sd.getBBox();rd&&Ra.append("text").text(td).attr("x",rd.x+rd.width/2).attr("y",-ed).attr("class",qa)},"insertTitle"),parseFontSize=__name(Ra=>{if(typeof Ra=="number")return[Ra,Ra+"px"];const qa=parseInt(Ra??"",10);return Number.isNaN(qa)?[void 0,void 0]:Ra===String(qa)?[qa,Ra+"px"]:[qa,Ra]},"parseFontSize");function cleanAndMerge(Ra,qa){return merge$3({},Ra,qa)}__name(cleanAndMerge,"cleanAndMerge");var utils_default={assignWithDepth:assignWithDepth_default,wrapLabel,calculateTextHeight,calculateTextWidth,calculateTextDimensions,cleanAndMerge,detectInit,detectDirective,isSubstringInArray,interpolateToCurve,calcLabelPosition,calcCardinalityPosition,calcTerminalLabelPosition,formatUrl,getStylesFromArray,generateId,random,runFunc,entityDecode,insertTitle,parseFontSize,InitIDGenerator},encodeEntities=__name(function(Ra){let qa=Ra;return qa=qa.replace(/style.*:\S*#.*;/g,function(ed){return ed.substring(0,ed.length-1)}),qa=qa.replace(/classDef.*:\S*#.*;/g,function(ed){return ed.substring(0,ed.length-1)}),qa=qa.replace(/#\w+;/g,function(ed){const td=ed.substring(1,ed.length-1);return/^\+?\d+$/.test(td)?"fl°°"+td+"¶ß":"fl°"+td+"¶ß"}),qa},"encodeEntities"),decodeEntities=__name(function(Ra){return Ra.replace(/fl°°/g,"&#").replace(/fl°/g,"&").replace(/¶ß/g,";")},"decodeEntities"),getEdgeId=__name((Ra,qa,{counter:ed=0,prefix:td,suffix:rd})=>`${td?`${td}_`:""}${Ra}_${qa}_${ed}${rd?`_${rd}`:""}`,"getEdgeId");function _getDefaults(){return{async:!1,breaks:!1,extensions:null,gfm:!0,hooks:null,pedantic:!1,renderer:null,silent:!1,tokenizer:null,walkTokens:null}}let _defaults=_getDefaults();function changeDefaults(Ra){_defaults=Ra}const escapeTest=/[&<>"']/,escapeReplace=new RegExp(escapeTest.source,"g"),escapeTestNoEncode=/[<>"']|&(?!(#\d{1,7}|#[Xx][a-fA-F0-9]{1,6}|\w+);)/,escapeReplaceNoEncode=new RegExp(escapeTestNoEncode.source,"g"),escapeReplacements={"&":"&","<":"<",">":">",'"':""","'":"'"},getEscapeReplacement=Ra=>escapeReplacements[Ra];function escape$1$1(Ra,qa){if(qa){if(escapeTest.test(Ra))return Ra.replace(escapeReplace,getEscapeReplacement)}else if(escapeTestNoEncode.test(Ra))return Ra.replace(escapeReplaceNoEncode,getEscapeReplacement);return Ra}const unescapeTest=/&(#(?:\d+)|(?:#x[0-9A-Fa-f]+)|(?:\w+));?/ig;function unescape$2(Ra){return Ra.replace(unescapeTest,(qa,ed)=>(ed=ed.toLowerCase(),ed==="colon"?":":ed.charAt(0)==="#"?ed.charAt(1)==="x"?String.fromCharCode(parseInt(ed.substring(2),16)):String.fromCharCode(+ed.substring(1)):""))}const caret$3=/(^|[^\[])\^/g;function edit(Ra,qa){let ed=typeof Ra=="string"?Ra:Ra.source;qa=qa||"";const td={replace:(rd,sd)=>{let od=typeof sd=="string"?sd:sd.source;return od=od.replace(caret$3,"$1"),ed=ed.replace(rd,od),td},getRegex:()=>new RegExp(ed,qa)};return td}function cleanUrl(Ra){try{Ra=encodeURI(Ra).replace(/%25/g,"%")}catch{return null}return Ra}const noopTest={exec:()=>null};function splitCells(Ra,qa){const ed=Ra.replace(/\|/g,(sd,od,ld)=>{let cd=!1,ud=od;for(;--ud>=0&&ld[ud]==="\\";)cd=!cd;return cd?"|":" |"}),td=ed.split(/ \|/);let rd=0;if(td[0].trim()||td.shift(),td.length>0&&!td[td.length-1].trim()&&td.pop(),qa)if(td.length>qa)td.splice(qa);else for(;td.length{const sd=rd.match(/^\s+/);if(sd===null)return rd;const[od]=sd;return od.length>=td.length?rd.slice(td.length):rd}).join(` `)}class _Tokenizer{constructor(qa){n_(this,"options");n_(this,"rules");n_(this,"lexer");this.options=qa||_defaults}space(qa){const ed=this.rules.block.newline.exec(qa);if(ed&&ed[0].length>0)return{type:"space",raw:ed[0]}}code(qa){const ed=this.rules.block.code.exec(qa);if(ed){const td=ed[0].replace(/^ {1,4}/gm,"");return{type:"code",raw:ed[0],codeBlockStyle:"indented",text:this.options.pedantic?td:rtrim(td,` `)}}}fences(qa){const ed=this.rules.block.fences.exec(qa);if(ed){const td=ed[0],rd=indentCodeCompensation(td,ed[3]||"");return{type:"code",raw:td,lang:ed[2]?ed[2].trim().replace(this.rules.inline.anyPunctuation,"$1"):ed[2],text:rd}}}heading(qa){const ed=this.rules.block.heading.exec(qa);if(ed){let td=ed[2].trim();if(/#$/.test(td)){const rd=rtrim(td,"#");(this.options.pedantic||!rd||/ $/.test(rd))&&(td=rd.trim())}return{type:"heading",raw:ed[0],depth:ed[1].length,text:td,tokens:this.lexer.inline(td)}}}hr(qa){const ed=this.rules.block.hr.exec(qa);if(ed)return{type:"hr",raw:rtrim(ed[0],` `)}}blockquote(qa){const ed=this.rules.block.blockquote.exec(qa);if(ed){let td=rtrim(ed[0],` `).split(` `),rd="",sd="";const od=[];for(;td.length>0;){let ld=!1;const cd=[];let ud;for(ud=0;ud/.test(td[ud]))cd.push(td[ud]),ld=!0;else if(!ld)cd.push(td[ud]);else break;td=td.slice(ud);const _d=cd.join(` `),Ed=_d.replace(/\n {0,3}((?:=+|-+) *)(?=\n|$)/g,` $1`).replace(/^ {0,3}>[ \t]?/gm,"");rd=rd?`${rd} ${_d}`:_d,sd=sd?`${sd} ${Ed}`:Ed;const Ad=this.lexer.state.top;if(this.lexer.state.top=!0,this.lexer.blockTokens(Ed,od,!0),this.lexer.state.top=Ad,td.length===0)break;const Td=od[od.length-1];if((Td==null?void 0:Td.type)==="code")break;if((Td==null?void 0:Td.type)==="blockquote"){const Nd=Td,Rd=Nd.raw+` `+td.join(` `),Bd=this.blockquote(Rd);od[od.length-1]=Bd,rd=rd.substring(0,rd.length-Nd.raw.length)+Bd.raw,sd=sd.substring(0,sd.length-Nd.text.length)+Bd.text;break}else if((Td==null?void 0:Td.type)==="list"){const Nd=Td,Rd=Nd.raw+` `+td.join(` `),Bd=this.list(Rd);od[od.length-1]=Bd,rd=rd.substring(0,rd.length-Td.raw.length)+Bd.raw,sd=sd.substring(0,sd.length-Nd.raw.length)+Bd.raw,td=Rd.substring(od[od.length-1].raw.length).split(` `);continue}}return{type:"blockquote",raw:rd,tokens:od,text:sd}}}list(qa){let ed=this.rules.block.list.exec(qa);if(ed){let td=ed[1].trim();const rd=td.length>1,sd={type:"list",raw:"",ordered:rd,start:rd?+td.slice(0,-1):"",loose:!1,items:[]};td=rd?`\\d{1,9}\\${td.slice(-1)}`:`\\${td}`,this.options.pedantic&&(td=rd?td:"[*+-]");const od=new RegExp(`^( {0,3}${td})((?:[ ][^\\n]*)?(?:\\n|$))`);let ld=!1;for(;qa;){let cd=!1,ud="",_d="";if(!(ed=od.exec(qa))||this.rules.block.hr.test(qa))break;ud=ed[0],qa=qa.substring(ud.length);let Ed=ed[2].split(` `,1)[0].replace(/^\t+/,kd=>" ".repeat(3*kd.length)),Ad=qa.split(` `,1)[0],Td=!Ed.trim(),Nd=0;if(this.options.pedantic?(Nd=2,_d=Ed.trimStart()):Td?Nd=ed[1].length+1:(Nd=ed[2].search(/[^ ]/),Nd=Nd>4?1:Nd,_d=Ed.slice(Nd),Nd+=ed[1].length),Td&&/^ *$/.test(Ad)&&(ud+=Ad+` `,qa=qa.substring(Ad.length+1),cd=!0),!cd){const kd=new RegExp(`^ {0,${Math.min(3,Nd-1)}}(?:[*+-]|\\d{1,9}[.)])((?:[ ][^\\n]*)?(?:\\n|$))`),Od=new RegExp(`^ {0,${Math.min(3,Nd-1)}}((?:- *){3,}|(?:_ *){3,}|(?:\\* *){3,})(?:\\n+|$)`),Md=new RegExp(`^ {0,${Math.min(3,Nd-1)}}(?:\`\`\`|~~~)`),Pd=new RegExp(`^ {0,${Math.min(3,Nd-1)}}#`);for(;qa;){const Fd=qa.split(` `,1)[0];if(Ad=Fd,this.options.pedantic&&(Ad=Ad.replace(/^ {1,4}(?=( {4})*[^ ])/g," ")),Md.test(Ad)||Pd.test(Ad)||kd.test(Ad)||Od.test(qa))break;if(Ad.search(/[^ ]/)>=Nd||!Ad.trim())_d+=` `+Ad.slice(Nd);else{if(Td||Ed.search(/[^ ]/)>=4||Md.test(Ed)||Pd.test(Ed)||Od.test(Ed))break;_d+=` `+Ad}!Td&&!Ad.trim()&&(Td=!0),ud+=Fd+` `,qa=qa.substring(Fd.length+1),Ed=Ad.slice(Nd)}}sd.loose||(ld?sd.loose=!0:/\n *\n *$/.test(ud)&&(ld=!0));let Rd=null,Bd;this.options.gfm&&(Rd=/^\[[ xX]\] /.exec(_d),Rd&&(Bd=Rd[0]!=="[ ] ",_d=_d.replace(/^\[[ xX]\] +/,""))),sd.items.push({type:"list_item",raw:ud,task:!!Rd,checked:Bd,loose:!1,text:_d,tokens:[]}),sd.raw+=ud}sd.items[sd.items.length-1].raw=sd.items[sd.items.length-1].raw.trimEnd(),sd.items[sd.items.length-1].text=sd.items[sd.items.length-1].text.trimEnd(),sd.raw=sd.raw.trimEnd();for(let cd=0;cdEd.type==="space"),_d=ud.length>0&&ud.some(Ed=>/\n.*\n/.test(Ed.raw));sd.loose=_d}if(sd.loose)for(let cd=0;cd$/,"$1").replace(this.rules.inline.anyPunctuation,"$1"):"",sd=ed[3]?ed[3].substring(1,ed[3].length-1).replace(this.rules.inline.anyPunctuation,"$1"):ed[3];return{type:"def",tag:td,raw:ed[0],href:rd,title:sd}}}table(qa){const ed=this.rules.block.table.exec(qa);if(!ed||!/[:|]/.test(ed[2]))return;const td=splitCells(ed[1]),rd=ed[2].replace(/^\||\| *$/g,"").split("|"),sd=ed[3]&&ed[3].trim()?ed[3].replace(/\n[ \t]*$/,"").split(` `):[],od={type:"table",raw:ed[0],header:[],align:[],rows:[]};if(td.length===rd.length){for(const ld of rd)/^ *-+: *$/.test(ld)?od.align.push("right"):/^ *:-+: *$/.test(ld)?od.align.push("center"):/^ *:-+ *$/.test(ld)?od.align.push("left"):od.align.push(null);for(let ld=0;ld({text:cd,tokens:this.lexer.inline(cd),header:!1,align:od.align[ud]})));return od}}lheading(qa){const ed=this.rules.block.lheading.exec(qa);if(ed)return{type:"heading",raw:ed[0],depth:ed[2].charAt(0)==="="?1:2,text:ed[1],tokens:this.lexer.inline(ed[1])}}paragraph(qa){const ed=this.rules.block.paragraph.exec(qa);if(ed){const td=ed[1].charAt(ed[1].length-1)===` `?ed[1].slice(0,-1):ed[1];return{type:"paragraph",raw:ed[0],text:td,tokens:this.lexer.inline(td)}}}text(qa){const ed=this.rules.block.text.exec(qa);if(ed)return{type:"text",raw:ed[0],text:ed[0],tokens:this.lexer.inline(ed[0])}}escape(qa){const ed=this.rules.inline.escape.exec(qa);if(ed)return{type:"escape",raw:ed[0],text:escape$1$1(ed[1])}}tag(qa){const ed=this.rules.inline.tag.exec(qa);if(ed)return!this.lexer.state.inLink&&/^
    /i.test(ed[0])&&(this.lexer.state.inLink=!1),!this.lexer.state.inRawBlock&&/^<(pre|code|kbd|script)(\s|>)/i.test(ed[0])?this.lexer.state.inRawBlock=!0:this.lexer.state.inRawBlock&&/^<\/(pre|code|kbd|script)(\s|>)/i.test(ed[0])&&(this.lexer.state.inRawBlock=!1),{type:"html",raw:ed[0],inLink:this.lexer.state.inLink,inRawBlock:this.lexer.state.inRawBlock,block:!1,text:ed[0]}}link(qa){const ed=this.rules.inline.link.exec(qa);if(ed){const td=ed[2].trim();if(!this.options.pedantic&&/^$/.test(td))return;const od=rtrim(td.slice(0,-1),"\\");if((td.length-od.length)%2===0)return}else{const od=findClosingBracket(ed[2],"()");if(od>-1){const cd=(ed[0].indexOf("!")===0?5:4)+ed[1].length+od;ed[2]=ed[2].substring(0,od),ed[0]=ed[0].substring(0,cd).trim(),ed[3]=""}}let rd=ed[2],sd="";if(this.options.pedantic){const od=/^([^'"]*[^\s])\s+(['"])(.*)\2/.exec(rd);od&&(rd=od[1],sd=od[3])}else sd=ed[3]?ed[3].slice(1,-1):"";return rd=rd.trim(),/^$/.test(td)?rd=rd.slice(1):rd=rd.slice(1,-1)),outputLink(ed,{href:rd&&rd.replace(this.rules.inline.anyPunctuation,"$1"),title:sd&&sd.replace(this.rules.inline.anyPunctuation,"$1")},ed[0],this.lexer)}}reflink(qa,ed){let td;if((td=this.rules.inline.reflink.exec(qa))||(td=this.rules.inline.nolink.exec(qa))){const rd=(td[2]||td[1]).replace(/\s+/g," "),sd=ed[rd.toLowerCase()];if(!sd){const od=td[0].charAt(0);return{type:"text",raw:od,text:od}}return outputLink(td,sd,td[0],this.lexer)}}emStrong(qa,ed,td=""){let rd=this.rules.inline.emStrongLDelim.exec(qa);if(!rd||rd[3]&&td.match(/[\p{L}\p{N}]/u))return;if(!(rd[1]||rd[2]||"")||!td||this.rules.inline.punctuation.exec(td)){const od=[...rd[0]].length-1;let ld,cd,ud=od,_d=0;const Ed=rd[0][0]==="*"?this.rules.inline.emStrongRDelimAst:this.rules.inline.emStrongRDelimUnd;for(Ed.lastIndex=0,ed=ed.slice(-1*qa.length+od);(rd=Ed.exec(ed))!=null;){if(ld=rd[1]||rd[2]||rd[3]||rd[4]||rd[5]||rd[6],!ld)continue;if(cd=[...ld].length,rd[3]||rd[4]){ud+=cd;continue}else if((rd[5]||rd[6])&&od%3&&!((od+cd)%3)){_d+=cd;continue}if(ud-=cd,ud>0)continue;cd=Math.min(cd,cd+ud+_d);const Ad=[...rd[0]][0].length,Td=qa.slice(0,od+rd.index+Ad+cd);if(Math.min(od,cd)%2){const Rd=Td.slice(1,-1);return{type:"em",raw:Td,text:Rd,tokens:this.lexer.inlineTokens(Rd)}}const Nd=Td.slice(2,-2);return{type:"strong",raw:Td,text:Nd,tokens:this.lexer.inlineTokens(Nd)}}}}codespan(qa){const ed=this.rules.inline.code.exec(qa);if(ed){let td=ed[2].replace(/\n/g," ");const rd=/[^ ]/.test(td),sd=/^ /.test(td)&&/ $/.test(td);return rd&&sd&&(td=td.substring(1,td.length-1)),td=escape$1$1(td,!0),{type:"codespan",raw:ed[0],text:td}}}br(qa){const ed=this.rules.inline.br.exec(qa);if(ed)return{type:"br",raw:ed[0]}}del(qa){const ed=this.rules.inline.del.exec(qa);if(ed)return{type:"del",raw:ed[0],text:ed[2],tokens:this.lexer.inlineTokens(ed[2])}}autolink(qa){const ed=this.rules.inline.autolink.exec(qa);if(ed){let td,rd;return ed[2]==="@"?(td=escape$1$1(ed[1]),rd="mailto:"+td):(td=escape$1$1(ed[1]),rd=td),{type:"link",raw:ed[0],text:td,href:rd,tokens:[{type:"text",raw:td,text:td}]}}}url(qa){var td;let ed;if(ed=this.rules.inline.url.exec(qa)){let rd,sd;if(ed[2]==="@")rd=escape$1$1(ed[0]),sd="mailto:"+rd;else{let od;do od=ed[0],ed[0]=((td=this.rules.inline._backpedal.exec(ed[0]))==null?void 0:td[0])??"";while(od!==ed[0]);rd=escape$1$1(ed[0]),ed[1]==="www."?sd="http://"+ed[0]:sd=ed[0]}return{type:"link",raw:ed[0],text:rd,href:sd,tokens:[{type:"text",raw:rd,text:rd}]}}}inlineText(qa){const ed=this.rules.inline.text.exec(qa);if(ed){let td;return this.lexer.state.inRawBlock?td=ed[0]:td=escape$1$1(ed[0]),{type:"text",raw:ed[0],text:td}}}}const newline=/^(?: *(?:\n|$))+/,blockCode=/^( {4}[^\n]+(?:\n(?: *(?:\n|$))*)?)+/,fences=/^ {0,3}(`{3,}(?=[^`\n]*(?:\n|$))|~{3,})([^\n]*)(?:\n|$)(?:|([\s\S]*?)(?:\n|$))(?: {0,3}\1[~`]* *(?=\n|$)|$)/,hr$2=/^ {0,3}((?:-[\t ]*){3,}|(?:_[ \t]*){3,}|(?:\*[ \t]*){3,})(?:\n+|$)/,heading=/^ {0,3}(#{1,6})(?=\s|$)(.*)(?:\n+|$)/,bullet$2=/(?:[*+-]|\d{1,9}[.)])/,lheading=edit(/^(?!bull |blockCode|fences|blockquote|heading|html)((?:.|\n(?!\s*?\n|bull |blockCode|fences|blockquote|heading|html))+?)\n {0,3}(=+|-+) *(?:\n+|$)/).replace(/bull/g,bullet$2).replace(/blockCode/g,/ {4}/).replace(/fences/g,/ {0,3}(?:`{3,}|~{3,})/).replace(/blockquote/g,/ {0,3}>/).replace(/heading/g,/ {0,3}#{1,6}/).replace(/html/g,/ {0,3}<[^\n>]+>\n/).getRegex(),_paragraph=/^([^\n]+(?:\n(?!hr|heading|lheading|blockquote|fences|list|html|table| +\n)[^\n]+)*)/,blockText=/^[^\n]+/,_blockLabel=/(?!\s*\])(?:\\.|[^\[\]\\])+/,def=edit(/^ {0,3}\[(label)\]: *(?:\n *)?([^<\s][^\s]*|<.*?>)(?:(?: +(?:\n *)?| *\n *)(title))? *(?:\n+|$)/).replace("label",_blockLabel).replace("title",/(?:"(?:\\"?|[^"\\])*"|'[^'\n]*(?:\n[^'\n]+)*\n?'|\([^()]*\))/).getRegex(),list=edit(/^( {0,3}bull)([ \t][^\n]+?)?(?:\n|$)/).replace(/bull/g,bullet$2).getRegex(),_tag="address|article|aside|base|basefont|blockquote|body|caption|center|col|colgroup|dd|details|dialog|dir|div|dl|dt|fieldset|figcaption|figure|footer|form|frame|frameset|h[1-6]|head|header|hr|html|iframe|legend|li|link|main|menu|menuitem|meta|nav|noframes|ol|optgroup|option|p|param|search|section|summary|table|tbody|td|tfoot|th|thead|title|tr|track|ul",_comment=/|$))/,html$1=edit("^ {0,3}(?:<(script|pre|style|textarea)[\\s>][\\s\\S]*?(?:[^\\n]*\\n+|$)|comment[^\\n]*(\\n+|$)|<\\?[\\s\\S]*?(?:\\?>\\n*|$)|\\n*|$)|\\n*|$)|)[\\s\\S]*?(?:(?:\\n *)+\\n|$)|<(?!script|pre|style|textarea)([a-z][\\w-]*)(?:attribute)*? */?>(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n *)+\\n|$)|(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n *)+\\n|$))","i").replace("comment",_comment).replace("tag",_tag).replace("attribute",/ +[a-zA-Z:_][\w.:-]*(?: *= *"[^"\n]*"| *= *'[^'\n]*'| *= *[^\s"'=<>`]+)?/).getRegex(),paragraph=edit(_paragraph).replace("hr",hr$2).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("|lheading","").replace("|table","").replace("blockquote"," {0,3}>").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html",")|<(?:script|pre|style|textarea|!--)").replace("tag",_tag).getRegex(),blockquote=edit(/^( {0,3}> ?(paragraph|[^\n]*)(?:\n|$))+/).replace("paragraph",paragraph).getRegex(),blockNormal={blockquote,code:blockCode,def,fences,heading,hr:hr$2,html:html$1,lheading,list,newline,paragraph,table:noopTest,text:blockText},gfmTable=edit("^ *([^\\n ].*)\\n {0,3}((?:\\| *)?:?-+:? *(?:\\| *:?-+:? *)*(?:\\| *)?)(?:\\n((?:(?! *\\n|hr|heading|blockquote|code|fences|list|html).*(?:\\n|$))*)\\n*|$)").replace("hr",hr$2).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("blockquote"," {0,3}>").replace("code"," {4}[^\\n]").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html",")|<(?:script|pre|style|textarea|!--)").replace("tag",_tag).getRegex(),blockGfm={...blockNormal,table:gfmTable,paragraph:edit(_paragraph).replace("hr",hr$2).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("|lheading","").replace("table",gfmTable).replace("blockquote"," {0,3}>").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html",")|<(?:script|pre|style|textarea|!--)").replace("tag",_tag).getRegex()},blockPedantic={...blockNormal,html:edit(`^ *(?:comment *(?:\\n|\\s*$)|<(tag)[\\s\\S]+? *(?:\\n{2,}|\\s*$)|\\s]*)*?/?> *(?:\\n{2,}|\\s*$))`).replace("comment",_comment).replace(/tag/g,"(?!(?:a|em|strong|small|s|cite|q|dfn|abbr|data|time|code|var|samp|kbd|sub|sup|i|b|u|mark|ruby|rt|rp|bdi|bdo|span|br|wbr|ins|del|img)\\b)\\w+(?!:|[^\\w\\s@]*@)\\b").getRegex(),def:/^ *\[([^\]]+)\]: *]+)>?(?: +(["(][^\n]+[")]))? *(?:\n+|$)/,heading:/^(#{1,6})(.*)(?:\n+|$)/,fences:noopTest,lheading:/^(.+?)\n {0,3}(=+|-+) *(?:\n+|$)/,paragraph:edit(_paragraph).replace("hr",hr$2).replace("heading",` *#{1,6} *[^ ]`).replace("lheading",lheading).replace("|table","").replace("blockquote"," {0,3}>").replace("|fences","").replace("|list","").replace("|html","").replace("|tag","").getRegex()},escape$2=/^\\([!"#$%&'()*+,\-./:;<=>?@\[\]\\^_`{|}~])/,inlineCode=/^(`+)([^`]|[^`][\s\S]*?[^`])\1(?!`)/,br$3=/^( {2,}|\\)\n(?!\s*$)/,inlineText=/^(`+|[^`])(?:(?= {2,}\n)|[\s\S]*?(?:(?=[\\]*?>/g,emStrongLDelim=edit(/^(?:\*+(?:((?!\*)[punct])|[^\s*]))|^_+(?:((?!_)[punct])|([^\s_]))/,"u").replace(/punct/g,_punctuation).getRegex(),emStrongRDelimAst=edit("^[^_*]*?__[^_*]*?\\*[^_*]*?(?=__)|[^*]+(?=[^*])|(?!\\*)[punct](\\*+)(?=[\\s]|$)|[^punct\\s](\\*+)(?!\\*)(?=[punct\\s]|$)|(?!\\*)[punct\\s](\\*+)(?=[^punct\\s])|[\\s](\\*+)(?!\\*)(?=[punct])|(?!\\*)[punct](\\*+)(?!\\*)(?=[punct])|[^punct\\s](\\*+)(?=[^punct\\s])","gu").replace(/punct/g,_punctuation).getRegex(),emStrongRDelimUnd=edit("^[^_*]*?\\*\\*[^_*]*?_[^_*]*?(?=\\*\\*)|[^_]+(?=[^_])|(?!_)[punct](_+)(?=[\\s]|$)|[^punct\\s](_+)(?!_)(?=[punct\\s]|$)|(?!_)[punct\\s](_+)(?=[^punct\\s])|[\\s](_+)(?!_)(?=[punct])|(?!_)[punct](_+)(?!_)(?=[punct])","gu").replace(/punct/g,_punctuation).getRegex(),anyPunctuation=edit(/\\([punct])/,"gu").replace(/punct/g,_punctuation).getRegex(),autolink=edit(/^<(scheme:[^\s\x00-\x1f<>]*|email)>/).replace("scheme",/[a-zA-Z][a-zA-Z0-9+.-]{1,31}/).replace("email",/[a-zA-Z0-9.!#$%&'*+/=?^_`{|}~-]+(@)[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)+(?![-_])/).getRegex(),_inlineComment=edit(_comment).replace("(?:-->|$)","-->").getRegex(),tag=edit("^comment|^|^<[a-zA-Z][\\w-]*(?:attribute)*?\\s*/?>|^<\\?[\\s\\S]*?\\?>|^|^").replace("comment",_inlineComment).replace("attribute",/\s+[a-zA-Z:_][\w.:-]*(?:\s*=\s*"[^"]*"|\s*=\s*'[^']*'|\s*=\s*[^\s"'=<>`]+)?/).getRegex(),_inlineLabel=/(?:\[(?:\\.|[^\[\]\\])*\]|\\.|`[^`]*`|[^\[\]\\`])*?/,link=edit(/^!?\[(label)\]\(\s*(href)(?:\s+(title))?\s*\)/).replace("label",_inlineLabel).replace("href",/<(?:\\.|[^\n<>\\])+>|[^\s\x00-\x1f]*/).replace("title",/"(?:\\"?|[^"\\])*"|'(?:\\'?|[^'\\])*'|\((?:\\\)?|[^)\\])*\)/).getRegex(),reflink=edit(/^!?\[(label)\]\[(ref)\]/).replace("label",_inlineLabel).replace("ref",_blockLabel).getRegex(),nolink=edit(/^!?\[(ref)\](?:\[\])?/).replace("ref",_blockLabel).getRegex(),reflinkSearch=edit("reflink|nolink(?!\\()","g").replace("reflink",reflink).replace("nolink",nolink).getRegex(),inlineNormal={_backpedal:noopTest,anyPunctuation,autolink,blockSkip,br:br$3,code:inlineCode,del:noopTest,emStrongLDelim,emStrongRDelimAst,emStrongRDelimUnd,escape:escape$2,link,nolink,punctuation,reflink,reflinkSearch,tag,text:inlineText,url:noopTest},inlinePedantic={...inlineNormal,link:edit(/^!?\[(label)\]\((.*?)\)/).replace("label",_inlineLabel).getRegex(),reflink:edit(/^!?\[(label)\]\s*\[([^\]]*)\]/).replace("label",_inlineLabel).getRegex()},inlineGfm={...inlineNormal,escape:edit(escape$2).replace("])","~|])").getRegex(),url:edit(/^((?:ftp|https?):\/\/|www\.)(?:[a-zA-Z0-9\-]+\.?)+[^\s<]*|^email/,"i").replace("email",/[A-Za-z0-9._+-]+(@)[a-zA-Z0-9-_]+(?:\.[a-zA-Z0-9-_]*[a-zA-Z0-9])+(?![-_])/).getRegex(),_backpedal:/(?:[^?!.,:;*_'"~()&]+|\([^)]*\)|&(?![a-zA-Z0-9]+;$)|[?!.,:;*_'"~)]+(?!$))+/,del:/^(~~?)(?=[^\s~])([\s\S]*?[^\s~])\1(?=[^~]|$)/,text:/^([`~]+|[^`~])(?:(?= {2,}\n)|(?=[a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-]+@)|[\s\S]*?(?:(?=[\\cd+" ".repeat(ud.length));let rd,sd,od;for(;qa;)if(!(this.options.extensions&&this.options.extensions.block&&this.options.extensions.block.some(ld=>(rd=ld.call({lexer:this},qa,ed))?(qa=qa.substring(rd.raw.length),ed.push(rd),!0):!1))){if(rd=this.tokenizer.space(qa)){qa=qa.substring(rd.raw.length),rd.raw.length===1&&ed.length>0?ed[ed.length-1].raw+=` `:ed.push(rd);continue}if(rd=this.tokenizer.code(qa)){qa=qa.substring(rd.raw.length),sd=ed[ed.length-1],sd&&(sd.type==="paragraph"||sd.type==="text")?(sd.raw+=` `+rd.raw,sd.text+=` `+rd.text,this.inlineQueue[this.inlineQueue.length-1].src=sd.text):ed.push(rd);continue}if(rd=this.tokenizer.fences(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.heading(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.hr(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.blockquote(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.list(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.html(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.def(qa)){qa=qa.substring(rd.raw.length),sd=ed[ed.length-1],sd&&(sd.type==="paragraph"||sd.type==="text")?(sd.raw+=` `+rd.raw,sd.text+=` `+rd.raw,this.inlineQueue[this.inlineQueue.length-1].src=sd.text):this.tokens.links[rd.tag]||(this.tokens.links[rd.tag]={href:rd.href,title:rd.title});continue}if(rd=this.tokenizer.table(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(rd=this.tokenizer.lheading(qa)){qa=qa.substring(rd.raw.length),ed.push(rd);continue}if(od=qa,this.options.extensions&&this.options.extensions.startBlock){let ld=1/0;const cd=qa.slice(1);let ud;this.options.extensions.startBlock.forEach(_d=>{ud=_d.call({lexer:this},cd),typeof ud=="number"&&ud>=0&&(ld=Math.min(ld,ud))}),ld<1/0&&ld>=0&&(od=qa.substring(0,ld+1))}if(this.state.top&&(rd=this.tokenizer.paragraph(od))){sd=ed[ed.length-1],td&&(sd==null?void 0:sd.type)==="paragraph"?(sd.raw+=` `+rd.raw,sd.text+=` `+rd.text,this.inlineQueue.pop(),this.inlineQueue[this.inlineQueue.length-1].src=sd.text):ed.push(rd),td=od.length!==qa.length,qa=qa.substring(rd.raw.length);continue}if(rd=this.tokenizer.text(qa)){qa=qa.substring(rd.raw.length),sd=ed[ed.length-1],sd&&sd.type==="text"?(sd.raw+=` `+rd.raw,sd.text+=` `+rd.text,this.inlineQueue.pop(),this.inlineQueue[this.inlineQueue.length-1].src=sd.text):ed.push(rd);continue}if(qa){const ld="Infinite loop on byte: "+qa.charCodeAt(0);if(this.options.silent){console.error(ld);break}else throw new Error(ld)}}return this.state.top=!0,ed}inline(qa,ed=[]){return this.inlineQueue.push({src:qa,tokens:ed}),ed}inlineTokens(qa,ed=[]){let td,rd,sd,od=qa,ld,cd,ud;if(this.tokens.links){const _d=Object.keys(this.tokens.links);if(_d.length>0)for(;(ld=this.tokenizer.rules.inline.reflinkSearch.exec(od))!=null;)_d.includes(ld[0].slice(ld[0].lastIndexOf("[")+1,-1))&&(od=od.slice(0,ld.index)+"["+"a".repeat(ld[0].length-2)+"]"+od.slice(this.tokenizer.rules.inline.reflinkSearch.lastIndex))}for(;(ld=this.tokenizer.rules.inline.blockSkip.exec(od))!=null;)od=od.slice(0,ld.index)+"["+"a".repeat(ld[0].length-2)+"]"+od.slice(this.tokenizer.rules.inline.blockSkip.lastIndex);for(;(ld=this.tokenizer.rules.inline.anyPunctuation.exec(od))!=null;)od=od.slice(0,ld.index)+"++"+od.slice(this.tokenizer.rules.inline.anyPunctuation.lastIndex);for(;qa;)if(cd||(ud=""),cd=!1,!(this.options.extensions&&this.options.extensions.inline&&this.options.extensions.inline.some(_d=>(td=_d.call({lexer:this},qa,ed))?(qa=qa.substring(td.raw.length),ed.push(td),!0):!1))){if(td=this.tokenizer.escape(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.tag(qa)){qa=qa.substring(td.raw.length),rd=ed[ed.length-1],rd&&td.type==="text"&&rd.type==="text"?(rd.raw+=td.raw,rd.text+=td.text):ed.push(td);continue}if(td=this.tokenizer.link(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.reflink(qa,this.tokens.links)){qa=qa.substring(td.raw.length),rd=ed[ed.length-1],rd&&td.type==="text"&&rd.type==="text"?(rd.raw+=td.raw,rd.text+=td.text):ed.push(td);continue}if(td=this.tokenizer.emStrong(qa,od,ud)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.codespan(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.br(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.del(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(td=this.tokenizer.autolink(qa)){qa=qa.substring(td.raw.length),ed.push(td);continue}if(!this.state.inLink&&(td=this.tokenizer.url(qa))){qa=qa.substring(td.raw.length),ed.push(td);continue}if(sd=qa,this.options.extensions&&this.options.extensions.startInline){let _d=1/0;const Ed=qa.slice(1);let Ad;this.options.extensions.startInline.forEach(Td=>{Ad=Td.call({lexer:this},Ed),typeof Ad=="number"&&Ad>=0&&(_d=Math.min(_d,Ad))}),_d<1/0&&_d>=0&&(sd=qa.substring(0,_d+1))}if(td=this.tokenizer.inlineText(sd)){qa=qa.substring(td.raw.length),td.raw.slice(-1)!=="_"&&(ud=td.raw.slice(-1)),cd=!0,rd=ed[ed.length-1],rd&&rd.type==="text"?(rd.raw+=td.raw,rd.text+=td.text):ed.push(td);continue}if(qa){const _d="Infinite loop on byte: "+qa.charCodeAt(0);if(this.options.silent){console.error(_d);break}else throw new Error(_d)}}return ed}}class _Renderer{constructor(qa){n_(this,"options");n_(this,"parser");this.options=qa||_defaults}space(qa){return""}code({text:qa,lang:ed,escaped:td}){var od;const rd=(od=(ed||"").match(/^\S*/))==null?void 0:od[0],sd=qa.replace(/\n$/,"")+` `;return rd?'
    '+(td?sd:escape$1$1(sd,!0))+`
    `:"
    "+(td?sd:escape$1$1(sd,!0))+`
    `}blockquote({tokens:qa}){return`
    ${this.parser.parse(qa)}
    `}html({text:qa}){return qa}heading({tokens:qa,depth:ed}){return`${this.parser.parseInline(qa)} `}hr(qa){return`
    `}list(qa){const ed=qa.ordered,td=qa.start;let rd="";for(let ld=0;ld `+rd+" `}listitem(qa){let ed="";if(qa.task){const td=this.checkbox({checked:!!qa.checked});qa.loose?qa.tokens.length>0&&qa.tokens[0].type==="paragraph"?(qa.tokens[0].text=td+" "+qa.tokens[0].text,qa.tokens[0].tokens&&qa.tokens[0].tokens.length>0&&qa.tokens[0].tokens[0].type==="text"&&(qa.tokens[0].tokens[0].text=td+" "+qa.tokens[0].tokens[0].text)):qa.tokens.unshift({type:"text",raw:td+" ",text:td+" "}):ed+=td+" "}return ed+=this.parser.parse(qa.tokens,!!qa.loose),`
  • ${ed}
  • `}checkbox({checked:qa}){return"'}paragraph({tokens:qa}){return`

    ${this.parser.parseInline(qa)}

    `}table(qa){let ed="",td="";for(let sd=0;sd${rd}`),` `+ed+` `+rd+`
    `}tablerow({text:qa}){return` ${qa} `}tablecell(qa){const ed=this.parser.parseInline(qa.tokens),td=qa.header?"th":"td";return(qa.align?`<${td} align="${qa.align}">`:`<${td}>`)+ed+` `}strong({tokens:qa}){return`${this.parser.parseInline(qa)}`}em({tokens:qa}){return`${this.parser.parseInline(qa)}`}codespan({text:qa}){return`${qa}`}br(qa){return"
    "}del({tokens:qa}){return`${this.parser.parseInline(qa)}`}link({href:qa,title:ed,tokens:td}){const rd=this.parser.parseInline(td),sd=cleanUrl(qa);if(sd===null)return rd;qa=sd;let od='
    ",od}image({href:qa,title:ed,text:td}){const rd=cleanUrl(qa);if(rd===null)return td;qa=rd;let sd=`${td}{const ud=ld[cd].flat(1/0);td=td.concat(this.walkTokens(ud,ed))}):ld.tokens&&(td=td.concat(this.walkTokens(ld.tokens,ed)))}}return td}use(...qa){const ed=this.defaults.extensions||{renderers:{},childTokens:{}};return qa.forEach(td=>{const rd={...td};if(rd.async=this.defaults.async||rd.async||!1,td.extensions&&(td.extensions.forEach(sd=>{if(!sd.name)throw new Error("extension name required");if("renderer"in sd){const od=ed.renderers[sd.name];od?ed.renderers[sd.name]=function(...ld){let cd=sd.renderer.apply(this,ld);return cd===!1&&(cd=od.apply(this,ld)),cd}:ed.renderers[sd.name]=sd.renderer}if("tokenizer"in sd){if(!sd.level||sd.level!=="block"&&sd.level!=="inline")throw new Error("extension level must be 'block' or 'inline'");const od=ed[sd.level];od?od.unshift(sd.tokenizer):ed[sd.level]=[sd.tokenizer],sd.start&&(sd.level==="block"?ed.startBlock?ed.startBlock.push(sd.start):ed.startBlock=[sd.start]:sd.level==="inline"&&(ed.startInline?ed.startInline.push(sd.start):ed.startInline=[sd.start]))}"childTokens"in sd&&sd.childTokens&&(ed.childTokens[sd.name]=sd.childTokens)}),rd.extensions=ed),td.renderer){const sd=this.defaults.renderer||new _Renderer(this.defaults);for(const od in td.renderer){if(!(od in sd))throw new Error(`renderer '${od}' does not exist`);if(["options","parser"].includes(od))continue;const ld=od;let cd=td.renderer[ld];td.useNewRenderer||(cd=kE(this,vb,fS).call(this,cd,ld,sd));const ud=sd[ld];sd[ld]=(..._d)=>{let Ed=cd.apply(sd,_d);return Ed===!1&&(Ed=ud.apply(sd,_d)),Ed||""}}rd.renderer=sd}if(td.tokenizer){const sd=this.defaults.tokenizer||new _Tokenizer(this.defaults);for(const od in td.tokenizer){if(!(od in sd))throw new Error(`tokenizer '${od}' does not exist`);if(["options","rules","lexer"].includes(od))continue;const ld=od,cd=td.tokenizer[ld],ud=sd[ld];sd[ld]=(..._d)=>{let Ed=cd.apply(sd,_d);return Ed===!1&&(Ed=ud.apply(sd,_d)),Ed}}rd.tokenizer=sd}if(td.hooks){const sd=this.defaults.hooks||new _Hooks;for(const od in td.hooks){if(!(od in sd))throw new Error(`hook '${od}' does not exist`);if(od==="options")continue;const ld=od,cd=td.hooks[ld],ud=sd[ld];_Hooks.passThroughHooks.has(od)?sd[ld]=_d=>{if(this.defaults.async)return Promise.resolve(cd.call(sd,_d)).then(Ad=>ud.call(sd,Ad));const Ed=cd.call(sd,_d);return ud.call(sd,Ed)}:sd[ld]=(..._d)=>{let Ed=cd.apply(sd,_d);return Ed===!1&&(Ed=ud.apply(sd,_d)),Ed}}rd.hooks=sd}if(td.walkTokens){const sd=this.defaults.walkTokens,od=td.walkTokens;rd.walkTokens=function(ld){let cd=[];return cd.push(od.call(this,ld)),sd&&(cd=cd.concat(sd.call(this,ld))),cd}}this.defaults={...this.defaults,...rd}}),this}setOptions(qa){return this.defaults={...this.defaults,...qa},this}lexer(qa,ed){return _Lexer.lex(qa,ed??this.defaults)}parser(qa,ed){return _Parser.parse(qa,ed??this.defaults)}}vb=new WeakSet,fS=function(qa,ed,td){switch(ed){case"heading":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,td.parser.parseInline(rd.tokens),rd.depth,unescape$2(td.parser.parseInline(rd.tokens,td.parser.textRenderer)))};case"code":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,rd.text,rd.lang,!!rd.escaped)};case"table":return function(rd){if(!rd.type||rd.type!==ed)return qa.apply(this,arguments);let sd="",od="";for(let cd=0;cd0&&_d.tokens[0].type==="paragraph"?(_d.tokens[0].text=Nd+" "+_d.tokens[0].text,_d.tokens[0].tokens&&_d.tokens[0].tokens.length>0&&_d.tokens[0].tokens[0].type==="text"&&(_d.tokens[0].tokens[0].text=Nd+" "+_d.tokens[0].tokens[0].text)):_d.tokens.unshift({type:"text",text:Nd+" "}):Td+=Nd+" "}Td+=this.parser.parse(_d.tokens,ld),cd+=this.listitem({type:"list_item",raw:Td,text:Td,task:Ad,checked:!!Ed,loose:ld,tokens:_d.tokens})}return qa.call(this,cd,sd,od)};case"html":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,rd.text,rd.block)};case"paragraph":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,this.parser.parseInline(rd.tokens))};case"escape":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,rd.text)};case"link":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,rd.href,rd.title,this.parser.parseInline(rd.tokens))};case"image":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,rd.href,rd.title,rd.text)};case"strong":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,this.parser.parseInline(rd.tokens))};case"em":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,this.parser.parseInline(rd.tokens))};case"codespan":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,rd.text)};case"del":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,this.parser.parseInline(rd.tokens))};case"text":return function(rd){return!rd.type||rd.type!==ed?qa.apply(this,arguments):qa.call(this,rd.text)}}return qa},RT=function(qa,ed){return(td,rd)=>{const sd={...rd},od={...this.defaults,...sd};this.defaults.async===!0&&sd.async===!1&&(od.silent||console.warn("marked(): The async option was set to true by an extension. The async: false option sent to parse will be ignored."),od.async=!0);const ld=kE(this,vb,hS).call(this,!!od.silent,!!od.async);if(typeof td>"u"||td===null)return ld(new Error("marked(): input parameter is undefined or null"));if(typeof td!="string")return ld(new Error("marked(): input parameter is of type "+Object.prototype.toString.call(td)+", string expected"));if(od.hooks&&(od.hooks.options=od),od.async)return Promise.resolve(od.hooks?od.hooks.preprocess(td):td).then(cd=>qa(cd,od)).then(cd=>od.hooks?od.hooks.processAllTokens(cd):cd).then(cd=>od.walkTokens?Promise.all(this.walkTokens(cd,od.walkTokens)).then(()=>cd):cd).then(cd=>ed(cd,od)).then(cd=>od.hooks?od.hooks.postprocess(cd):cd).catch(ld);try{od.hooks&&(td=od.hooks.preprocess(td));let cd=qa(td,od);od.hooks&&(cd=od.hooks.processAllTokens(cd)),od.walkTokens&&this.walkTokens(cd,od.walkTokens);let ud=ed(cd,od);return od.hooks&&(ud=od.hooks.postprocess(ud)),ud}catch(cd){return ld(cd)}}},hS=function(qa,ed){return td=>{if(td.message+=` Please report this to https://github.com/markedjs/marked.`,qa){const rd="

    An error occurred:

    "+escape$1$1(td.message+"",!0)+"
    ";return ed?Promise.resolve(rd):rd}if(ed)return Promise.reject(td);throw td}};const markedInstance=new Marked;function marked(Ra,qa){return markedInstance.parse(Ra,qa)}marked.options=marked.setOptions=function(Ra){return markedInstance.setOptions(Ra),marked.defaults=markedInstance.defaults,changeDefaults(marked.defaults),marked};marked.getDefaults=_getDefaults;marked.defaults=_defaults;marked.use=function(...Ra){return markedInstance.use(...Ra),marked.defaults=markedInstance.defaults,changeDefaults(marked.defaults),marked};marked.walkTokens=function(Ra,qa){return markedInstance.walkTokens(Ra,qa)};marked.parseInline=markedInstance.parseInline;marked.Parser=_Parser;marked.parser=_Parser.parse;marked.Renderer=_Renderer;marked.TextRenderer=_TextRenderer;marked.Lexer=_Lexer;marked.lexer=_Lexer.lex;marked.Tokenizer=_Tokenizer;marked.Hooks=_Hooks;marked.parse=marked;marked.options;marked.setOptions;marked.use;marked.walkTokens;marked.parseInline;_Parser.parse;_Lexer.lex;function dedent(Ra){for(var qa=[],ed=1;ed/g,` `).replace(/\n{2,}/g,` `),rd=dedent(td);return qa===!1?rd.replace(/ /g," "):rd}__name(preprocessMarkdown,"preprocessMarkdown");function markdownToLines(Ra,qa={}){const ed=preprocessMarkdown(Ra,qa),td=marked.lexer(ed),rd=[[]];let sd=0;function od(ld,cd="normal"){ld.type==="text"?ld.text.split(` `).forEach((_d,Ed)=>{Ed!==0&&(sd++,rd.push([])),_d.split(" ").forEach(Ad=>{Ad&&rd[sd].push({content:Ad,type:cd})})}):ld.type==="strong"||ld.type==="em"?ld.tokens.forEach(ud=>{od(ud,ld.type)}):ld.type==="html"&&rd[sd].push({content:ld.text,type:"normal"})}return __name(od,"processNode"),td.forEach(ld=>{var cd;ld.type==="paragraph"?(cd=ld.tokens)==null||cd.forEach(ud=>{od(ud)}):ld.type==="html"&&rd[sd].push({content:ld.text,type:"normal"})}),rd}__name(markdownToLines,"markdownToLines");function markdownToHTML(Ra,{markdownAutoWrap:qa}={}){const ed=marked.lexer(Ra);function td(rd){var sd,od,ld;return rd.type==="text"?qa===!1?rd.text.replace(/\n */g,"
    ").replace(/ /g," "):rd.text.replace(/\n */g,"
    "):rd.type==="strong"?`${(sd=rd.tokens)==null?void 0:sd.map(td).join("")}`:rd.type==="em"?`${(od=rd.tokens)==null?void 0:od.map(td).join("")}`:rd.type==="paragraph"?`

    ${(ld=rd.tokens)==null?void 0:ld.map(td).join("")}

    `:rd.type==="space"?"":rd.type==="html"?`${rd.text}`:`Unsupported markdown: ${rd.type}`}return __name(td,"output"),ed.map(td).join("")}__name(markdownToHTML,"markdownToHTML");function splitTextToChars(Ra){return Intl.Segmenter?[...new Intl.Segmenter().segment(Ra)].map(qa=>qa.segment):[...Ra]}__name(splitTextToChars,"splitTextToChars");function splitWordToFitWidth(Ra,qa){const ed=splitTextToChars(qa.content);return splitWordToFitWidthRecursion(Ra,[],ed,qa.type)}__name(splitWordToFitWidth,"splitWordToFitWidth");function splitWordToFitWidthRecursion(Ra,qa,ed,td){if(ed.length===0)return[{content:qa.join(""),type:td},{content:"",type:td}];const[rd,...sd]=ed,od=[...qa,rd];return Ra([{content:od.join(""),type:td}])?splitWordToFitWidthRecursion(Ra,od,sd,td):(qa.length===0&&rd&&(qa.push(rd),ed.shift()),[{content:qa.join(""),type:td},{content:ed.join(""),type:td}])}__name(splitWordToFitWidthRecursion,"splitWordToFitWidthRecursion");function splitLineToFitWidth(Ra,qa){if(Ra.some(({content:ed})=>ed.includes(` `)))throw new Error("splitLineToFitWidth does not support newlines in the line");return splitLineToFitWidthRecursion(Ra,qa)}__name(splitLineToFitWidth,"splitLineToFitWidth");function splitLineToFitWidthRecursion(Ra,qa,ed=[],td=[]){if(Ra.length===0)return td.length>0&&ed.push(td),ed.length>0?ed:[];let rd="";Ra[0].content===" "&&(rd=" ",Ra.shift());const sd=Ra.shift()??{content:" ",type:"normal"},od=[...td];if(rd!==""&&od.push({content:rd,type:"normal"}),od.push(sd),qa(od))return splitLineToFitWidthRecursion(Ra,qa,ed,od);if(td.length>0)ed.push(td),Ra.unshift(sd);else if(sd.content){const[ld,cd]=splitWordToFitWidth(qa,sd);ed.push([ld]),cd.content&&Ra.unshift(cd)}return splitLineToFitWidthRecursion(Ra,qa,ed)}__name(splitLineToFitWidthRecursion,"splitLineToFitWidthRecursion");function applyStyle$1(Ra,qa){qa&&Ra.attr("style",qa)}__name(applyStyle$1,"applyStyle");async function addHtmlSpan(Ra,qa,ed,td,rd=!1){const sd=Ra.append("foreignObject"),od=sd.append("xhtml:div");let ld=qa.label;qa.label&&hasKatex(qa.label)&&(ld=await renderKatex(qa.label.replace(common_default.lineBreakRegex,` `),getConfig2()));const cd=qa.isNode?"nodeLabel":"edgeLabel",ud=od.append("span");ud.html(ld),applyStyle$1(ud,qa.labelStyle),ud.attr("class",`${cd} ${td}`),applyStyle$1(od,qa.labelStyle),od.style("display","table-cell"),od.style("white-space","nowrap"),od.style("line-height","1.5"),od.style("max-width",ed+"px"),od.style("text-align","center"),od.attr("xmlns","http://www.w3.org/1999/xhtml"),rd&&od.attr("class","labelBkg");let _d=od.node().getBoundingClientRect();return _d.width===ed&&(od.style("display","table"),od.style("white-space","break-spaces"),od.style("width",ed+"px"),_d=od.node().getBoundingClientRect()),sd.node()}__name(addHtmlSpan,"addHtmlSpan");function createTspan(Ra,qa,ed){return Ra.append("tspan").attr("class","text-outer-tspan").attr("x",0).attr("y",qa*ed-.1+"em").attr("dy",ed+"em")}__name(createTspan,"createTspan");function computeWidthOfText(Ra,qa,ed){const td=Ra.append("text"),rd=createTspan(td,1,qa);updateTextContentAndStyles(rd,ed);const sd=rd.node().getComputedTextLength();return td.remove(),sd}__name(computeWidthOfText,"computeWidthOfText");function computeDimensionOfText(Ra,qa,ed){var od;const td=Ra.append("text"),rd=createTspan(td,1,qa);updateTextContentAndStyles(rd,[{content:ed,type:"normal"}]);const sd=(od=rd.node())==null?void 0:od.getBoundingClientRect();return sd&&td.remove(),sd}__name(computeDimensionOfText,"computeDimensionOfText");function createFormattedText(Ra,qa,ed,td=!1){const sd=qa.append("g"),od=sd.insert("rect").attr("class","background").attr("style","stroke: none"),ld=sd.append("text").attr("y","-10.1");let cd=0;for(const ud of ed){const _d=__name(Ad=>computeWidthOfText(sd,1.1,Ad)<=Ra,"checkWidth"),Ed=_d(ud)?[ud]:splitLineToFitWidth(ud,_d);for(const Ad of Ed){const Td=createTspan(ld,cd,1.1);updateTextContentAndStyles(Td,Ad),cd++}}if(td){const ud=ld.node().getBBox(),_d=2;return od.attr("x",-_d).attr("y",-_d).attr("width",ud.width+2*_d).attr("height",ud.height+2*_d),sd.node()}else return ld.node()}__name(createFormattedText,"createFormattedText");function updateTextContentAndStyles(Ra,qa){Ra.text(""),qa.forEach((ed,td)=>{const rd=Ra.append("tspan").attr("font-style",ed.type==="em"?"italic":"normal").attr("class","text-inner-tspan").attr("font-weight",ed.type==="strong"?"bold":"normal");td===0?rd.text(ed.content):rd.text(" "+ed.content)})}__name(updateTextContentAndStyles,"updateTextContentAndStyles");function replaceIconSubstring(Ra){return Ra.replace(/fa[bklrs]?:fa-[\w-]+/g,qa=>``)}__name(replaceIconSubstring,"replaceIconSubstring");var createText=__name(async(Ra,qa="",{style:ed="",isTitle:td=!1,classes:rd="",useHtmlLabels:sd=!0,isNode:od=!0,width:ld=200,addSvgBackground:cd=!1}={},ud)=>{if(log.info("XYZ createText",qa,ed,td,rd,sd,od,"addSvgBackground: ",cd),sd){const _d=markdownToHTML(qa,ud),Ed=replaceIconSubstring(decodeEntities(_d)),Ad=qa.replace(/\\\\/g,"\\"),Td={isNode:od,label:hasKatex(qa)?Ad:Ed,labelStyle:ed.replace("fill:","color:")};return await addHtmlSpan(Ra,Td,ld,rd,cd)}else{const _d=qa.replace(//g,"
    "),Ed=markdownToLines(_d.replace("
    ","
    "),ud),Ad=createFormattedText(ld,Ra,Ed,qa?cd:!1);if(od){/stroke:/.exec(ed)&&(ed=ed.replace("stroke:","lineColor:"));const Td=ed.replace(/stroke:[^;]+;?/g,"").replace(/stroke-width:[^;]+;?/g,"").replace(/fill:[^;]+;?/g,"").replace(/color:/g,"fill:");select(Ad).attr("style",Td)}else{const Td=ed.replace(/stroke:[^;]+;?/g,"").replace(/stroke-width:[^;]+;?/g,"").replace(/fill:[^;]+;?/g,"").replace(/background:/g,"fill:");select(Ad).select("rect").attr("style",Td.replace(/background:/g,"fill:"));const Nd=ed.replace(/stroke:[^;]+;?/g,"").replace(/stroke-width:[^;]+;?/g,"").replace(/fill:[^;]+;?/g,"").replace(/color:/g,"fill:");select(Ad).select("text").attr("style",Nd)}return Ad}},"createText");function t(Ra,qa,ed){if(Ra&&Ra.length){const[td,rd]=qa,sd=Math.PI/180*ed,od=Math.cos(sd),ld=Math.sin(sd);for(const cd of Ra){const[ud,_d]=cd;cd[0]=(ud-td)*od-(_d-rd)*ld+td,cd[1]=(ud-td)*ld+(_d-rd)*od+rd}}}function e(Ra,qa){return Ra[0]===qa[0]&&Ra[1]===qa[1]}function s(Ra,qa,ed,td=1){const rd=ed,sd=Math.max(qa,.1),od=Ra[0]&&Ra[0][0]&&typeof Ra[0][0]=="number"?[Ra]:Ra,ld=[0,0];if(rd)for(const ud of od)t(ud,ld,rd);const cd=function(ud,_d,Ed){const Ad=[];for(const Od of ud){const Md=[...Od];e(Md[0],Md[Md.length-1])||Md.push([Md[0][0],Md[0][1]]),Md.length>2&&Ad.push(Md)}const Td=[];_d=Math.max(_d,.1);const Nd=[];for(const Od of Ad)for(let Md=0;MdOd.yminMd.ymin?1:Od.xMd.x?1:Od.ymax===Md.ymax?0:(Od.ymax-Md.ymax)/Math.abs(Od.ymax-Md.ymax)),!Nd.length)return Td;let Rd=[],Bd=Nd[0].ymin,kd=0;for(;Rd.length||Nd.length;){if(Nd.length){let Od=-1;for(let Md=0;MdBd);Md++)Od=Md;Nd.splice(0,Od+1).forEach(Md=>{Rd.push({s:Bd,edge:Md})})}if(Rd=Rd.filter(Od=>!(Od.edge.ymax<=Bd)),Rd.sort((Od,Md)=>Od.edge.x===Md.edge.x?0:(Od.edge.x-Md.edge.x)/Math.abs(Od.edge.x-Md.edge.x)),(Ed!==1||kd%_d==0)&&Rd.length>1)for(let Od=0;Od=Rd.length)break;const Pd=Rd[Od].edge,Fd=Rd[Md].edge;Td.push([[Math.round(Pd.x),Bd],[Math.round(Fd.x),Bd]])}Bd+=Ed,Rd.forEach(Od=>{Od.edge.x=Od.edge.x+Ed*Od.edge.islope}),kd++}return Td}(od,sd,td);if(rd){for(const ud of od)t(ud,ld,-rd);(function(ud,_d,Ed){const Ad=[];ud.forEach(Td=>Ad.push(...Td)),t(Ad,_d,Ed)})(cd,ld,-rd)}return cd}function n(Ra,qa){var ed;const td=qa.hachureAngle+90;let rd=qa.hachureGap;rd<0&&(rd=4*qa.strokeWidth),rd=Math.round(Math.max(rd,.1));let sd=1;return qa.roughness>=1&&(((ed=qa.randomizer)===null||ed===void 0?void 0:ed.next())||Math.random())>.7&&(sd=rd),s(Ra,rd,td,sd||1)}class o{constructor(qa){this.helper=qa}fillPolygons(qa,ed){return this._fillPolygons(qa,ed)}_fillPolygons(qa,ed){const td=n(qa,ed);return{type:"fillSketch",ops:this.renderLines(td,ed)}}renderLines(qa,ed){const td=[];for(const rd of qa)td.push(...this.helper.doubleLineOps(rd[0][0],rd[0][1],rd[1][0],rd[1][1],ed));return td}}function a(Ra){const qa=Ra[0],ed=Ra[1];return Math.sqrt(Math.pow(qa[0]-ed[0],2)+Math.pow(qa[1]-ed[1],2))}class h extends o{fillPolygons(qa,ed){let td=ed.hachureGap;td<0&&(td=4*ed.strokeWidth),td=Math.max(td,.1);const rd=n(qa,Object.assign({},ed,{hachureGap:td})),sd=Math.PI/180*ed.hachureAngle,od=[],ld=.5*td*Math.cos(sd),cd=.5*td*Math.sin(sd);for(const[ud,_d]of rd)a([ud,_d])&&od.push([[ud[0]-ld,ud[1]+cd],[..._d]],[[ud[0]+ld,ud[1]-cd],[..._d]]);return{type:"fillSketch",ops:this.renderLines(od,ed)}}}let r$2=class extends o{fillPolygons(qa,ed){const td=this._fillPolygons(qa,ed),rd=Object.assign({},ed,{hachureAngle:ed.hachureAngle+90}),sd=this._fillPolygons(qa,rd);return td.ops=td.ops.concat(sd.ops),td}},i$1=class{constructor(qa){this.helper=qa}fillPolygons(qa,ed){const td=n(qa,ed=Object.assign({},ed,{hachureAngle:0}));return this.dotsOnLines(td,ed)}dotsOnLines(qa,ed){const td=[];let rd=ed.hachureGap;rd<0&&(rd=4*ed.strokeWidth),rd=Math.max(rd,.1);let sd=ed.fillWeight;sd<0&&(sd=ed.strokeWidth/2);const od=rd/4;for(const ld of qa){const cd=a(ld),ud=cd/rd,_d=Math.ceil(ud)-1,Ed=cd-_d*rd,Ad=(ld[0][0]+ld[1][0])/2-rd/4,Td=Math.min(ld[0][1],ld[1][1]);for(let Nd=0;Nd<_d;Nd++){const Rd=Td+Ed+Nd*rd,Bd=Ad-od+2*Math.random()*od,kd=Rd-od+2*Math.random()*od,Od=this.helper.ellipse(Bd,kd,sd,sd,ed);td.push(...Od.ops)}}return{type:"fillSketch",ops:td}}};class c{constructor(qa){this.helper=qa}fillPolygons(qa,ed){const td=n(qa,ed);return{type:"fillSketch",ops:this.dashedLine(td,ed)}}dashedLine(qa,ed){const td=ed.dashOffset<0?ed.hachureGap<0?4*ed.strokeWidth:ed.hachureGap:ed.dashOffset,rd=ed.dashGap<0?ed.hachureGap<0?4*ed.strokeWidth:ed.hachureGap:ed.dashGap,sd=[];return qa.forEach(od=>{const ld=a(od),cd=Math.floor(ld/(td+rd)),ud=(ld+rd-cd*(td+rd))/2;let _d=od[0],Ed=od[1];_d[0]>Ed[0]&&(_d=od[1],Ed=od[0]);const Ad=Math.atan((Ed[1]-_d[1])/(Ed[0]-_d[0]));for(let Td=0;Td{const od=a(sd),ld=Math.round(od/(2*ed));let cd=sd[0],ud=sd[1];cd[0]>ud[0]&&(cd=sd[1],ud=sd[0]);const _d=Math.atan((ud[1]-cd[1])/(ud[0]-cd[0]));for(let Ed=0;Ed_d%2?ud+ed:ud+qa);sd.push({key:"C",data:cd}),qa=cd[4],ed=cd[5];break}case"Q":sd.push({key:"Q",data:[...ld]}),qa=ld[2],ed=ld[3];break;case"q":{const cd=ld.map((ud,_d)=>_d%2?ud+ed:ud+qa);sd.push({key:"Q",data:cd}),qa=cd[2],ed=cd[3];break}case"A":sd.push({key:"A",data:[...ld]}),qa=ld[5],ed=ld[6];break;case"a":qa+=ld[5],ed+=ld[6],sd.push({key:"A",data:[ld[0],ld[1],ld[2],ld[3],ld[4],qa,ed]});break;case"H":sd.push({key:"H",data:[...ld]}),qa=ld[0];break;case"h":qa+=ld[0],sd.push({key:"H",data:[qa]});break;case"V":sd.push({key:"V",data:[...ld]}),ed=ld[0];break;case"v":ed+=ld[0],sd.push({key:"V",data:[ed]});break;case"S":sd.push({key:"S",data:[...ld]}),qa=ld[2],ed=ld[3];break;case"s":{const cd=ld.map((ud,_d)=>_d%2?ud+ed:ud+qa);sd.push({key:"S",data:cd}),qa=cd[2],ed=cd[3];break}case"T":sd.push({key:"T",data:[...ld]}),qa=ld[0],ed=ld[1];break;case"t":qa+=ld[0],ed+=ld[1],sd.push({key:"T",data:[qa,ed]});break;case"Z":case"z":sd.push({key:"Z",data:[]}),qa=td,ed=rd}return sd}function m(Ra){const qa=[];let ed="",td=0,rd=0,sd=0,od=0,ld=0,cd=0;for(const{key:ud,data:_d}of Ra){switch(ud){case"M":qa.push({key:"M",data:[..._d]}),[td,rd]=_d,[sd,od]=_d;break;case"C":qa.push({key:"C",data:[..._d]}),td=_d[4],rd=_d[5],ld=_d[2],cd=_d[3];break;case"L":qa.push({key:"L",data:[..._d]}),[td,rd]=_d;break;case"H":td=_d[0],qa.push({key:"L",data:[td,rd]});break;case"V":rd=_d[0],qa.push({key:"L",data:[td,rd]});break;case"S":{let Ed=0,Ad=0;ed==="C"||ed==="S"?(Ed=td+(td-ld),Ad=rd+(rd-cd)):(Ed=td,Ad=rd),qa.push({key:"C",data:[Ed,Ad,..._d]}),ld=_d[0],cd=_d[1],td=_d[2],rd=_d[3];break}case"T":{const[Ed,Ad]=_d;let Td=0,Nd=0;ed==="Q"||ed==="T"?(Td=td+(td-ld),Nd=rd+(rd-cd)):(Td=td,Nd=rd);const Rd=td+2*(Td-td)/3,Bd=rd+2*(Nd-rd)/3,kd=Ed+2*(Td-Ed)/3,Od=Ad+2*(Nd-Ad)/3;qa.push({key:"C",data:[Rd,Bd,kd,Od,Ed,Ad]}),ld=Td,cd=Nd,td=Ed,rd=Ad;break}case"Q":{const[Ed,Ad,Td,Nd]=_d,Rd=td+2*(Ed-td)/3,Bd=rd+2*(Ad-rd)/3,kd=Td+2*(Ed-Td)/3,Od=Nd+2*(Ad-Nd)/3;qa.push({key:"C",data:[Rd,Bd,kd,Od,Td,Nd]}),ld=Ed,cd=Ad,td=Td,rd=Nd;break}case"A":{const Ed=Math.abs(_d[0]),Ad=Math.abs(_d[1]),Td=_d[2],Nd=_d[3],Rd=_d[4],Bd=_d[5],kd=_d[6];Ed===0||Ad===0?(qa.push({key:"C",data:[td,rd,Bd,kd,Bd,kd]}),td=Bd,rd=kd):(td!==Bd||rd!==kd)&&(x$2(td,rd,Bd,kd,Ed,Ad,Td,Nd,Rd).forEach(function(Od){qa.push({key:"C",data:Od})}),td=Bd,rd=kd);break}case"Z":qa.push({key:"Z",data:[]}),td=sd,rd=od}ed=ud}return qa}function w$1(Ra,qa,ed){return[Ra*Math.cos(ed)-qa*Math.sin(ed),Ra*Math.sin(ed)+qa*Math.cos(ed)]}function x$2(Ra,qa,ed,td,rd,sd,od,ld,cd,ud){const _d=(Ed=od,Math.PI*Ed/180);var Ed;let Ad=[],Td=0,Nd=0,Rd=0,Bd=0;if(ud)[Td,Nd,Rd,Bd]=ud;else{[Ra,qa]=w$1(Ra,qa,-_d),[ed,td]=w$1(ed,td,-_d);const mf=(Ra-ed)/2,_f=(qa-td)/2;let hf=mf*mf/(rd*rd)+_f*_f/(sd*sd);hf>1&&(hf=Math.sqrt(hf),rd*=hf,sd*=hf);const gf=rd*rd,yf=sd*sd,Nf=gf*yf-gf*_f*_f-yf*mf*mf,Pf=gf*_f*_f+yf*mf*mf,Yf=(ld===cd?-1:1)*Math.sqrt(Math.abs(Nf/Pf));Rd=Yf*rd*_f/sd+(Ra+ed)/2,Bd=Yf*-sd*mf/rd+(qa+td)/2,Td=Math.asin(parseFloat(((qa-Bd)/sd).toFixed(9))),Nd=Math.asin(parseFloat(((td-Bd)/sd).toFixed(9))),RaNd&&(Td-=2*Math.PI),!cd&&Nd>Td&&(Nd-=2*Math.PI)}let kd=Nd-Td;if(Math.abs(kd)>120*Math.PI/180){const mf=Nd,_f=ed,hf=td;Nd=cd&&Nd>Td?Td+120*Math.PI/180*1:Td+120*Math.PI/180*-1,Ad=x$2(ed=Rd+rd*Math.cos(Nd),td=Bd+sd*Math.sin(Nd),_f,hf,rd,sd,od,0,cd,[Nd,mf,Rd,Bd])}kd=Nd-Td;const Od=Math.cos(Td),Md=Math.sin(Td),Pd=Math.cos(Nd),Fd=Math.sin(Nd),Ud=Math.tan(kd/4),Gd=4/3*rd*Ud,Qd=4/3*sd*Ud,Vd=[Ra,qa],zd=[Ra+Gd*Md,qa-Qd*Od],Xd=[ed+Gd*Fd,td-Qd*Pd],df=[ed,td];if(zd[0]=2*Vd[0]-zd[0],zd[1]=2*Vd[1]-zd[1],ud)return[zd,Xd,df].concat(Ad);{Ad=[zd,Xd,df].concat(Ad);const mf=[];for(let _f=0;_f2){const rd=[];for(let sd=0;sd2*Math.PI&&(Td=0,Nd=2*Math.PI);const Rd=2*Math.PI/cd.curveStepCount,Bd=Math.min(Rd/2,(Nd-Td)/2),kd=V$5(Bd,ud,_d,Ed,Ad,Td,Nd,1,cd);if(!cd.disableMultiStroke){const Od=V$5(Bd,ud,_d,Ed,Ad,Td,Nd,1.5,cd);kd.push(...Od)}return od&&(ld?kd.push(...$$2(ud,_d,ud+Ed*Math.cos(Td),_d+Ad*Math.sin(Td),cd),...$$2(ud,_d,ud+Ed*Math.cos(Nd),_d+Ad*Math.sin(Nd),cd)):kd.push({op:"lineTo",data:[ud,_d]},{op:"lineTo",data:[ud+Ed*Math.cos(Td),_d+Ad*Math.sin(Td)]})),{type:"path",ops:kd}}function _$2(Ra,qa){const ed=m(y$2(b$2(Ra))),td=[];let rd=[0,0],sd=[0,0];for(const{key:od,data:ld}of ed)switch(od){case"M":sd=[ld[0],ld[1]],rd=[ld[0],ld[1]];break;case"L":td.push(...$$2(sd[0],sd[1],ld[0],ld[1],qa)),sd=[ld[0],ld[1]];break;case"C":{const[cd,ud,_d,Ed,Ad,Td]=ld;td.push(...Z$2(cd,ud,_d,Ed,Ad,Td,sd,qa)),sd=[Ad,Td];break}case"Z":td.push(...$$2(sd[0],sd[1],rd[0],rd[1],qa)),sd=[rd[0],rd[1]]}return{type:"path",ops:td}}function I$1(Ra,qa){const ed=[];for(const td of Ra)if(td.length){const rd=qa.maxRandomnessOffset||0,sd=td.length;if(sd>2){ed.push({op:"move",data:[td[0][0]+G$4(rd,qa),td[0][1]+G$4(rd,qa)]});for(let od=1;od500?.4:-.0016668*cd+1.233334;let _d=rd.maxRandomnessOffset||0;_d*_d*100>ld&&(_d=cd/10);const Ed=_d/2,Ad=.2+.2*W$3(rd);let Td=rd.bowing*rd.maxRandomnessOffset*(td-qa)/200,Nd=rd.bowing*rd.maxRandomnessOffset*(Ra-ed)/200;Td=G$4(Td,rd,ud),Nd=G$4(Nd,rd,ud);const Rd=[],Bd=()=>G$4(Ed,rd,ud),kd=()=>G$4(_d,rd,ud),Od=rd.preserveVertices;return od?Rd.push({op:"move",data:[Ra+(Od?0:Bd()),qa+(Od?0:Bd())]}):Rd.push({op:"move",data:[Ra+(Od?0:G$4(_d,rd,ud)),qa+(Od?0:G$4(_d,rd,ud))]}),od?Rd.push({op:"bcurveTo",data:[Td+Ra+(ed-Ra)*Ad+Bd(),Nd+qa+(td-qa)*Ad+Bd(),Td+Ra+2*(ed-Ra)*Ad+Bd(),Nd+qa+2*(td-qa)*Ad+Bd(),ed+(Od?0:Bd()),td+(Od?0:Bd())]}):Rd.push({op:"bcurveTo",data:[Td+Ra+(ed-Ra)*Ad+kd(),Nd+qa+(td-qa)*Ad+kd(),Td+Ra+2*(ed-Ra)*Ad+kd(),Nd+qa+2*(td-qa)*Ad+kd(),ed+(Od?0:kd()),td+(Od?0:kd())]}),Rd}function j$1(Ra,qa,ed){if(!Ra.length)return[];const td=[];td.push([Ra[0][0]+G$4(qa,ed),Ra[0][1]+G$4(qa,ed)]),td.push([Ra[0][0]+G$4(qa,ed),Ra[0][1]+G$4(qa,ed)]);for(let rd=1;rd3){const sd=[],od=1-ed.curveTightness;rd.push({op:"move",data:[Ra[1][0],Ra[1][1]]});for(let ld=1;ld+21&&rd.push(ld)):rd.push(ld),rd.push(Ra[qa+3])}else{const cd=Ra[qa+0],ud=Ra[qa+1],_d=Ra[qa+2],Ed=Ra[qa+3],Ad=J$3(cd,ud,.5),Td=J$3(ud,_d,.5),Nd=J$3(_d,Ed,.5),Rd=J$3(Ad,Td,.5),Bd=J$3(Td,Nd,.5),kd=J$3(Rd,Bd,.5);K$3([cd,Ad,Rd,kd],0,ed,rd),K$3([kd,Bd,Nd,Ed],0,ed,rd)}var sd,od;return rd}function U$3(Ra,qa){return X$4(Ra,0,Ra.length,qa)}function X$4(Ra,qa,ed,td,rd){const sd=rd||[],od=Ra[qa],ld=Ra[ed-1];let cd=0,ud=1;for(let _d=qa+1;_dcd&&(cd=Ed,ud=_d)}return Math.sqrt(cd)>td?(X$4(Ra,qa,ud+1,td,sd),X$4(Ra,ud,ed,td,sd)):(sd.length||sd.push(od),sd.push(ld)),sd}function Y$3(Ra,qa=.15,ed){const td=[],rd=(Ra.length-1)/3;for(let sd=0;sd0?X$4(td,0,td.length,ed):td}const tt$3="none";let et$4=class{constructor(qa){this.defaultOptions={maxRandomnessOffset:2,roughness:1,bowing:1,stroke:"#000",strokeWidth:1,curveTightness:0,curveFitting:.95,curveStepCount:9,fillStyle:"hachure",fillWeight:-1,hachureAngle:-41,hachureGap:-1,dashOffset:-1,dashGap:-1,zigzagOffset:-1,seed:0,disableMultiStroke:!1,disableMultiStrokeFill:!1,preserveVertices:!1,fillShapeRoughnessGain:.8},this.config=qa||{},this.config.options&&(this.defaultOptions=this._o(this.config.options))}static newSeed(){return Math.floor(Math.random()*2**31)}_o(qa){return qa?Object.assign({},this.defaultOptions,qa):this.defaultOptions}_d(qa,ed,td){return{shape:qa,sets:ed||[],options:td||this.defaultOptions}}line(qa,ed,td,rd,sd){const od=this._o(sd);return this._d("line",[v$1(qa,ed,td,rd,od)],od)}rectangle(qa,ed,td,rd,sd){const od=this._o(sd),ld=[],cd=O$3(qa,ed,td,rd,od);if(od.fill){const ud=[[qa,ed],[qa+td,ed],[qa+td,ed+rd],[qa,ed+rd]];od.fillStyle==="solid"?ld.push(I$1([ud],od)):ld.push(C$1([ud],od))}return od.stroke!==tt$3&&ld.push(cd),this._d("rectangle",ld,od)}ellipse(qa,ed,td,rd,sd){const od=this._o(sd),ld=[],cd=T$2(td,rd,od),ud=D$2(qa,ed,od,cd);if(od.fill)if(od.fillStyle==="solid"){const _d=D$2(qa,ed,od,cd).opset;_d.type="fillPath",ld.push(_d)}else ld.push(C$1([ud.estimatedPoints],od));return od.stroke!==tt$3&&ld.push(ud.opset),this._d("ellipse",ld,od)}circle(qa,ed,td,rd){const sd=this.ellipse(qa,ed,td,td,rd);return sd.shape="circle",sd}linearPath(qa,ed){const td=this._o(ed);return this._d("linearPath",[S$1(qa,!1,td)],td)}arc(qa,ed,td,rd,sd,od,ld=!1,cd){const ud=this._o(cd),_d=[],Ed=A$1(qa,ed,td,rd,sd,od,ld,!0,ud);if(ld&&ud.fill)if(ud.fillStyle==="solid"){const Ad=Object.assign({},ud);Ad.disableMultiStroke=!0;const Td=A$1(qa,ed,td,rd,sd,od,!0,!1,Ad);Td.type="fillPath",_d.push(Td)}else _d.push(function(Ad,Td,Nd,Rd,Bd,kd,Od){const Md=Ad,Pd=Td;let Fd=Math.abs(Nd/2),Ud=Math.abs(Rd/2);Fd+=G$4(.01*Fd,Od),Ud+=G$4(.01*Ud,Od);let Gd=Bd,Qd=kd;for(;Gd<0;)Gd+=2*Math.PI,Qd+=2*Math.PI;Qd-Gd>2*Math.PI&&(Gd=0,Qd=2*Math.PI);const Vd=(Qd-Gd)/Od.curveStepCount,zd=[];for(let Xd=Gd;Xd<=Qd;Xd+=Vd)zd.push([Md+Fd*Math.cos(Xd),Pd+Ud*Math.sin(Xd)]);return zd.push([Md+Fd*Math.cos(Qd),Pd+Ud*Math.sin(Qd)]),zd.push([Md,Pd]),C$1([zd],Od)}(qa,ed,td,rd,sd,od,ud));return ud.stroke!==tt$3&&_d.push(Ed),this._d("arc",_d,ud)}curve(qa,ed){const td=this._o(ed),rd=[],sd=L$4(qa,td);if(td.fill&&td.fill!==tt$3)if(td.fillStyle==="solid"){const od=L$4(qa,Object.assign(Object.assign({},td),{disableMultiStroke:!0,roughness:td.roughness?td.roughness+td.fillShapeRoughnessGain:0}));rd.push({type:"fillPath",ops:this._mergedShape(od.ops)})}else{const od=[],ld=qa;if(ld.length){const cd=typeof ld[0][0]=="number"?[ld]:ld;for(const ud of cd)ud.length<3?od.push(...ud):ud.length===3?od.push(...Y$3(H$3([ud[0],ud[0],ud[1],ud[2]]),10,(1+td.roughness)/2)):od.push(...Y$3(H$3(ud),10,(1+td.roughness)/2))}od.length&&rd.push(C$1([od],td))}return td.stroke!==tt$3&&rd.push(sd),this._d("curve",rd,td)}polygon(qa,ed){const td=this._o(ed),rd=[],sd=S$1(qa,!0,td);return td.fill&&(td.fillStyle==="solid"?rd.push(I$1([qa],td)):rd.push(C$1([qa],td))),td.stroke!==tt$3&&rd.push(sd),this._d("polygon",rd,td)}path(qa,ed){const td=this._o(ed),rd=[];if(!qa)return this._d("path",rd,td);qa=(qa||"").replace(/\n/g," ").replace(/(-\s)/g,"-").replace("/(ss)/g"," ");const sd=td.fill&&td.fill!=="transparent"&&td.fill!==tt$3,od=td.stroke!==tt$3,ld=!!(td.simplification&&td.simplification<1),cd=function(_d,Ed,Ad){const Td=m(y$2(b$2(_d))),Nd=[];let Rd=[],Bd=[0,0],kd=[];const Od=()=>{kd.length>=4&&Rd.push(...Y$3(kd,Ed)),kd=[]},Md=()=>{Od(),Rd.length&&(Nd.push(Rd),Rd=[])};for(const{key:Fd,data:Ud}of Td)switch(Fd){case"M":Md(),Bd=[Ud[0],Ud[1]],Rd.push(Bd);break;case"L":Od(),Rd.push([Ud[0],Ud[1]]);break;case"C":if(!kd.length){const Gd=Rd.length?Rd[Rd.length-1]:Bd;kd.push([Gd[0],Gd[1]])}kd.push([Ud[0],Ud[1]]),kd.push([Ud[2],Ud[3]]),kd.push([Ud[4],Ud[5]]);break;case"Z":Od(),Rd.push([Bd[0],Bd[1]])}if(Md(),!Ad)return Nd;const Pd=[];for(const Fd of Nd){const Ud=U$3(Fd,Ad);Ud.length&&Pd.push(Ud)}return Pd}(qa,1,ld?4-4*(td.simplification||1):(1+td.roughness)/2),ud=_$2(qa,td);if(sd)if(td.fillStyle==="solid")if(cd.length===1){const _d=_$2(qa,Object.assign(Object.assign({},td),{disableMultiStroke:!0,roughness:td.roughness?td.roughness+td.fillShapeRoughnessGain:0}));rd.push({type:"fillPath",ops:this._mergedShape(_d.ops)})}else rd.push(I$1(cd,td));else rd.push(C$1(cd,td));return od&&(ld?cd.forEach(_d=>{rd.push(S$1(_d,!1,td))}):rd.push(ud)),this._d("path",rd,td)}opsToPath(qa,ed){let td="";for(const rd of qa.ops){const sd=typeof ed=="number"&&ed>=0?rd.data.map(od=>+od.toFixed(ed)):rd.data;switch(rd.op){case"move":td+=`M${sd[0]} ${sd[1]} `;break;case"bcurveTo":td+=`C${sd[0]} ${sd[1]}, ${sd[2]} ${sd[3]}, ${sd[4]} ${sd[5]} `;break;case"lineTo":td+=`L${sd[0]} ${sd[1]} `}}return td.trim()}toPaths(qa){const ed=qa.sets||[],td=qa.options||this.defaultOptions,rd=[];for(const sd of ed){let od=null;switch(sd.type){case"path":od={d:this.opsToPath(sd),stroke:td.stroke,strokeWidth:td.strokeWidth,fill:tt$3};break;case"fillPath":od={d:this.opsToPath(sd),stroke:tt$3,strokeWidth:0,fill:td.fill||tt$3};break;case"fillSketch":od=this.fillSketch(sd,td)}od&&rd.push(od)}return rd}fillSketch(qa,ed){let td=ed.fillWeight;return td<0&&(td=ed.strokeWidth/2),{d:this.opsToPath(qa),stroke:ed.fill||tt$3,strokeWidth:td,fill:tt$3}}_mergedShape(qa){return qa.filter((ed,td)=>td===0||ed.op!=="move")}},st$2=class{constructor(qa,ed){this.canvas=qa,this.ctx=this.canvas.getContext("2d"),this.gen=new et$4(ed)}draw(qa){const ed=qa.sets||[],td=qa.options||this.getDefaultOptions(),rd=this.ctx,sd=qa.options.fixedDecimalPlaceDigits;for(const od of ed)switch(od.type){case"path":rd.save(),rd.strokeStyle=td.stroke==="none"?"transparent":td.stroke,rd.lineWidth=td.strokeWidth,td.strokeLineDash&&rd.setLineDash(td.strokeLineDash),td.strokeLineDashOffset&&(rd.lineDashOffset=td.strokeLineDashOffset),this._drawToContext(rd,od,sd),rd.restore();break;case"fillPath":{rd.save(),rd.fillStyle=td.fill||"";const ld=qa.shape==="curve"||qa.shape==="polygon"||qa.shape==="path"?"evenodd":"nonzero";this._drawToContext(rd,od,sd,ld),rd.restore();break}case"fillSketch":this.fillSketch(rd,od,td)}}fillSketch(qa,ed,td){let rd=td.fillWeight;rd<0&&(rd=td.strokeWidth/2),qa.save(),td.fillLineDash&&qa.setLineDash(td.fillLineDash),td.fillLineDashOffset&&(qa.lineDashOffset=td.fillLineDashOffset),qa.strokeStyle=td.fill||"",qa.lineWidth=rd,this._drawToContext(qa,ed,td.fixedDecimalPlaceDigits),qa.restore()}_drawToContext(qa,ed,td,rd="nonzero"){qa.beginPath();for(const sd of ed.ops){const od=typeof td=="number"&&td>=0?sd.data.map(ld=>+ld.toFixed(td)):sd.data;switch(sd.op){case"move":qa.moveTo(od[0],od[1]);break;case"bcurveTo":qa.bezierCurveTo(od[0],od[1],od[2],od[3],od[4],od[5]);break;case"lineTo":qa.lineTo(od[0],od[1])}}ed.type==="fillPath"?qa.fill(rd):qa.stroke()}get generator(){return this.gen}getDefaultOptions(){return this.gen.defaultOptions}line(qa,ed,td,rd,sd){const od=this.gen.line(qa,ed,td,rd,sd);return this.draw(od),od}rectangle(qa,ed,td,rd,sd){const od=this.gen.rectangle(qa,ed,td,rd,sd);return this.draw(od),od}ellipse(qa,ed,td,rd,sd){const od=this.gen.ellipse(qa,ed,td,rd,sd);return this.draw(od),od}circle(qa,ed,td,rd){const sd=this.gen.circle(qa,ed,td,rd);return this.draw(sd),sd}linearPath(qa,ed){const td=this.gen.linearPath(qa,ed);return this.draw(td),td}polygon(qa,ed){const td=this.gen.polygon(qa,ed);return this.draw(td),td}arc(qa,ed,td,rd,sd,od,ld=!1,cd){const ud=this.gen.arc(qa,ed,td,rd,sd,od,ld,cd);return this.draw(ud),ud}curve(qa,ed){const td=this.gen.curve(qa,ed);return this.draw(td),td}path(qa,ed){const td=this.gen.path(qa,ed);return this.draw(td),td}};const nt$2="http://www.w3.org/2000/svg";let ot$2=class{constructor(qa,ed){this.svg=qa,this.gen=new et$4(ed)}draw(qa){const ed=qa.sets||[],td=qa.options||this.getDefaultOptions(),rd=this.svg.ownerDocument||window.document,sd=rd.createElementNS(nt$2,"g"),od=qa.options.fixedDecimalPlaceDigits;for(const ld of ed){let cd=null;switch(ld.type){case"path":cd=rd.createElementNS(nt$2,"path"),cd.setAttribute("d",this.opsToPath(ld,od)),cd.setAttribute("stroke",td.stroke),cd.setAttribute("stroke-width",td.strokeWidth+""),cd.setAttribute("fill","none"),td.strokeLineDash&&cd.setAttribute("stroke-dasharray",td.strokeLineDash.join(" ").trim()),td.strokeLineDashOffset&&cd.setAttribute("stroke-dashoffset",`${td.strokeLineDashOffset}`);break;case"fillPath":cd=rd.createElementNS(nt$2,"path"),cd.setAttribute("d",this.opsToPath(ld,od)),cd.setAttribute("stroke","none"),cd.setAttribute("stroke-width","0"),cd.setAttribute("fill",td.fill||""),qa.shape!=="curve"&&qa.shape!=="polygon"||cd.setAttribute("fill-rule","evenodd");break;case"fillSketch":cd=this.fillSketch(rd,ld,td)}cd&&sd.appendChild(cd)}return sd}fillSketch(qa,ed,td){let rd=td.fillWeight;rd<0&&(rd=td.strokeWidth/2);const sd=qa.createElementNS(nt$2,"path");return sd.setAttribute("d",this.opsToPath(ed,td.fixedDecimalPlaceDigits)),sd.setAttribute("stroke",td.fill||""),sd.setAttribute("stroke-width",rd+""),sd.setAttribute("fill","none"),td.fillLineDash&&sd.setAttribute("stroke-dasharray",td.fillLineDash.join(" ").trim()),td.fillLineDashOffset&&sd.setAttribute("stroke-dashoffset",`${td.fillLineDashOffset}`),sd}get generator(){return this.gen}getDefaultOptions(){return this.gen.defaultOptions}opsToPath(qa,ed){return this.gen.opsToPath(qa,ed)}line(qa,ed,td,rd,sd){const od=this.gen.line(qa,ed,td,rd,sd);return this.draw(od)}rectangle(qa,ed,td,rd,sd){const od=this.gen.rectangle(qa,ed,td,rd,sd);return this.draw(od)}ellipse(qa,ed,td,rd,sd){const od=this.gen.ellipse(qa,ed,td,rd,sd);return this.draw(od)}circle(qa,ed,td,rd){const sd=this.gen.circle(qa,ed,td,rd);return this.draw(sd)}linearPath(qa,ed){const td=this.gen.linearPath(qa,ed);return this.draw(td)}polygon(qa,ed){const td=this.gen.polygon(qa,ed);return this.draw(td)}arc(qa,ed,td,rd,sd,od,ld=!1,cd){const ud=this.gen.arc(qa,ed,td,rd,sd,od,ld,cd);return this.draw(ud)}curve(qa,ed){const td=this.gen.curve(qa,ed);return this.draw(td)}path(qa,ed){const td=this.gen.path(qa,ed);return this.draw(td)}};var at$4={canvas:(Ra,qa)=>new st$2(Ra,qa),svg:(Ra,qa)=>new ot$2(Ra,qa),generator:Ra=>new et$4(Ra),newSeed:()=>et$4.newSeed()},intersectRect=__name((Ra,qa)=>{var ed=Ra.x,td=Ra.y,rd=qa.x-ed,sd=qa.y-td,od=Ra.width/2,ld=Ra.height/2,cd,ud;return Math.abs(sd)*od>Math.abs(rd)*ld?(sd<0&&(ld=-ld),cd=sd===0?0:ld*rd/sd,ud=ld):(rd<0&&(od=-od),cd=od,ud=rd===0?0:od*sd/rd),{x:ed+cd,y:td+ud}},"intersectRect"),intersect_rect_default=intersectRect;function applyStyle(Ra,qa){qa&&Ra.attr("style",qa)}__name(applyStyle,"applyStyle");async function addHtmlLabel(Ra){const qa=select(document.createElementNS("http://www.w3.org/2000/svg","foreignObject")),ed=qa.append("xhtml:div");let td=Ra.label;Ra.label&&hasKatex(Ra.label)&&(td=await renderKatex(Ra.label.replace(common_default.lineBreakRegex,` `),getConfig2()));const rd=Ra.isNode?"nodeLabel":"edgeLabel";return ed.html('"+td+""),applyStyle(ed,Ra.labelStyle),ed.style("display","inline-block"),ed.style("padding-right","1px"),ed.style("white-space","nowrap"),ed.attr("xmlns","http://www.w3.org/1999/xhtml"),qa.node()}__name(addHtmlLabel,"addHtmlLabel");var createLabel=__name(async(Ra,qa,ed,td)=>{let rd=Ra||"";if(typeof rd=="object"&&(rd=rd[0]),evaluate(getConfig2().flowchart.htmlLabels)){rd=rd.replace(/\\n|\n/g,"
    "),log.info("vertexText"+rd);const sd={isNode:td,label:decodeEntities(rd).replace(/fa[blrs]?:fa-[\w-]+/g,ld=>``),labelStyle:qa&&qa.replace("fill:","color:")};return await addHtmlLabel(sd)}else{const sd=document.createElementNS("http://www.w3.org/2000/svg","text");sd.setAttribute("style",qa.replace("color:","fill:"));let od=[];typeof rd=="string"?od=rd.split(/\\n|\n|/gi):Array.isArray(rd)?od=rd:od=[];for(const ld of od){const cd=document.createElementNS("http://www.w3.org/2000/svg","tspan");cd.setAttributeNS("http://www.w3.org/XML/1998/namespace","xml:space","preserve"),cd.setAttribute("dy","1em"),cd.setAttribute("x","0"),ed?cd.setAttribute("class","title-row"):cd.setAttribute("class","row"),cd.textContent=ld.trim(),sd.appendChild(cd)}return sd}},"createLabel"),createLabel_default=createLabel,createRoundedRectPathD=__name((Ra,qa,ed,td,rd)=>["M",Ra+rd,qa,"H",Ra+ed-rd,"A",rd,rd,0,0,1,Ra+ed,qa+rd,"V",qa+td-rd,"A",rd,rd,0,0,1,Ra+ed-rd,qa+td,"H",Ra+rd,"A",rd,rd,0,0,1,Ra,qa+td-rd,"V",qa+rd,"A",rd,rd,0,0,1,Ra+rd,qa,"Z"].join(" "),"createRoundedRectPathD"),solidStateFill=__name(Ra=>{const{handDrawnSeed:qa}=getConfig2();return{fill:Ra,hachureAngle:120,hachureGap:4,fillWeight:2,roughness:.7,stroke:Ra,seed:qa}},"solidStateFill"),compileStyles=__name(Ra=>{const qa=styles2Map([...Ra.cssCompiledStyles||[],...Ra.cssStyles||[]]);return{stylesMap:qa,stylesArray:[...qa]}},"compileStyles"),styles2Map=__name(Ra=>{const qa=new Map;return Ra.forEach(ed=>{const[td,rd]=ed.split(":");qa.set(td.trim(),rd==null?void 0:rd.trim())}),qa},"styles2Map"),styles2String=__name(Ra=>{const{stylesArray:qa}=compileStyles(Ra),ed=[],td=[],rd=[],sd=[];return qa.forEach(od=>{const ld=od[0];ld==="color"||ld==="font-size"||ld==="font-family"||ld==="font-weight"||ld==="font-style"||ld==="text-decoration"||ld==="text-align"||ld==="text-transform"||ld==="line-height"||ld==="letter-spacing"||ld==="word-spacing"||ld==="text-shadow"||ld==="text-overflow"||ld==="white-space"||ld==="word-wrap"||ld==="word-break"||ld==="overflow-wrap"||ld==="hyphens"?ed.push(od.join(":")+" !important"):(td.push(od.join(":")+" !important"),ld.includes("stroke")&&rd.push(od.join(":")+" !important"),ld==="fill"&&sd.push(od.join(":")+" !important"))}),{labelStyles:ed.join(";"),nodeStyles:td.join(";"),stylesArray:qa,borderStyles:rd,backgroundStyles:sd}},"styles2String"),userNodeOverrides=__name((Ra,qa)=>{const{themeVariables:ed,handDrawnSeed:td}=getConfig2(),{nodeBorder:rd,mainBkg:sd}=ed,{stylesMap:od}=compileStyles(Ra);return Object.assign({roughness:.7,fill:od.get("fill")||sd,fillStyle:"hachure",fillWeight:4,stroke:od.get("stroke")||rd,seed:td,strokeWidth:1.3},qa)},"userNodeOverrides"),rect$2=__name(async(Ra,qa)=>{log.info("Creating subgraph rect for ",qa.id,qa);const ed=getConfig2(),{themeVariables:td,handDrawnSeed:rd}=ed,{clusterBkg:sd,clusterBorder:od}=td,{labelStyles:ld,nodeStyles:cd,borderStyles:ud,backgroundStyles:_d}=styles2String(qa),Ed=Ra.insert("g").attr("class","cluster "+qa.cssClasses).attr("id",qa.id).attr("data-look",qa.look),Ad=evaluate(ed.flowchart.htmlLabels),Td=Ed.insert("g").attr("class","cluster-label "),Nd=await createText(Td,qa.label,{style:qa.labelStyle,useHtmlLabels:Ad,isNode:!0});let Rd=Nd.getBBox();if(evaluate(ed.flowchart.htmlLabels)){const Gd=Nd.children[0],Qd=select(Nd);Rd=Gd.getBoundingClientRect(),Qd.attr("width",Rd.width),Qd.attr("height",Rd.height)}const Bd=qa.width<=Rd.width+qa.padding?Rd.width+qa.padding:qa.width;qa.width<=Rd.width+qa.padding?qa.diff=(Bd-qa.width)/2-qa.padding:qa.diff=-qa.padding;const kd=qa.height,Od=qa.x-Bd/2,Md=qa.y-kd/2;log.trace("Data ",qa,JSON.stringify(qa));let Pd;if(qa.look==="handDrawn"){const Gd=at$4.svg(Ed),Qd=userNodeOverrides(qa,{roughness:.7,fill:sd,stroke:od,fillWeight:3,seed:rd}),Vd=Gd.path(createRoundedRectPathD(Od,Md,Bd,kd,0),Qd);Pd=Ed.insert(()=>(log.debug("Rough node insert CXC",Vd),Vd),":first-child"),Pd.select("path:nth-child(2)").attr("style",ud.join(";")),Pd.select("path").attr("style",_d.join(";").replace("fill","stroke"))}else Pd=Ed.insert("rect",":first-child"),Pd.attr("style",cd).attr("rx",qa.rx).attr("ry",qa.ry).attr("x",Od).attr("y",Md).attr("width",Bd).attr("height",kd);const{subGraphTitleTopMargin:Fd}=getSubGraphTitleMargins(ed);if(Td.attr("transform",`translate(${qa.x-Rd.width/2}, ${qa.y-qa.height/2+Fd})`),ld){const Gd=Td.select("span");Gd&&Gd.attr("style",ld)}const Ud=Pd.node().getBBox();return qa.offsetX=0,qa.width=Ud.width,qa.height=Ud.height,qa.offsetY=Rd.height-qa.padding/2,qa.intersect=function(Gd){return intersect_rect_default(qa,Gd)},{cluster:Ed,labelBBox:Rd}},"rect"),noteGroup=__name((Ra,qa)=>{const ed=Ra.insert("g").attr("class","note-cluster").attr("id",qa.id),td=ed.insert("rect",":first-child"),rd=0*qa.padding,sd=rd/2;td.attr("rx",qa.rx).attr("ry",qa.ry).attr("x",qa.x-qa.width/2-sd).attr("y",qa.y-qa.height/2-sd).attr("width",qa.width+rd).attr("height",qa.height+rd).attr("fill","none");const od=td.node().getBBox();return qa.width=od.width,qa.height=od.height,qa.intersect=function(ld){return intersect_rect_default(qa,ld)},{cluster:ed,labelBBox:{width:0,height:0}}},"noteGroup"),roundedWithTitle=__name(async(Ra,qa)=>{const ed=getConfig2(),{themeVariables:td,handDrawnSeed:rd}=ed,{altBackground:sd,compositeBackground:od,compositeTitleBackground:ld,nodeBorder:cd}=td,ud=Ra.insert("g").attr("class",qa.cssClasses).attr("id",qa.id).attr("data-id",qa.id).attr("data-look",qa.look),_d=ud.insert("g",":first-child"),Ed=ud.insert("g").attr("class","cluster-label");let Ad=ud.append("rect");const Td=Ed.node().appendChild(await createLabel_default(qa.label,qa.labelStyle,void 0,!0));let Nd=Td.getBBox();if(evaluate(ed.flowchart.htmlLabels)){const Vd=Td.children[0],zd=select(Td);Nd=Vd.getBoundingClientRect(),zd.attr("width",Nd.width),zd.attr("height",Nd.height)}const Rd=0*qa.padding,Bd=Rd/2,kd=(qa.width<=Nd.width+qa.padding?Nd.width+qa.padding:qa.width)+Rd;qa.width<=Nd.width+qa.padding?qa.diff=(kd-qa.width)/2-qa.padding:qa.diff=-qa.padding;const Od=qa.height+Rd,Md=qa.height+Rd-Nd.height-6,Pd=qa.x-kd/2,Fd=qa.y-Od/2;qa.width=kd;const Ud=qa.y-qa.height/2-Bd+Nd.height+2;let Gd;if(qa.look==="handDrawn"){const Vd=qa.cssClasses.includes("statediagram-cluster-alt"),zd=at$4.svg(ud),Xd=qa.rx||qa.ry?zd.path(createRoundedRectPathD(Pd,Fd,kd,Od,10),{roughness:.7,fill:ld,fillStyle:"solid",stroke:cd,seed:rd}):zd.rectangle(Pd,Fd,kd,Od,{seed:rd});Gd=ud.insert(()=>Xd,":first-child");const df=zd.rectangle(Pd,Ud,kd,Md,{fill:Vd?sd:od,fillStyle:Vd?"hachure":"solid",stroke:cd,seed:rd});Gd=ud.insert(()=>Xd,":first-child"),Ad=ud.insert(()=>df)}else Gd=_d.insert("rect",":first-child"),Gd.attr("class","outer").attr("x",Pd).attr("y",Fd).attr("width",kd).attr("height",Od).attr("data-look",qa.look),Ad.attr("class","inner").attr("x",Pd).attr("y",Ud).attr("width",kd).attr("height",Md);Ed.attr("transform",`translate(${qa.x-Nd.width/2}, ${Fd+1-(evaluate(ed.flowchart.htmlLabels)?0:3)})`);const Qd=Gd.node().getBBox();return qa.height=Qd.height,qa.offsetX=0,qa.offsetY=Nd.height-qa.padding/2,qa.labelBBox=Nd,qa.intersect=function(Vd){return intersect_rect_default(qa,Vd)},{cluster:ud,labelBBox:Nd}},"roundedWithTitle"),divider=__name((Ra,qa)=>{const ed=getConfig2(),{themeVariables:td,handDrawnSeed:rd}=ed,{nodeBorder:sd}=td,od=Ra.insert("g").attr("class",qa.cssClasses).attr("id",qa.id).attr("data-look",qa.look),ld=od.insert("g",":first-child"),cd=0*qa.padding,ud=qa.width+cd;qa.diff=-qa.padding;const _d=qa.height+cd,Ed=qa.x-ud/2,Ad=qa.y-_d/2;qa.width=ud;let Td;if(qa.look==="handDrawn"){const Bd=at$4.svg(od).rectangle(Ed,Ad,ud,_d,{fill:"lightgrey",roughness:.5,strokeLineDash:[5],stroke:sd,seed:rd});Td=od.insert(()=>Bd,":first-child")}else Td=ld.insert("rect",":first-child"),Td.attr("class","divider").attr("x",Ed).attr("y",Ad).attr("width",ud).attr("height",_d).attr("data-look",qa.look);const Nd=Td.node().getBBox();return qa.height=Nd.height,qa.offsetX=0,qa.offsetY=0,qa.intersect=function(Rd){return intersect_rect_default(qa,Rd)},{cluster:od,labelBBox:{}}},"divider"),squareRect=rect$2,shapes={rect:rect$2,squareRect,roundedWithTitle,noteGroup,divider},clusterElems=new Map,insertCluster=__name(async(Ra,qa)=>{const ed=qa.shape||"rect",td=await shapes[ed](Ra,qa);return clusterElems.set(qa.id,td),td},"insertCluster"),clear=__name(()=>{clusterElems=new Map},"clear"),addEdgeMarkers=__name((Ra,qa,ed,td,rd)=>{qa.arrowTypeStart&&addEdgeMarker(Ra,"start",qa.arrowTypeStart,ed,td,rd),qa.arrowTypeEnd&&addEdgeMarker(Ra,"end",qa.arrowTypeEnd,ed,td,rd)},"addEdgeMarkers"),arrowTypesMap={arrow_cross:"cross",arrow_point:"point",arrow_barb:"barb",arrow_circle:"circle",aggregation:"aggregation",extension:"extension",composition:"composition",dependency:"dependency",lollipop:"lollipop"},addEdgeMarker=__name((Ra,qa,ed,td,rd,sd)=>{const od=arrowTypesMap[ed];if(!od){log.warn(`Unknown arrow type: ${ed}`);return}const ld=qa==="start"?"Start":"End";Ra.attr(`marker-${qa}`,`url(${td}#${rd}_${sd}-${od}${ld})`)},"addEdgeMarker"),edgeLabels=new Map,terminalLabels=new Map,clear2=__name(()=>{edgeLabels.clear(),terminalLabels.clear()},"clear"),getLabelStyles=__name(Ra=>Ra?Ra.reduce((ed,td)=>ed+";"+td,""):"","getLabelStyles"),insertEdgeLabel=__name(async(Ra,qa)=>{let ed=evaluate(getConfig2().flowchart.htmlLabels);const td=await createText(Ra,qa.label,{style:getLabelStyles(qa.labelStyle),useHtmlLabels:ed,addSvgBackground:!0,isNode:!1});log.info("abc82",qa,qa.labelType);const rd=Ra.insert("g").attr("class","edgeLabel"),sd=rd.insert("g").attr("class","label");sd.node().appendChild(td);let od=td.getBBox();if(ed){const cd=td.children[0],ud=select(td);od=cd.getBoundingClientRect(),ud.attr("width",od.width),ud.attr("height",od.height)}sd.attr("transform","translate("+-od.width/2+", "+-od.height/2+")"),edgeLabels.set(qa.id,rd),qa.width=od.width,qa.height=od.height;let ld;if(qa.startLabelLeft){const cd=await createLabel_default(qa.startLabelLeft,getLabelStyles(qa.labelStyle)),ud=Ra.insert("g").attr("class","edgeTerminals"),_d=ud.insert("g").attr("class","inner");ld=_d.node().appendChild(cd);const Ed=cd.getBBox();_d.attr("transform","translate("+-Ed.width/2+", "+-Ed.height/2+")"),terminalLabels.get(qa.id)||terminalLabels.set(qa.id,{}),terminalLabels.get(qa.id).startLeft=ud,setTerminalWidth(ld,qa.startLabelLeft)}if(qa.startLabelRight){const cd=await createLabel_default(qa.startLabelRight,getLabelStyles(qa.labelStyle)),ud=Ra.insert("g").attr("class","edgeTerminals"),_d=ud.insert("g").attr("class","inner");ld=ud.node().appendChild(cd),_d.node().appendChild(cd);const Ed=cd.getBBox();_d.attr("transform","translate("+-Ed.width/2+", "+-Ed.height/2+")"),terminalLabels.get(qa.id)||terminalLabels.set(qa.id,{}),terminalLabels.get(qa.id).startRight=ud,setTerminalWidth(ld,qa.startLabelRight)}if(qa.endLabelLeft){const cd=await createLabel_default(qa.endLabelLeft,getLabelStyles(qa.labelStyle)),ud=Ra.insert("g").attr("class","edgeTerminals"),_d=ud.insert("g").attr("class","inner");ld=_d.node().appendChild(cd);const Ed=cd.getBBox();_d.attr("transform","translate("+-Ed.width/2+", "+-Ed.height/2+")"),ud.node().appendChild(cd),terminalLabels.get(qa.id)||terminalLabels.set(qa.id,{}),terminalLabels.get(qa.id).endLeft=ud,setTerminalWidth(ld,qa.endLabelLeft)}if(qa.endLabelRight){const cd=await createLabel_default(qa.endLabelRight,getLabelStyles(qa.labelStyle)),ud=Ra.insert("g").attr("class","edgeTerminals"),_d=ud.insert("g").attr("class","inner");ld=_d.node().appendChild(cd);const Ed=cd.getBBox();_d.attr("transform","translate("+-Ed.width/2+", "+-Ed.height/2+")"),ud.node().appendChild(cd),terminalLabels.get(qa.id)||terminalLabels.set(qa.id,{}),terminalLabels.get(qa.id).endRight=ud,setTerminalWidth(ld,qa.endLabelRight)}return td},"insertEdgeLabel");function setTerminalWidth(Ra,qa){getConfig2().flowchart.htmlLabels&&Ra&&(Ra.style.width=qa.length*9+"px",Ra.style.height="12px")}__name(setTerminalWidth,"setTerminalWidth");var positionEdgeLabel=__name((Ra,qa)=>{log.debug("Moving label abc88 ",Ra.id,Ra.label,edgeLabels.get(Ra.id),qa);let ed=qa.updatedPath?qa.updatedPath:qa.originalPath;const td=getConfig2(),{subGraphTitleTotalMargin:rd}=getSubGraphTitleMargins(td);if(Ra.label){const sd=edgeLabels.get(Ra.id);let od=Ra.x,ld=Ra.y;if(ed){const cd=utils_default.calcLabelPosition(ed);log.debug("Moving label "+Ra.label+" from (",od,",",ld,") to (",cd.x,",",cd.y,") abc88"),qa.updatedPath&&(od=cd.x,ld=cd.y)}sd.attr("transform",`translate(${od}, ${ld+rd/2})`)}if(Ra.startLabelLeft){const sd=terminalLabels.get(Ra.id).startLeft;let od=Ra.x,ld=Ra.y;if(ed){const cd=utils_default.calcTerminalLabelPosition(Ra.arrowTypeStart?10:0,"start_left",ed);od=cd.x,ld=cd.y}sd.attr("transform",`translate(${od}, ${ld})`)}if(Ra.startLabelRight){const sd=terminalLabels.get(Ra.id).startRight;let od=Ra.x,ld=Ra.y;if(ed){const cd=utils_default.calcTerminalLabelPosition(Ra.arrowTypeStart?10:0,"start_right",ed);od=cd.x,ld=cd.y}sd.attr("transform",`translate(${od}, ${ld})`)}if(Ra.endLabelLeft){const sd=terminalLabels.get(Ra.id).endLeft;let od=Ra.x,ld=Ra.y;if(ed){const cd=utils_default.calcTerminalLabelPosition(Ra.arrowTypeEnd?10:0,"end_left",ed);od=cd.x,ld=cd.y}sd.attr("transform",`translate(${od}, ${ld})`)}if(Ra.endLabelRight){const sd=terminalLabels.get(Ra.id).endRight;let od=Ra.x,ld=Ra.y;if(ed){const cd=utils_default.calcTerminalLabelPosition(Ra.arrowTypeEnd?10:0,"end_right",ed);od=cd.x,ld=cd.y}sd.attr("transform",`translate(${od}, ${ld})`)}},"positionEdgeLabel"),outsideNode=__name((Ra,qa)=>{const ed=Ra.x,td=Ra.y,rd=Math.abs(qa.x-ed),sd=Math.abs(qa.y-td),od=Ra.width/2,ld=Ra.height/2;return rd>=od||sd>=ld},"outsideNode"),intersection=__name((Ra,qa,ed)=>{log.debug(`intersection calc abc89: outsidePoint: ${JSON.stringify(qa)} insidePoint : ${JSON.stringify(ed)} node : x:${Ra.x} y:${Ra.y} w:${Ra.width} h:${Ra.height}`);const td=Ra.x,rd=Ra.y,sd=Math.abs(td-ed.x),od=Ra.width/2;let ld=ed.xMath.abs(td-qa.x)*cd){let Ed=ed.y{log.warn("abc88 cutPathAtIntersect",Ra,qa);let ed=[],td=Ra[0],rd=!1;return Ra.forEach(sd=>{if(log.info("abc88 checking point",sd,qa),!outsideNode(qa,sd)&&!rd){const od=intersection(qa,td,sd);log.debug("abc88 inside",sd,td,od),log.debug("abc88 intersection",od,qa);let ld=!1;ed.forEach(cd=>{ld=ld||cd.x===od.x&&cd.y===od.y}),ed.some(cd=>cd.x===od.x&&cd.y===od.y)?log.warn("abc88 no intersect",od,ed):ed.push(od),rd=!0}else log.warn("abc88 outside",sd,td),td=sd,rd||ed.push(sd)}),log.debug("returning points",ed),ed},"cutPathAtIntersect");function extractCornerPoints(Ra){const qa=[],ed=[];for(let td=1;td5&&Math.abs(sd.y-rd.y)>5||rd.y===sd.y&&sd.x===od.x&&Math.abs(sd.x-rd.x)>5&&Math.abs(sd.y-od.y)>5)&&(qa.push(sd),ed.push(td))}return{cornerPoints:qa,cornerPointPositions:ed}}__name(extractCornerPoints,"extractCornerPoints");var findAdjacentPoint=__name(function(Ra,qa,ed){const td=qa.x-Ra.x,rd=qa.y-Ra.y,sd=Math.sqrt(td*td+rd*rd),od=ed/sd;return{x:qa.x-od*td,y:qa.y-od*rd}},"findAdjacentPoint"),fixCorners=__name(function(Ra){const{cornerPointPositions:qa}=extractCornerPoints(Ra),ed=[];for(let td=0;td10&&Math.abs(sd.y-rd.y)>=10){log.debug("Corner point fixing",Math.abs(sd.x-rd.x),Math.abs(sd.y-rd.y));const Td=5;od.x===ld.x?Ad={x:ud<0?ld.x-Td+Ed:ld.x+Td-Ed,y:_d<0?ld.y-Ed:ld.y+Ed}:Ad={x:ud<0?ld.x-Ed:ld.x+Ed,y:_d<0?ld.y-Td+Ed:ld.y+Td-Ed}}else log.debug("Corner point skipping fixing",Math.abs(sd.x-rd.x),Math.abs(sd.y-rd.y));ed.push(Ad,cd)}else ed.push(Ra[td]);return ed},"fixCorners"),insertEdge=__name(function(Ra,qa,ed,td,rd,sd,od){const{handDrawnSeed:ld}=getConfig2();let cd=qa.points,ud=!1;const _d=rd;var Ed=sd;Ed.intersect&&_d.intersect&&(cd=cd.slice(1,qa.points.length-1),cd.unshift(_d.intersect(cd[0])),log.debug("Last point APA12",qa.start,"-->",qa.end,cd[cd.length-1],Ed,Ed.intersect(cd[cd.length-1])),cd.push(Ed.intersect(cd[cd.length-1]))),qa.toCluster&&(log.info("to cluster abc88",ed.get(qa.toCluster)),cd=cutPathAtIntersect(qa.points,ed.get(qa.toCluster).node),ud=!0),qa.fromCluster&&(log.debug("from cluster abc88",ed.get(qa.fromCluster),JSON.stringify(cd,null,2)),cd=cutPathAtIntersect(cd.reverse(),ed.get(qa.fromCluster).node).reverse(),ud=!0);let Ad=cd.filter(Qd=>!Number.isNaN(Qd.y));Ad=fixCorners(Ad);let Td=Ad[Ad.length-1];if(Ad.length>1){Td=Ad[Ad.length-1];const Qd=Ad[Ad.length-2],Vd=(Td.x-Qd.x)/2,zd=(Td.y-Qd.y)/2,Xd={x:Qd.x+Vd,y:Qd.y+zd};Ad.splice(-1,0,Xd)}let Nd=curveBasis;qa.curve&&(Nd=qa.curve);const{x:Rd,y:Bd}=getLineFunctionsWithOffset(qa),kd=line$1().x(Rd).y(Bd).curve(Nd);let Od;switch(qa.thickness){case"normal":Od="edge-thickness-normal";break;case"thick":Od="edge-thickness-thick";break;case"invisible":Od="edge-thickness-invisible";break;default:Od="edge-thickness-normal"}switch(qa.pattern){case"solid":Od+=" edge-pattern-solid";break;case"dotted":Od+=" edge-pattern-dotted";break;case"dashed":Od+=" edge-pattern-dashed";break;default:Od+=" edge-pattern-solid"}let Md,Pd=kd(Ad);const Fd=Array.isArray(qa.style)?qa.style:[qa.style];if(qa.look==="handDrawn"){const Qd=at$4.svg(Ra);Object.assign([],Ad);const Vd=Qd.path(Pd,{roughness:.3,seed:ld});Od+=" transition",Md=select(Vd).select("path").attr("id",qa.id).attr("class"," "+Od+(qa.classes?" "+qa.classes:"")).attr("style",Fd?Fd.reduce((Xd,df)=>Xd+";"+df,""):"");let zd=Md.attr("d");Md.attr("d",zd),Ra.node().appendChild(Md.node())}else Md=Ra.append("path").attr("d",Pd).attr("id",qa.id).attr("class"," "+Od+(qa.classes?" "+qa.classes:"")).attr("style",Fd?Fd.reduce((Qd,Vd)=>Qd+";"+Vd,""):"");let Ud="";(getConfig2().flowchart.arrowMarkerAbsolute||getConfig2().state.arrowMarkerAbsolute)&&(Ud=window.location.protocol+"//"+window.location.host+window.location.pathname+window.location.search,Ud=Ud.replace(/\(/g,"\\(").replace(/\)/g,"\\)")),log.info("arrowTypeStart",qa.arrowTypeStart),log.info("arrowTypeEnd",qa.arrowTypeEnd),addEdgeMarkers(Md,qa,Ud,od,td);let Gd={};return ud&&(Gd.updatedPath=cd),Gd.originalPath=qa.points,Gd},"insertEdge"),insertMarkers=__name((Ra,qa,ed,td)=>{qa.forEach(rd=>{markers[rd](Ra,ed,td)})},"insertMarkers"),extension=__name((Ra,qa,ed)=>{log.trace("Making markers for ",ed),Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-extensionStart").attr("class","marker extension "+qa).attr("refX",18).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("path").attr("d","M 1,7 L18,13 V 1 Z"),Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-extensionEnd").attr("class","marker extension "+qa).attr("refX",1).attr("refY",7).attr("markerWidth",20).attr("markerHeight",28).attr("orient","auto").append("path").attr("d","M 1,1 V 13 L18,7 Z")},"extension"),composition=__name((Ra,qa,ed)=>{Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-compositionStart").attr("class","marker composition "+qa).attr("refX",18).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("path").attr("d","M 18,7 L9,13 L1,7 L9,1 Z"),Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-compositionEnd").attr("class","marker composition "+qa).attr("refX",1).attr("refY",7).attr("markerWidth",20).attr("markerHeight",28).attr("orient","auto").append("path").attr("d","M 18,7 L9,13 L1,7 L9,1 Z")},"composition"),aggregation=__name((Ra,qa,ed)=>{Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-aggregationStart").attr("class","marker aggregation "+qa).attr("refX",18).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("path").attr("d","M 18,7 L9,13 L1,7 L9,1 Z"),Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-aggregationEnd").attr("class","marker aggregation "+qa).attr("refX",1).attr("refY",7).attr("markerWidth",20).attr("markerHeight",28).attr("orient","auto").append("path").attr("d","M 18,7 L9,13 L1,7 L9,1 Z")},"aggregation"),dependency=__name((Ra,qa,ed)=>{Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-dependencyStart").attr("class","marker dependency "+qa).attr("refX",6).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("path").attr("d","M 5,7 L9,13 L1,7 L9,1 Z"),Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-dependencyEnd").attr("class","marker dependency "+qa).attr("refX",13).attr("refY",7).attr("markerWidth",20).attr("markerHeight",28).attr("orient","auto").append("path").attr("d","M 18,7 L9,13 L14,7 L9,1 Z")},"dependency"),lollipop=__name((Ra,qa,ed)=>{Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-lollipopStart").attr("class","marker lollipop "+qa).attr("refX",13).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("circle").attr("stroke","black").attr("fill","transparent").attr("cx",7).attr("cy",7).attr("r",6),Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-lollipopEnd").attr("class","marker lollipop "+qa).attr("refX",1).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("circle").attr("stroke","black").attr("fill","transparent").attr("cx",7).attr("cy",7).attr("r",6)},"lollipop"),point=__name((Ra,qa,ed)=>{Ra.append("marker").attr("id",ed+"_"+qa+"-pointEnd").attr("class","marker "+qa).attr("viewBox","0 0 10 10").attr("refX",5).attr("refY",5).attr("markerUnits","userSpaceOnUse").attr("markerWidth",8).attr("markerHeight",8).attr("orient","auto").append("path").attr("d","M 0 0 L 10 5 L 0 10 z").attr("class","arrowMarkerPath").style("stroke-width",1).style("stroke-dasharray","1,0"),Ra.append("marker").attr("id",ed+"_"+qa+"-pointStart").attr("class","marker "+qa).attr("viewBox","0 0 10 10").attr("refX",4.5).attr("refY",5).attr("markerUnits","userSpaceOnUse").attr("markerWidth",8).attr("markerHeight",8).attr("orient","auto").append("path").attr("d","M 0 5 L 10 10 L 10 0 z").attr("class","arrowMarkerPath").style("stroke-width",1).style("stroke-dasharray","1,0")},"point"),circle=__name((Ra,qa,ed)=>{Ra.append("marker").attr("id",ed+"_"+qa+"-circleEnd").attr("class","marker "+qa).attr("viewBox","0 0 10 10").attr("refX",11).attr("refY",5).attr("markerUnits","userSpaceOnUse").attr("markerWidth",11).attr("markerHeight",11).attr("orient","auto").append("circle").attr("cx","5").attr("cy","5").attr("r","5").attr("class","arrowMarkerPath").style("stroke-width",1).style("stroke-dasharray","1,0"),Ra.append("marker").attr("id",ed+"_"+qa+"-circleStart").attr("class","marker "+qa).attr("viewBox","0 0 10 10").attr("refX",-1).attr("refY",5).attr("markerUnits","userSpaceOnUse").attr("markerWidth",11).attr("markerHeight",11).attr("orient","auto").append("circle").attr("cx","5").attr("cy","5").attr("r","5").attr("class","arrowMarkerPath").style("stroke-width",1).style("stroke-dasharray","1,0")},"circle"),cross$2=__name((Ra,qa,ed)=>{Ra.append("marker").attr("id",ed+"_"+qa+"-crossEnd").attr("class","marker cross "+qa).attr("viewBox","0 0 11 11").attr("refX",12).attr("refY",5.2).attr("markerUnits","userSpaceOnUse").attr("markerWidth",11).attr("markerHeight",11).attr("orient","auto").append("path").attr("d","M 1,1 l 9,9 M 10,1 l -9,9").attr("class","arrowMarkerPath").style("stroke-width",2).style("stroke-dasharray","1,0"),Ra.append("marker").attr("id",ed+"_"+qa+"-crossStart").attr("class","marker cross "+qa).attr("viewBox","0 0 11 11").attr("refX",-1).attr("refY",5.2).attr("markerUnits","userSpaceOnUse").attr("markerWidth",11).attr("markerHeight",11).attr("orient","auto").append("path").attr("d","M 1,1 l 9,9 M 10,1 l -9,9").attr("class","arrowMarkerPath").style("stroke-width",2).style("stroke-dasharray","1,0")},"cross"),barb=__name((Ra,qa,ed)=>{Ra.append("defs").append("marker").attr("id",ed+"_"+qa+"-barbEnd").attr("refX",19).attr("refY",7).attr("markerWidth",20).attr("markerHeight",14).attr("markerUnits","userSpaceOnUse").attr("orient","auto").append("path").attr("d","M 19,7 L9,13 L14,7 L9,1 Z")},"barb"),markers={extension,composition,aggregation,dependency,lollipop,point,circle,cross:cross$2,barb},markers_default=insertMarkers,labelHelper=__name(async(Ra,qa,ed)=>{let td;const rd=qa.useHtmlLabels||evaluate(getConfig2().flowchart.htmlLabels);ed?td=ed:td="node default";const sd=Ra.insert("g").attr("class",td).attr("id",qa.domId||qa.id),od=sd.insert("g").attr("class","label").attr("style",qa.labelStyle);let ld;qa.label===void 0?ld="":ld=typeof qa.label=="string"?qa.label:qa.label[0];let cd;cd=await createText(od,sanitizeText(decodeEntities(ld),getConfig2()),{useHtmlLabels:rd,width:qa.width||getConfig2().flowchart.wrappingWidth,cssClasses:"markdown-node-label",style:qa.labelStyle});let ud=cd.getBBox();const _d=qa.padding/2;if(evaluate(getConfig2().flowchart.htmlLabels)){const Ed=cd.children[0],Ad=select(cd),Td=Ed.getElementsByTagName("img");if(Td){const Nd=ld.replace(/]*>/g,"").trim()==="";await Promise.all([...Td].map(Rd=>new Promise(Bd=>{function kd(){if(Rd.style.display="flex",Rd.style.flexDirection="column",Nd){const Od=getConfig2().fontSize?getConfig2().fontSize:window.getComputedStyle(document.body).fontSize,Pd=parseInt(Od,10)*5+"px";Rd.style.minWidth=Pd,Rd.style.maxWidth=Pd}else Rd.style.width="100%";Bd(Rd)}__name(kd,"setupImage"),setTimeout(()=>{Rd.complete&&kd()}),Rd.addEventListener("error",kd),Rd.addEventListener("load",kd)})))}ud=Ed.getBoundingClientRect(),Ad.attr("width",ud.width),Ad.attr("height",ud.height)}return rd?od.attr("transform","translate("+-ud.width/2+", "+-ud.height/2+")"):od.attr("transform","translate(0, "+-ud.height/2+")"),qa.centerLabel&&od.attr("transform","translate("+-ud.width/2+", "+-ud.height/2+")"),od.insert("rect",":first-child"),{shapeSvg:sd,bbox:ud,halfPadding:_d,label:od}},"labelHelper"),updateNodeBounds=__name((Ra,qa)=>{const ed=qa.node().getBBox();Ra.width=ed.width,Ra.height=ed.height},"updateNodeBounds"),getNodeClasses=__name((Ra,qa)=>(Ra.look==="handDrawn"?"rough-node":"node")+" "+Ra.cssClasses+" "+(qa||""),"getNodeClasses");function intersectNode(Ra,qa){return Ra.intersect(qa)}__name(intersectNode,"intersectNode");var intersect_node_default=intersectNode;function intersectEllipse(Ra,qa,ed,td){var rd=Ra.x,sd=Ra.y,od=rd-td.x,ld=sd-td.y,cd=Math.sqrt(qa*qa*ld*ld+ed*ed*od*od),ud=Math.abs(qa*ed*od/cd);td.x0}__name(sameSign,"sameSign");var intersect_line_default=intersectLine;function intersectPolygon(Ra,qa,ed){let td=Ra.x,rd=Ra.y,sd=[],od=Number.POSITIVE_INFINITY,ld=Number.POSITIVE_INFINITY;typeof qa.forEach=="function"?qa.forEach(function(_d){od=Math.min(od,_d.x),ld=Math.min(ld,_d.y)}):(od=Math.min(od,qa.x),ld=Math.min(ld,qa.y));let cd=td-Ra.width/2-od,ud=rd-Ra.height/2-ld;for(let _d=0;_d1&&sd.sort(function(_d,Ed){let Ad=_d.x-ed.x,Td=_d.y-ed.y,Nd=Math.sqrt(Ad*Ad+Td*Td),Rd=Ed.x-ed.x,Bd=Ed.y-ed.y,kd=Math.sqrt(Rd*Rd+Bd*Bd);return Nd{const{labelStyles:td,nodeStyles:rd}=styles2String(qa);qa.labelStyle=td;const{shapeSvg:sd,bbox:od}=await labelHelper(Ra,qa,getNodeClasses(qa)),ld=Math.max(od.width+ed.labelPaddingX*2,(qa==null?void 0:qa.width)||0),cd=Math.max(od.height+ed.labelPaddingY*2,(qa==null?void 0:qa.height)||0),ud=-ld/2,_d=-cd/2;let Ed,{rx:Ad,ry:Td}=qa;const{cssStyles:Nd}=qa;if(ed!=null&&ed.rx&&ed.ry&&(Ad=ed.rx,Td=ed.ry),qa.look==="handDrawn"){const Rd=at$4.svg(sd),Bd=userNodeOverrides(qa,{}),kd=Ad||Td?Rd.path(createRoundedRectPathD(ud,_d,ld,cd,Ad||0),Bd):Rd.rectangle(ud,_d,ld,cd,Bd);Ed=sd.insert(()=>kd,":first-child"),Ed.attr("class","basic label-container").attr("style",Nd)}else Ed=sd.insert("rect",":first-child"),Ed.attr("class","basic label-container").attr("style",rd).attr("rx",Ad).attr("data-id","abc").attr("data-et","node").attr("ry",Td).attr("x",ud).attr("y",_d).attr("width",ld).attr("height",cd);return updateNodeBounds(qa,Ed),qa.intersect=function(Rd){return intersect_default.rect(qa,Rd)},sd},"drawRect"),state=__name(async(Ra,qa)=>drawRect(Ra,qa,{rx:5,ry:5,classes:"flowchart-node"}),"state"),roundedRect=__name(async(Ra,qa)=>{const ed={rx:5,ry:5,classes:"",labelPaddingX:((qa==null?void 0:qa.padding)||0)*1,labelPaddingY:((qa==null?void 0:qa.padding)||0)*1};return drawRect(Ra,qa,ed)},"roundedRect"),squareRect2=__name(async(Ra,qa)=>{const ed={rx:0,ry:0,classes:"",labelPaddingX:((qa==null?void 0:qa.padding)||0)*2,labelPaddingY:((qa==null?void 0:qa.padding)||0)*1};return drawRect(Ra,qa,ed)},"squareRect"),stateStart=__name((Ra,qa)=>{const{themeVariables:ed}=getConfig2(),{lineColor:td}=ed,rd=Ra.insert("g").attr("class","node default").attr("id",qa.domId||qa.id);let sd;if(qa.look==="handDrawn"){const ld=at$4.svg(rd).circle(0,0,14,solidStateFill(td));sd=rd.insert(()=>ld)}else sd=rd.insert("circle",":first-child");return sd.attr("class","state-start").attr("r",7).attr("width",14).attr("height",14),updateNodeBounds(qa,sd),qa.intersect=function(od){return intersect_default.circle(qa,7,od)},rd},"stateStart"),stateEnd=__name((Ra,qa)=>{const{themeVariables:ed}=getConfig2(),{lineColor:td}=ed,rd=Ra.insert("g").attr("class","node default").attr("id",qa.domId||qa.id);let sd,od;if(qa.look==="handDrawn"){const ld=at$4.svg(rd),cd=ld.circle(0,0,14,{...solidStateFill(td),roughness:.5}),ud=ld.circle(0,0,5,{...solidStateFill(td),fillStyle:"solid"});sd=rd.insert(()=>cd),od=rd.insert(()=>ud)}else od=rd.insert("circle",":first-child"),sd=rd.insert("circle",":first-child"),sd.attr("class","state-start").attr("r",7).attr("width",14).attr("height",14),od.attr("class","state-end").attr("r",5).attr("width",10).attr("height",10);return updateNodeBounds(qa,sd),qa.intersect=function(ld){return intersect_default.circle(qa,7,ld)},rd},"stateEnd"),forkJoin=__name((Ra,qa,ed)=>{const{themeVariables:td}=getConfig2(),{lineColor:rd}=td,sd=Ra.insert("g").attr("class","node default").attr("id",qa.domId||qa.id);let od=70,ld=10;ed==="LR"&&(od=10,ld=70);const cd=-1*od/2,ud=-1*ld/2;let _d;if(qa.look==="handDrawn"){const Rd=at$4.svg(sd).rectangle(cd,ud,od,ld,solidStateFill(rd));_d=sd.insert(()=>Rd)}else _d=sd.append("rect").attr("x",cd).attr("y",ud).attr("width",od).attr("height",ld).attr("class","fork-join");updateNodeBounds(qa,_d);let Ed=0,Ad=0,Td=10;return qa.height&&(Ed=qa.height),qa.width&&(Ad=qa.width),qa.padding&&(Td=qa.padding),qa.height=Ed+Td/2,qa.width=Ad+Td/2,qa.intersect=function(Nd){return intersect_default.rect(qa,Nd)},sd},"forkJoin"),choice=__name((Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{themeVariables:rd}=getConfig2(),{lineColor:sd}=rd,od=Ra.insert("g").attr("class","node default").attr("id",qa.domId||qa.id),ld=28,cd=[{x:0,y:ld/2},{x:ld/2,y:0},{x:0,y:-ld/2},{x:-ld/2,y:0}];let ud;if(qa.look==="handDrawn"){const _d=at$4.svg(od),Ed=cd.map(function(Td){return[Td.x,Td.y]}),Ad=_d.polygon(Ed,solidStateFill(sd));ud=od.insert(()=>Ad)}else ud=od.insert("polygon",":first-child").attr("points",cd.map(function(_d){return _d.x+","+_d.y}).join(" "));return ud.attr("class","state-start").attr("r",7).attr("width",28).attr("height",28).attr("style",td),qa.width=28,qa.height=28,qa.intersect=function(_d){return intersect_default.circle(qa,14,_d)},od},"choice"),note=__name(async(Ra,qa)=>{const{themeVariables:ed,handDrawnSeed:td}=getConfig2(),{noteBorderColor:rd,noteBkgColor:sd}=ed;qa.useHtmlLabels||(qa.centerLabel=!0);const{shapeSvg:ld,bbox:cd}=await labelHelper(Ra,qa,"node "+qa.cssClasses);log.info("Classes = ",qa.cssClasses);const{cssStyles:ud}=qa;let _d;const Ed=cd.width+qa.padding,Ad=cd.height+qa.padding,Td=-Ed/2,Nd=-Ad/2;if(qa.look==="handDrawn"){const Bd=at$4.svg(ld).rectangle(Td,Nd,Ed,Ad,{roughness:.7,fill:sd,fillWeight:3,seed:td,stroke:rd});_d=ld.insert(()=>Bd,":first-child"),_d.attr("class","basic label-container").attr("style",ud)}else _d=ld.insert("rect",":first-child"),_d.attr("rx",qa.rx).attr("ry",qa.ry).attr("x",Td).attr("y",Nd).attr("width",Ed).attr("height",Ad);return updateNodeBounds(qa,_d),qa.intersect=function(Rd){return intersect_default.rect(qa,Rd)},ld},"note"),stadium=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=sd.height+qa.padding,ld=sd.width+od/4+qa.padding;let cd;const{cssStyles:ud}=qa;if(qa.look==="handDrawn"){const _d=at$4.svg(rd),Ed=userNodeOverrides(qa,{}),Ad=createRoundedRectPathD(-ld/2,-od/2,ld,od,od/2),Td=_d.path(Ad,Ed);cd=rd.insert(()=>Td,":first-child"),cd.attr("class","basic label-container").attr("style",ud)}else cd=rd.insert("rect",":first-child"),cd.attr("class","basic label-container").attr("style",td).attr("rx",od/2).attr("ry",od/2).attr("x",-ld/2).attr("y",-od/2).attr("width",ld).attr("height",od);return updateNodeBounds(qa,cd),qa.intersect=function(_d){return intersect_default.rect(qa,_d)},rd},"stadium"),rectWithTitle=__name(async(Ra,qa)=>{var Qd,Vd;const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;let rd;qa.cssClasses?rd="node "+qa.cssClasses:rd="node default";const sd=Ra.insert("g").attr("class",rd).attr("id",qa.domId||qa.id),od=sd.insert("g"),ld=sd.insert("g").attr("class","label").attr("style",td),cd=qa.description,ud=qa.label,_d=ld.node().appendChild(await createLabel_default(ud,qa.labelStyle,!0,!0));let Ed={width:0,height:0};if(evaluate((Vd=(Qd=getConfig2())==null?void 0:Qd.flowchart)==null?void 0:Vd.htmlLabels)){const zd=_d.children[0],Xd=select(_d);Ed=zd.getBoundingClientRect(),Xd.attr("width",Ed.width),Xd.attr("height",Ed.height)}log.info("Text 2",cd);const Ad=cd||[],Td=_d.getBBox(),Nd=ld.node().appendChild(await createLabel_default(Ad.join?Ad.join("
    "):Ad,qa.labelStyle,!0,!0)),Rd=Nd.children[0],Bd=select(Nd);Ed=Rd.getBoundingClientRect(),Bd.attr("width",Ed.width),Bd.attr("height",Ed.height);const kd=(qa.padding||0)/2;select(Nd).attr("transform","translate( "+(Ed.width>Td.width?0:(Td.width-Ed.width)/2)+", "+(Td.height+kd+5)+")"),select(_d).attr("transform","translate( "+(Ed.width(log.debug("Rough node insert CXC",df),mf),":first-child"),Ud=sd.insert(()=>(log.debug("Rough node insert CXC",df),df),":first-child")}else Ud=od.insert("rect",":first-child"),Gd=od.insert("line"),Ud.attr("class","outer title-state").attr("style",td).attr("x",-Ed.width/2-kd).attr("y",-Ed.height/2-kd).attr("width",Ed.width+(qa.padding||0)).attr("height",Ed.height+(qa.padding||0)),Gd.attr("class","divider").attr("x1",-Ed.width/2-kd).attr("x2",Ed.width/2+kd).attr("y1",-Ed.height/2-kd+Td.height+kd).attr("y2",-Ed.height/2-kd+Td.height+kd);return updateNodeBounds(qa,Ud),qa.intersect=function(zd){return intersect_default.rect(qa,zd)},sd},"rectWithTitle");function insertPolygonShape(Ra,qa,ed,td){return Ra.insert("polygon",":first-child").attr("points",td.map(function(rd){return rd.x+","+rd.y}).join(" ")).attr("class","label-container").attr("transform","translate("+-qa/2+","+ed/2+")")}__name(insertPolygonShape,"insertPolygonShape");var subroutine=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=((qa==null?void 0:qa.padding)||0)/2,ld=sd.width+qa.padding,cd=sd.height+qa.padding,ud=-sd.width/2-od,_d=-sd.height/2-od,Ed=[{x:0,y:0},{x:ld,y:0},{x:ld,y:-cd},{x:0,y:-cd},{x:0,y:0},{x:-8,y:0},{x:ld+8,y:0},{x:ld+8,y:-cd},{x:-8,y:-cd},{x:-8,y:0}];if(qa.look==="handDrawn"){const Ad=at$4.svg(rd),Td=userNodeOverrides(qa,{}),Nd=Ad.rectangle(ud-8,_d,ld+16,cd,Td),Rd=Ad.line(ud,_d,ud,_d+cd,Td),Bd=Ad.line(ud+ld,_d,ud+ld,_d+cd,Td);rd.insert(()=>Rd,":first-child"),rd.insert(()=>Bd,":first-child");const kd=rd.insert(()=>Nd,":first-child"),{cssStyles:Od}=qa;kd.attr("class","basic label-container").attr("style",Od),updateNodeBounds(qa,kd)}else{const Ad=insertPolygonShape(rd,ld,cd,Ed);td&&Ad.attr("style",td),updateNodeBounds(qa,Ad)}return qa.intersect=function(Ad){return intersect_default.polygon(qa,Ed,Ad)},rd},"subroutine"),createCylinderPathD=__name((Ra,qa,ed,td,rd,sd)=>[`M${Ra},${qa+sd}`,`a${rd},${sd} 0,0,0 ${ed},0`,`a${rd},${sd} 0,0,0 ${-ed},0`,`l0,${td}`,`a${rd},${sd} 0,0,0 ${ed},0`,`l0,${-td}`].join(" "),"createCylinderPathD"),createOuterCylinderPathD=__name((Ra,qa,ed,td,rd,sd)=>[`M${Ra},${qa+sd}`,`M${Ra+ed},${qa+sd}`,`a${rd},${sd} 0,0,0 ${-ed},0`,`l0,${td}`,`a${rd},${sd} 0,0,0 ${ed},0`,`l0,${-td}`].join(" "),"createOuterCylinderPathD"),createInnerCylinderPathD=__name((Ra,qa,ed,td,rd,sd)=>[`M${Ra-ed/2},${-td/2}`,`a${rd},${sd} 0,0,0 ${ed},0`].join(" "),"createInnerCylinderPathD"),cylinder=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=sd.width+qa.padding,ld=od/2,cd=ld/(2.5+od/50),ud=sd.height+cd+qa.padding;let _d;const{cssStyles:Ed}=qa;if(qa.look==="handDrawn"){const Ad=at$4.svg(rd),Td=createOuterCylinderPathD(0,0,od,ud,ld,cd),Nd=createInnerCylinderPathD(0,cd,od,ud,ld,cd),Rd=Ad.path(Td,userNodeOverrides(qa,{})),Bd=Ad.path(Nd,userNodeOverrides(qa,{fill:"none"}));_d=rd.insert(()=>Bd,":first-child"),_d=rd.insert(()=>Rd,":first-child"),_d.attr("class","basic label-container"),Ed&&_d.attr("style",Ed)}else{const Ad=createCylinderPathD(0,0,od,ud,ld,cd);_d=rd.insert("path",":first-child").attr("d",Ad).attr("class","basic label-container").attr("style",Ed).attr("style",td)}return _d.attr("label-offset-y",cd),_d.attr("transform",`translate(${-od/2}, ${-(ud/2+cd)})`),updateNodeBounds(qa,_d),qa.intersect=function(Ad){const Td=intersect_default.rect(qa,Ad),Nd=Td.x-(qa.x??0);if(ld!=0&&(Math.abs(Nd)<(qa.width??0)/2||Math.abs(Nd)==(qa.width??0)/2&&Math.abs(Td.y-(qa.y??0))>(qa.height??0)/2-cd)){let Rd=cd*cd*(1-Nd*Nd/(ld*ld));Rd>0&&(Rd=Math.sqrt(Rd)),Rd=cd-Rd,Ad.y-(qa.y??0)>0&&(Rd=-Rd),Td.y+=Rd}return Td},rd},"cylinder"),circle2=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd,halfPadding:od}=await labelHelper(Ra,qa,getNodeClasses(qa)),ld=sd.width/2+od;let cd;const{cssStyles:ud}=qa;if(qa.look==="handDrawn"){const _d=at$4.svg(rd),Ed=userNodeOverrides(qa,{}),Ad=_d.circle(0,0,ld*2,Ed);cd=rd.insert(()=>Ad,":first-child"),cd.attr("class","basic label-container").attr("style",ud)}else cd=rd.insert("circle",":first-child").attr("class","basic label-container").attr("style",td).attr("r",ld).attr("cx",0).attr("cy",0);return updateNodeBounds(qa,cd),qa.intersect=function(_d){return log.info("Circle intersect",qa,ld,_d),intersect_default.circle(qa,ld,_d)},rd},"circle"),doublecircle=__name(async(Ra,qa)=>{var Ad,Td;const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd,halfPadding:od}=await labelHelper(Ra,qa,getNodeClasses(qa)),cd=sd.width/2+od+5,ud=sd.width/2+od;let _d;const{cssStyles:Ed}=qa;if(qa.look==="handDrawn"){const Nd=at$4.svg(rd),Rd=userNodeOverrides(qa,{roughness:.2,strokeWidth:2.5}),Bd=userNodeOverrides(qa,{roughness:.2,strokeWidth:1.5}),kd=Nd.circle(0,0,cd*2,Rd),Od=Nd.circle(0,0,ud*2,Bd);_d=rd.insert("g",":first-child"),_d.attr("class",qa.cssClasses).attr("style",Ed),(Ad=_d.node())==null||Ad.appendChild(kd),(Td=_d.node())==null||Td.appendChild(Od)}else{_d=rd.insert("g",":first-child");const Nd=_d.insert("circle",":first-child"),Rd=_d.insert("circle");_d.attr("class","basic label-container").attr("style",td),Nd.attr("class","outer-circle").attr("style",td).attr("r",cd).attr("cx",0).attr("cy",0),Rd.attr("class","inner-circle").attr("style",td).attr("r",ud).attr("cx",0).attr("cy",0)}return updateNodeBounds(qa,_d),qa.intersect=function(Nd){return log.info("DoubleCircle intersect",qa,cd,Nd),intersect_default.circle(qa,cd,Nd)},rd},"doublecircle"),createPolygonPathD=__name((Ra,qa,ed,td)=>[`M${Ra-td/2},${qa}`,`L${Ra+ed},${qa}`,`L${Ra+ed},${qa-td}`,`L${Ra-td/2},${qa-td}`,`L${Ra},${qa-td/2}`,"Z"].join(" "),"createPolygonPathD"),rect_left_inv_arrow=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=sd.width+qa.padding,ld=sd.height+qa.padding,cd=[{x:-ld/2,y:0},{x:od,y:0},{x:od,y:-ld},{x:-ld/2,y:-ld},{x:0,y:-ld/2}];let ud;const{cssStyles:_d}=qa;if(qa.look==="handDrawn"){const Ed=at$4.svg(rd),Ad=userNodeOverrides(qa,{}),Td=createPolygonPathD(0,0,od,ld),Nd=Ed.path(Td,Ad);ud=rd.insert(()=>Nd,":first-child").attr("transform",`translate(${-od/2}, ${ld/2})`),_d&&ud.attr("style",_d)}else ud=insertPolygonShape(rd,od,ld,cd);return td&&ud.attr("style",td),qa.width=od+ld,qa.height=ld,updateNodeBounds(qa,ud),qa.intersect=function(Ed){return intersect_default.polygon(qa,cd,Ed)},rd},"rect_left_inv_arrow"),createDecisionBoxPathD=__name((Ra,qa,ed)=>[`M${Ra+ed/2},${qa}`,`L${Ra+ed},${qa-ed/2}`,`L${Ra+ed/2},${qa-ed}`,`L${Ra},${qa-ed/2}`,"Z"].join(" "),"createDecisionBoxPathD"),question=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=sd.width+qa.padding,ld=sd.height+qa.padding,cd=od+ld,ud=[{x:cd/2,y:0},{x:cd,y:-cd/2},{x:cd/2,y:-cd},{x:0,y:-cd/2}];let _d;const{cssStyles:Ed}=qa;if(qa.look==="handDrawn"){const Ad=at$4.svg(rd),Td=userNodeOverrides(qa,{}),Nd=createDecisionBoxPathD(0,0,cd),Rd=Ad.path(Nd,Td);_d=rd.insert(()=>Rd,":first-child").attr("transform",`translate(${-cd/2}, ${cd/2})`),Ed&&_d.attr("style",Ed)}else _d=insertPolygonShape(rd,cd,cd,ud);return td&&_d.attr("style",td),updateNodeBounds(qa,_d),qa.intersect=function(Ad){return log.debug(`APA12 Intersect called SPLIT point:`,Ad,` node: `,qa,` res:`,intersect_default.polygon(qa,ud,Ad)),intersect_default.polygon(qa,ud,Ad)},rd},"question"),createHexagonPathD=__name((Ra,qa,ed,td,rd)=>[`M${Ra+rd},${qa}`,`L${Ra+ed-rd},${qa}`,`L${Ra+ed},${qa-td/2}`,`L${Ra+ed-rd},${qa-td}`,`L${Ra+rd},${qa-td}`,`L${Ra},${qa-td/2}`,"Z"].join(" "),"createHexagonPathD"),hexagon=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=4,ld=sd.height+qa.padding,cd=ld/od,ud=sd.width+2*cd+qa.padding,_d=[{x:cd,y:0},{x:ud-cd,y:0},{x:ud,y:-ld/2},{x:ud-cd,y:-ld},{x:cd,y:-ld},{x:0,y:-ld/2}];let Ed;const{cssStyles:Ad}=qa;if(qa.look==="handDrawn"){const Td=at$4.svg(rd),Nd=userNodeOverrides(qa,{}),Rd=createHexagonPathD(0,0,ud,ld,cd),Bd=Td.path(Rd,Nd);Ed=rd.insert(()=>Bd,":first-child").attr("transform",`translate(${-ud/2}, ${ld/2})`),Ad&&Ed.attr("style",Ad)}else Ed=insertPolygonShape(rd,ud,ld,_d);return td&&Ed.attr("style",td),qa.width=ud,qa.height=ld,updateNodeBounds(qa,Ed),qa.intersect=function(Td){return intersect_default.polygon(qa,_d,Td)},rd},"hexagon"),createLeanRightPathD=__name((Ra,qa,ed,td)=>[`M${Ra-2*td/6},${qa}`,`L${Ra+ed-td/6},${qa}`,`L${Ra+ed+2*td/6},${qa-td}`,`L${Ra+td/6},${qa-td}`,"Z"].join(" "),"createLeanRightPathD"),lean_right=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=sd.width+qa.padding,ld=sd.height+qa.padding,cd=[{x:-2*ld/6,y:0},{x:od-ld/6,y:0},{x:od+2*ld/6,y:-ld},{x:ld/6,y:-ld}];let ud;const{cssStyles:_d}=qa;if(qa.look==="handDrawn"){const Ed=at$4.svg(rd),Ad=userNodeOverrides(qa,{}),Td=createLeanRightPathD(0,0,od,ld),Nd=Ed.path(Td,Ad);ud=rd.insert(()=>Nd,":first-child").attr("transform",`translate(${-od/2}, ${ld/2})`),_d&&ud.attr("style",_d)}else ud=insertPolygonShape(rd,od,ld,cd);return td&&ud.attr("style",td),qa.width=od,qa.height=ld,updateNodeBounds(qa,ud),qa.intersect=function(Ed){return intersect_default.polygon(qa,cd,Ed)},rd},"lean_right"),createLeanLeftPathD=__name((Ra,qa,ed,td)=>[`M${Ra+2*td/6},${qa}`,`L${Ra+ed+td/6},${qa}`,`L${Ra+ed-2*td/6},${qa-td}`,`L${Ra-td/6},${qa-td}`,"Z"].join(" "),"createLeanLeftPathD"),lean_left=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=sd.width+qa.padding,ld=sd.height+qa.padding,cd=[{x:2*ld/6,y:0},{x:od+ld/6,y:0},{x:od-2*ld/6,y:-ld},{x:-ld/6,y:-ld}];let ud;const{cssStyles:_d}=qa;if(qa.look==="handDrawn"){const Ed=at$4.svg(rd),Ad=userNodeOverrides(qa,{}),Td=createLeanLeftPathD(0,0,od,ld),Nd=Ed.path(Td,Ad);ud=rd.insert(()=>Nd,":first-child").attr("transform",`translate(${-od/2}, ${ld/2})`),_d&&ud.attr("style",_d)}else ud=insertPolygonShape(rd,od,ld,cd);return td&&ud.attr("style",td),qa.width=od,qa.height=ld,updateNodeBounds(qa,ud),qa.intersect=function(Ed){return intersect_default.polygon(qa,cd,Ed)},rd},"lean_left"),createTrapezoidPathD=__name((Ra,qa,ed,td)=>[`M${Ra-2*td/6},${qa}`,`L${Ra+ed+2*td/6},${qa}`,`L${Ra+ed-td/6},${qa-td}`,`L${Ra+td/6},${qa-td}`,"Z"].join(" "),"createTrapezoidPathD"),trapezoid=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=sd.width+qa.padding,ld=sd.height+qa.padding,cd=[{x:-2*ld/6,y:0},{x:od+2*ld/6,y:0},{x:od-ld/6,y:-ld},{x:ld/6,y:-ld}];let ud;const{cssStyles:_d}=qa;if(qa.look==="handDrawn"){const Ed=at$4.svg(rd),Ad=userNodeOverrides(qa,{}),Td=createTrapezoidPathD(0,0,od,ld),Nd=Ed.path(Td,Ad);ud=rd.insert(()=>Nd,":first-child").attr("transform",`translate(${-od/2}, ${ld/2})`),_d&&ud.attr("style",_d)}else ud=insertPolygonShape(rd,od,ld,cd);return td&&ud.attr("style",td),qa.width=od,qa.height=ld,updateNodeBounds(qa,ud),qa.intersect=function(Ed){return intersect_default.polygon(qa,cd,Ed)},rd},"trapezoid"),createInvertedTrapezoidPathD=__name((Ra,qa,ed,td)=>[`M${Ra+td/6},${qa}`,`L${Ra+ed-td/6},${qa}`,`L${Ra+ed+2*td/6},${qa-td}`,`L${Ra-2*td/6},${qa-td}`,"Z"].join(" "),"createInvertedTrapezoidPathD"),inv_trapezoid=__name(async(Ra,qa)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=sd.width+qa.padding,ld=sd.height+qa.padding,cd=[{x:ld/6,y:0},{x:od-ld/6,y:0},{x:od+2*ld/6,y:-ld},{x:-2*ld/6,y:-ld}];let ud;const{cssStyles:_d}=qa;if(qa.look==="handDrawn"){const Ed=at$4.svg(rd),Ad=userNodeOverrides(qa,{}),Td=createInvertedTrapezoidPathD(0,0,od,ld),Nd=Ed.path(Td,Ad);ud=rd.insert(()=>Nd,":first-child").attr("transform",`translate(${-od/2}, ${ld/2})`),_d&&ud.attr("style",_d)}else ud=insertPolygonShape(rd,od,ld,cd);return td&&ud.attr("style",td),qa.width=od,qa.height=ld,updateNodeBounds(qa,ud),qa.intersect=function(Ed){return intersect_default.polygon(qa,cd,Ed)},rd},"inv_trapezoid"),labelRect=__name(async(Ra,qa)=>{const{shapeSvg:ed}=await labelHelper(Ra,qa,"label"),td=ed.insert("rect",":first-child");return td.attr("width",.1).attr("height",.1),ed.attr("class","label edgeLabel"),updateNodeBounds(qa,td),qa.intersect=function(od){return intersect_default.rect(qa,od)},ed},"labelRect"),shapes2={state,stateStart,stateEnd,fork:forkJoin,join:forkJoin,choice,note,roundedRect,rectWithTitle,squareRect:squareRect2,stadium,subroutine,cylinder,circle:circle2,doublecircle,odd:rect_left_inv_arrow,diamond:question,hexagon,lean_right,lean_left,trapezoid,inv_trapezoid,labelRect},nodeElems=new Map,insertNode=__name(async(Ra,qa,ed)=>{let td,rd;if(qa.shape==="rect"&&(qa.rx&&qa.ry?qa.shape="roundedRect":qa.shape="squareRect"),qa.link){let sd;getConfig2().securityLevel==="sandbox"?sd="_top":qa.linkTarget&&(sd=qa.linkTarget||"_blank"),td=Ra.insert("svg:a").attr("xlink:href",qa.link).attr("target",sd),rd=await shapes2[qa.shape](td,qa,ed)}else rd=await shapes2[qa.shape](Ra,qa,ed),td=rd;return qa.tooltip&&rd.attr("title",qa.tooltip),nodeElems.set(qa.id,td),qa.haveCallback&&nodeElems.get(qa.id).attr("class",nodeElems.get(qa.id).attr("class")+" clickable"),td},"insertNode"),setNodeElem=__name((Ra,qa)=>{nodeElems.set(qa.id,Ra)},"setNodeElem"),clear3=__name(()=>{nodeElems.clear()},"clear"),positionNode=__name(Ra=>{const qa=nodeElems.get(Ra.id);log.trace("Transforming node",Ra.diff,Ra,"translate("+(Ra.x-Ra.width/2-5)+", "+Ra.width/2+")");const ed=8,td=Ra.diff||0;return Ra.clusterNode?qa.attr("transform","translate("+(Ra.x+td-Ra.width/2)+", "+(Ra.y-Ra.height/2-ed)+")"):qa.attr("transform","translate("+Ra.x+", "+Ra.y+")"),td},"positionNode"),internalHelpers={common:common_default,getConfig,insertCluster,insertEdge,insertEdgeLabel,insertMarkers:markers_default,insertNode,interpolateToCurve,labelHelper,log,positionEdgeLabel},layoutAlgorithms={},registerLayoutLoaders=__name(Ra=>{for(const qa of Ra)layoutAlgorithms[qa.name]=qa},"registerLayoutLoaders"),registerDefaultLayoutLoaders=__name(()=>{registerLayoutLoaders([{name:"dagre",loader:__name(async()=>await __vitePreload(()=>import("./dagre-C4YA43F3-Bqs1a8e8.js"),__vite__mapDeps([0,1,2,3,4,5])),"loader")}])},"registerDefaultLayoutLoaders");registerDefaultLayoutLoaders();var render$1=__name(async(Ra,qa)=>{if(!(Ra.layoutAlgorithm in layoutAlgorithms))throw new Error(`Unknown layout algorithm: ${Ra.layoutAlgorithm}`);const ed=layoutAlgorithms[Ra.layoutAlgorithm];return(await ed.loader()).render(Ra,qa,internalHelpers,{algorithm:ed.algorithm})},"render"),getRegisteredLayoutAlgorithm=__name((Ra="",{fallback:qa="dagre"}={})=>{if(Ra in layoutAlgorithms)return Ra;if(qa in layoutAlgorithms)return log.warn(`Layout algorithm ${Ra} is not registered. Using ${qa} as fallback.`),qa;throw new Error(`Both layout algorithms ${Ra} and ${qa} are not registered.`)},"getRegisteredLayoutAlgorithm"),version="11.1.1",selectSvgElement=__name(Ra=>{var rd;const{securityLevel:qa}=getConfig2();let ed=select("body");if(qa==="sandbox"){const od=((rd=select(`#i${Ra}`).node())==null?void 0:rd.contentDocument)??document;ed=select(od.body)}return ed.select(`#${Ra}`)},"selectSvgElement"),COMMENT="comm",RULESET="rule",DECLARATION="decl",IMPORT="@import",KEYFRAMES="@keyframes",LAYER="@layer",abs=Math.abs,from=String.fromCharCode;function trim(Ra){return Ra.trim()}function replace(Ra,qa,ed){return Ra.replace(qa,ed)}function indexof(Ra,qa,ed){return Ra.indexOf(qa,ed)}function charat(Ra,qa){return Ra.charCodeAt(qa)|0}function substr(Ra,qa,ed){return Ra.slice(qa,ed)}function strlen(Ra){return Ra.length}function sizeof(Ra){return Ra.length}function append(Ra,qa){return qa.push(Ra),Ra}var line=1,column=1,length=0,position=0,character=0,characters="";function node$1(Ra,qa,ed,td,rd,sd,od,ld){return{value:Ra,root:qa,parent:ed,type:td,props:rd,children:sd,line,column,length:od,return:"",siblings:ld}}function char(){return character}function prev(){return character=position>0?charat(characters,--position):0,column--,character===10&&(column=1,line--),character}function next(){return character=position2||token(character)>3?"":" "}function escaping(Ra,qa){for(;--qa&&next()&&!(character<48||character>102||character>57&&character<65||character>70&&character<97););return slice(Ra,caret$2()+(qa<6&&peek()==32&&next()==32))}function delimiter(Ra){for(;next();)switch(character){case Ra:return position;case 34:case 39:Ra!==34&&Ra!==39&&delimiter(character);break;case 40:Ra===41&&delimiter(Ra);break;case 92:next();break}return position}function commenter(Ra,qa){for(;next()&&Ra+character!==57;)if(Ra+character===84&&peek()===47)break;return"/*"+slice(qa,position-1)+"*"+from(Ra===47?Ra:next())}function identifier(Ra){for(;!token(peek());)next();return slice(Ra,position)}function compile$2(Ra){return dealloc(parse$5("",null,null,null,[""],Ra=alloc(Ra),0,[0],Ra))}function parse$5(Ra,qa,ed,td,rd,sd,od,ld,cd){for(var ud=0,_d=0,Ed=od,Ad=0,Td=0,Nd=0,Rd=1,Bd=1,kd=1,Od=0,Md="",Pd=rd,Fd=sd,Ud=td,Gd=Md;Bd;)switch(Nd=Od,Od=next()){case 40:if(Nd!=108&&charat(Gd,Ed-1)==58){indexof(Gd+=replace(delimit(Od),"&","&\f"),"&\f",abs(ud?ld[ud-1]:0))!=-1&&(kd=-1);break}case 34:case 39:case 91:Gd+=delimit(Od);break;case 9:case 10:case 13:case 32:Gd+=whitespace(Nd);break;case 92:Gd+=escaping(caret$2()-1,7);continue;case 47:switch(peek()){case 42:case 47:append(comment(commenter(next(),caret$2()),qa,ed,cd),cd),(token(Nd||1)==5||token(peek()||1)==5)&&strlen(Gd)&&substr(Gd,-1,void 0)!==" "&&(Gd+=" ");break;default:Gd+="/"}break;case 123*Rd:ld[ud++]=strlen(Gd)*kd;case 125*Rd:case 59:case 0:switch(Od){case 0:case 125:Bd=0;case 59+_d:kd==-1&&(Gd=replace(Gd,/\f/g,"")),Td>0&&(strlen(Gd)-Ed||Rd===0&&Nd===47)&&append(Td>32?declaration(Gd+";",td,ed,Ed-1,cd):declaration(replace(Gd," ","")+";",td,ed,Ed-2,cd),cd);break;case 59:Gd+=";";default:if(append(Ud=ruleset(Gd,qa,ed,ud,_d,rd,ld,Md,Pd=[],Fd=[],Ed,sd),sd),Od===123)if(_d===0)parse$5(Gd,qa,Ud,Ud,Pd,sd,Ed,ld,Fd);else switch(Ad===99&&charat(Gd,3)===110?100:Ad){case 100:case 108:case 109:case 115:parse$5(Ra,Ud,Ud,td&&append(ruleset(Ra,Ud,Ud,0,0,rd,ld,Md,rd,Pd=[],Ed,Fd),Fd),rd,Fd,Ed,ld,td?Pd:Fd);break;default:parse$5(Gd,Ud,Ud,Ud,[""],Fd,0,ld,Fd)}}ud=_d=Td=0,Rd=kd=1,Md=Gd="",Ed=od;break;case 58:Ed=1+strlen(Gd),Td=Nd;default:if(Rd<1){if(Od==123)--Rd;else if(Od==125&&Rd++==0&&prev()==125)continue}switch(Gd+=from(Od),Od*Rd){case 38:kd=_d>0?1:(Gd+="\f",-1);break;case 44:ld[ud++]=(strlen(Gd)-1)*kd,kd=1;break;case 64:peek()===45&&(Gd+=delimit(next())),Ad=peek(),_d=Ed=strlen(Md=Gd+=identifier(caret$2())),Od++;break;case 45:Nd===45&&strlen(Gd)==2&&(Rd=0)}}return sd}function ruleset(Ra,qa,ed,td,rd,sd,od,ld,cd,ud,_d,Ed){for(var Ad=rd-1,Td=rd===0?sd:[""],Nd=sizeof(Td),Rd=0,Bd=0,kd=0;Rd0?Td[Od]+" "+Md:replace(Md,/&\f/g,Td[Od])))&&(cd[kd++]=Pd);return node$1(Ra,qa,ed,rd===0?RULESET:ld,cd,ud,_d,Ed)}function comment(Ra,qa,ed,td){return node$1(Ra,qa,ed,COMMENT,from(char()),substr(Ra,2,-2),0,td)}function declaration(Ra,qa,ed,td,rd){return node$1(Ra,qa,ed,DECLARATION,substr(Ra,0,td),substr(Ra,td+1,-1),td,rd)}function serialize(Ra,qa){for(var ed="",td=0;td/^\s*C4Context|C4Container|C4Component|C4Dynamic|C4Deployment/.test(Ra),"detector"),loader=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./c4Diagram-THADGKDP-BFbNSC5V.js");return{diagram:qa}},__vite__mapDeps([6,7]));return{id,diagram:Ra}},"loader"),plugin={id,detector,loader},c4Detector_default=plugin,id2="flowchart",detector2=__name((Ra,qa)=>{var ed,td;return((ed=qa==null?void 0:qa.flowchart)==null?void 0:ed.defaultRenderer)==="dagre-wrapper"||((td=qa==null?void 0:qa.flowchart)==null?void 0:td.defaultRenderer)==="elk"?!1:/^\s*graph/.test(Ra)},"detector"),loader2=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./flowDiagram-44NOAMKU-BzmuMPc-.js");return{diagram:qa}},__vite__mapDeps([8,9,10,11]));return{id:id2,diagram:Ra}},"loader"),plugin2={id:id2,detector:detector2,loader:loader2},flowDetector_default=plugin2,id3="flowchart-v2",detector3=__name((Ra,qa)=>{var ed,td,rd;return((ed=qa==null?void 0:qa.flowchart)==null?void 0:ed.defaultRenderer)==="dagre-d3"?!1:(((td=qa==null?void 0:qa.flowchart)==null?void 0:td.defaultRenderer)==="elk"&&(qa.layout="elk"),/^\s*graph/.test(Ra)&&((rd=qa==null?void 0:qa.flowchart)==null?void 0:rd.defaultRenderer)==="dagre-wrapper"?!0:/^\s*flowchart/.test(Ra))},"detector"),loader3=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./flowDiagram-44NOAMKU-BzmuMPc-.js");return{diagram:qa}},__vite__mapDeps([8,9,10,11]));return{id:id3,diagram:Ra}},"loader"),plugin3={id:id3,detector:detector3,loader:loader3},flowDetector_v2_default=plugin3,id4="er",detector4=__name(Ra=>/^\s*erDiagram/.test(Ra),"detector"),loader4=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./erDiagram-62CBQV5Y-cUnQPdiU.js");return{diagram:qa}},__vite__mapDeps([12,1,2,3,4]));return{id:id4,diagram:Ra}},"loader"),plugin4={id:id4,detector:detector4,loader:loader4},erDetector_default=plugin4,id5="gitGraph",detector5=__name(Ra=>/^\s*gitGraph/.test(Ra),"detector"),loader5=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./gitGraphDiagram-UL5UFKDR-DXWsuj5k.js");return{diagram:qa}},__vite__mapDeps([13,14,15,16,4,2]));return{id:id5,diagram:Ra}},"loader"),plugin5={id:id5,detector:detector5,loader:loader5},gitGraphDetector_default=plugin5,id6="gantt",detector6=__name(Ra=>/^\s*gantt/.test(Ra),"detector"),loader6=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./ganttDiagram-ASEIQ4P5-BfuRS4E1.js");return{diagram:qa}},__vite__mapDeps([17,18,19]));return{id:id6,diagram:Ra}},"loader"),plugin6={id:id6,detector:detector6,loader:loader6},ganttDetector_default=plugin6,id7="info",detector7=__name(Ra=>/^\s*info/.test(Ra),"detector"),loader7=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./infoDiagram-YGJTP6AA-A3umWN2W.js");return{diagram:qa}},__vite__mapDeps([20,16,4,2]));return{id:id7,diagram:Ra}},"loader"),info={id:id7,detector:detector7,loader:loader7},id8="pie",detector8=__name(Ra=>/^\s*pie/.test(Ra),"detector"),loader8=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./pieDiagram-OZX6XH5M-Dee-Ek5M.js");return{diagram:qa}},__vite__mapDeps([21,14,16,4,2,22,23,19]));return{id:id8,diagram:Ra}},"loader"),pie={id:id8,detector:detector8,loader:loader8},id9="quadrantChart",detector9=__name(Ra=>/^\s*quadrantChart/.test(Ra),"detector"),loader9=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./quadrantDiagram-VG34DGKC-BLUXKpVf.js");return{diagram:qa}},__vite__mapDeps([24,18,19]));return{id:id9,diagram:Ra}},"loader"),plugin7={id:id9,detector:detector9,loader:loader9},quadrantDetector_default=plugin7,id10="xychart",detector10=__name(Ra=>/^\s*xychart-beta/.test(Ra),"detector"),loader10=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./xychartDiagram-TMT7NLBN-x2i_u-FJ.js");return{diagram:qa}},__vite__mapDeps([25,19,23,18]));return{id:id10,diagram:Ra}},"loader"),plugin8={id:id10,detector:detector10,loader:loader10},xychartDetector_default=plugin8,id11="requirement",detector11=__name(Ra=>/^\s*requirement(Diagram)?/.test(Ra),"detector"),loader11=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./requirementDiagram-FPZB6IJI-CDJ5F8TE.js");return{diagram:qa}},__vite__mapDeps([26,1,2,3,4]));return{id:id11,diagram:Ra}},"loader"),plugin9={id:id11,detector:detector11,loader:loader11},requirementDetector_default=plugin9,id12="sequence",detector12=__name(Ra=>/^\s*sequenceDiagram/.test(Ra),"detector"),loader12=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./sequenceDiagram-K7U7U2PU-Dngl0M8z.js");return{diagram:qa}},__vite__mapDeps([27,7,15]));return{id:id12,diagram:Ra}},"loader"),plugin10={id:id12,detector:detector12,loader:loader12},sequenceDetector_default=plugin10,id13="class",detector13=__name((Ra,qa)=>{var ed;return((ed=qa==null?void 0:qa.class)==null?void 0:ed.defaultRenderer)==="dagre-wrapper"?!1:/^\s*classDiagram/.test(Ra)},"detector"),loader13=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./classDiagram-4BHYIK4I-Dnf4VAQi.js");return{diagram:qa}},__vite__mapDeps([28,29,1,2,3,4]));return{id:id13,diagram:Ra}},"loader"),plugin11={id:id13,detector:detector13,loader:loader13},classDetector_default=plugin11,id14="classDiagram",detector14=__name((Ra,qa)=>{var ed;return/^\s*classDiagram/.test(Ra)&&((ed=qa==null?void 0:qa.class)==null?void 0:ed.defaultRenderer)==="dagre-wrapper"?!0:/^\s*classDiagram-v2/.test(Ra)},"detector"),loader14=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./classDiagram-v2-SPY6V32O-BCTkYO0W.js");return{diagram:qa}},__vite__mapDeps([30,31,10,29,1,2,3,4,5]));return{id:id14,diagram:Ra}},"loader"),plugin12={id:id14,detector:detector14,loader:loader14},classDetector_V2_default=plugin12,id15="state",detector15=__name((Ra,qa)=>{var ed;return((ed=qa==null?void 0:qa.state)==null?void 0:ed.defaultRenderer)==="dagre-wrapper"?!1:/^\s*stateDiagram/.test(Ra)},"detector"),loader15=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./stateDiagram-WG76EWPK-BuXHEjbz.js");return{diagram:qa}},__vite__mapDeps([32,33,9,10,1,2,3,4]));return{id:id15,diagram:Ra}},"loader"),plugin13={id:id15,detector:detector15,loader:loader15},stateDetector_default=plugin13,id16="stateDiagram",detector16=__name((Ra,qa)=>{var ed;return!!(/^\s*stateDiagram-v2/.test(Ra)||/^\s*stateDiagram/.test(Ra)&&((ed=qa==null?void 0:qa.state)==null?void 0:ed.defaultRenderer)==="dagre-wrapper")},"detector"),loader16=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./stateDiagram-v2-KR4XTQLW-Cw4bFDWZ.js");return{diagram:qa}},__vite__mapDeps([34,33,9,10]));return{id:id16,diagram:Ra}},"loader"),plugin14={id:id16,detector:detector16,loader:loader16},stateDetector_V2_default=plugin14,id17="journey",detector17=__name(Ra=>/^\s*journey/.test(Ra),"detector"),loader17=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./journeyDiagram-G5LC7W2K-BGFwquG0.js");return{diagram:qa}},__vite__mapDeps([35,7,22]));return{id:id17,diagram:Ra}},"loader"),plugin15={id:id17,detector:detector17,loader:loader17},journeyDetector_default=plugin15,draw=__name((Ra,qa,ed)=>{log.debug(`rendering svg for syntax error `);const td=selectSvgElement(qa),rd=td.append("g");td.attr("viewBox","0 0 2412 512"),configureSvgSize(td,100,512,!0),rd.append("path").attr("class","error-icon").attr("d","m411.313,123.313c6.25-6.25 6.25-16.375 0-22.625s-16.375-6.25-22.625,0l-32,32-9.375,9.375-20.688-20.688c-12.484-12.5-32.766-12.5-45.25,0l-16,16c-1.261,1.261-2.304,2.648-3.31,4.051-21.739-8.561-45.324-13.426-70.065-13.426-105.867,0-192,86.133-192,192s86.133,192 192,192 192-86.133 192-192c0-24.741-4.864-48.327-13.426-70.065 1.402-1.007 2.79-2.049 4.051-3.31l16-16c12.5-12.492 12.5-32.758 0-45.25l-20.688-20.688 9.375-9.375 32.001-31.999zm-219.313,100.687c-52.938,0-96,43.063-96,96 0,8.836-7.164,16-16,16s-16-7.164-16-16c0-70.578 57.422-128 128-128 8.836,0 16,7.164 16,16s-7.164,16-16,16z"),rd.append("path").attr("class","error-icon").attr("d","m459.02,148.98c-6.25-6.25-16.375-6.25-22.625,0s-6.25,16.375 0,22.625l16,16c3.125,3.125 7.219,4.688 11.313,4.688 4.094,0 8.188-1.563 11.313-4.688 6.25-6.25 6.25-16.375 0-22.625l-16.001-16z"),rd.append("path").attr("class","error-icon").attr("d","m340.395,75.605c3.125,3.125 7.219,4.688 11.313,4.688 4.094,0 8.188-1.563 11.313-4.688 6.25-6.25 6.25-16.375 0-22.625l-16-16c-6.25-6.25-16.375-6.25-22.625,0s-6.25,16.375 0,22.625l15.999,16z"),rd.append("path").attr("class","error-icon").attr("d","m400,64c8.844,0 16-7.164 16-16v-32c0-8.836-7.156-16-16-16-8.844,0-16,7.164-16,16v32c0,8.836 7.156,16 16,16z"),rd.append("path").attr("class","error-icon").attr("d","m496,96.586h-32c-8.844,0-16,7.164-16,16 0,8.836 7.156,16 16,16h32c8.844,0 16-7.164 16-16 0-8.836-7.156-16-16-16z"),rd.append("path").attr("class","error-icon").attr("d","m436.98,75.605c3.125,3.125 7.219,4.688 11.313,4.688 4.094,0 8.188-1.563 11.313-4.688l32-32c6.25-6.25 6.25-16.375 0-22.625s-16.375-6.25-22.625,0l-32,32c-6.251,6.25-6.251,16.375-0.001,22.625z"),rd.append("text").attr("class","error-text").attr("x",1440).attr("y",250).attr("font-size","150px").style("text-anchor","middle").text("Syntax error in text"),rd.append("text").attr("class","error-text").attr("x",1250).attr("y",400).attr("font-size","100px").style("text-anchor","middle").text(`mermaid version ${ed}`)},"draw"),renderer={draw},errorRenderer_default=renderer,diagram={db:{},renderer,parser:{parse:__name(()=>{},"parse")}},errorDiagram_default=diagram,id18="flowchart-elk",detector18=__name((Ra,qa={})=>{var ed;return/^\s*flowchart-elk/.test(Ra)||/^\s*flowchart|graph/.test(Ra)&&((ed=qa==null?void 0:qa.flowchart)==null?void 0:ed.defaultRenderer)==="elk"?(qa.layout="elk",!0):!1},"detector"),loader18=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./flowDiagram-44NOAMKU-BzmuMPc-.js");return{diagram:qa}},__vite__mapDeps([8,9,10,11]));return{id:id18,diagram:Ra}},"loader"),plugin16={id:id18,detector:detector18,loader:loader18},detector_default=plugin16,id19="timeline",detector19=__name(Ra=>/^\s*timeline/.test(Ra),"detector"),loader19=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./timeline-definition-KYQAIZUX-DhBORywN.js");return{diagram:qa}},__vite__mapDeps([36,22]));return{id:id19,diagram:Ra}},"loader"),plugin17={id:id19,detector:detector19,loader:loader19},detector_default2=plugin17,id20="mindmap",detector20=__name(Ra=>/^\s*mindmap/.test(Ra),"detector"),loader20=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./mindmap-definition-26FWEE7H-BBP3vBAf.js");return{diagram:qa}},__vite__mapDeps([37,38]));return{id:id20,diagram:Ra}},"loader"),plugin18={id:id20,detector:detector20,loader:loader20},detector_default3=plugin18,id21="sankey",detector21=__name(Ra=>/^\s*sankey-beta/.test(Ra),"detector"),loader21=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./sankeyDiagram-KMMQDL5K-B3PLxkMw.js");return{diagram:qa}},__vite__mapDeps([39,23,19]));return{id:id21,diagram:Ra}},"loader"),plugin19={id:id21,detector:detector21,loader:loader21},sankeyDetector_default=plugin19,id22="packet",detector22=__name(Ra=>/^\s*packet-beta/.test(Ra),"detector"),loader22=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./diagram-QS5GVLUX-D-4v2UtS.js");return{diagram:qa}},__vite__mapDeps([40,14,16,4,2]));return{id:id22,diagram:Ra}},"loader"),packet={id:id22,detector:detector22,loader:loader22},id23="block",detector23=__name(Ra=>/^\s*block-beta/.test(Ra),"detector"),loader23=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./blockDiagram-SLEYNUNN-C7FT7Xn4.js");return{diagram:qa}},__vite__mapDeps([41,31,10,1,2,11]));return{id:id23,diagram:Ra}},"loader"),plugin20={id:id23,detector:detector23,loader:loader23},blockDetector_default=plugin20,id24="architecture",detector24=__name(Ra=>/^\s*architecture/.test(Ra),"detector"),loader24=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./architectureDiagram-WQGLKCSC-Itz-U252.js");return{diagram:qa}},__vite__mapDeps([42,14,15,16,4,2,38]));return{id:id24,diagram:Ra}},"loader"),architecture={id:id24,detector:detector24,loader:loader24},architectureDetector_default=architecture,hasLoadedDiagrams=!1,addDiagrams=__name(()=>{hasLoadedDiagrams||(hasLoadedDiagrams=!0,registerDiagram("error",errorDiagram_default,Ra=>Ra.toLowerCase().trim()==="error"),registerDiagram("---",{db:{clear:__name(()=>{},"clear")},styles:{},renderer:{draw:__name(()=>{},"draw")},parser:{parse:__name(()=>{throw new Error("Diagrams beginning with --- are not valid. If you were trying to use a YAML front-matter, please ensure that you've correctly opened and closed the YAML front-matter with un-indented `---` blocks")},"parse")},init:__name(()=>null,"init")},Ra=>Ra.toLowerCase().trimStart().startsWith("---")),registerLazyLoadedDiagrams(c4Detector_default,classDetector_V2_default,classDetector_default,erDetector_default,ganttDetector_default,info,pie,requirementDetector_default,sequenceDetector_default,detector_default,flowDetector_v2_default,flowDetector_default,detector_default3,detector_default2,gitGraphDetector_default,stateDetector_V2_default,stateDetector_default,journeyDetector_default,quadrantDetector_default,sankeyDetector_default,packet,xychartDetector_default,blockDetector_default,architectureDetector_default))},"addDiagrams"),loadRegisteredDiagrams=__name(async()=>{log.debug("Loading registered diagrams");const qa=(await Promise.allSettled(Object.entries(detectors).map(async([ed,{detector:td,loader:rd}])=>{if(rd)try{getDiagram(ed)}catch{try{const{diagram:sd,id:od}=await rd();registerDiagram(od,sd,td)}catch(sd){throw log.error(`Failed to load external diagram with key ${ed}. Removing from detectors.`),delete detectors[ed],sd}}}))).filter(ed=>ed.status==="rejected");if(qa.length>0){log.error(`Failed to load ${qa.length} external diagrams`);for(const ed of qa)log.error(ed);throw new Error(`Failed to load ${qa.length} external diagrams`)}},"loadRegisteredDiagrams"),SVG_ROLE="graphics-document document";function setA11yDiagramInfo(Ra,qa){Ra.attr("role",SVG_ROLE),qa!==""&&Ra.attr("aria-roledescription",qa)}__name(setA11yDiagramInfo,"setA11yDiagramInfo");function addSVGa11yTitleDescription(Ra,qa,ed,td){if(Ra.insert!==void 0){if(ed){const rd=`chart-desc-${td}`;Ra.attr("aria-describedby",rd),Ra.insert("desc",":first-child").attr("id",rd).text(ed)}if(qa){const rd=`chart-title-${td}`;Ra.attr("aria-labelledby",rd),Ra.insert("title",":first-child").attr("id",rd).text(qa)}}}__name(addSVGa11yTitleDescription,"addSVGa11yTitleDescription");var Rv,Diagram=(Rv=class{constructor(qa,ed,td,rd,sd){this.type=qa,this.text=ed,this.db=td,this.parser=rd,this.renderer=sd}static async fromText(qa,ed={}){var ud,_d;const td=getConfig(),rd=detectType(qa,td);qa=encodeEntities(qa)+` `;try{getDiagram(rd)}catch{const Ed=getDiagramLoader(rd);if(!Ed)throw new UnknownDiagramError(`Diagram ${rd} not found.`);const{id:Ad,diagram:Td}=await Ed();registerDiagram(Ad,Td)}const{db:sd,parser:od,renderer:ld,init:cd}=getDiagram(rd);return od.parser&&(od.parser.yy=sd),(ud=sd.clear)==null||ud.call(sd),cd==null||cd(td),ed.title&&((_d=sd.setDiagramTitle)==null||_d.call(sd,ed.title)),await od.parse(qa),new Rv(rd,qa,sd,od,ld)}async render(qa,ed){await this.renderer.draw(this.text,qa,ed,this)}getParser(){return this.parser}getType(){return this.type}},__name(Rv,"Diagram"),Rv),interactionFunctions=[],attachFunctions=__name(()=>{interactionFunctions.forEach(Ra=>{Ra()}),interactionFunctions=[]},"attachFunctions"),cleanupComments=__name(Ra=>Ra.replace(/^\s*%%(?!{)[^\n]+\n?/gm,"").trimStart(),"cleanupComments");function isNothing(Ra){return typeof Ra>"u"||Ra===null}__name(isNothing,"isNothing");function isObject(Ra){return typeof Ra=="object"&&Ra!==null}__name(isObject,"isObject");function toArray(Ra){return Array.isArray(Ra)?Ra:isNothing(Ra)?[]:[Ra]}__name(toArray,"toArray");function extend(Ra,qa){var ed,td,rd,sd;if(qa)for(sd=Object.keys(qa),ed=0,td=sd.length;edld&&(sd=" ... ",qa=td-ld+sd.length),ed-td>ld&&(od=" ...",ed=td+ld-od.length),{str:sd+Ra.slice(qa,ed).replace(/\t/g,"→")+od,pos:td-qa+sd.length}}__name(getLine,"getLine");function padStart(Ra,qa){return common.repeat(" ",qa-Ra.length)+Ra}__name(padStart,"padStart");function makeSnippet(Ra,qa){if(qa=Object.create(qa||null),!Ra.buffer)return null;qa.maxLength||(qa.maxLength=79),typeof qa.indent!="number"&&(qa.indent=1),typeof qa.linesBefore!="number"&&(qa.linesBefore=3),typeof qa.linesAfter!="number"&&(qa.linesAfter=2);for(var ed=/\r?\n|\r|\0/g,td=[0],rd=[],sd,od=-1;sd=ed.exec(Ra.buffer);)rd.push(sd.index),td.push(sd.index+sd[0].length),Ra.position<=sd.index&&od<0&&(od=td.length-2);od<0&&(od=td.length-1);var ld="",cd,ud,_d=Math.min(Ra.line+qa.linesAfter,rd.length).toString().length,Ed=qa.maxLength-(qa.indent+_d+3);for(cd=1;cd<=qa.linesBefore&&!(od-cd<0);cd++)ud=getLine(Ra.buffer,td[od-cd],rd[od-cd],Ra.position-(td[od]-td[od-cd]),Ed),ld=common.repeat(" ",qa.indent)+padStart((Ra.line-cd+1).toString(),_d)+" | "+ud.str+` `+ld;for(ud=getLine(Ra.buffer,td[od],rd[od],Ra.position,Ed),ld+=common.repeat(" ",qa.indent)+padStart((Ra.line+1).toString(),_d)+" | "+ud.str+` `,ld+=common.repeat("-",qa.indent+_d+3+ud.pos)+`^ `,cd=1;cd<=qa.linesAfter&&!(od+cd>=rd.length);cd++)ud=getLine(Ra.buffer,td[od+cd],rd[od+cd],Ra.position-(td[od]-td[od+cd]),Ed),ld+=common.repeat(" ",qa.indent)+padStart((Ra.line+cd+1).toString(),_d)+" | "+ud.str+` `;return ld.replace(/\n$/,"")}__name(makeSnippet,"makeSnippet");var snippet=makeSnippet,TYPE_CONSTRUCTOR_OPTIONS=["kind","multi","resolve","construct","instanceOf","predicate","represent","representName","defaultStyle","styleAliases"],YAML_NODE_KINDS=["scalar","sequence","mapping"];function compileStyleAliases(Ra){var qa={};return Ra!==null&&Object.keys(Ra).forEach(function(ed){Ra[ed].forEach(function(td){qa[String(td)]=ed})}),qa}__name(compileStyleAliases,"compileStyleAliases");function Type$1(Ra,qa){if(qa=qa||{},Object.keys(qa).forEach(function(ed){if(TYPE_CONSTRUCTOR_OPTIONS.indexOf(ed)===-1)throw new exception('Unknown option "'+ed+'" is met in definition of "'+Ra+'" YAML type.')}),this.options=qa,this.tag=Ra,this.kind=qa.kind||null,this.resolve=qa.resolve||function(){return!0},this.construct=qa.construct||function(ed){return ed},this.instanceOf=qa.instanceOf||null,this.predicate=qa.predicate||null,this.represent=qa.represent||null,this.representName=qa.representName||null,this.defaultStyle=qa.defaultStyle||null,this.multi=qa.multi||!1,this.styleAliases=compileStyleAliases(qa.styleAliases||null),YAML_NODE_KINDS.indexOf(this.kind)===-1)throw new exception('Unknown kind "'+this.kind+'" is specified for "'+Ra+'" YAML type.')}__name(Type$1,"Type$1");var type=Type$1;function compileList(Ra,qa){var ed=[];return Ra[qa].forEach(function(td){var rd=ed.length;ed.forEach(function(sd,od){sd.tag===td.tag&&sd.kind===td.kind&&sd.multi===td.multi&&(rd=od)}),ed[rd]=td}),ed}__name(compileList,"compileList");function compileMap(){var Ra={scalar:{},sequence:{},mapping:{},fallback:{},multi:{scalar:[],sequence:[],mapping:[],fallback:[]}},qa,ed;function td(rd){rd.multi?(Ra.multi[rd.kind].push(rd),Ra.multi.fallback.push(rd)):Ra[rd.kind][rd.tag]=Ra.fallback[rd.tag]=rd}for(__name(td,"collectType"),qa=0,ed=arguments.length;qa=0?"0b"+Ra.toString(2):"-0b"+Ra.toString(2).slice(1)},"binary"),octal:__name(function(Ra){return Ra>=0?"0o"+Ra.toString(8):"-0o"+Ra.toString(8).slice(1)},"octal"),decimal:__name(function(Ra){return Ra.toString(10)},"decimal"),hexadecimal:__name(function(Ra){return Ra>=0?"0x"+Ra.toString(16).toUpperCase():"-0x"+Ra.toString(16).toUpperCase().slice(1)},"hexadecimal")},defaultStyle:"decimal",styleAliases:{binary:[2,"bin"],octal:[8,"oct"],decimal:[10,"dec"],hexadecimal:[16,"hex"]}}),YAML_FLOAT_PATTERN=new RegExp("^(?:[-+]?(?:[0-9][0-9_]*)(?:\\.[0-9_]*)?(?:[eE][-+]?[0-9]+)?|\\.[0-9_]+(?:[eE][-+]?[0-9]+)?|[-+]?\\.(?:inf|Inf|INF)|\\.(?:nan|NaN|NAN))$");function resolveYamlFloat(Ra){return!(Ra===null||!YAML_FLOAT_PATTERN.test(Ra)||Ra[Ra.length-1]==="_")}__name(resolveYamlFloat,"resolveYamlFloat");function constructYamlFloat(Ra){var qa,ed;return qa=Ra.replace(/_/g,"").toLowerCase(),ed=qa[0]==="-"?-1:1,"+-".indexOf(qa[0])>=0&&(qa=qa.slice(1)),qa===".inf"?ed===1?Number.POSITIVE_INFINITY:Number.NEGATIVE_INFINITY:qa===".nan"?NaN:ed*parseFloat(qa,10)}__name(constructYamlFloat,"constructYamlFloat");var SCIENTIFIC_WITHOUT_DOT=/^[-+]?[0-9]+e/;function representYamlFloat(Ra,qa){var ed;if(isNaN(Ra))switch(qa){case"lowercase":return".nan";case"uppercase":return".NAN";case"camelcase":return".NaN"}else if(Number.POSITIVE_INFINITY===Ra)switch(qa){case"lowercase":return".inf";case"uppercase":return".INF";case"camelcase":return".Inf"}else if(Number.NEGATIVE_INFINITY===Ra)switch(qa){case"lowercase":return"-.inf";case"uppercase":return"-.INF";case"camelcase":return"-.Inf"}else if(common.isNegativeZero(Ra))return"-0.0";return ed=Ra.toString(10),SCIENTIFIC_WITHOUT_DOT.test(ed)?ed.replace("e",".e"):ed}__name(representYamlFloat,"representYamlFloat");function isFloat(Ra){return Object.prototype.toString.call(Ra)==="[object Number]"&&(Ra%1!==0||common.isNegativeZero(Ra))}__name(isFloat,"isFloat");var float=new type("tag:yaml.org,2002:float",{kind:"scalar",resolve:resolveYamlFloat,construct:constructYamlFloat,predicate:isFloat,represent:representYamlFloat,defaultStyle:"lowercase"}),json=failsafe.extend({implicit:[_null,bool,int$2,float]}),core=json,YAML_DATE_REGEXP=new RegExp("^([0-9][0-9][0-9][0-9])-([0-9][0-9])-([0-9][0-9])$"),YAML_TIMESTAMP_REGEXP=new RegExp("^([0-9][0-9][0-9][0-9])-([0-9][0-9]?)-([0-9][0-9]?)(?:[Tt]|[ \\t]+)([0-9][0-9]?):([0-9][0-9]):([0-9][0-9])(?:\\.([0-9]*))?(?:[ \\t]*(Z|([-+])([0-9][0-9]?)(?::([0-9][0-9]))?))?$");function resolveYamlTimestamp(Ra){return Ra===null?!1:YAML_DATE_REGEXP.exec(Ra)!==null||YAML_TIMESTAMP_REGEXP.exec(Ra)!==null}__name(resolveYamlTimestamp,"resolveYamlTimestamp");function constructYamlTimestamp(Ra){var qa,ed,td,rd,sd,od,ld,cd=0,ud=null,_d,Ed,Ad;if(qa=YAML_DATE_REGEXP.exec(Ra),qa===null&&(qa=YAML_TIMESTAMP_REGEXP.exec(Ra)),qa===null)throw new Error("Date resolve error");if(ed=+qa[1],td=+qa[2]-1,rd=+qa[3],!qa[4])return new Date(Date.UTC(ed,td,rd));if(sd=+qa[4],od=+qa[5],ld=+qa[6],qa[7]){for(cd=qa[7].slice(0,3);cd.length<3;)cd+="0";cd=+cd}return qa[9]&&(_d=+qa[10],Ed=+(qa[11]||0),ud=(_d*60+Ed)*6e4,qa[9]==="-"&&(ud=-ud)),Ad=new Date(Date.UTC(ed,td,rd,sd,od,ld,cd)),ud&&Ad.setTime(Ad.getTime()-ud),Ad}__name(constructYamlTimestamp,"constructYamlTimestamp");function representYamlTimestamp(Ra){return Ra.toISOString()}__name(representYamlTimestamp,"representYamlTimestamp");var timestamp=new type("tag:yaml.org,2002:timestamp",{kind:"scalar",resolve:resolveYamlTimestamp,construct:constructYamlTimestamp,instanceOf:Date,represent:representYamlTimestamp});function resolveYamlMerge(Ra){return Ra==="<<"||Ra===null}__name(resolveYamlMerge,"resolveYamlMerge");var merge=new type("tag:yaml.org,2002:merge",{kind:"scalar",resolve:resolveYamlMerge}),BASE64_MAP=`ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/= \r`;function resolveYamlBinary(Ra){if(Ra===null)return!1;var qa,ed,td=0,rd=Ra.length,sd=BASE64_MAP;for(ed=0;ed64)){if(qa<0)return!1;td+=6}return td%8===0}__name(resolveYamlBinary,"resolveYamlBinary");function constructYamlBinary(Ra){var qa,ed,td=Ra.replace(/[\r\n=]/g,""),rd=td.length,sd=BASE64_MAP,od=0,ld=[];for(qa=0;qa>16&255),ld.push(od>>8&255),ld.push(od&255)),od=od<<6|sd.indexOf(td.charAt(qa));return ed=rd%4*6,ed===0?(ld.push(od>>16&255),ld.push(od>>8&255),ld.push(od&255)):ed===18?(ld.push(od>>10&255),ld.push(od>>2&255)):ed===12&&ld.push(od>>4&255),new Uint8Array(ld)}__name(constructYamlBinary,"constructYamlBinary");function representYamlBinary(Ra){var qa="",ed=0,td,rd,sd=Ra.length,od=BASE64_MAP;for(td=0;td>18&63],qa+=od[ed>>12&63],qa+=od[ed>>6&63],qa+=od[ed&63]),ed=(ed<<8)+Ra[td];return rd=sd%3,rd===0?(qa+=od[ed>>18&63],qa+=od[ed>>12&63],qa+=od[ed>>6&63],qa+=od[ed&63]):rd===2?(qa+=od[ed>>10&63],qa+=od[ed>>4&63],qa+=od[ed<<2&63],qa+=od[64]):rd===1&&(qa+=od[ed>>2&63],qa+=od[ed<<4&63],qa+=od[64],qa+=od[64]),qa}__name(representYamlBinary,"representYamlBinary");function isBinary(Ra){return Object.prototype.toString.call(Ra)==="[object Uint8Array]"}__name(isBinary,"isBinary");var binary=new type("tag:yaml.org,2002:binary",{kind:"scalar",resolve:resolveYamlBinary,construct:constructYamlBinary,predicate:isBinary,represent:representYamlBinary}),_hasOwnProperty$3=Object.prototype.hasOwnProperty,_toString$2=Object.prototype.toString;function resolveYamlOmap(Ra){if(Ra===null)return!0;var qa=[],ed,td,rd,sd,od,ld=Ra;for(ed=0,td=ld.length;ed>10)+55296,(Ra-65536&1023)+56320)}__name(charFromCodepoint,"charFromCodepoint");var simpleEscapeCheck=new Array(256),simpleEscapeMap=new Array(256);for(i=0;i<256;i++)simpleEscapeCheck[i]=simpleEscapeSequence(i)?1:0,simpleEscapeMap[i]=simpleEscapeSequence(i);var i;function State$1(Ra,qa){this.input=Ra,this.filename=qa.filename||null,this.schema=qa.schema||_default$1,this.onWarning=qa.onWarning||null,this.legacy=qa.legacy||!1,this.json=qa.json||!1,this.listener=qa.listener||null,this.implicitTypes=this.schema.compiledImplicit,this.typeMap=this.schema.compiledTypeMap,this.length=Ra.length,this.position=0,this.line=0,this.lineStart=0,this.lineIndent=0,this.firstTabInLine=-1,this.documents=[]}__name(State$1,"State$1");function generateError(Ra,qa){var ed={name:Ra.filename,buffer:Ra.input.slice(0,-1),position:Ra.position,line:Ra.line,column:Ra.position-Ra.lineStart};return ed.snippet=snippet(ed),new exception(qa,ed)}__name(generateError,"generateError");function throwError(Ra,qa){throw generateError(Ra,qa)}__name(throwError,"throwError");function throwWarning(Ra,qa){Ra.onWarning&&Ra.onWarning.call(null,generateError(Ra,qa))}__name(throwWarning,"throwWarning");var directiveHandlers={YAML:__name(function Ra(qa,ed,td){var rd,sd,od;qa.version!==null&&throwError(qa,"duplication of %YAML directive"),td.length!==1&&throwError(qa,"YAML directive accepts exactly one argument"),rd=/^([0-9]+)\.([0-9]+)$/.exec(td[0]),rd===null&&throwError(qa,"ill-formed argument of the YAML directive"),sd=parseInt(rd[1],10),od=parseInt(rd[2],10),sd!==1&&throwError(qa,"unacceptable YAML version of the document"),qa.version=td[0],qa.checkLineBreaks=od<2,od!==1&&od!==2&&throwWarning(qa,"unsupported YAML version of the document")},"handleYamlDirective"),TAG:__name(function Ra(qa,ed,td){var rd,sd;td.length!==2&&throwError(qa,"TAG directive accepts exactly two arguments"),rd=td[0],sd=td[1],PATTERN_TAG_HANDLE.test(rd)||throwError(qa,"ill-formed tag handle (first argument) of the TAG directive"),_hasOwnProperty$1.call(qa.tagMap,rd)&&throwError(qa,'there is a previously declared suffix for "'+rd+'" tag handle'),PATTERN_TAG_URI.test(sd)||throwError(qa,"ill-formed tag prefix (second argument) of the TAG directive");try{sd=decodeURIComponent(sd)}catch{throwError(qa,"tag prefix is malformed: "+sd)}qa.tagMap[rd]=sd},"handleTagDirective")};function captureSegment(Ra,qa,ed,td){var rd,sd,od,ld;if(qa1&&(Ra.result+=common.repeat(` `,qa-1))}__name(writeFoldedLines,"writeFoldedLines");function readPlainScalar(Ra,qa,ed){var td,rd,sd,od,ld,cd,ud,_d,Ed=Ra.kind,Ad=Ra.result,Td;if(Td=Ra.input.charCodeAt(Ra.position),is_WS_OR_EOL(Td)||is_FLOW_INDICATOR(Td)||Td===35||Td===38||Td===42||Td===33||Td===124||Td===62||Td===39||Td===34||Td===37||Td===64||Td===96||(Td===63||Td===45)&&(rd=Ra.input.charCodeAt(Ra.position+1),is_WS_OR_EOL(rd)||ed&&is_FLOW_INDICATOR(rd)))return!1;for(Ra.kind="scalar",Ra.result="",sd=od=Ra.position,ld=!1;Td!==0;){if(Td===58){if(rd=Ra.input.charCodeAt(Ra.position+1),is_WS_OR_EOL(rd)||ed&&is_FLOW_INDICATOR(rd))break}else if(Td===35){if(td=Ra.input.charCodeAt(Ra.position-1),is_WS_OR_EOL(td))break}else{if(Ra.position===Ra.lineStart&&testDocumentSeparator(Ra)||ed&&is_FLOW_INDICATOR(Td))break;if(is_EOL(Td))if(cd=Ra.line,ud=Ra.lineStart,_d=Ra.lineIndent,skipSeparationSpace(Ra,!1,-1),Ra.lineIndent>=qa){ld=!0,Td=Ra.input.charCodeAt(Ra.position);continue}else{Ra.position=od,Ra.line=cd,Ra.lineStart=ud,Ra.lineIndent=_d;break}}ld&&(captureSegment(Ra,sd,od,!1),writeFoldedLines(Ra,Ra.line-cd),sd=od=Ra.position,ld=!1),is_WHITE_SPACE(Td)||(od=Ra.position+1),Td=Ra.input.charCodeAt(++Ra.position)}return captureSegment(Ra,sd,od,!1),Ra.result?!0:(Ra.kind=Ed,Ra.result=Ad,!1)}__name(readPlainScalar,"readPlainScalar");function readSingleQuotedScalar(Ra,qa){var ed,td,rd;if(ed=Ra.input.charCodeAt(Ra.position),ed!==39)return!1;for(Ra.kind="scalar",Ra.result="",Ra.position++,td=rd=Ra.position;(ed=Ra.input.charCodeAt(Ra.position))!==0;)if(ed===39)if(captureSegment(Ra,td,Ra.position,!0),ed=Ra.input.charCodeAt(++Ra.position),ed===39)td=Ra.position,Ra.position++,rd=Ra.position;else return!0;else is_EOL(ed)?(captureSegment(Ra,td,rd,!0),writeFoldedLines(Ra,skipSeparationSpace(Ra,!1,qa)),td=rd=Ra.position):Ra.position===Ra.lineStart&&testDocumentSeparator(Ra)?throwError(Ra,"unexpected end of the document within a single quoted scalar"):(Ra.position++,rd=Ra.position);throwError(Ra,"unexpected end of the stream within a single quoted scalar")}__name(readSingleQuotedScalar,"readSingleQuotedScalar");function readDoubleQuotedScalar(Ra,qa){var ed,td,rd,sd,od,ld;if(ld=Ra.input.charCodeAt(Ra.position),ld!==34)return!1;for(Ra.kind="scalar",Ra.result="",Ra.position++,ed=td=Ra.position;(ld=Ra.input.charCodeAt(Ra.position))!==0;){if(ld===34)return captureSegment(Ra,ed,Ra.position,!0),Ra.position++,!0;if(ld===92){if(captureSegment(Ra,ed,Ra.position,!0),ld=Ra.input.charCodeAt(++Ra.position),is_EOL(ld))skipSeparationSpace(Ra,!1,qa);else if(ld<256&&simpleEscapeCheck[ld])Ra.result+=simpleEscapeMap[ld],Ra.position++;else if((od=escapedHexLen(ld))>0){for(rd=od,sd=0;rd>0;rd--)ld=Ra.input.charCodeAt(++Ra.position),(od=fromHexCode(ld))>=0?sd=(sd<<4)+od:throwError(Ra,"expected hexadecimal character");Ra.result+=charFromCodepoint(sd),Ra.position++}else throwError(Ra,"unknown escape sequence");ed=td=Ra.position}else is_EOL(ld)?(captureSegment(Ra,ed,td,!0),writeFoldedLines(Ra,skipSeparationSpace(Ra,!1,qa)),ed=td=Ra.position):Ra.position===Ra.lineStart&&testDocumentSeparator(Ra)?throwError(Ra,"unexpected end of the document within a double quoted scalar"):(Ra.position++,td=Ra.position)}throwError(Ra,"unexpected end of the stream within a double quoted scalar")}__name(readDoubleQuotedScalar,"readDoubleQuotedScalar");function readFlowCollection(Ra,qa){var ed=!0,td,rd,sd,od=Ra.tag,ld,cd=Ra.anchor,ud,_d,Ed,Ad,Td,Nd=Object.create(null),Rd,Bd,kd,Od;if(Od=Ra.input.charCodeAt(Ra.position),Od===91)_d=93,Td=!1,ld=[];else if(Od===123)_d=125,Td=!0,ld={};else return!1;for(Ra.anchor!==null&&(Ra.anchorMap[Ra.anchor]=ld),Od=Ra.input.charCodeAt(++Ra.position);Od!==0;){if(skipSeparationSpace(Ra,!0,qa),Od=Ra.input.charCodeAt(Ra.position),Od===_d)return Ra.position++,Ra.tag=od,Ra.anchor=cd,Ra.kind=Td?"mapping":"sequence",Ra.result=ld,!0;ed?Od===44&&throwError(Ra,"expected the node content, but found ','"):throwError(Ra,"missed comma between flow collection entries"),Bd=Rd=kd=null,Ed=Ad=!1,Od===63&&(ud=Ra.input.charCodeAt(Ra.position+1),is_WS_OR_EOL(ud)&&(Ed=Ad=!0,Ra.position++,skipSeparationSpace(Ra,!0,qa))),td=Ra.line,rd=Ra.lineStart,sd=Ra.position,composeNode(Ra,qa,CONTEXT_FLOW_IN,!1,!0),Bd=Ra.tag,Rd=Ra.result,skipSeparationSpace(Ra,!0,qa),Od=Ra.input.charCodeAt(Ra.position),(Ad||Ra.line===td)&&Od===58&&(Ed=!0,Od=Ra.input.charCodeAt(++Ra.position),skipSeparationSpace(Ra,!0,qa),composeNode(Ra,qa,CONTEXT_FLOW_IN,!1,!0),kd=Ra.result),Td?storeMappingPair(Ra,ld,Nd,Bd,Rd,kd,td,rd,sd):Ed?ld.push(storeMappingPair(Ra,null,Nd,Bd,Rd,kd,td,rd,sd)):ld.push(Rd),skipSeparationSpace(Ra,!0,qa),Od=Ra.input.charCodeAt(Ra.position),Od===44?(ed=!0,Od=Ra.input.charCodeAt(++Ra.position)):ed=!1}throwError(Ra,"unexpected end of the stream within a flow collection")}__name(readFlowCollection,"readFlowCollection");function readBlockScalar(Ra,qa){var ed,td,rd=CHOMPING_CLIP,sd=!1,od=!1,ld=qa,cd=0,ud=!1,_d,Ed;if(Ed=Ra.input.charCodeAt(Ra.position),Ed===124)td=!1;else if(Ed===62)td=!0;else return!1;for(Ra.kind="scalar",Ra.result="";Ed!==0;)if(Ed=Ra.input.charCodeAt(++Ra.position),Ed===43||Ed===45)CHOMPING_CLIP===rd?rd=Ed===43?CHOMPING_KEEP:CHOMPING_STRIP:throwError(Ra,"repeat of a chomping mode identifier");else if((_d=fromDecimalCode(Ed))>=0)_d===0?throwError(Ra,"bad explicit indentation width of a block scalar; it cannot be less than one"):od?throwError(Ra,"repeat of an indentation width identifier"):(ld=qa+_d-1,od=!0);else break;if(is_WHITE_SPACE(Ed)){do Ed=Ra.input.charCodeAt(++Ra.position);while(is_WHITE_SPACE(Ed));if(Ed===35)do Ed=Ra.input.charCodeAt(++Ra.position);while(!is_EOL(Ed)&&Ed!==0)}for(;Ed!==0;){for(readLineBreak(Ra),Ra.lineIndent=0,Ed=Ra.input.charCodeAt(Ra.position);(!od||Ra.lineIndentld&&(ld=Ra.lineIndent),is_EOL(Ed)){cd++;continue}if(Ra.lineIndentqa)&&cd!==0)throwError(Ra,"bad indentation of a sequence entry");else if(Ra.lineIndentqa)&&(Bd&&(od=Ra.line,ld=Ra.lineStart,cd=Ra.position),composeNode(Ra,qa,CONTEXT_BLOCK_OUT,!0,rd)&&(Bd?Nd=Ra.result:Rd=Ra.result),Bd||(storeMappingPair(Ra,Ed,Ad,Td,Nd,Rd,od,ld,cd),Td=Nd=Rd=null),skipSeparationSpace(Ra,!0,-1),Od=Ra.input.charCodeAt(Ra.position)),(Ra.line===sd||Ra.lineIndent>qa)&&Od!==0)throwError(Ra,"bad indentation of a mapping entry");else if(Ra.lineIndentqa?cd=1:Ra.lineIndent===qa?cd=0:Ra.lineIndentqa?cd=1:Ra.lineIndent===qa?cd=0:Ra.lineIndent tag; it should be "scalar", not "'+Ra.kind+'"'),Ed=0,Ad=Ra.implicitTypes.length;Ed"),Ra.result!==null&&Nd.kind!==Ra.kind&&throwError(Ra,"unacceptable node kind for !<"+Ra.tag+'> tag; it should be "'+Nd.kind+'", not "'+Ra.kind+'"'),Nd.resolve(Ra.result,Ra.tag)?(Ra.result=Nd.construct(Ra.result,Ra.tag),Ra.anchor!==null&&(Ra.anchorMap[Ra.anchor]=Ra.result)):throwError(Ra,"cannot resolve a node with !<"+Ra.tag+"> explicit tag")}return Ra.listener!==null&&Ra.listener("close",Ra),Ra.tag!==null||Ra.anchor!==null||_d}__name(composeNode,"composeNode");function readDocument(Ra){var qa=Ra.position,ed,td,rd,sd=!1,od;for(Ra.version=null,Ra.checkLineBreaks=Ra.legacy,Ra.tagMap=Object.create(null),Ra.anchorMap=Object.create(null);(od=Ra.input.charCodeAt(Ra.position))!==0&&(skipSeparationSpace(Ra,!0,-1),od=Ra.input.charCodeAt(Ra.position),!(Ra.lineIndent>0||od!==37));){for(sd=!0,od=Ra.input.charCodeAt(++Ra.position),ed=Ra.position;od!==0&&!is_WS_OR_EOL(od);)od=Ra.input.charCodeAt(++Ra.position);for(td=Ra.input.slice(ed,Ra.position),rd=[],td.length<1&&throwError(Ra,"directive name must not be less than one character in length");od!==0;){for(;is_WHITE_SPACE(od);)od=Ra.input.charCodeAt(++Ra.position);if(od===35){do od=Ra.input.charCodeAt(++Ra.position);while(od!==0&&!is_EOL(od));break}if(is_EOL(od))break;for(ed=Ra.position;od!==0&&!is_WS_OR_EOL(od);)od=Ra.input.charCodeAt(++Ra.position);rd.push(Ra.input.slice(ed,Ra.position))}od!==0&&readLineBreak(Ra),_hasOwnProperty$1.call(directiveHandlers,td)?directiveHandlers[td](Ra,td,rd):throwWarning(Ra,'unknown document directive "'+td+'"')}if(skipSeparationSpace(Ra,!0,-1),Ra.lineIndent===0&&Ra.input.charCodeAt(Ra.position)===45&&Ra.input.charCodeAt(Ra.position+1)===45&&Ra.input.charCodeAt(Ra.position+2)===45?(Ra.position+=3,skipSeparationSpace(Ra,!0,-1)):sd&&throwError(Ra,"directives end mark is expected"),composeNode(Ra,Ra.lineIndent-1,CONTEXT_BLOCK_OUT,!1,!0),skipSeparationSpace(Ra,!0,-1),Ra.checkLineBreaks&&PATTERN_NON_ASCII_LINE_BREAKS.test(Ra.input.slice(qa,Ra.position))&&throwWarning(Ra,"non-ASCII line breaks are interpreted as content"),Ra.documents.push(Ra.result),Ra.position===Ra.lineStart&&testDocumentSeparator(Ra)){Ra.input.charCodeAt(Ra.position)===46&&(Ra.position+=3,skipSeparationSpace(Ra,!0,-1));return}if(Ra.position"u"&&(ed=qa,qa=null);var td=loadDocuments(Ra,ed);if(typeof qa!="function")return td;for(var rd=0,sd=td.length;rd=55296&&ed<=56319&&qa+1=56320&&td<=57343)?(ed-55296)*1024+td-56320+65536:ed}__name(codePointAt,"codePointAt");function needIndentIndicator(Ra){var qa=/^\n* /;return qa.test(Ra)}__name(needIndentIndicator,"needIndentIndicator");var STYLE_PLAIN=1,STYLE_SINGLE=2,STYLE_LITERAL=3,STYLE_FOLDED=4,STYLE_DOUBLE=5;function chooseScalarStyle(Ra,qa,ed,td,rd,sd,od,ld){var cd,ud=0,_d=null,Ed=!1,Ad=!1,Td=td!==-1,Nd=-1,Rd=isPlainSafeFirst(codePointAt(Ra,0))&&isPlainSafeLast(codePointAt(Ra,Ra.length-1));if(qa||od)for(cd=0;cd=65536?cd+=2:cd++){if(ud=codePointAt(Ra,cd),!isPrintable(ud))return STYLE_DOUBLE;Rd=Rd&&isPlainSafe(ud,_d,ld),_d=ud}else{for(cd=0;cd=65536?cd+=2:cd++){if(ud=codePointAt(Ra,cd),ud===CHAR_LINE_FEED)Ed=!0,Td&&(Ad=Ad||cd-Nd-1>td&&Ra[Nd+1]!==" ",Nd=cd);else if(!isPrintable(ud))return STYLE_DOUBLE;Rd=Rd&&isPlainSafe(ud,_d,ld),_d=ud}Ad=Ad||Td&&cd-Nd-1>td&&Ra[Nd+1]!==" "}return!Ed&&!Ad?Rd&&!od&&!rd(Ra)?STYLE_PLAIN:sd===QUOTING_TYPE_DOUBLE?STYLE_DOUBLE:STYLE_SINGLE:ed>9&&needIndentIndicator(Ra)?STYLE_DOUBLE:od?sd===QUOTING_TYPE_DOUBLE?STYLE_DOUBLE:STYLE_SINGLE:Ad?STYLE_FOLDED:STYLE_LITERAL}__name(chooseScalarStyle,"chooseScalarStyle");function writeScalar(Ra,qa,ed,td,rd){Ra.dump=function(){if(qa.length===0)return Ra.quotingType===QUOTING_TYPE_DOUBLE?'""':"''";if(!Ra.noCompatMode&&(DEPRECATED_BOOLEANS_SYNTAX.indexOf(qa)!==-1||DEPRECATED_BASE60_SYNTAX.test(qa)))return Ra.quotingType===QUOTING_TYPE_DOUBLE?'"'+qa+'"':"'"+qa+"'";var sd=Ra.indent*Math.max(1,ed),od=Ra.lineWidth===-1?-1:Math.max(Math.min(Ra.lineWidth,40),Ra.lineWidth-sd),ld=td||Ra.flowLevel>-1&&ed>=Ra.flowLevel;function cd(ud){return testImplicitResolving(Ra,ud)}switch(__name(cd,"testAmbiguity"),chooseScalarStyle(qa,ld,Ra.indent,od,cd,Ra.quotingType,Ra.forceQuotes&&!td,rd)){case STYLE_PLAIN:return qa;case STYLE_SINGLE:return"'"+qa.replace(/'/g,"''")+"'";case STYLE_LITERAL:return"|"+blockHeader(qa,Ra.indent)+dropEndingNewline(indentString(qa,sd));case STYLE_FOLDED:return">"+blockHeader(qa,Ra.indent)+dropEndingNewline(indentString(foldString(qa,od),sd));case STYLE_DOUBLE:return'"'+escapeString(qa)+'"';default:throw new exception("impossible error: invalid scalar style")}}()}__name(writeScalar,"writeScalar");function blockHeader(Ra,qa){var ed=needIndentIndicator(Ra)?String(qa):"",td=Ra[Ra.length-1]===` `,rd=td&&(Ra[Ra.length-2]===` `||Ra===` `),sd=rd?"+":td?"":"-";return ed+sd+` `}__name(blockHeader,"blockHeader");function dropEndingNewline(Ra){return Ra[Ra.length-1]===` `?Ra.slice(0,-1):Ra}__name(dropEndingNewline,"dropEndingNewline");function foldString(Ra,qa){for(var ed=/(\n+)([^\n]*)/g,td=function(){var ud=Ra.indexOf(` `);return ud=ud!==-1?ud:Ra.length,ed.lastIndex=ud,foldLine(Ra.slice(0,ud),qa)}(),rd=Ra[0]===` `||Ra[0]===" ",sd,od;od=ed.exec(Ra);){var ld=od[1],cd=od[2];sd=cd[0]===" ",td+=ld+(!rd&&!sd&&cd!==""?` `:"")+foldLine(cd,qa),rd=sd}return td}__name(foldString,"foldString");function foldLine(Ra,qa){if(Ra===""||Ra[0]===" ")return Ra;for(var ed=/ [^ ]/g,td,rd=0,sd,od=0,ld=0,cd="";td=ed.exec(Ra);)ld=td.index,ld-rd>qa&&(sd=od>rd?od:ld,cd+=` `+Ra.slice(rd,sd),rd=sd+1),od=ld;return cd+=` `,Ra.length-rd>qa&&od>rd?cd+=Ra.slice(rd,od)+` `+Ra.slice(od+1):cd+=Ra.slice(rd),cd.slice(1)}__name(foldLine,"foldLine");function escapeString(Ra){for(var qa="",ed=0,td,rd=0;rd=65536?rd+=2:rd++)ed=codePointAt(Ra,rd),td=ESCAPE_SEQUENCES[ed],!td&&isPrintable(ed)?(qa+=Ra[rd],ed>=65536&&(qa+=Ra[rd+1])):qa+=td||encodeHex(ed);return qa}__name(escapeString,"escapeString");function writeFlowSequence(Ra,qa,ed){var td="",rd=Ra.tag,sd,od,ld;for(sd=0,od=ed.length;sd"u"&&writeNode(Ra,qa,null,!1,!1))&&(td!==""&&(td+=","+(Ra.condenseFlow?"":" ")),td+=Ra.dump);Ra.tag=rd,Ra.dump="["+td+"]"}__name(writeFlowSequence,"writeFlowSequence");function writeBlockSequence(Ra,qa,ed,td){var rd="",sd=Ra.tag,od,ld,cd;for(od=0,ld=ed.length;od"u"&&writeNode(Ra,qa+1,null,!0,!0,!1,!0))&&((!td||rd!=="")&&(rd+=generateNextLine(Ra,qa)),Ra.dump&&CHAR_LINE_FEED===Ra.dump.charCodeAt(0)?rd+="-":rd+="- ",rd+=Ra.dump);Ra.tag=sd,Ra.dump=rd||"[]"}__name(writeBlockSequence,"writeBlockSequence");function writeFlowMapping(Ra,qa,ed){var td="",rd=Ra.tag,sd=Object.keys(ed),od,ld,cd,ud,_d;for(od=0,ld=sd.length;od1024&&(_d+="? "),_d+=Ra.dump+(Ra.condenseFlow?'"':"")+":"+(Ra.condenseFlow?"":" "),writeNode(Ra,qa,ud,!1,!1)&&(_d+=Ra.dump,td+=_d));Ra.tag=rd,Ra.dump="{"+td+"}"}__name(writeFlowMapping,"writeFlowMapping");function writeBlockMapping(Ra,qa,ed,td){var rd="",sd=Ra.tag,od=Object.keys(ed),ld,cd,ud,_d,Ed,Ad;if(Ra.sortKeys===!0)od.sort();else if(typeof Ra.sortKeys=="function")od.sort(Ra.sortKeys);else if(Ra.sortKeys)throw new exception("sortKeys must be a boolean or a function");for(ld=0,cd=od.length;ld1024,Ed&&(Ra.dump&&CHAR_LINE_FEED===Ra.dump.charCodeAt(0)?Ad+="?":Ad+="? "),Ad+=Ra.dump,Ed&&(Ad+=generateNextLine(Ra,qa)),writeNode(Ra,qa+1,_d,!0,Ed)&&(Ra.dump&&CHAR_LINE_FEED===Ra.dump.charCodeAt(0)?Ad+=":":Ad+=": ",Ad+=Ra.dump,rd+=Ad));Ra.tag=sd,Ra.dump=rd||"{}"}__name(writeBlockMapping,"writeBlockMapping");function detectType2(Ra,qa,ed){var td,rd,sd,od,ld,cd;for(rd=ed?Ra.explicitTypes:Ra.implicitTypes,sd=0,od=rd.length;sd tag resolver accepts not "'+cd+'" style');Ra.dump=td}return!0}return!1}__name(detectType2,"detectType");function writeNode(Ra,qa,ed,td,rd,sd,od){Ra.tag=null,Ra.dump=ed,detectType2(Ra,ed,!1)||detectType2(Ra,ed,!0);var ld=_toString.call(Ra.dump),cd=td,ud;td&&(td=Ra.flowLevel<0||Ra.flowLevel>qa);var _d=ld==="[object Object]"||ld==="[object Array]",Ed,Ad;if(_d&&(Ed=Ra.duplicates.indexOf(ed),Ad=Ed!==-1),(Ra.tag!==null&&Ra.tag!=="?"||Ad||Ra.indent!==2&&qa>0)&&(rd=!1),Ad&&Ra.usedDuplicates[Ed])Ra.dump="*ref_"+Ed;else{if(_d&&Ad&&!Ra.usedDuplicates[Ed]&&(Ra.usedDuplicates[Ed]=!0),ld==="[object Object]")td&&Object.keys(Ra.dump).length!==0?(writeBlockMapping(Ra,qa,Ra.dump,rd),Ad&&(Ra.dump="&ref_"+Ed+Ra.dump)):(writeFlowMapping(Ra,qa,Ra.dump),Ad&&(Ra.dump="&ref_"+Ed+" "+Ra.dump));else if(ld==="[object Array]")td&&Ra.dump.length!==0?(Ra.noArrayIndent&&!od&&qa>0?writeBlockSequence(Ra,qa-1,Ra.dump,rd):writeBlockSequence(Ra,qa,Ra.dump,rd),Ad&&(Ra.dump="&ref_"+Ed+Ra.dump)):(writeFlowSequence(Ra,qa,Ra.dump),Ad&&(Ra.dump="&ref_"+Ed+" "+Ra.dump));else if(ld==="[object String]")Ra.tag!=="?"&&writeScalar(Ra,Ra.dump,qa,sd,cd);else{if(ld==="[object Undefined]")return!1;if(Ra.skipInvalid)return!1;throw new exception("unacceptable kind of an object to dump "+ld)}Ra.tag!==null&&Ra.tag!=="?"&&(ud=encodeURI(Ra.tag[0]==="!"?Ra.tag.slice(1):Ra.tag).replace(/!/g,"%21"),Ra.tag[0]==="!"?ud="!"+ud:ud.slice(0,18)==="tag:yaml.org,2002:"?ud="!!"+ud.slice(18):ud="!<"+ud+">",Ra.dump=ud+" "+Ra.dump)}return!0}__name(writeNode,"writeNode");function getDuplicateReferences(Ra,qa){var ed=[],td=[],rd,sd;for(inspectNode(Ra,ed,td),rd=0,sd=td.length;rdRa.replace(/\r\n?/g,` `).replace(/<(\w+)([^>]*)>/g,(qa,ed,td)=>"<"+ed+td.replace(/="([^"]*)"/g,"='$1'")+">"),"cleanupText"),processFrontmatter=__name(Ra=>{const{text:qa,metadata:ed}=extractFrontMatter(Ra),{displayMode:td,title:rd,config:sd={}}=ed;return td&&(sd.gantt||(sd.gantt={}),sd.gantt.displayMode=td),{title:rd,config:sd,text:qa}},"processFrontmatter"),processDirectives=__name(Ra=>{const qa=utils_default.detectInit(Ra)??{},ed=utils_default.detectDirective(Ra,"wrap");return Array.isArray(ed)?qa.wrap=ed.some(({type:td})=>td==="wrap"):(ed==null?void 0:ed.type)==="wrap"&&(qa.wrap=!0),{text:removeDirectives(Ra),directive:qa}},"processDirectives");function preprocessDiagram(Ra){const qa=cleanupText(Ra),ed=processFrontmatter(qa),td=processDirectives(ed.text),rd=cleanAndMerge(ed.config,td.directive);return Ra=cleanupComments(td.text),{code:Ra,title:ed.title,config:rd}}__name(preprocessDiagram,"preprocessDiagram");function toBase64$1(Ra){const qa=new TextEncoder().encode(Ra),ed=Array.from(qa,td=>String.fromCodePoint(td)).join("");return btoa(ed)}__name(toBase64$1,"toBase64");var MAX_TEXTLENGTH=5e4,MAX_TEXTLENGTH_EXCEEDED_MSG="graph TB;a[Maximum text size in diagram exceeded];style a fill:#faa",SECURITY_LVL_SANDBOX="sandbox",SECURITY_LVL_LOOSE="loose",XMLNS_SVG_STD="http://www.w3.org/2000/svg",XMLNS_XLINK_STD="http://www.w3.org/1999/xlink",XMLNS_XHTML_STD="http://www.w3.org/1999/xhtml",IFRAME_WIDTH="100%",IFRAME_HEIGHT="100%",IFRAME_STYLES="border:0;margin:0;",IFRAME_BODY_STYLE="margin:0",IFRAME_SANDBOX_OPTS="allow-top-navigation-by-user-activation allow-popups",IFRAME_NOT_SUPPORTED_MSG='The "iframe" tag is not supported by your browser.',DOMPURIFY_TAGS=["foreignobject"],DOMPURIFY_ATTR=["dominant-baseline"];function processAndSetConfigs(Ra){const qa=preprocessDiagram(Ra);return reset(),addDirective(qa.config??{}),qa}__name(processAndSetConfigs,"processAndSetConfigs");async function parse$4(Ra,qa){addDiagrams();try{const{code:ed}=processAndSetConfigs(Ra);return{diagramType:(await getDiagramFromText(ed)).type}}catch(ed){if(qa!=null&&qa.suppressErrors)return!1;throw ed}}__name(parse$4,"parse");var cssImportantStyles=__name((Ra,qa,ed=[])=>` .${Ra} ${qa} { ${ed.join(" !important; ")} !important; }`,"cssImportantStyles"),createCssStyles=__name((Ra,qa=new Map)=>{var td;let ed="";if(Ra.themeCSS!==void 0&&(ed+=` ${Ra.themeCSS}`),Ra.fontFamily!==void 0&&(ed+=` :root { --mermaid-font-family: ${Ra.fontFamily}}`),Ra.altFontFamily!==void 0&&(ed+=` :root { --mermaid-alt-font-family: ${Ra.altFontFamily}}`),qa instanceof Map){const ld=Ra.htmlLabels??((td=Ra.flowchart)==null?void 0:td.htmlLabels)?["> *","span"]:["rect","polygon","ellipse","circle","path"];qa.forEach(cd=>{isEmpty$1(cd.styles)||ld.forEach(ud=>{ed+=cssImportantStyles(cd.id,ud,cd.styles)}),isEmpty$1(cd.textStyles)||(ed+=cssImportantStyles(cd.id,"tspan",((cd==null?void 0:cd.textStyles)||[]).map(ud=>ud.replace("color","fill"))))})}return ed},"createCssStyles"),createUserStyles=__name((Ra,qa,ed,td)=>{const rd=createCssStyles(Ra,ed),sd=styles_default(qa,rd,Ra.themeVariables);return serialize(compile$2(`${td}{${sd}}`),stringify$3)},"createUserStyles"),cleanUpSvgCode=__name((Ra="",qa,ed)=>{let td=Ra;return!ed&&!qa&&(td=td.replace(/marker-end="url\([\d+./:=?A-Za-z-]*?#/g,'marker-end="url(#')),td=decodeEntities(td),td=td.replace(/
    /g,"
    "),td},"cleanUpSvgCode"),putIntoIFrame=__name((Ra="",qa)=>{var rd,sd;const ed=(sd=(rd=qa==null?void 0:qa.viewBox)==null?void 0:rd.baseVal)!=null&&sd.height?qa.viewBox.baseVal.height+"px":IFRAME_HEIGHT,td=toBase64$1(`${Ra}`);return``},"putIntoIFrame"),appendDivSvgG=__name((Ra,qa,ed,td,rd)=>{const sd=Ra.append("div");sd.attr("id",ed),td&&sd.attr("style",td);const od=sd.append("svg").attr("id",qa).attr("width","100%").attr("xmlns",XMLNS_SVG_STD);return rd&&od.attr("xmlns:xlink",rd),od.append("g"),Ra},"appendDivSvgG");function sandboxedIframe(Ra,qa){return Ra.append("iframe").attr("id",qa).attr("style","width: 100%; height: 100%;").attr("sandbox","")}__name(sandboxedIframe,"sandboxedIframe");var removeExistingElements=__name((Ra,qa,ed,td)=>{var rd,sd,od;(rd=Ra.getElementById(qa))==null||rd.remove(),(sd=Ra.getElementById(ed))==null||sd.remove(),(od=Ra.getElementById(td))==null||od.remove()},"removeExistingElements"),render=__name(async function(Ra,qa,ed){var df,mf,_f,hf,gf,yf;addDiagrams();const td=processAndSetConfigs(qa);qa=td.code;const rd=getConfig();log.debug(rd),qa.length>((rd==null?void 0:rd.maxTextSize)??MAX_TEXTLENGTH)&&(qa=MAX_TEXTLENGTH_EXCEEDED_MSG);const sd="#"+Ra,od="i"+Ra,ld="#"+od,cd="d"+Ra,ud="#"+cd,_d=__name(()=>{const Pf=select(Ad?ld:ud).node();Pf&&"remove"in Pf&&Pf.remove()},"removeTempElements");let Ed=select("body");const Ad=rd.securityLevel===SECURITY_LVL_SANDBOX,Td=rd.securityLevel===SECURITY_LVL_LOOSE,Nd=rd.fontFamily;if(ed!==void 0){if(ed&&(ed.innerHTML=""),Ad){const Nf=sandboxedIframe(select(ed),od);Ed=select(Nf.nodes()[0].contentDocument.body),Ed.node().style.margin=0}else Ed=select(ed);appendDivSvgG(Ed,Ra,cd,`font-family: ${Nd}`,XMLNS_XLINK_STD)}else{if(removeExistingElements(document,Ra,cd,od),Ad){const Nf=sandboxedIframe(select("body"),od);Ed=select(Nf.nodes()[0].contentDocument.body),Ed.node().style.margin=0}else Ed=select("body");appendDivSvgG(Ed,Ra,cd)}let Rd,Bd;try{Rd=await Diagram.fromText(qa,{title:td.title})}catch(Nf){if(rd.suppressErrorRendering)throw _d(),Nf;Rd=await Diagram.fromText("error"),Bd=Nf}const kd=Ed.select(ud).node(),Od=Rd.type,Md=kd.firstChild,Pd=Md.firstChild,Fd=(mf=(df=Rd.renderer).getClasses)==null?void 0:mf.call(df,qa,Rd),Ud=createUserStyles(rd,Od,Fd,sd),Gd=document.createElement("style");Gd.innerHTML=Ud,Md.insertBefore(Gd,Pd);try{await Rd.renderer.draw(qa,Ra,version,Rd)}catch(Nf){throw rd.suppressErrorRendering?_d():errorRenderer_default.draw(qa,Ra,version),Nf}const Qd=Ed.select(`${ud} svg`),Vd=(hf=(_f=Rd.db).getAccTitle)==null?void 0:hf.call(_f),zd=(yf=(gf=Rd.db).getAccDescription)==null?void 0:yf.call(gf);addA11yInfo(Od,Qd,Vd,zd),Ed.select(`[id="${Ra}"]`).selectAll("foreignobject > *").attr("xmlns",XMLNS_XHTML_STD);let Xd=Ed.select(ud).node().innerHTML;if(log.debug("config.arrowMarkerAbsolute",rd.arrowMarkerAbsolute),Xd=cleanUpSvgCode(Xd,Ad,evaluate(rd.arrowMarkerAbsolute)),Ad){const Nf=Ed.select(ud+" svg").node();Xd=putIntoIFrame(Xd,Nf)}else Td||(Xd=purify.sanitize(Xd,{ADD_TAGS:DOMPURIFY_TAGS,ADD_ATTR:DOMPURIFY_ATTR}));if(attachFunctions(),Bd)throw Bd;return _d(),{diagramType:Od,svg:Xd,bindFunctions:Rd.db.bindFunctions}},"render");function initialize(Ra={}){var td;const qa=assignWithDepth_default({},Ra);qa!=null&&qa.fontFamily&&!((td=qa.themeVariables)!=null&&td.fontFamily)&&(qa.themeVariables||(qa.themeVariables={}),qa.themeVariables.fontFamily=qa.fontFamily),saveConfigFromInitialize(qa),qa!=null&&qa.theme&&qa.theme in themes_default?qa.themeVariables=themes_default[qa.theme].getThemeVariables(qa.themeVariables):qa&&(qa.themeVariables=themes_default.default.getThemeVariables(qa.themeVariables));const ed=typeof qa=="object"?setSiteConfig(qa):getSiteConfig();setLogLevel(ed.logLevel),addDiagrams()}__name(initialize,"initialize");var getDiagramFromText=__name((Ra,qa={})=>{const{code:ed}=preprocessDiagram(Ra);return Diagram.fromText(ed,qa)},"getDiagramFromText");function addA11yInfo(Ra,qa,ed,td){setA11yDiagramInfo(qa,Ra),addSVGa11yTitleDescription(qa,ed,td,qa.attr("id"))}__name(addA11yInfo,"addA11yInfo");var mermaidAPI=Object.freeze({render,parse:parse$4,getDiagramFromText,initialize,getConfig,setConfig,getSiteConfig,updateSiteConfig,reset:__name(()=>{reset()},"reset"),globalReset:__name(()=>{reset(defaultConfig)},"globalReset"),defaultConfig});setLogLevel(getConfig().logLevel);reset(getConfig());var handleError=__name((Ra,qa,ed)=>{log.warn(Ra),isDetailedError(Ra)?(ed&&ed(Ra.str,Ra.hash),qa.push({...Ra,message:Ra.str,error:Ra})):(ed&&ed(Ra),Ra instanceof Error&&qa.push({str:Ra.message,message:Ra.message,hash:Ra.name,error:Ra}))},"handleError"),run=__name(async function(Ra={querySelector:".mermaid"}){try{await runThrowsErrors(Ra)}catch(qa){if(isDetailedError(qa)&&log.error(qa.str),mermaid.parseError&&mermaid.parseError(qa),!Ra.suppressErrors)throw log.error("Use the suppressErrors option to suppress these errors"),qa}},"run"),runThrowsErrors=__name(async function({postRenderCallback:Ra,querySelector:qa,nodes:ed}={querySelector:".mermaid"}){const td=mermaidAPI.getConfig();log.debug(`${Ra?"":"No "}Callback function found`);let rd;if(ed)rd=ed;else if(qa)rd=document.querySelectorAll(qa);else throw new Error("Nodes and querySelector are both undefined");log.debug(`Found ${rd.length} diagrams`),(td==null?void 0:td.startOnLoad)!==void 0&&(log.debug("Start On Load: "+(td==null?void 0:td.startOnLoad)),mermaidAPI.updateSiteConfig({startOnLoad:td==null?void 0:td.startOnLoad}));const sd=new utils_default.InitIDGenerator(td.deterministicIds,td.deterministicIDSeed);let od;const ld=[];for(const cd of Array.from(rd)){if(log.info("Rendering diagram: "+cd.id),cd.getAttribute("data-processed"))continue;cd.setAttribute("data-processed","true");const ud=`mermaid-${sd.next()}`;od=cd.innerHTML,od=dedent(utils_default.entityDecode(od)).trim().replace(//gi,"
    ");const _d=utils_default.detectInit(od);_d&&log.debug("Detected early reinit: ",_d);try{const{svg:Ed,bindFunctions:Ad}=await render2(ud,od,cd);cd.innerHTML=Ed,Ra&&await Ra(ud),Ad&&Ad(cd)}catch(Ed){handleError(Ed,ld,mermaid.parseError)}}if(ld.length>0)throw ld[0]},"runThrowsErrors"),initialize2=__name(function(Ra){mermaidAPI.initialize(Ra)},"initialize"),init=__name(async function(Ra,qa,ed){log.warn("mermaid.init is deprecated. Please use run instead."),Ra&&initialize2(Ra);const td={postRenderCallback:ed,querySelector:".mermaid"};typeof qa=="string"?td.querySelector=qa:qa&&(qa instanceof HTMLElement?td.nodes=[qa]:td.nodes=qa),await run(td)},"init"),registerExternalDiagrams=__name(async(Ra,{lazyLoad:qa=!0}={})=>{addDiagrams(),registerLazyLoadedDiagrams(...Ra),qa===!1&&await loadRegisteredDiagrams()},"registerExternalDiagrams"),contentLoaded=__name(function(){if(mermaid.startOnLoad){const{startOnLoad:Ra}=mermaidAPI.getConfig();Ra&&mermaid.run().catch(qa=>log.error("Mermaid failed to initialize",qa))}},"contentLoaded");typeof document<"u"&&window.addEventListener("load",contentLoaded,!1);var setParseErrorHandler=__name(function(Ra){mermaid.parseError=Ra},"setParseErrorHandler"),executionQueue=[],executionQueueRunning=!1,executeQueue=__name(async()=>{if(!executionQueueRunning){for(executionQueueRunning=!0;executionQueue.length>0;){const Ra=executionQueue.shift();if(Ra)try{await Ra()}catch(qa){log.error("Error executing queue",qa)}}executionQueueRunning=!1}},"executeQueue"),parse2=__name(async(Ra,qa)=>new Promise((ed,td)=>{const rd=__name(()=>new Promise((sd,od)=>{mermaidAPI.parse(Ra,qa).then(ld=>{sd(ld),ed(ld)},ld=>{var cd;log.error("Error parsing",ld),(cd=mermaid.parseError)==null||cd.call(mermaid,ld),od(ld),td(ld)})}),"performCall");executionQueue.push(rd),executeQueue().catch(td)}),"parse"),render2=__name((Ra,qa,ed)=>new Promise((td,rd)=>{const sd=__name(()=>new Promise((od,ld)=>{mermaidAPI.render(Ra,qa,ed).then(cd=>{od(cd),td(cd)},cd=>{var ud;log.error("Error parsing",cd),(ud=mermaid.parseError)==null||ud.call(mermaid,cd),ld(cd),rd(cd)})}),"performCall");executionQueue.push(sd),executeQueue().catch(rd)}),"render"),mermaid={startOnLoad:!0,mermaidAPI,parse:parse2,render:render2,init,run,registerExternalDiagrams,registerLayoutLoaders,initialize:initialize2,parseError:void 0,contentLoaded,setParseErrorHandler,detectType,registerIconPacks},mermaid_default=mermaid;/*! Check if previously processed *//*! * Wait for document loaded before starting the execution *//*! Bundled license information: js-yaml/dist/js-yaml.mjs: (*! js-yaml 4.1.0 https://github.com/nodeca/js-yaml @license MIT *) */const inlineRule=/^(\${1,2})(?!\$)((?:\\.|[^\\\n])*?(?:\\.|[^\\\n$]))\1(?=[\s?!.,:?!。,:]|$)/,inlineRuleNonStandard=/^(\${1,2})(?!\$)((?:\\.|[^\\\n])*?(?:\\.|[^\\\n$]))\1/,blockRule=/^(\${1,2})\n((?:\\[\s\S]|[^\\])+?)\n\1(?:\n|$)/;function createRenderer(Ra){return qa=>{window.MathJax.texReset();const td=window.MathJax.tex2svg(qa.text,{display:Ra}).firstChild,rd=td.style["min-width"]||td.getAttribute("width");return td.removeAttribute("width"),td.style="max-width: 300vw !important;",td.style.width=rd,td.style.display="initial",Ra?`
    ${td.outerHTML}
    `:`${td.outerHTML}`}}function inlineKatex(Ra,qa){const ed=Ra&&Ra.nonStandard,td=ed?inlineRuleNonStandard:inlineRule;return{name:"inlineKatex",level:"inline",start(rd){let sd,od=rd;for(;od;){if(sd=od.indexOf("$"),sd===-1)return;if((ed?sd>-1:sd===0||od.charAt(sd-1)===" ")&&od.substring(sd).match(td))return sd;od=od.substring(sd+1).replace(/^\$+/,"")}},tokenizer(rd){const sd=rd.match(td);if(sd)return{type:"inlineKatex",raw:sd[0],text:sd[2].trim(),displayMode:sd[1].length===2}},renderer:qa}}function blockKatex(Ra,qa){return{name:"blockKatex",level:"block",tokenizer(ed){const td=ed.match(blockRule);if(td)return{type:"blockKatex",raw:td[0],text:td[2].trim(),displayMode:td[1].length===2}},renderer:qa}}function MDKatex(Ra={}){return{extensions:[inlineKatex(Ra,createRenderer(!1)),blockKatex(Ra,createRenderer(!0))]}}marked$1.use(MDKatex({nonStandard:!0}));function buildTheme({theme:Ra,fonts:qa,size:ed}){const td=toMerged(Ra.base,{"font-family":qa,"font-size":ed}),rd=sd=>Object.fromEntries(Object.entries(sd).map(([od,ld])=>[od,toMerged(td,ld)]));return{...rd(Ra.inline),...rd(Ra.block)}}function buildAddition(){return` `}function getStyles(Ra,qa,ed=""){const td=Ra[qa];return td?`style="${Object.entries(td).map(([sd,od])=>`${sd}:${od}`).join(";")}${ed}"`:""}function buildFootnoteArray(Ra){return Ra.map(([qa,ed,td])=>td===ed?`[${qa}]: ${ed}
    `:`[${qa}] ${ed}: ${td}
    `).join(` `)}function transform(Ra,qa,ed){const td=Ra.split("-");for(const rd of td){if(rd==="alt"&&qa)return qa;if(rd==="title"&&ed)return ed}return""}const macCodeSvg=` `.trim();function initRenderer(Ra){const qa=[];let ed=0,td=buildTheme(Ra),rd=0,sd=0,od=!1;function ld(Nd,Rd=""){return getStyles(td,Nd,Rd)}function cd(Nd,Rd,Bd){const kd=Bd??Nd;return`<${kd} ${ld(Nd)}>${Rd}`}function ud(Nd,Rd){return qa.push([++ed,Nd,Rd]),ed}function _d(Nd){qa.length=0,ed=0,Ed(Nd)}function Ed(Nd){Ra={...Ra,...Nd},td=buildTheme(Ra)}const Ad=()=>qa.length?cd("h4","引用链接")+cd("footnotes",buildFootnoteArray(qa),"p"):"",Td={heading({tokens:Nd,depth:Rd}){const Bd=this.parser.parseInline(Nd),kd=`h${Rd}`;return cd(kd,Bd)},paragraph({tokens:Nd}){const Rd=this.parser.parseInline(Nd),Bd=Rd.includes("/g,`

    `),cd("blockquote",Rd)},code({text:Nd,lang:Rd=""}){if(Rd.startsWith("mermaid"))return clearTimeout(rd),rd=setTimeout(()=>{mermaid_default.run()},0),`

    ${Nd}
    `;const Bd=Rd.split(" ")[0],kd=HighlightJS.getLanguage(Bd)?Bd:"plaintext";let Od=HighlightJS.highlight(Nd,{language:kd}).value;Od=Od.replace(/\r\n/g,"
    ").replace(/\n/g,"
    ").replace(/(>[^<]+)|(^[^<]+)/g,Fd=>Fd.replace(/\s/g," "));const Md=``,Pd=`${Od}`;return`
    ${Md}${Pd}
    `},codespan({text:Nd}){return cd("codespan",Nd,"code")},listitem(Nd){const Rd=od?`${sd+1}. `:"• ",Bd=Nd.tokens.map(kd=>this[kd.type](kd)).join("");return cd("listitem",`${Rd}${Bd}`,"li")},list({ordered:Nd,items:Rd}){const Bd=[];for(let Od=0;Od${Bd}${kd}`},link({href:Nd,title:Rd,text:Bd}){if(Nd.startsWith("https://mp.weixin.qq.com"))return`
    ${Bd}`;if(Nd===Bd)return Bd;if(Ra.status){const kd=ud(Rd||Bd,Nd);return`${Bd}[${kd}]`}return cd("link",Bd,"span")},strong({tokens:Nd}){return cd("strong",this.parser.parseInline(Nd))},em({tokens:Nd}){return cd("em",this.parser.parseInline(Nd),"span")},table({header:Nd,rows:Rd}){const Bd=Nd.map(Od=>this.tablecell(Od)).join(""),kd=Rd.map(Od=>{const Md=Od.map(Pd=>this.tablecell(Pd)).join("");return cd("tr",Md)}).join("");return`
    ${Bd}${kd}
    `},tablecell(Nd){const Rd=this.parser.parseInline(Nd.tokens);return cd("td",Rd)},hr(Nd){return cd("hr","")}};return marked$1.use({renderer:Td}),{buildAddition,buildFootnotes:Ad,setOptions:Ed,reset:_d}}const DEFAULT_CONTENT=`# 探索 Markdown 的奇妙世界 欢迎来到 Markdown 的奇妙世界!无论你是写作爱好者、开发者、博主,还是想要简单记录点什么的人,Markdown 都能成为你新的好伙伴。它不仅让写作变得简单明了,还能轻松地将内容转化为漂亮的网页格式。今天,我们将全面探讨 Markdown 的基础和进阶语法,让你在这个过程中充分享受写作的乐趣! Markdown 是一种轻量级标记语言,用于格式化纯文本。它以简单、直观的语法而著称,可以快速地生成 HTML。Markdown 是写作与代码的完美结合,既简单又强大。 ## Markdown 基础语法 ### 1. 标题:让你的内容层次分明 用 \`#\` 号来创建标题。标题从 \`#\` 开始,我们最多支持 4 个 \`#\` 号,对应 4 级标题。 \`\`\`markdown # 一级标题 ## 二级标题 ### 三级标题 #### 四级标题 \`\`\` 以上代码将渲染出一组层次分明的标题,使你的文章井井有条。 > 标准 Markdown 支持 1 ~ 6 级标题,此编辑器也不例外,不过我们只准备了四个级别样式,同时也是不推荐文章超过四级标题。 ### 2. 段落与换行:自然流畅 Markdown 中的段落就是一行接一行的文本。要创建新段落,只需在两行文本之间空一行。 ### 3. 字体样式:强调你的文字 - **粗体**:用两个星号或下划线包裹文字,如 \`**粗体**\` 或 \`__粗体__\`。 - _斜体_:用一个星号或下划线包裹文字,如 \`*斜体*\` 或 \`_斜体_\`。 - ~~删除线~~:用两个波浪线包裹文字,如 \`~~删除线~~\`。 这些简单的标记可以让你的内容更有层次感和重点突出。 ### 4. 列表:整洁有序 - **无序列表**:用 \`-\`、\`*\` 或 \`+\` 加空格开始一行。 - **有序列表**:使用数字加点号(\`1.\`、\`2.\`)开始一行。 在列表中嵌套其他内容?只需缩进即可实现嵌套效果。 - 无序列表项 1 1. 嵌套有序列表项 1 2. 嵌套有序列表项 2 - 无序列表项 2 1. 有序列表项 1 2. 有序列表项 2 ### 5. 链接与图片:丰富内容 - **链接**:用方括号和圆括号创建链接 \`[显示文本](链接地址)\`。 - **图片**:和链接类似,只需在前面加上 \`!\`,如 \`![描述文本](图片链接)\`。 [访问 Doocs](https://github.com/doocs) ![doocs](https://cdn-doocs.oss-cn-shenzhen.aliyuncs.com/gh/doocs/md/images/logo-2.png) 轻松实现富媒体内容展示! > 因微信公众号平台不支持除公众号文章以外的链接,故其他平台的链接,会呈现链接色泽但不能点击。 > 对于这些链接请注意明文书写,或点击左上角「格式->微信外链接转底部引用」开启引用,这样就可以在底部观察到链接指向。 ### 6. 引用:引用名言或引人深思的句子 使用 \`>\` 来创建引用,只需在文本前面加上它。多层引用?在前一层 \`>\` 后再加一个就行。 > 这是一个引用 > > > 这是一个嵌套引用 这让你的引用更加富有层次感。 ### 7. 代码块:展示你的代码 - **行内代码**:用反引号包裹,如 \`code\`。 - **代码块**:用三个反引号包裹,并指定语言,如: \`\`\`js console.log("Hello, Doocs!"); \`\`\` 语法高亮让你的代码更易读。 ### 8. 分割线:分割内容 用三个或更多的 \`-\`、\`*\` 或 \`_\` 来创建分割线。 --- 为你的内容添加视觉分隔。 ### 9. 表格:清晰展示数据 Markdown 支持简单的表格,用 \`|\` 和 \`-\` 分隔单元格和表头。 | 项目人员 | 邮箱 | 微信号 | | ------------------------------------------- | ---------------------- | ------------ | | [yanglbme](https://github.com/yanglbme) | contact@yanglibin.info | YLB0109 | | [YangFong](https://github.com/YangFong) | yangfong2022@gmail.com | yq2419731931 | | [thinkasany](https://github.com/thinkasany) | thinkasany@gmail.com | thinkasany | 这样的表格让数据展示更为清爽! > 手动编写标记太麻烦?我们提供了便捷方式。左上方点击「编辑->插入表格」,即可快速实现表格渲染。 ## Markdown 进阶技巧 ### 1. LaTeX 公式:完美展示数学表达式 Markdown 允许嵌入 LaTeX 语法展示数学公式: - **行内公式**:用 \`$\` 包裹公式,如 $E = mc^2$。 - **块级公式**:用 \`$$\` 包裹公式,如: $$ \\begin{aligned} d_{i, j} &\\leftarrow d_{i, j} + 1 \\\\ d_{i, y + 1} &\\leftarrow d_{i, y + 1} - 1 \\\\ d_{x + 1, j} &\\leftarrow d_{x + 1, j} - 1 \\\\ d_{x + 1, y + 1} &\\leftarrow d_{x + 1, y + 1} + 1 \\end{aligned} $$ 这是展示复杂数学表达的利器! ### 2. Mermaid 流程图:可视化流程 Mermaid 是强大的可视化工具,可以在 Markdown 中创建流程图、时序图等。 \`\`\`mermaid graph TD; A-->B; A-->C; B-->D; C-->D; \`\`\` \`\`\`mermaid pie title Key elements in Product X "Calcium" : 42.96 "Potassium" : 50.05 "Magnesium" : 10.01 "Iron" : 5 \`\`\` \`\`\`mermaid pie title 为什么总是宅在家里? "喜欢宅" : 45 "天气太热" : 70 "穷" : 500 "没人约" : 95 \`\`\` 这种方式不仅能直观展示流程,还能提升文档的专业性。 > 更多用法,参见:[Mermaid User Guide](https://mermaid.js.org/intro/getting-started.html)。 ## 结语 Markdown 是一种简单、强大且易于掌握的标记语言,通过学习基础和进阶语法,你可以快速创作内容并有效传达信息。无论是技术文档、个人博客还是项目说明,Markdown 都是你的得力助手。希望这篇文章能够带你全面了解 Markdown 的潜力,让你的写作更加丰富多彩! 现在,拿起 Markdown 编辑器,开始创作吧!探索 Markdown 的世界,你会发现它远比想象中更精彩! #### 推荐阅读 - [阿里又一个 20k+ stars 开源项目诞生,恭喜 fastjson!](https://mp.weixin.qq.com/s/RNKDCK2KoyeuMeEs6GUrow) - [刷掉 90% 候选人的互联网大厂海量数据面试题(附题解 + 方法总结)](https://mp.weixin.qq.com/s/rjGqxUvrEqJNlo09GrT1Dw) - [好用!期待已久的文本块功能究竟如何在 Java 13 中发挥作用?](https://mp.weixin.qq.com/s/kalGv5T8AZGxTnLHr2wDsA) - [2019 GitHub 开源贡献排行榜新鲜出炉!微软谷歌领头,阿里跻身前 12!](https://mp.weixin.qq.com/s/_q812aGD1b9QvZ2WFI0Qgw) ---
    `,DEFAULT_CSS_CONTENT=`/** * 按 Alt/Option + Shift + F 可格式化 * 如需使用主题色,请使用 var(--md-primary-color) 代替颜色值 * 如:color: var(--md-primary-color); * * 召集令:如果你有好看的主题样式,欢迎分享,让更多人能够使用到你的主题。 * 提交区:https://github.com/doocs/md/issues/363 */ /* 一级标题样式 */ h1 { } /* 二级标题样式 */ h2 { } /* 三级标题样式 */ h3 { } /* 四级标题样式 */ h4 { } /* 图片样式 */ image { } /* 引用样式 */ blockquote { } /* 引用段落样式 */ blockquote_p { } /* 段落样式 */ p { } /* 分割线样式 */ hr { } /* 行内代码样式 */ codespan { } /* 粗体样式 */ strong { } /* 链接样式 */ link { } /* 微信链接样式 */ wx_link { } /* 有序列表样式 */ ol { } /* 无序列表样式 */ ul { } /* 列表项样式 */ li { } /* 代码块样式 */ code { } /* 代码块外层样式 */ code_pre { } `;var cheerio$2={exports:{}},lib$b={},extendStatics=function(Ra,qa){return extendStatics=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(ed,td){ed.__proto__=td}||function(ed,td){for(var rd in td)Object.prototype.hasOwnProperty.call(td,rd)&&(ed[rd]=td[rd])},extendStatics(Ra,qa)};function __extends(Ra,qa){if(typeof qa!="function"&&qa!==null)throw new TypeError("Class extends value "+String(qa)+" is not a constructor or null");extendStatics(Ra,qa);function ed(){this.constructor=Ra}Ra.prototype=qa===null?Object.create(qa):(ed.prototype=qa.prototype,new ed)}var __assign=function(){return __assign=Object.assign||function(qa){for(var ed,td=1,rd=arguments.length;td=0;ld--)(od=Ra[ld])&&(sd=(rd<3?od(sd):rd>3?od(qa,ed,sd):od(qa,ed))||sd);return rd>3&&sd&&Object.defineProperty(qa,ed,sd),sd}function __param(Ra,qa){return function(ed,td){qa(ed,td,Ra)}}function __esDecorate(Ra,qa,ed,td,rd,sd){function od(kd){if(kd!==void 0&&typeof kd!="function")throw new TypeError("Function expected");return kd}for(var ld=td.kind,cd=ld==="getter"?"get":ld==="setter"?"set":"value",ud=!qa&&Ra?td.static?Ra:Ra.prototype:null,_d=qa||(ud?Object.getOwnPropertyDescriptor(ud,td.name):{}),Ed,Ad=!1,Td=ed.length-1;Td>=0;Td--){var Nd={};for(var Rd in td)Nd[Rd]=Rd==="access"?{}:td[Rd];for(var Rd in td.access)Nd.access[Rd]=td.access[Rd];Nd.addInitializer=function(kd){if(Ad)throw new TypeError("Cannot add initializers after decoration has completed");sd.push(od(kd||null))};var Bd=(0,ed[Td])(ld==="accessor"?{get:_d.get,set:_d.set}:_d[cd],Nd);if(ld==="accessor"){if(Bd===void 0)continue;if(Bd===null||typeof Bd!="object")throw new TypeError("Object expected");(Ed=od(Bd.get))&&(_d.get=Ed),(Ed=od(Bd.set))&&(_d.set=Ed),(Ed=od(Bd.init))&&rd.unshift(Ed)}else(Ed=od(Bd))&&(ld==="field"?rd.unshift(Ed):_d[cd]=Ed)}ud&&Object.defineProperty(ud,td.name,_d),Ad=!0}function __runInitializers(Ra,qa,ed){for(var td=arguments.length>2,rd=0;rd0&&sd[sd.length-1])&&(ud[0]===6||ud[0]===2)){ed=0;continue}if(ud[0]===3&&(!sd||ud[1]>sd[0]&&ud[1]=Ra.length&&(Ra=void 0),{value:Ra&&Ra[td++],done:!Ra}}};throw new TypeError(qa?"Object is not iterable.":"Symbol.iterator is not defined.")}function __read(Ra,qa){var ed=typeof Symbol=="function"&&Ra[Symbol.iterator];if(!ed)return Ra;var td=ed.call(Ra),rd,sd=[],od;try{for(;(qa===void 0||qa-- >0)&&!(rd=td.next()).done;)sd.push(rd.value)}catch(ld){od={error:ld}}finally{try{rd&&!rd.done&&(ed=td.return)&&ed.call(td)}finally{if(od)throw od.error}}return sd}function __spread(){for(var Ra=[],qa=0;qa1||cd(Td,Rd)})},Nd&&(rd[Td]=Nd(rd[Td])))}function cd(Td,Nd){try{ud(td[Td](Nd))}catch(Rd){Ad(sd[0][3],Rd)}}function ud(Td){Td.value instanceof __await?Promise.resolve(Td.value.v).then(_d,Ed):Ad(sd[0][2],Td)}function _d(Td){cd("next",Td)}function Ed(Td){cd("throw",Td)}function Ad(Td,Nd){Td(Nd),sd.shift(),sd.length&&cd(sd[0][0],sd[0][1])}}function __asyncDelegator(Ra){var qa,ed;return qa={},td("next"),td("throw",function(rd){throw rd}),td("return"),qa[Symbol.iterator]=function(){return this},qa;function td(rd,sd){qa[rd]=Ra[rd]?function(od){return(ed=!ed)?{value:__await(Ra[rd](od)),done:!1}:sd?sd(od):od}:sd}}function __asyncValues(Ra){if(!Symbol.asyncIterator)throw new TypeError("Symbol.asyncIterator is not defined.");var qa=Ra[Symbol.asyncIterator],ed;return qa?qa.call(Ra):(Ra=typeof __values=="function"?__values(Ra):Ra[Symbol.iterator](),ed={},td("next"),td("throw"),td("return"),ed[Symbol.asyncIterator]=function(){return this},ed);function td(sd){ed[sd]=Ra[sd]&&function(od){return new Promise(function(ld,cd){od=Ra[sd](od),rd(ld,cd,od.done,od.value)})}}function rd(sd,od,ld,cd){Promise.resolve(cd).then(function(ud){sd({value:ud,done:ld})},od)}}function __makeTemplateObject(Ra,qa){return Object.defineProperty?Object.defineProperty(Ra,"raw",{value:qa}):Ra.raw=qa,Ra}var __setModuleDefault=Object.create?function(Ra,qa){Object.defineProperty(Ra,"default",{enumerable:!0,value:qa})}:function(Ra,qa){Ra.default=qa};function __importStar(Ra){if(Ra&&Ra.__esModule)return Ra;var qa={};if(Ra!=null)for(var ed in Ra)ed!=="default"&&Object.prototype.hasOwnProperty.call(Ra,ed)&&__createBinding(qa,Ra,ed);return __setModuleDefault(qa,Ra),qa}function __importDefault(Ra){return Ra&&Ra.__esModule?Ra:{default:Ra}}function __classPrivateFieldGet(Ra,qa,ed,td){if(ed==="a"&&!td)throw new TypeError("Private accessor was defined without a getter");if(typeof qa=="function"?Ra!==qa||!td:!qa.has(Ra))throw new TypeError("Cannot read private member from an object whose class did not declare it");return ed==="m"?td:ed==="a"?td.call(Ra):td?td.value:qa.get(Ra)}function __classPrivateFieldSet(Ra,qa,ed,td,rd){if(td==="m")throw new TypeError("Private method is not writable");if(td==="a"&&!rd)throw new TypeError("Private accessor was defined without a setter");if(typeof qa=="function"?Ra!==qa||!rd:!qa.has(Ra))throw new TypeError("Cannot write private member to an object whose class did not declare it");return td==="a"?rd.call(Ra,ed):rd?rd.value=ed:qa.set(Ra,ed),ed}function __classPrivateFieldIn(Ra,qa){if(qa===null||typeof qa!="object"&&typeof qa!="function")throw new TypeError("Cannot use 'in' operator on non-object");return typeof Ra=="function"?qa===Ra:Ra.has(qa)}function __addDisposableResource(Ra,qa,ed){if(qa!=null){if(typeof qa!="object"&&typeof qa!="function")throw new TypeError("Object expected.");var td,rd;if(ed){if(!Symbol.asyncDispose)throw new TypeError("Symbol.asyncDispose is not defined.");td=qa[Symbol.asyncDispose]}if(td===void 0){if(!Symbol.dispose)throw new TypeError("Symbol.dispose is not defined.");td=qa[Symbol.dispose],ed&&(rd=td)}if(typeof td!="function")throw new TypeError("Object not disposable.");rd&&(td=function(){try{rd.call(this)}catch(sd){return Promise.reject(sd)}}),Ra.stack.push({value:qa,dispose:td,async:ed})}else ed&&Ra.stack.push({async:!0});return qa}var _SuppressedError=typeof SuppressedError=="function"?SuppressedError:function(Ra,qa,ed){var td=new Error(ed);return td.name="SuppressedError",td.error=Ra,td.suppressed=qa,td};function __disposeResources(Ra){function qa(sd){Ra.error=Ra.hasError?new _SuppressedError(sd,Ra.error,"An error was suppressed during disposal."):sd,Ra.hasError=!0}var ed,td=0;function rd(){for(;ed=Ra.stack.pop();)try{if(!ed.async&&td===1)return td=0,Ra.stack.push(ed),Promise.resolve().then(rd);if(ed.dispose){var sd=ed.dispose.call(ed.value);if(ed.async)return td|=2,Promise.resolve(sd).then(rd,function(od){return qa(od),rd()})}else td|=1}catch(od){qa(od)}if(td===1)return Ra.hasError?Promise.reject(Ra.error):Promise.resolve();if(Ra.hasError)throw Ra.error}return rd()}const tslib_es6={__extends,__assign,__rest,__decorate,__param,__metadata,__awaiter,__generator,__createBinding,__exportStar,__values,__read,__spread,__spreadArrays,__spreadArray,__await,__asyncGenerator,__asyncDelegator,__asyncValues,__makeTemplateObject,__importStar,__importDefault,__classPrivateFieldGet,__classPrivateFieldSet,__classPrivateFieldIn,__addDisposableResource,__disposeResources},tslib_es6$1=Object.freeze(Object.defineProperty({__proto__:null,__addDisposableResource,get __assign(){return __assign},__asyncDelegator,__asyncGenerator,__asyncValues,__await,__awaiter,__classPrivateFieldGet,__classPrivateFieldIn,__classPrivateFieldSet,__createBinding,__decorate,__disposeResources,__esDecorate,__exportStar,__extends,__generator,__importDefault,__importStar,__makeTemplateObject,__metadata,__param,__propKey,__read,__rest,__runInitializers,__setFunctionName,__spread,__spreadArray,__spreadArrays,__values,default:tslib_es6},Symbol.toStringTag,{value:"Module"})),require$$0$4=getAugmentedNamespace(tslib_es6$1);var types={},hasRequiredTypes;function requireTypes(){return hasRequiredTypes||(hasRequiredTypes=1,Object.defineProperty(types,"__esModule",{value:!0})),types}var load={},options={},hasRequiredOptions;function requireOptions(){if(hasRequiredOptions)return options;hasRequiredOptions=1,Object.defineProperty(options,"__esModule",{value:!0}),options.flatten=void 0;var Ra=require$$0$4,qa={xml:!1,decodeEntities:!0};options.default=qa;var ed={_useHtmlParser2:!0,xmlMode:!0};function td(rd){return rd!=null&&rd.xml?typeof rd.xml=="boolean"?ed:Ra.__assign(Ra.__assign({},ed),rd.xml):rd??void 0}return options.flatten=td,options}var _static={},lib$a={},SelectorType;(function(Ra){Ra.Attribute="attribute",Ra.Pseudo="pseudo",Ra.PseudoElement="pseudo-element",Ra.Tag="tag",Ra.Universal="universal",Ra.Adjacent="adjacent",Ra.Child="child",Ra.Descendant="descendant",Ra.Parent="parent",Ra.Sibling="sibling",Ra.ColumnCombinator="column-combinator"})(SelectorType||(SelectorType={}));const IgnoreCaseMode={Unknown:null,QuirksMode:"quirks",IgnoreCase:!0,CaseSensitive:!1};var AttributeAction;(function(Ra){Ra.Any="any",Ra.Element="element",Ra.End="end",Ra.Equals="equals",Ra.Exists="exists",Ra.Hyphen="hyphen",Ra.Not="not",Ra.Start="start"})(AttributeAction||(AttributeAction={}));const reName=/^[^\\#]?(?:\\(?:[\da-f]{1,6}\s?|.)|[\w\-\u00b0-\uFFFF])+/,reEscape=/\\([\da-f]{1,6}\s?|(\s)|.)/gi,actionTypes=new Map([[126,AttributeAction.Element],[94,AttributeAction.Start],[36,AttributeAction.End],[42,AttributeAction.Any],[33,AttributeAction.Not],[124,AttributeAction.Hyphen]]),unpackPseudos=new Set(["has","not","matches","is","where","host","host-context"]);function isTraversal(Ra){switch(Ra.type){case SelectorType.Adjacent:case SelectorType.Child:case SelectorType.Descendant:case SelectorType.Parent:case SelectorType.Sibling:case SelectorType.ColumnCombinator:return!0;default:return!1}}const stripQuotesFromPseudos=new Set(["contains","icontains"]);function funescape(Ra,qa,ed){const td=parseInt(qa,16)-65536;return td!==td||ed?qa:td<0?String.fromCharCode(td+65536):String.fromCharCode(td>>10|55296,td&1023|56320)}function unescapeCSS(Ra){return Ra.replace(reEscape,funescape)}function isQuote(Ra){return Ra===39||Ra===34}function isWhitespace(Ra){return Ra===32||Ra===9||Ra===10||Ra===12||Ra===13}function parse$3(Ra){const qa=[],ed=parseSelector(qa,`${Ra}`,0);if(ed0&&ed0&&isTraversal(td[td.length-1]))throw new Error("Did not expect successive traversals.")}function ud(Ad){if(td.length>0&&td[td.length-1].type===SelectorType.Descendant){td[td.length-1].type=Ad;return}cd(),td.push({type:Ad})}function _d(Ad,Td){td.push({type:SelectorType.Attribute,name:Ad,action:Td,value:rd(1),namespace:null,ignoreCase:"quirks"})}function Ed(){if(td.length&&td[td.length-1].type===SelectorType.Descendant&&td.pop(),td.length===0)throw new Error("Empty sub-selector");Ra.push(td)}if(sd(0),qa.length===ed)return ed;e:for(;edRa.charCodeAt(0))),charsToEscapeInPseudoValue=new Set(pseudoValChars.map(Ra=>Ra.charCodeAt(0))),charsToEscapeInName=new Set([...pseudoValChars,"~","^","$","*","+","!","|",":","[","]"," ","."].map(Ra=>Ra.charCodeAt(0)));function stringify$2(Ra){return Ra.map(qa=>qa.map(stringifyToken).join("")).join(", ")}function stringifyToken(Ra,qa,ed){switch(Ra.type){case SelectorType.Child:return qa===0?"> ":" > ";case SelectorType.Parent:return qa===0?"< ":" < ";case SelectorType.Sibling:return qa===0?"~ ":" ~ ";case SelectorType.Adjacent:return qa===0?"+ ":" + ";case SelectorType.Descendant:return" ";case SelectorType.ColumnCombinator:return qa===0?"|| ":" || ";case SelectorType.Universal:return Ra.namespace==="*"&&qa+10?td+Ra.slice(ed):Ra}const es$2=Object.freeze(Object.defineProperty({__proto__:null,get AttributeAction(){return AttributeAction},IgnoreCaseMode,get SelectorType(){return SelectorType},isTraversal,parse:parse$3,stringify:stringify$2},Symbol.toStringTag,{value:"Module"})),require$$0$3=getAugmentedNamespace(es$2);var lib$9={},lib$8={},stringify$1={},lib$7={},lib$6={},hasRequiredLib$a;function requireLib$a(){return hasRequiredLib$a||(hasRequiredLib$a=1,function(Ra){Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.Doctype=Ra.CDATA=Ra.Tag=Ra.Style=Ra.Script=Ra.Comment=Ra.Directive=Ra.Text=Ra.Root=Ra.isTag=Ra.ElementType=void 0;var qa;(function(td){td.Root="root",td.Text="text",td.Directive="directive",td.Comment="comment",td.Script="script",td.Style="style",td.Tag="tag",td.CDATA="cdata",td.Doctype="doctype"})(qa=Ra.ElementType||(Ra.ElementType={}));function ed(td){return td.type===qa.Tag||td.type===qa.Script||td.type===qa.Style}Ra.isTag=ed,Ra.Root=qa.Root,Ra.Text=qa.Text,Ra.Directive=qa.Directive,Ra.Comment=qa.Comment,Ra.Script=qa.Script,Ra.Style=qa.Style,Ra.Tag=qa.Tag,Ra.CDATA=qa.CDATA,Ra.Doctype=qa.Doctype}(lib$6)),lib$6}var node={},hasRequiredNode;function requireNode(){if(hasRequiredNode)return node;hasRequiredNode=1;var Ra=commonjsGlobal&&commonjsGlobal.__extends||function(){var Fd=function(Ud,Gd){return Fd=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(Qd,Vd){Qd.__proto__=Vd}||function(Qd,Vd){for(var zd in Vd)Object.prototype.hasOwnProperty.call(Vd,zd)&&(Qd[zd]=Vd[zd])},Fd(Ud,Gd)};return function(Ud,Gd){if(typeof Gd!="function"&&Gd!==null)throw new TypeError("Class extends value "+String(Gd)+" is not a constructor or null");Fd(Ud,Gd);function Qd(){this.constructor=Ud}Ud.prototype=Gd===null?Object.create(Gd):(Qd.prototype=Gd.prototype,new Qd)}}(),qa=commonjsGlobal&&commonjsGlobal.__assign||function(){return qa=Object.assign||function(Fd){for(var Ud,Gd=1,Qd=arguments.length;Gd0?this.children[this.children.length-1]:null},enumerable:!1,configurable:!0}),Object.defineProperty(Ud.prototype,"childNodes",{get:function(){return this.children},set:function(Gd){this.children=Gd},enumerable:!1,configurable:!0}),Ud}(rd);node.NodeWithChildren=ud;var _d=function(Fd){Ra(Ud,Fd);function Ud(Gd){return Fd.call(this,ed.ElementType.Root,Gd)||this}return Ud}(ud);node.Document=_d;var Ed=function(Fd){Ra(Ud,Fd);function Ud(Gd,Qd,Vd,zd){Vd===void 0&&(Vd=[]),zd===void 0&&(zd=Gd==="script"?ed.ElementType.Script:Gd==="style"?ed.ElementType.Style:ed.ElementType.Tag);var Xd=Fd.call(this,zd,Vd)||this;return Xd.name=Gd,Xd.attribs=Qd,Xd}return Object.defineProperty(Ud.prototype,"tagName",{get:function(){return this.name},set:function(Gd){this.name=Gd},enumerable:!1,configurable:!0}),Object.defineProperty(Ud.prototype,"attributes",{get:function(){var Gd=this;return Object.keys(this.attribs).map(function(Qd){var Vd,zd;return{name:Qd,value:Gd.attribs[Qd],namespace:(Vd=Gd["x-attribsNamespace"])===null||Vd===void 0?void 0:Vd[Qd],prefix:(zd=Gd["x-attribsPrefix"])===null||zd===void 0?void 0:zd[Qd]}})},enumerable:!1,configurable:!0}),Ud}(ud);node.Element=Ed;function Ad(Fd){return(0,ed.isTag)(Fd)}node.isTag=Ad;function Td(Fd){return Fd.type===ed.ElementType.CDATA}node.isCDATA=Td;function Nd(Fd){return Fd.type===ed.ElementType.Text}node.isText=Nd;function Rd(Fd){return Fd.type===ed.ElementType.Comment}node.isComment=Rd;function Bd(Fd){return Fd.type===ed.ElementType.Directive}node.isDirective=Bd;function kd(Fd){return Fd.type===ed.ElementType.Root}node.isDocument=kd;function Od(Fd){return Object.prototype.hasOwnProperty.call(Fd,"children")}node.hasChildren=Od;function Md(Fd,Ud){Ud===void 0&&(Ud=!1);var Gd;if(Nd(Fd))Gd=new od(Fd.data);else if(Rd(Fd))Gd=new ld(Fd.data);else if(Ad(Fd)){var Qd=Ud?Pd(Fd.children):[],Vd=new Ed(Fd.name,qa({},Fd.attribs),Qd);Qd.forEach(function(mf){return mf.parent=Vd}),Fd.namespace!=null&&(Vd.namespace=Fd.namespace),Fd["x-attribsNamespace"]&&(Vd["x-attribsNamespace"]=qa({},Fd["x-attribsNamespace"])),Fd["x-attribsPrefix"]&&(Vd["x-attribsPrefix"]=qa({},Fd["x-attribsPrefix"])),Gd=Vd}else if(Td(Fd)){var Qd=Ud?Pd(Fd.children):[],zd=new ud(ed.ElementType.CDATA,Qd);Qd.forEach(function(_f){return _f.parent=zd}),Gd=zd}else if(kd(Fd)){var Qd=Ud?Pd(Fd.children):[],Xd=new _d(Qd);Qd.forEach(function(_f){return _f.parent=Xd}),Fd["x-mode"]&&(Xd["x-mode"]=Fd["x-mode"]),Gd=Xd}else if(Bd(Fd)){var df=new cd(Fd.name,Fd.data);Fd["x-name"]!=null&&(df["x-name"]=Fd["x-name"],df["x-publicId"]=Fd["x-publicId"],df["x-systemId"]=Fd["x-systemId"]),Gd=df}else throw new Error("Not implemented yet: ".concat(Fd.type));return Gd.startIndex=Fd.startIndex,Gd.endIndex=Fd.endIndex,Fd.sourceCodeLocation!=null&&(Gd.sourceCodeLocation=Fd.sourceCodeLocation),Gd}node.cloneNode=Md;function Pd(Fd){for(var Ud=Fd.map(function(Qd){return Md(Qd,!0)}),Gd=1;Gd",GT$2=">",Iacute$2="Í",iacute$2="í",Icirc$2="Î",icirc$2="î",iexcl$2="¡",Igrave$2="Ì",igrave$2="ì",iquest$2="¿",Iuml$2="Ï",iuml$2="ï",laquo$2="«",lt$7="<",LT$2="<",macr$2="¯",micro$2="µ",middot$2="·",nbsp$2=" ",not$2="¬",Ntilde$2="Ñ",ntilde$2="ñ",Oacute$2="Ó",oacute$2="ó",Ocirc$2="Ô",ocirc$2="ô",Ograve$2="Ò",ograve$2="ò",ordf$2="ª",ordm$2="º",Oslash$2="Ø",oslash$2="ø",Otilde$2="Õ",otilde$2="õ",Ouml$2="Ö",ouml$2="ö",para$2="¶",plusmn$2="±",pound$2="£",quot$4='"',QUOT$2='"',raquo$2="»",reg$2="®",REG$2="®",sect$2="§",shy$2="­",sup1$2="¹",sup2$2="²",sup3$2="³",szlig$2="ß",THORN$2="Þ",thorn$2="þ",times$2="×",Uacute$2="Ú",uacute$2="ú",Ucirc$2="Û",ucirc$2="û",Ugrave$2="Ù",ugrave$2="ù",uml$2="¨",Uuml$2="Ü",uuml$2="ü",Yacute$2="Ý",yacute$2="ý",yen$2="¥",yuml$2="ÿ",require$$1$1={Aacute:Aacute$2,aacute:aacute$2,Acirc:Acirc$2,acirc:acirc$2,acute:acute$2,AElig:AElig$2,aelig:aelig$2,Agrave:Agrave$2,agrave:agrave$2,amp:amp$4,AMP:AMP$2,Aring:Aring$2,aring:aring$2,Atilde:Atilde$2,atilde:atilde$2,Auml:Auml$2,auml:auml$2,brvbar:brvbar$2,Ccedil:Ccedil$2,ccedil:ccedil$2,cedil:cedil$2,cent:cent$2,copy:copy$2,COPY:COPY$2,curren:curren$2,deg:deg$2,divide:divide$2,Eacute:Eacute$2,eacute:eacute$2,Ecirc:Ecirc$2,ecirc:ecirc$2,Egrave:Egrave$2,egrave:egrave$2,ETH:ETH$2,eth:eth$2,Euml:Euml$2,euml:euml$2,frac12:frac12$2,frac14:frac14$2,frac34:frac34$2,gt:gt$7,GT:GT$2,Iacute:Iacute$2,iacute:iacute$2,Icirc:Icirc$2,icirc:icirc$2,iexcl:iexcl$2,Igrave:Igrave$2,igrave:igrave$2,iquest:iquest$2,Iuml:Iuml$2,iuml:iuml$2,laquo:laquo$2,lt:lt$7,LT:LT$2,macr:macr$2,micro:micro$2,middot:middot$2,nbsp:nbsp$2,not:not$2,Ntilde:Ntilde$2,ntilde:ntilde$2,Oacute:Oacute$2,oacute:oacute$2,Ocirc:Ocirc$2,ocirc:ocirc$2,Ograve:Ograve$2,ograve:ograve$2,ordf:ordf$2,ordm:ordm$2,Oslash:Oslash$2,oslash:oslash$2,Otilde:Otilde$2,otilde:otilde$2,Ouml:Ouml$2,ouml:ouml$2,para:para$2,plusmn:plusmn$2,pound:pound$2,quot:quot$4,QUOT:QUOT$2,raquo:raquo$2,reg:reg$2,REG:REG$2,sect:sect$2,shy:shy$2,sup1:sup1$2,sup2:sup2$2,sup3:sup3$2,szlig:szlig$2,THORN:THORN$2,thorn:thorn$2,times:times$2,Uacute:Uacute$2,uacute:uacute$2,Ucirc:Ucirc$2,ucirc:ucirc$2,Ugrave:Ugrave$2,ugrave:ugrave$2,uml:uml$2,Uuml:Uuml$2,uuml:uuml$2,Yacute:Yacute$2,yacute:yacute$2,yen:yen$2,yuml:yuml$2},amp$3="&",apos$2="'",gt$6=">",lt$6="<",quot$3='"',require$$0$2={amp:amp$3,apos:apos$2,gt:gt$6,lt:lt$6,quot:quot$3};var decode_codepoint$1={};const require$$0$1={0:65533,128:8364,130:8218,131:402,132:8222,133:8230,134:8224,135:8225,136:710,137:8240,138:352,139:8249,140:338,142:381,145:8216,146:8217,147:8220,148:8221,149:8226,150:8211,151:8212,152:732,153:8482,154:353,155:8250,156:339,158:382,159:376};var hasRequiredDecode_codepoint$1;function requireDecode_codepoint$1(){if(hasRequiredDecode_codepoint$1)return decode_codepoint$1;hasRequiredDecode_codepoint$1=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(rd){return rd&&rd.__esModule?rd:{default:rd}};Object.defineProperty(decode_codepoint$1,"__esModule",{value:!0});var qa=Ra(require$$0$1),ed=String.fromCodePoint||function(rd){var sd="";return rd>65535&&(rd-=65536,sd+=String.fromCharCode(rd>>>10&1023|55296),rd=56320|rd&1023),sd+=String.fromCharCode(rd),sd};function td(rd){return rd>=55296&&rd<=57343||rd>1114111?"�":(rd in qa.default&&(rd=qa.default[rd]),ed(rd))}return decode_codepoint$1.default=td,decode_codepoint$1}var hasRequiredDecode;function requireDecode(){if(hasRequiredDecode)return decode;hasRequiredDecode=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(ud){return ud&&ud.__esModule?ud:{default:ud}};Object.defineProperty(decode,"__esModule",{value:!0}),decode.decodeHTML=decode.decodeHTMLStrict=decode.decodeXML=void 0;var qa=Ra(require$$1$2),ed=Ra(require$$1$1),td=Ra(require$$0$2),rd=Ra(requireDecode_codepoint$1()),sd=/&(?:[a-zA-Z0-9]+|#[xX][\da-fA-F]+|#\d+);/g;decode.decodeXML=od(td.default),decode.decodeHTMLStrict=od(qa.default);function od(ud){var _d=cd(ud);return function(Ed){return String(Ed).replace(sd,_d)}}var ld=function(ud,_d){return ud<_d?1:-1};decode.decodeHTML=function(){for(var ud=Object.keys(ed.default).sort(ld),_d=Object.keys(qa.default).sort(ld),Ed=0,Ad=0;Ed<_d.length;Ed++)ud[Ad]===_d[Ed]?(_d[Ed]+=";?",Ad++):_d[Ed]+=";";var Td=new RegExp("&(?:"+_d.join("|")+"|#[xX][\\da-fA-F]+;?|#\\d+;?)","g"),Nd=cd(qa.default);function Rd(Bd){return Bd.substr(-1)!==";"&&(Bd+=";"),Nd(Bd)}return function(Bd){return String(Bd).replace(Td,Rd)}}();function cd(ud){return function(Ed){if(Ed.charAt(1)==="#"){var Ad=Ed.charAt(2);return Ad==="X"||Ad==="x"?rd.default(parseInt(Ed.substr(3),16)):rd.default(parseInt(Ed.substr(2),10))}return ud[Ed.slice(1,-1)]||Ed}}return decode}var encode={},hasRequiredEncode;function requireEncode(){if(hasRequiredEncode)return encode;hasRequiredEncode=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(kd){return kd&&kd.__esModule?kd:{default:kd}};Object.defineProperty(encode,"__esModule",{value:!0}),encode.escapeUTF8=encode.escape=encode.encodeNonAsciiHTML=encode.encodeHTML=encode.encodeXML=void 0;var qa=Ra(require$$0$2),ed=ld(qa.default),td=cd(ed);encode.encodeXML=Bd(ed);var rd=Ra(require$$1$2),sd=ld(rd.default),od=cd(sd);encode.encodeHTML=Ad(sd,od),encode.encodeNonAsciiHTML=Bd(sd);function ld(kd){return Object.keys(kd).sort().reduce(function(Od,Md){return Od[kd[Md]]="&"+Md+";",Od},{})}function cd(kd){for(var Od=[],Md=[],Pd=0,Fd=Object.keys(kd);Pd1?_d(kd):kd.charCodeAt(0)).toString(16).toUpperCase()+";"}function Ad(kd,Od){return function(Md){return Md.replace(Od,function(Pd){return kd[Pd]}).replace(ud,Ed)}}var Td=new RegExp(td.source+"|"+ud.source,"g");function Nd(kd){return kd.replace(Td,Ed)}encode.escape=Nd;function Rd(kd){return kd.replace(td,Ed)}encode.escapeUTF8=Rd;function Bd(kd){return function(Od){return Od.replace(Td,function(Md){return kd[Md]||Ed(Md)})}}return encode}var hasRequiredLib$8;function requireLib$8(){return hasRequiredLib$8||(hasRequiredLib$8=1,function(Ra){Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.decodeXMLStrict=Ra.decodeHTML5Strict=Ra.decodeHTML4Strict=Ra.decodeHTML5=Ra.decodeHTML4=Ra.decodeHTMLStrict=Ra.decodeHTML=Ra.decodeXML=Ra.encodeHTML5=Ra.encodeHTML4=Ra.escapeUTF8=Ra.escape=Ra.encodeNonAsciiHTML=Ra.encodeHTML=Ra.encodeXML=Ra.encode=Ra.decodeStrict=Ra.decode=void 0;var qa=requireDecode(),ed=requireEncode();function td(cd,ud){return(!ud||ud<=0?qa.decodeXML:qa.decodeHTML)(cd)}Ra.decode=td;function rd(cd,ud){return(!ud||ud<=0?qa.decodeXML:qa.decodeHTMLStrict)(cd)}Ra.decodeStrict=rd;function sd(cd,ud){return(!ud||ud<=0?ed.encodeXML:ed.encodeHTML)(cd)}Ra.encode=sd;var od=requireEncode();Object.defineProperty(Ra,"encodeXML",{enumerable:!0,get:function(){return od.encodeXML}}),Object.defineProperty(Ra,"encodeHTML",{enumerable:!0,get:function(){return od.encodeHTML}}),Object.defineProperty(Ra,"encodeNonAsciiHTML",{enumerable:!0,get:function(){return od.encodeNonAsciiHTML}}),Object.defineProperty(Ra,"escape",{enumerable:!0,get:function(){return od.escape}}),Object.defineProperty(Ra,"escapeUTF8",{enumerable:!0,get:function(){return od.escapeUTF8}}),Object.defineProperty(Ra,"encodeHTML4",{enumerable:!0,get:function(){return od.encodeHTML}}),Object.defineProperty(Ra,"encodeHTML5",{enumerable:!0,get:function(){return od.encodeHTML}});var ld=requireDecode();Object.defineProperty(Ra,"decodeXML",{enumerable:!0,get:function(){return ld.decodeXML}}),Object.defineProperty(Ra,"decodeHTML",{enumerable:!0,get:function(){return ld.decodeHTML}}),Object.defineProperty(Ra,"decodeHTMLStrict",{enumerable:!0,get:function(){return ld.decodeHTMLStrict}}),Object.defineProperty(Ra,"decodeHTML4",{enumerable:!0,get:function(){return ld.decodeHTML}}),Object.defineProperty(Ra,"decodeHTML5",{enumerable:!0,get:function(){return ld.decodeHTML}}),Object.defineProperty(Ra,"decodeHTML4Strict",{enumerable:!0,get:function(){return ld.decodeHTMLStrict}}),Object.defineProperty(Ra,"decodeHTML5Strict",{enumerable:!0,get:function(){return ld.decodeHTMLStrict}}),Object.defineProperty(Ra,"decodeXMLStrict",{enumerable:!0,get:function(){return ld.decodeXML}})}(lib$4)),lib$4}var foreignNames={},hasRequiredForeignNames;function requireForeignNames(){return hasRequiredForeignNames||(hasRequiredForeignNames=1,Object.defineProperty(foreignNames,"__esModule",{value:!0}),foreignNames.attributeNames=foreignNames.elementNames=void 0,foreignNames.elementNames=new Map([["altglyph","altGlyph"],["altglyphdef","altGlyphDef"],["altglyphitem","altGlyphItem"],["animatecolor","animateColor"],["animatemotion","animateMotion"],["animatetransform","animateTransform"],["clippath","clipPath"],["feblend","feBlend"],["fecolormatrix","feColorMatrix"],["fecomponenttransfer","feComponentTransfer"],["fecomposite","feComposite"],["feconvolvematrix","feConvolveMatrix"],["fediffuselighting","feDiffuseLighting"],["fedisplacementmap","feDisplacementMap"],["fedistantlight","feDistantLight"],["fedropshadow","feDropShadow"],["feflood","feFlood"],["fefunca","feFuncA"],["fefuncb","feFuncB"],["fefuncg","feFuncG"],["fefuncr","feFuncR"],["fegaussianblur","feGaussianBlur"],["feimage","feImage"],["femerge","feMerge"],["femergenode","feMergeNode"],["femorphology","feMorphology"],["feoffset","feOffset"],["fepointlight","fePointLight"],["fespecularlighting","feSpecularLighting"],["fespotlight","feSpotLight"],["fetile","feTile"],["feturbulence","feTurbulence"],["foreignobject","foreignObject"],["glyphref","glyphRef"],["lineargradient","linearGradient"],["radialgradient","radialGradient"],["textpath","textPath"]]),foreignNames.attributeNames=new Map([["definitionurl","definitionURL"],["attributename","attributeName"],["attributetype","attributeType"],["basefrequency","baseFrequency"],["baseprofile","baseProfile"],["calcmode","calcMode"],["clippathunits","clipPathUnits"],["diffuseconstant","diffuseConstant"],["edgemode","edgeMode"],["filterunits","filterUnits"],["glyphref","glyphRef"],["gradienttransform","gradientTransform"],["gradientunits","gradientUnits"],["kernelmatrix","kernelMatrix"],["kernelunitlength","kernelUnitLength"],["keypoints","keyPoints"],["keysplines","keySplines"],["keytimes","keyTimes"],["lengthadjust","lengthAdjust"],["limitingconeangle","limitingConeAngle"],["markerheight","markerHeight"],["markerunits","markerUnits"],["markerwidth","markerWidth"],["maskcontentunits","maskContentUnits"],["maskunits","maskUnits"],["numoctaves","numOctaves"],["pathlength","pathLength"],["patterncontentunits","patternContentUnits"],["patterntransform","patternTransform"],["patternunits","patternUnits"],["pointsatx","pointsAtX"],["pointsaty","pointsAtY"],["pointsatz","pointsAtZ"],["preservealpha","preserveAlpha"],["preserveaspectratio","preserveAspectRatio"],["primitiveunits","primitiveUnits"],["refx","refX"],["refy","refY"],["repeatcount","repeatCount"],["repeatdur","repeatDur"],["requiredextensions","requiredExtensions"],["requiredfeatures","requiredFeatures"],["specularconstant","specularConstant"],["specularexponent","specularExponent"],["spreadmethod","spreadMethod"],["startoffset","startOffset"],["stddeviation","stdDeviation"],["stitchtiles","stitchTiles"],["surfacescale","surfaceScale"],["systemlanguage","systemLanguage"],["tablevalues","tableValues"],["targetx","targetX"],["targety","targetY"],["textlength","textLength"],["viewbox","viewBox"],["viewtarget","viewTarget"],["xchannelselector","xChannelSelector"],["ychannelselector","yChannelSelector"],["zoomandpan","zoomAndPan"]])),foreignNames}var hasRequiredLib$7;function requireLib$7(){if(hasRequiredLib$7)return lib$5;hasRequiredLib$7=1;var Ra=commonjsGlobal&&commonjsGlobal.__assign||function(){return Ra=Object.assign||function(Md){for(var Pd,Fd=1,Ud=arguments.length;Fd0&&(Ud+=_d(Md.children,Pd)),(Pd.xmlMode||!ud.has(Md.name))&&(Ud+="")),Ud}function Rd(Md){return"<"+Md.data+">"}function Bd(Md,Pd){var Fd=Md.data||"";return Pd.decodeEntities!==!1&&!(!Pd.xmlMode&&Md.parent&&ld.has(Md.parent.name))&&(Fd=sd.encodeXML(Fd)),Fd}function kd(Md){return""}function Od(Md){return""}return lib$5}var hasRequiredStringify;function requireStringify(){if(hasRequiredStringify)return stringify$1;hasRequiredStringify=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(ud){return ud&&ud.__esModule?ud:{default:ud}};Object.defineProperty(stringify$1,"__esModule",{value:!0}),stringify$1.innerText=stringify$1.textContent=stringify$1.getText=stringify$1.getInnerHTML=stringify$1.getOuterHTML=void 0;var qa=requireLib$9(),ed=Ra(requireLib$7()),td=requireLib$a();function rd(ud,_d){return(0,ed.default)(ud,_d)}stringify$1.getOuterHTML=rd;function sd(ud,_d){return(0,qa.hasChildren)(ud)?ud.children.map(function(Ed){return rd(Ed,_d)}).join(""):""}stringify$1.getInnerHTML=sd;function od(ud){return Array.isArray(ud)?ud.map(od).join(""):(0,qa.isTag)(ud)?ud.name==="br"?` `:od(ud.children):(0,qa.isCDATA)(ud)?od(ud.children):(0,qa.isText)(ud)?ud.data:""}stringify$1.getText=od;function ld(ud){return Array.isArray(ud)?ud.map(ld).join(""):(0,qa.hasChildren)(ud)&&!(0,qa.isComment)(ud)?ld(ud.children):(0,qa.isText)(ud)?ud.data:""}stringify$1.textContent=ld;function cd(ud){return Array.isArray(ud)?ud.map(cd).join(""):(0,qa.hasChildren)(ud)&&(ud.type===td.ElementType.Tag||(0,qa.isCDATA)(ud))?cd(ud.children):(0,qa.isText)(ud)?ud.data:""}return stringify$1.innerText=cd,stringify$1}var traversal={},hasRequiredTraversal;function requireTraversal(){if(hasRequiredTraversal)return traversal;hasRequiredTraversal=1,Object.defineProperty(traversal,"__esModule",{value:!0}),traversal.prevElementSibling=traversal.nextElementSibling=traversal.getName=traversal.hasAttrib=traversal.getAttributeValue=traversal.getSiblings=traversal.getParent=traversal.getChildren=void 0;var Ra=requireLib$9(),qa=[];function ed(_d){var Ed;return(Ed=_d.children)!==null&&Ed!==void 0?Ed:qa}traversal.getChildren=ed;function td(_d){return _d.parent||null}traversal.getParent=td;function rd(_d){var Ed,Ad,Td=td(_d);if(Td!=null)return ed(Td);for(var Nd=[_d],Rd=_d.prev,Bd=_d.next;Rd!=null;)Nd.unshift(Rd),Ed=Rd,Rd=Ed.prev;for(;Bd!=null;)Nd.push(Bd),Ad=Bd,Bd=Ad.next;return Nd}traversal.getSiblings=rd;function sd(_d,Ed){var Ad;return(Ad=_d.attribs)===null||Ad===void 0?void 0:Ad[Ed]}traversal.getAttributeValue=sd;function od(_d,Ed){return _d.attribs!=null&&Object.prototype.hasOwnProperty.call(_d.attribs,Ed)&&_d.attribs[Ed]!=null}traversal.hasAttrib=od;function ld(_d){return _d.name}traversal.getName=ld;function cd(_d){for(var Ed,Ad=_d.next;Ad!==null&&!(0,Ra.isTag)(Ad);)Ed=Ad,Ad=Ed.next;return Ad}traversal.nextElementSibling=cd;function ud(_d){for(var Ed,Ad=_d.prev;Ad!==null&&!(0,Ra.isTag)(Ad);)Ed=Ad,Ad=Ed.prev;return Ad}return traversal.prevElementSibling=ud,traversal}var manipulation$1={},hasRequiredManipulation$1;function requireManipulation$1(){if(hasRequiredManipulation$1)return manipulation$1;hasRequiredManipulation$1=1,Object.defineProperty(manipulation$1,"__esModule",{value:!0}),manipulation$1.prepend=manipulation$1.prependChild=manipulation$1.append=manipulation$1.appendChild=manipulation$1.replaceElement=manipulation$1.removeElement=void 0;function Ra(od){if(od.prev&&(od.prev.next=od.next),od.next&&(od.next.prev=od.prev),od.parent){var ld=od.parent.children;ld.splice(ld.lastIndexOf(od),1)}}manipulation$1.removeElement=Ra;function qa(od,ld){var cd=ld.prev=od.prev;cd&&(cd.next=ld);var ud=ld.next=od.next;ud&&(ud.prev=ld);var _d=ld.parent=od.parent;if(_d){var Ed=_d.children;Ed[Ed.lastIndexOf(od)]=ld}}manipulation$1.replaceElement=qa;function ed(od,ld){if(Ra(ld),ld.next=null,ld.parent=od,od.children.push(ld)>1){var cd=od.children[od.children.length-2];cd.next=ld,ld.prev=cd}else ld.prev=null}manipulation$1.appendChild=ed;function td(od,ld){Ra(ld);var cd=od.parent,ud=od.next;if(ld.next=ud,ld.prev=od,od.next=ld,ld.parent=cd,ud){if(ud.prev=ld,cd){var _d=cd.children;_d.splice(_d.lastIndexOf(ud),0,ld)}}else cd&&cd.children.push(ld)}manipulation$1.append=td;function rd(od,ld){if(Ra(ld),ld.parent=od,ld.prev=null,od.children.unshift(ld)!==1){var cd=od.children[1];cd.prev=ld,ld.next=cd}else ld.next=null}manipulation$1.prependChild=rd;function sd(od,ld){Ra(ld);var cd=od.parent;if(cd){var ud=cd.children;ud.splice(ud.indexOf(od),0,ld)}od.prev&&(od.prev.next=ld),ld.parent=cd,ld.prev=od.prev,ld.next=od,od.prev=ld}return manipulation$1.prepend=sd,manipulation$1}var querying={},hasRequiredQuerying;function requireQuerying(){if(hasRequiredQuerying)return querying;hasRequiredQuerying=1,Object.defineProperty(querying,"__esModule",{value:!0}),querying.findAll=querying.existsOne=querying.findOne=querying.findOneChild=querying.find=querying.filter=void 0;var Ra=requireLib$9();function qa(ld,cd,ud,_d){return ud===void 0&&(ud=!0),_d===void 0&&(_d=1/0),Array.isArray(cd)||(cd=[cd]),ed(ld,cd,ud,_d)}querying.filter=qa;function ed(ld,cd,ud,_d){for(var Ed=[],Ad=0,Td=cd;Ad0){var Rd=ed(ld,Nd.children,ud,_d);if(Ed.push.apply(Ed,Rd),_d-=Rd.length,_d<=0)break}}return Ed}querying.find=ed;function td(ld,cd){return cd.find(ld)}querying.findOneChild=td;function rd(ld,cd,ud){ud===void 0&&(ud=!0);for(var _d=null,Ed=0;Ed0&&(_d=rd(ld,Ad.children));else continue}return _d}querying.findOne=rd;function sd(ld,cd){return cd.some(function(ud){return(0,Ra.isTag)(ud)&&(ld(ud)||ud.children.length>0&&sd(ld,ud.children))})}querying.existsOne=sd;function od(ld,cd){for(var ud,_d=[],Ed=cd.filter(Ra.isTag),Ad;Ad=Ed.shift();){var Td=(ud=Ad.children)===null||ud===void 0?void 0:ud.filter(Ra.isTag);Td&&Td.length>0&&Ed.unshift.apply(Ed,Td),ld(Ad)&&_d.push(Ad)}return _d}return querying.findAll=od,querying}var legacy={},hasRequiredLegacy;function requireLegacy(){if(hasRequiredLegacy)return legacy;hasRequiredLegacy=1,Object.defineProperty(legacy,"__esModule",{value:!0}),legacy.getElementsByTagType=legacy.getElementsByTagName=legacy.getElementById=legacy.getElements=legacy.testElement=void 0;var Ra=requireLib$9(),qa=requireQuerying(),ed={tag_name:function(Ed){return typeof Ed=="function"?function(Ad){return(0,Ra.isTag)(Ad)&&Ed(Ad.name)}:Ed==="*"?Ra.isTag:function(Ad){return(0,Ra.isTag)(Ad)&&Ad.name===Ed}},tag_type:function(Ed){return typeof Ed=="function"?function(Ad){return Ed(Ad.type)}:function(Ad){return Ad.type===Ed}},tag_contains:function(Ed){return typeof Ed=="function"?function(Ad){return(0,Ra.isText)(Ad)&&Ed(Ad.data)}:function(Ad){return(0,Ra.isText)(Ad)&&Ad.data===Ed}}};function td(Ed,Ad){return typeof Ad=="function"?function(Td){return(0,Ra.isTag)(Td)&&Ad(Td.attribs[Ed])}:function(Td){return(0,Ra.isTag)(Td)&&Td.attribs[Ed]===Ad}}function rd(Ed,Ad){return function(Td){return Ed(Td)||Ad(Td)}}function sd(Ed){var Ad=Object.keys(Ed).map(function(Td){var Nd=Ed[Td];return Object.prototype.hasOwnProperty.call(ed,Td)?ed[Td](Nd):td(Td,Nd)});return Ad.length===0?null:Ad.reduce(rd)}function od(Ed,Ad){var Td=sd(Ed);return Td?Td(Ad):!0}legacy.testElement=od;function ld(Ed,Ad,Td,Nd){Nd===void 0&&(Nd=1/0);var Rd=sd(Ed);return Rd?(0,qa.filter)(Rd,Ad,Td,Nd):[]}legacy.getElements=ld;function cd(Ed,Ad,Td){return Td===void 0&&(Td=!0),Array.isArray(Ad)||(Ad=[Ad]),(0,qa.findOne)(td("id",Ed),Ad,Td)}legacy.getElementById=cd;function ud(Ed,Ad,Td,Nd){return Td===void 0&&(Td=!0),Nd===void 0&&(Nd=1/0),(0,qa.filter)(ed.tag_name(Ed),Ad,Td,Nd)}legacy.getElementsByTagName=ud;function _d(Ed,Ad,Td,Nd){return Td===void 0&&(Td=!0),Nd===void 0&&(Nd=1/0),(0,qa.filter)(ed.tag_type(Ed),Ad,Td,Nd)}return legacy.getElementsByTagType=_d,legacy}var helpers$1={},hasRequiredHelpers$1;function requireHelpers$1(){if(hasRequiredHelpers$1)return helpers$1;hasRequiredHelpers$1=1,Object.defineProperty(helpers$1,"__esModule",{value:!0}),helpers$1.uniqueSort=helpers$1.compareDocumentPosition=helpers$1.removeSubsets=void 0;var Ra=requireLib$9();function qa(rd){for(var sd=rd.length;--sd>=0;){var od=rd[sd];if(sd>0&&rd.lastIndexOf(od,sd-1)>=0){rd.splice(sd,1);continue}for(var ld=od.parent;ld;ld=ld.parent)if(rd.includes(ld)){rd.splice(sd,1);break}}return rd}helpers$1.removeSubsets=qa;function ed(rd,sd){var od=[],ld=[];if(rd===sd)return 0;for(var cd=(0,Ra.hasChildren)(rd)?rd:rd.parent;cd;)od.unshift(cd),cd=cd.parent;for(cd=(0,Ra.hasChildren)(sd)?sd:sd.parent;cd;)ld.unshift(cd),cd=cd.parent;for(var ud=Math.min(od.length,ld.length),_d=0;_dAd.indexOf(Nd)?Ed===sd?20:4:Ed===rd?10:2}helpers$1.compareDocumentPosition=ed;function td(rd){return rd=rd.filter(function(sd,od,ld){return!ld.includes(sd,od+1)}),rd.sort(function(sd,od){var ld=ed(sd,od);return ld&2?-1:ld&4?1:0}),rd}return helpers$1.uniqueSort=td,helpers$1}var feeds={},hasRequiredFeeds;function requireFeeds(){if(hasRequiredFeeds)return feeds;hasRequiredFeeds=1,Object.defineProperty(feeds,"__esModule",{value:!0}),feeds.getFeed=void 0;var Ra=requireStringify(),qa=requireLegacy();function ed(Ad){var Td=cd(Ed,Ad);return Td?Td.name==="feed"?td(Td):rd(Td):null}feeds.getFeed=ed;function td(Ad){var Td,Nd=Ad.children,Rd={type:"atom",items:(0,qa.getElementsByTagName)("entry",Nd).map(function(Od){var Md,Pd=Od.children,Fd={media:ld(Pd)};_d(Fd,"id","id",Pd),_d(Fd,"title","title",Pd);var Ud=(Md=cd("link",Pd))===null||Md===void 0?void 0:Md.attribs.href;Ud&&(Fd.link=Ud);var Gd=ud("summary",Pd)||ud("content",Pd);Gd&&(Fd.description=Gd);var Qd=ud("updated",Pd);return Qd&&(Fd.pubDate=new Date(Qd)),Fd})};_d(Rd,"id","id",Nd),_d(Rd,"title","title",Nd);var Bd=(Td=cd("link",Nd))===null||Td===void 0?void 0:Td.attribs.href;Bd&&(Rd.link=Bd),_d(Rd,"description","subtitle",Nd);var kd=ud("updated",Nd);return kd&&(Rd.updated=new Date(kd)),_d(Rd,"author","email",Nd,!0),Rd}function rd(Ad){var Td,Nd,Rd=(Nd=(Td=cd("channel",Ad.children))===null||Td===void 0?void 0:Td.children)!==null&&Nd!==void 0?Nd:[],Bd={type:Ad.name.substr(0,3),id:"",items:(0,qa.getElementsByTagName)("item",Ad.children).map(function(Od){var Md=Od.children,Pd={media:ld(Md)};_d(Pd,"id","guid",Md),_d(Pd,"title","title",Md),_d(Pd,"link","link",Md),_d(Pd,"description","description",Md);var Fd=ud("pubDate",Md);return Fd&&(Pd.pubDate=new Date(Fd)),Pd})};_d(Bd,"title","title",Rd),_d(Bd,"link","link",Rd),_d(Bd,"description","description",Rd);var kd=ud("lastBuildDate",Rd);return kd&&(Bd.updated=new Date(kd)),_d(Bd,"author","managingEditor",Rd,!0),Bd}var sd=["url","type","lang"],od=["fileSize","bitrate","framerate","samplingrate","channels","duration","height","width"];function ld(Ad){return(0,qa.getElementsByTagName)("media:content",Ad).map(function(Td){for(var Nd=Td.attribs,Rd={medium:Nd.medium,isDefault:!!Nd.isDefault},Bd=0,kd=sd;Bd=0&&cd>=1);else if(sd.type===Ra.SelectorType.Pseudo)if(!sd.data)od=3;else if(sd.name==="has"||sd.name==="contains")od=0;else if(Array.isArray(sd.data)){od=0;for(var ld=0;ldod&&(od=cd)}sd.data.length>1&&od>0&&(od-=1)}else od=1;return od}return sort}var general={},attributes$1={},hasRequiredAttributes$1;function requireAttributes$1(){if(hasRequiredAttributes$1)return attributes$1;hasRequiredAttributes$1=1,Object.defineProperty(attributes$1,"__esModule",{value:!0}),attributes$1.attributeRules=void 0;var Ra=requireBoolbase(),qa=/[-[\]{}()*+?.,\\^$|#\s]/g;function ed(sd){return sd.replace(qa,"\\$&")}var td=new Set(["accept","accept-charset","align","alink","axis","bgcolor","charset","checked","clear","codetype","color","compact","declare","defer","dir","direction","disabled","enctype","face","frame","hreflang","http-equiv","lang","language","link","media","method","multiple","nohref","noresize","noshade","nowrap","readonly","rel","rev","rules","scope","scrolling","selected","shape","target","text","type","valign","valuetype","vlink"]);function rd(sd,od){return typeof sd.ignoreCase=="boolean"?sd.ignoreCase:sd.ignoreCase==="quirks"?!!od.quirksMode:!od.xmlMode&&td.has(sd.name)}return attributes$1.attributeRules={equals:function(sd,od,ld){var cd=ld.adapter,ud=od.name,_d=od.value;return rd(od,ld)?(_d=_d.toLowerCase(),function(Ed){var Ad=cd.getAttributeValue(Ed,ud);return Ad!=null&&Ad.length===_d.length&&Ad.toLowerCase()===_d&&sd(Ed)}):function(Ed){return cd.getAttributeValue(Ed,ud)===_d&&sd(Ed)}},hyphen:function(sd,od,ld){var cd=ld.adapter,ud=od.name,_d=od.value,Ed=_d.length;return rd(od,ld)?(_d=_d.toLowerCase(),function(Td){var Nd=cd.getAttributeValue(Td,ud);return Nd!=null&&(Nd.length===Ed||Nd.charAt(Ed)==="-")&&Nd.substr(0,Ed).toLowerCase()===_d&&sd(Td)}):function(Td){var Nd=cd.getAttributeValue(Td,ud);return Nd!=null&&(Nd.length===Ed||Nd.charAt(Ed)==="-")&&Nd.substr(0,Ed)===_d&&sd(Td)}},element:function(sd,od,ld){var cd=ld.adapter,ud=od.name,_d=od.value;if(/\s/.test(_d))return Ra.falseFunc;var Ed=new RegExp("(?:^|\\s)".concat(ed(_d),"(?:$|\\s)"),rd(od,ld)?"i":"");return function(Td){var Nd=cd.getAttributeValue(Td,ud);return Nd!=null&&Nd.length>=_d.length&&Ed.test(Nd)&&sd(Td)}},exists:function(sd,od,ld){var cd=od.name,ud=ld.adapter;return function(_d){return ud.hasAttrib(_d,cd)&&sd(_d)}},start:function(sd,od,ld){var cd=ld.adapter,ud=od.name,_d=od.value,Ed=_d.length;return Ed===0?Ra.falseFunc:rd(od,ld)?(_d=_d.toLowerCase(),function(Ad){var Td=cd.getAttributeValue(Ad,ud);return Td!=null&&Td.length>=Ed&&Td.substr(0,Ed).toLowerCase()===_d&&sd(Ad)}):function(Ad){var Td;return!!(!((Td=cd.getAttributeValue(Ad,ud))===null||Td===void 0)&&Td.startsWith(_d))&&sd(Ad)}},end:function(sd,od,ld){var cd=ld.adapter,ud=od.name,_d=od.value,Ed=-_d.length;return Ed===0?Ra.falseFunc:rd(od,ld)?(_d=_d.toLowerCase(),function(Ad){var Td;return((Td=cd.getAttributeValue(Ad,ud))===null||Td===void 0?void 0:Td.substr(Ed).toLowerCase())===_d&&sd(Ad)}):function(Ad){var Td;return!!(!((Td=cd.getAttributeValue(Ad,ud))===null||Td===void 0)&&Td.endsWith(_d))&&sd(Ad)}},any:function(sd,od,ld){var cd=ld.adapter,ud=od.name,_d=od.value;if(_d==="")return Ra.falseFunc;if(rd(od,ld)){var Ed=new RegExp(ed(_d),"i");return function(Td){var Nd=cd.getAttributeValue(Td,ud);return Nd!=null&&Nd.length>=_d.length&&Ed.test(Nd)&&sd(Td)}}return function(Ad){var Td;return!!(!((Td=cd.getAttributeValue(Ad,ud))===null||Td===void 0)&&Td.includes(_d))&&sd(Ad)}},not:function(sd,od,ld){var cd=ld.adapter,ud=od.name,_d=od.value;return _d===""?function(Ed){return!!cd.getAttributeValue(Ed,ud)&&sd(Ed)}:rd(od,ld)?(_d=_d.toLowerCase(),function(Ed){var Ad=cd.getAttributeValue(Ed,ud);return(Ad==null||Ad.length!==_d.length||Ad.toLowerCase()!==_d)&&sd(Ed)}):function(Ed){return cd.getAttributeValue(Ed,ud)!==_d&&sd(Ed)}}},attributes$1}var pseudoSelectors={},filters={},lib$3={},parse$2={},hasRequiredParse$1;function requireParse$1(){if(hasRequiredParse$1)return parse$2;hasRequiredParse$1=1,Object.defineProperty(parse$2,"__esModule",{value:!0}),parse$2.parse=void 0;var Ra=new Set([9,10,12,13,32]),qa=48,ed=57;function td(rd){if(rd=rd.trim().toLowerCase(),rd==="even")return[2,0];if(rd==="odd")return[2,1];var sd=0,od=0,ld=ud(),cd=_d();if(sd=qa&&rd.charCodeAt(sd)<=ed;)Td=Td*10+(rd.charCodeAt(sd)-qa),sd++;return sd===Ad?null:Td}function Ed(){for(;sd=od};var ld=Math.abs(sd),cd=(od%ld+ld)%ld;return sd>1?function(ud){return ud>=od&&ud%ld===cd}:function(ud){return ud<=od&&ud%ld===cd}}compile.compile=ed;function td(rd){var sd=rd[0],od=rd[1]-1,ld=0;if(sd<0){var cd=-sd,ud=(od%cd+cd)%cd;return function(){var _d=ud+cd*ld++;return _d>od?null:_d}}return sd===0?od<0?function(){return null}:function(){return ld++===0?od:null}:(od<0&&(od+=sd*Math.ceil(-od/sd)),function(){return sd*ld+++od})}return compile.generate=td,compile}var hasRequiredLib$5;function requireLib$5(){return hasRequiredLib$5||(hasRequiredLib$5=1,function(Ra){Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.sequence=Ra.generate=Ra.compile=Ra.parse=void 0;var qa=requireParse$1();Object.defineProperty(Ra,"parse",{enumerable:!0,get:function(){return qa.parse}});var ed=requireCompile$1();Object.defineProperty(Ra,"compile",{enumerable:!0,get:function(){return ed.compile}}),Object.defineProperty(Ra,"generate",{enumerable:!0,get:function(){return ed.generate}});function td(sd){return(0,ed.compile)((0,qa.parse)(sd))}Ra.default=td;function rd(sd){return(0,ed.generate)((0,qa.parse)(sd))}Ra.sequence=rd}(lib$3)),lib$3}var hasRequiredFilters;function requireFilters(){return hasRequiredFilters||(hasRequiredFilters=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__importDefault||function(od){return od&&od.__esModule?od:{default:od}};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.filters=void 0;var ed=qa(requireLib$5()),td=requireBoolbase();function rd(od,ld){return function(cd){var ud=ld.getParent(cd);return ud!=null&&ld.isTag(ud)&&od(cd)}}Ra.filters={contains:function(od,ld,cd){var ud=cd.adapter;return function(Ed){return od(Ed)&&ud.getText(Ed).includes(ld)}},icontains:function(od,ld,cd){var ud=cd.adapter,_d=ld.toLowerCase();return function(Ad){return od(Ad)&&ud.getText(Ad).toLowerCase().includes(_d)}},"nth-child":function(od,ld,cd){var ud=cd.adapter,_d=cd.equals,Ed=(0,ed.default)(ld);return Ed===td.falseFunc?td.falseFunc:Ed===td.trueFunc?rd(od,ud):function(Td){for(var Nd=ud.getSiblings(Td),Rd=0,Bd=0;Bd=0&&!_d(Td,Nd[Bd]);Bd--)ud.isTag(Nd[Bd])&&Rd++;return Ed(Rd)&&od(Td)}},"nth-of-type":function(od,ld,cd){var ud=cd.adapter,_d=cd.equals,Ed=(0,ed.default)(ld);return Ed===td.falseFunc?td.falseFunc:Ed===td.trueFunc?rd(od,ud):function(Td){for(var Nd=ud.getSiblings(Td),Rd=0,Bd=0;Bd=0;Bd--){var kd=Nd[Bd];if(_d(Td,kd))break;ud.isTag(kd)&&ud.getName(kd)===ud.getName(Td)&&Rd++}return Ed(Rd)&&od(Td)}},root:function(od,ld,cd){var ud=cd.adapter;return function(_d){var Ed=ud.getParent(_d);return(Ed==null||!ud.isTag(Ed))&&od(_d)}},scope:function(od,ld,cd,ud){var _d=cd.equals;return!ud||ud.length===0?Ra.filters.root(od,ld,cd):ud.length===1?function(Ed){return _d(ud[0],Ed)&&od(Ed)}:function(Ed){return ud.includes(Ed)&&od(Ed)}},hover:sd("isHovered"),visited:sd("isVisited"),active:sd("isActive")};function sd(od){return function(cd,ud,_d){var Ed=_d.adapter,Ad=Ed[od];return typeof Ad!="function"?td.falseFunc:function(Nd){return Ad(Nd)&&cd(Nd)}}}}(filters)),filters}var pseudos={},hasRequiredPseudos;function requirePseudos(){if(hasRequiredPseudos)return pseudos;hasRequiredPseudos=1,Object.defineProperty(pseudos,"__esModule",{value:!0}),pseudos.verifyPseudoArgs=pseudos.pseudos=void 0,pseudos.pseudos={empty:function(qa,ed){var td=ed.adapter;return!td.getChildren(qa).some(function(rd){return td.isTag(rd)||td.getText(rd)!==""})},"first-child":function(qa,ed){var td=ed.adapter,rd=ed.equals,sd=td.getSiblings(qa).find(function(od){return td.isTag(od)});return sd!=null&&rd(qa,sd)},"last-child":function(qa,ed){for(var td=ed.adapter,rd=ed.equals,sd=td.getSiblings(qa),od=sd.length-1;od>=0;od--){if(rd(qa,sd[od]))return!0;if(td.isTag(sd[od]))break}return!1},"first-of-type":function(qa,ed){for(var td=ed.adapter,rd=ed.equals,sd=td.getSiblings(qa),od=td.getName(qa),ld=0;ld=0;ld--){var cd=sd[ld];if(rd(qa,cd))return!0;if(td.isTag(cd)&&td.getName(cd)===od)break}return!1},"only-of-type":function(qa,ed){var td=ed.adapter,rd=ed.equals,sd=td.getName(qa);return td.getSiblings(qa).every(function(od){return rd(qa,od)||!td.isTag(od)||td.getName(od)!==sd})},"only-child":function(qa,ed){var td=ed.adapter,rd=ed.equals;return td.getSiblings(qa).every(function(sd){return rd(qa,sd)||!td.isTag(sd)})}};function Ra(qa,ed,td){if(td===null){if(qa.length>2)throw new Error("pseudo-selector :".concat(ed," requires an argument"))}else if(qa.length===2)throw new Error("pseudo-selector :".concat(ed," doesn't have any arguments"))}return pseudos.verifyPseudoArgs=Ra,pseudos}var aliases={},hasRequiredAliases;function requireAliases(){return hasRequiredAliases||(hasRequiredAliases=1,Object.defineProperty(aliases,"__esModule",{value:!0}),aliases.aliases=void 0,aliases.aliases={"any-link":":is(a, area, link)[href]",link:":any-link:not(:visited)",disabled:`:is( :is(button, input, select, textarea, optgroup, option)[disabled], optgroup[disabled] > option, fieldset[disabled]:not(fieldset[disabled] legend:first-of-type *) )`,enabled:":not(:disabled)",checked:":is(:is(input[type=radio], input[type=checkbox])[checked], option:selected)",required:":is(input, select, textarea)[required]",optional:":is(input, select, textarea):not([required])",selected:"option:is([selected], select:not([multiple]):not(:has(> option[selected])) > :first-of-type)",checkbox:"[type=checkbox]",file:"[type=file]",password:"[type=password]",radio:"[type=radio]",reset:"[type=reset]",image:"[type=image]",submit:"[type=submit]",parent:":not(:empty)",header:":is(h1, h2, h3, h4, h5, h6)",button:":is(button, input[type=button])",input:":is(input, textarea, select, button)",text:"input:is(:not([type!='']), [type=text])"}),aliases}var subselects={},hasRequiredSubselects;function requireSubselects(){return hasRequiredSubselects||(hasRequiredSubselects=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__spreadArray||function(ld,cd,ud){if(ud||arguments.length===2)for(var _d=0,Ed=cd.length,Ad;_d"u")return function(Nd){for(var Rd=Nd;Rd=ud.getParent(Rd);)if(ud.isTag(Rd)&&rd(Rd))return!0;return!1};var Ad=new WeakSet;return function(Nd){for(var Rd=Nd;Rd=ud.getParent(Rd);)if(!Ad.has(Rd)){if(ud.isTag(Rd)&&rd(Rd))return!0;Ad.add(Rd)}return!1}}case"_flexibleDescendant":return function(Nd){var Rd=Nd;do if(ud.isTag(Rd)&&rd(Rd))return!0;while(Rd=ud.getParent(Rd));return!1};case ed.SelectorType.Parent:return function(Nd){return ud.getChildren(Nd).some(function(Rd){return ud.isTag(Rd)&&rd(Rd)})};case ed.SelectorType.Child:return function(Nd){var Rd=ud.getParent(Nd);return Rd!=null&&ud.isTag(Rd)&&rd(Rd)};case ed.SelectorType.Sibling:return function(Nd){for(var Rd=ud.getSiblings(Nd),Bd=0;Bd0&&(0,rd.isTraversal)(Qd[0])&&Qd[0].type!=="descendant"))if(Fd&&!Qd.some(ud))Qd.unshift(_d);else continue;Qd.unshift(Ad)}}function Nd(kd,Od,Md){var Pd;kd=kd.filter(function(Vd){return Vd.length>0}),kd.forEach(td.default),Md=(Pd=Od.context)!==null&&Pd!==void 0?Pd:Md;var Fd=Array.isArray(Md),Ud=Md&&(Array.isArray(Md)?Md:[Md]);Td(kd,Od,Ud);var Gd=!1,Qd=kd.map(function(Vd){if(Vd.length>=2){var zd=Vd[0],Xd=Vd[1];zd.type!=="pseudo"||zd.name!=="scope"||(Fd&&Xd.type==="descendant"?Vd[1]=Ed:(Xd.type==="adjacent"||Xd.type==="sibling")&&(Gd=!0))}return Rd(Vd,Od,Ud)}).reduce(Bd,ed.falseFunc);return Qd.shouldTestNextSiblings=Gd,Qd}compile$1.compileToken=Nd;function Rd(kd,Od,Md){var Pd;return kd.reduce(function(Fd,Ud){return Fd===ed.falseFunc?ed.falseFunc:(0,sd.compileGeneralSelector)(Fd,Ud,Od,Md,Nd)},(Pd=Od.rootFunc)!==null&&Pd!==void 0?Pd:ed.trueFunc)}function Bd(kd,Od){return Od===ed.falseFunc||kd===ed.trueFunc?kd:kd===ed.falseFunc||Od===ed.trueFunc?Od:function(Pd){return kd(Pd)||Od(Pd)}}return compile$1}var hasRequiredLib$4;function requireLib$4(){return hasRequiredLib$4||(hasRequiredLib$4=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__createBinding||(Object.create?function(kd,Od,Md,Pd){Pd===void 0&&(Pd=Md);var Fd=Object.getOwnPropertyDescriptor(Od,Md);(!Fd||("get"in Fd?!Od.__esModule:Fd.writable||Fd.configurable))&&(Fd={enumerable:!0,get:function(){return Od[Md]}}),Object.defineProperty(kd,Pd,Fd)}:function(kd,Od,Md,Pd){Pd===void 0&&(Pd=Md),kd[Pd]=Od[Md]}),ed=commonjsGlobal&&commonjsGlobal.__setModuleDefault||(Object.create?function(kd,Od){Object.defineProperty(kd,"default",{enumerable:!0,value:Od})}:function(kd,Od){kd.default=Od}),td=commonjsGlobal&&commonjsGlobal.__importStar||function(kd){if(kd&&kd.__esModule)return kd;var Od={};if(kd!=null)for(var Md in kd)Md!=="default"&&Object.prototype.hasOwnProperty.call(kd,Md)&&qa(Od,kd,Md);return ed(Od,kd),Od};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.aliases=Ra.pseudos=Ra.filters=Ra.is=Ra.selectOne=Ra.selectAll=Ra.prepareContext=Ra._compileToken=Ra._compileUnsafe=Ra.compile=void 0;var rd=td(requireLib$6()),sd=requireBoolbase(),od=requireCompile(),ld=requireSubselects(),cd=function(kd,Od){return kd===Od},ud={adapter:rd,equals:cd};function _d(kd){var Od,Md,Pd,Fd,Ud=kd??ud;return(Od=Ud.adapter)!==null&&Od!==void 0||(Ud.adapter=rd),(Md=Ud.equals)!==null&&Md!==void 0||(Ud.equals=(Fd=(Pd=Ud.adapter)===null||Pd===void 0?void 0:Pd.equals)!==null&&Fd!==void 0?Fd:cd),Ud}function Ed(kd){return function(Md,Pd,Fd){var Ud=_d(Pd);return kd(Md,Ud,Fd)}}Ra.compile=Ed(od.compile),Ra._compileUnsafe=Ed(od.compileUnsafe),Ra._compileToken=Ed(od.compileToken);function Ad(kd){return function(Md,Pd,Fd){var Ud=_d(Fd);typeof Md!="function"&&(Md=(0,od.compileUnsafe)(Md,Ud,Pd));var Gd=Td(Pd,Ud.adapter,Md.shouldTestNextSiblings);return kd(Md,Gd,Ud)}}function Td(kd,Od,Md){return Md===void 0&&(Md=!1),Md&&(kd=Nd(kd,Od)),Array.isArray(kd)?Od.removeSubsets(kd):Od.getChildren(kd)}Ra.prepareContext=Td;function Nd(kd,Od){for(var Md=Array.isArray(kd)?kd.slice(0):[kd],Pd=Md.length,Fd=0;Fd=0?sd+1:1/0:0;case"lt":return isFinite(sd)?sd>=0?sd:1/0:0;case"gt":return isFinite(sd)?1/0:0;default:return 1/0}}Ra.getLimit=ed}(positionals)),positionals}var hasRequiredHelpers;function requireHelpers(){if(hasRequiredHelpers)return helpers;hasRequiredHelpers=1,Object.defineProperty(helpers,"__esModule",{value:!0}),helpers.groupSelectors=helpers.getDocumentRoot=void 0;var Ra=requirePositionals();function qa(td){for(;td.parent;)td=td.parent;return td}helpers.getDocumentRoot=qa;function ed(td){for(var rd=[],sd=[],od=0,ld=td;od0&&mf.some((0,ld._compileToken)(yf,hf))||Nf.some(function(Pf){return Pd(Pf,mf,hf).length>0})}Ra.some=Bd;function kd(mf,_f,hf,gf){var yf=typeof hf=="string"?parseInt(hf,10):NaN;switch(mf){case"first":case"lt":return _f;case"last":return _f.length>0?[_f[_f.length-1]]:_f;case"nth":case"eq":return isFinite(yf)&&Math.abs(yf)<_f.length?[yf<0?_f[_f.length+yf]:_f[yf]]:[];case"gt":return isFinite(yf)?_f.slice(yf+1):[];case"even":return _f.filter(function(Pf,Yf){return Yf%2===0});case"odd":return _f.filter(function(Pf,Yf){return Yf%2===1});case"not":{var Nf=new Set(Md(hf,_f,gf));return _f.filter(function(Pf){return!Nf.has(Pf)})}}}function Od(mf,_f,hf){return hf===void 0&&(hf={}),Md((0,od.parse)(mf),_f,hf)}Ra.filter=Od;function Md(mf,_f,hf){if(_f.length===0)return[];var gf=(0,ud.groupSelectors)(mf),yf=gf[0],Nf=gf[1],Pf;if(yf.length){var Yf=df(_f,yf,hf);if(Nf.length===0)return Yf;Yf.length&&(Pf=new Set(Yf))}for(var Uf=0;Uf65535&&(rd-=65536,sd+=String.fromCharCode(rd>>>10&1023|55296),rd=56320|rd&1023),sd+=String.fromCharCode(rd),sd};function td(rd){return rd>=55296&&rd<=57343||rd>1114111?"�":(rd in qa.default&&(rd=qa.default[rd]),ed(rd))}return decode_codepoint.default=td,decode_codepoint}const Aacute$1="Á",aacute$1="á",Abreve="Ă",abreve="ă",ac$2="∾",acd="∿",acE="∾̳",Acirc$1="Â",acirc$1="â",acute$1="´",Acy="А",acy="а",AElig$1="Æ",aelig$1="æ",af$1="⁡",Afr="𝔄",afr="𝔞",Agrave$1="À",agrave$1="à",alefsym="ℵ",aleph="ℵ",Alpha="Α",alpha="α",Amacr="Ā",amacr="ā",amalg="⨿",amp$2="&",AMP$1="&",andand="⩕",And="⩓",and="∧",andd="⩜",andslope="⩘",andv="⩚",ang="∠",ange="⦤",angle="∠",angmsdaa="⦨",angmsdab="⦩",angmsdac="⦪",angmsdad="⦫",angmsdae="⦬",angmsdaf="⦭",angmsdag="⦮",angmsdah="⦯",angmsd="∡",angrt="∟",angrtvb="⊾",angrtvbd="⦝",angsph="∢",angst="Å",angzarr="⍼",Aogon="Ą",aogon="ą",Aopf="𝔸",aopf="𝕒",apacir="⩯",ap$2="≈",apE="⩰",ape="≊",apid="≋",apos$1="'",ApplyFunction="⁡",approx="≈",approxeq="≊",Aring$1="Å",aring$1="å",Ascr="𝒜",ascr="𝒶",Assign="≔",ast="*",asymp="≈",asympeq="≍",Atilde$1="Ã",atilde$1="ã",Auml$1="Ä",auml$1="ä",awconint="∳",awint="⨑",backcong="≌",backepsilon="϶",backprime="‵",backsim="∽",backsimeq="⋍",Backslash="∖",Barv="⫧",barvee="⊽",barwed="⌅",Barwed="⌆",barwedge="⌅",bbrk="⎵",bbrktbrk="⎶",bcong="≌",Bcy="Б",bcy="б",bdquo="„",becaus="∵",because="∵",Because="∵",bemptyv="⦰",bepsi="϶",bernou="ℬ",Bernoullis="ℬ",Beta="Β",beta="β",beth="ℶ",between="≬",Bfr="𝔅",bfr="𝔟",bigcap="⋂",bigcirc="◯",bigcup="⋃",bigodot="⨀",bigoplus="⨁",bigotimes="⨂",bigsqcup="⨆",bigstar="★",bigtriangledown="▽",bigtriangleup="△",biguplus="⨄",bigvee="⋁",bigwedge="⋀",bkarow="⤍",blacklozenge="⧫",blacksquare="▪",blacktriangle="▴",blacktriangledown="▾",blacktriangleleft="◂",blacktriangleright="▸",blank="␣",blk12="▒",blk14="░",blk34="▓",block="█",bne="=⃥",bnequiv="≡⃥",bNot="⫭",bnot="⌐",Bopf="𝔹",bopf="𝕓",bot="⊥",bottom="⊥",bowtie="⋈",boxbox="⧉",boxdl="┐",boxdL="╕",boxDl="╖",boxDL="╗",boxdr="┌",boxdR="╒",boxDr="╓",boxDR="╔",boxh="─",boxH="═",boxhd="┬",boxHd="╤",boxhD="╥",boxHD="╦",boxhu="┴",boxHu="╧",boxhU="╨",boxHU="╩",boxminus="⊟",boxplus="⊞",boxtimes="⊠",boxul="┘",boxuL="╛",boxUl="╜",boxUL="╝",boxur="└",boxuR="╘",boxUr="╙",boxUR="╚",boxv="│",boxV="║",boxvh="┼",boxvH="╪",boxVh="╫",boxVH="╬",boxvl="┤",boxvL="╡",boxVl="╢",boxVL="╣",boxvr="├",boxvR="╞",boxVr="╟",boxVR="╠",bprime="‵",breve="˘",Breve="˘",brvbar$1="¦",bscr="𝒷",Bscr="ℬ",bsemi="⁏",bsim="∽",bsime="⋍",bsolb="⧅",bsol="\\",bsolhsub="⟈",bull="•",bullet="•",bump="≎",bumpE="⪮",bumpe="≏",Bumpeq="≎",bumpeq="≏",Cacute="Ć",cacute="ć",capand="⩄",capbrcup="⩉",capcap="⩋",cap="∩",Cap="⋒",capcup="⩇",capdot="⩀",CapitalDifferentialD="ⅅ",caps="∩︀",caret="⁁",caron="ˇ",Cayleys="ℭ",ccaps="⩍",Ccaron="Č",ccaron="č",Ccedil$1="Ç",ccedil$1="ç",Ccirc="Ĉ",ccirc="ĉ",Cconint="∰",ccups="⩌",ccupssm="⩐",Cdot="Ċ",cdot="ċ",cedil$1="¸",Cedilla="¸",cemptyv="⦲",cent$1="¢",centerdot="·",CenterDot="·",cfr="𝔠",Cfr="ℭ",CHcy="Ч",chcy="ч",check="✓",checkmark="✓",Chi="Χ",chi="χ",circ="ˆ",circeq="≗",circlearrowleft="↺",circlearrowright="↻",circledast="⊛",circledcirc="⊚",circleddash="⊝",CircleDot="⊙",circledR="®",circledS="Ⓢ",CircleMinus="⊖",CirclePlus="⊕",CircleTimes="⊗",cir="○",cirE="⧃",cire="≗",cirfnint="⨐",cirmid="⫯",cirscir="⧂",ClockwiseContourIntegral="∲",CloseCurlyDoubleQuote="”",CloseCurlyQuote="’",clubs="♣",clubsuit="♣",colon=":",Colon="∷",Colone="⩴",colone="≔",coloneq="≔",comma=",",commat="@",comp="∁",compfn="∘",complement="∁",complexes="ℂ",cong="≅",congdot="⩭",Congruent="≡",conint="∮",Conint="∯",ContourIntegral="∮",copf="𝕔",Copf="ℂ",coprod="∐",Coproduct="∐",copy$1="©",COPY$1="©",copysr="℗",CounterClockwiseContourIntegral="∳",crarr="↵",cross="✗",Cross="⨯",Cscr="𝒞",cscr="𝒸",csub="⫏",csube="⫑",csup="⫐",csupe="⫒",ctdot="⋯",cudarrl="⤸",cudarrr="⤵",cuepr="⋞",cuesc="⋟",cularr="↶",cularrp="⤽",cupbrcap="⩈",cupcap="⩆",CupCap="≍",cup="∪",Cup="⋓",cupcup="⩊",cupdot="⊍",cupor="⩅",cups="∪︀",curarr="↷",curarrm="⤼",curlyeqprec="⋞",curlyeqsucc="⋟",curlyvee="⋎",curlywedge="⋏",curren$1="¤",curvearrowleft="↶",curvearrowright="↷",cuvee="⋎",cuwed="⋏",cwconint="∲",cwint="∱",cylcty="⌭",dagger="†",Dagger="‡",daleth="ℸ",darr="↓",Darr="↡",dArr="⇓",dash="‐",Dashv="⫤",dashv="⊣",dbkarow="⤏",dblac="˝",Dcaron="Ď",dcaron="ď",Dcy="Д",dcy="д",ddagger="‡",ddarr="⇊",DD="ⅅ",dd="ⅆ",DDotrahd="⤑",ddotseq="⩷",deg$1="°",Del="∇",Delta="Δ",delta="δ",demptyv="⦱",dfisht="⥿",Dfr="𝔇",dfr="𝔡",dHar="⥥",dharl="⇃",dharr="⇂",DiacriticalAcute="´",DiacriticalDot="˙",DiacriticalDoubleAcute="˝",DiacriticalGrave="`",DiacriticalTilde="˜",diam="⋄",diamond="⋄",Diamond="⋄",diamondsuit="♦",diams="♦",die="¨",DifferentialD="ⅆ",digamma="ϝ",disin="⋲",div="÷",divide$1="÷",divideontimes="⋇",divonx="⋇",DJcy="Ђ",djcy="ђ",dlcorn="⌞",dlcrop="⌍",dollar="$",Dopf="𝔻",dopf="𝕕",Dot="¨",dot="˙",DotDot="⃜",doteq="≐",doteqdot="≑",DotEqual="≐",dotminus="∸",dotplus="∔",dotsquare="⊡",doublebarwedge="⌆",DoubleContourIntegral="∯",DoubleDot="¨",DoubleDownArrow="⇓",DoubleLeftArrow="⇐",DoubleLeftRightArrow="⇔",DoubleLeftTee="⫤",DoubleLongLeftArrow="⟸",DoubleLongLeftRightArrow="⟺",DoubleLongRightArrow="⟹",DoubleRightArrow="⇒",DoubleRightTee="⊨",DoubleUpArrow="⇑",DoubleUpDownArrow="⇕",DoubleVerticalBar="∥",DownArrowBar="⤓",downarrow="↓",DownArrow="↓",Downarrow="⇓",DownArrowUpArrow="⇵",DownBreve="̑",downdownarrows="⇊",downharpoonleft="⇃",downharpoonright="⇂",DownLeftRightVector="⥐",DownLeftTeeVector="⥞",DownLeftVectorBar="⥖",DownLeftVector="↽",DownRightTeeVector="⥟",DownRightVectorBar="⥗",DownRightVector="⇁",DownTeeArrow="↧",DownTee="⊤",drbkarow="⤐",drcorn="⌟",drcrop="⌌",Dscr="𝒟",dscr="𝒹",DScy="Ѕ",dscy="ѕ",dsol="⧶",Dstrok="Đ",dstrok="đ",dtdot="⋱",dtri="▿",dtrif="▾",duarr="⇵",duhar="⥯",dwangle="⦦",DZcy="Џ",dzcy="џ",dzigrarr="⟿",Eacute$1="É",eacute$1="é",easter="⩮",Ecaron="Ě",ecaron="ě",Ecirc$1="Ê",ecirc$1="ê",ecir="≖",ecolon="≕",Ecy="Э",ecy="э",eDDot="⩷",Edot="Ė",edot="ė",eDot="≑",ee$3="ⅇ",efDot="≒",Efr="𝔈",efr="𝔢",eg="⪚",Egrave$1="È",egrave$1="è",egs="⪖",egsdot="⪘",el$3="⪙",Element$1="∈",elinters="⏧",ell="ℓ",els="⪕",elsdot="⪗",Emacr="Ē",emacr="ē",empty="∅",emptyset="∅",EmptySmallSquare="◻",emptyv="∅",EmptyVerySmallSquare="▫",emsp13=" ",emsp14=" ",emsp=" ",ENG="Ŋ",eng="ŋ",ensp=" ",Eogon="Ę",eogon="ę",Eopf="𝔼",eopf="𝕖",epar="⋕",eparsl="⧣",eplus="⩱",epsi="ε",Epsilon="Ε",epsilon="ε",epsiv="ϵ",eqcirc="≖",eqcolon="≕",eqsim="≂",eqslantgtr="⪖",eqslantless="⪕",Equal="⩵",equals="=",EqualTilde="≂",equest="≟",Equilibrium="⇌",equiv="≡",equivDD="⩸",eqvparsl="⧥",erarr="⥱",erDot="≓",escr="ℯ",Escr="ℰ",esdot="≐",Esim="⩳",esim="≂",Eta="Η",eta="η",ETH$1="Ð",eth$1="ð",Euml$1="Ë",euml$1="ë",euro="€",excl="!",exist="∃",Exists="∃",expectation="ℰ",exponentiale="ⅇ",ExponentialE="ⅇ",fallingdotseq="≒",Fcy="Ф",fcy="ф",female="♀",ffilig="ffi",fflig="ff",ffllig="ffl",Ffr="𝔉",ffr="𝔣",filig="fi",FilledSmallSquare="◼",FilledVerySmallSquare="▪",fjlig="fj",flat="♭",fllig="fl",fltns="▱",fnof="ƒ",Fopf="𝔽",fopf="𝕗",forall="∀",ForAll="∀",fork="⋔",forkv="⫙",Fouriertrf="ℱ",fpartint="⨍",frac12$1="½",frac13="⅓",frac14$1="¼",frac15="⅕",frac16="⅙",frac18="⅛",frac23="⅔",frac25="⅖",frac34$1="¾",frac35="⅗",frac38="⅜",frac45="⅘",frac56="⅚",frac58="⅝",frac78="⅞",frasl="⁄",frown="⌢",fscr="𝒻",Fscr="ℱ",gacute="ǵ",Gamma="Γ",gamma="γ",Gammad="Ϝ",gammad="ϝ",gap="⪆",Gbreve="Ğ",gbreve="ğ",Gcedil="Ģ",Gcirc="Ĝ",gcirc="ĝ",Gcy="Г",gcy="г",Gdot="Ġ",gdot="ġ",ge$5="≥",gE="≧",gEl="⪌",gel="⋛",geq="≥",geqq="≧",geqslant="⩾",gescc="⪩",ges="⩾",gesdot="⪀",gesdoto="⪂",gesdotol="⪄",gesl="⋛︀",gesles="⪔",Gfr="𝔊",gfr="𝔤",gg="≫",Gg="⋙",ggg="⋙",gimel="ℷ",GJcy="Ѓ",gjcy="ѓ",gla="⪥",gl$3="≷",glE="⪒",glj="⪤",gnap="⪊",gnapprox="⪊",gne="⪈",gnE="≩",gneq="⪈",gneqq="≩",gnsim="⋧",Gopf="𝔾",gopf="𝕘",grave="`",GreaterEqual="≥",GreaterEqualLess="⋛",GreaterFullEqual="≧",GreaterGreater="⪢",GreaterLess="≷",GreaterSlantEqual="⩾",GreaterTilde="≳",Gscr="𝒢",gscr="ℊ",gsim="≳",gsime="⪎",gsiml="⪐",gtcc="⪧",gtcir="⩺",gt$5=">",GT$1=">",Gt$4="≫",gtdot="⋗",gtlPar="⦕",gtquest="⩼",gtrapprox="⪆",gtrarr="⥸",gtrdot="⋗",gtreqless="⋛",gtreqqless="⪌",gtrless="≷",gtrsim="≳",gvertneqq="≩︀",gvnE="≩︀",Hacek="ˇ",hairsp=" ",half="½",hamilt="ℋ",HARDcy="Ъ",hardcy="ъ",harrcir="⥈",harr="↔",hArr="⇔",harrw="↭",Hat="^",hbar="ℏ",Hcirc="Ĥ",hcirc="ĥ",hearts="♥",heartsuit="♥",hellip="…",hercon="⊹",hfr="𝔥",Hfr="ℌ",HilbertSpace="ℋ",hksearow="⤥",hkswarow="⤦",hoarr="⇿",homtht="∻",hookleftarrow="↩",hookrightarrow="↪",hopf="𝕙",Hopf="ℍ",horbar="―",HorizontalLine="─",hscr="𝒽",Hscr="ℋ",hslash="ℏ",Hstrok="Ħ",hstrok="ħ",HumpDownHump="≎",HumpEqual="≏",hybull="⁃",hyphen="‐",Iacute$1="Í",iacute$1="í",ic$2="⁣",Icirc$1="Î",icirc$1="î",Icy="И",icy="и",Idot="İ",IEcy="Е",iecy="е",iexcl$1="¡",iff="⇔",ifr="𝔦",Ifr="ℑ",Igrave$1="Ì",igrave$1="ì",ii$5="ⅈ",iiiint="⨌",iiint="∭",iinfin="⧜",iiota="℩",IJlig="IJ",ijlig="ij",Imacr="Ī",imacr="ī",image="ℑ",ImaginaryI="ⅈ",imagline="ℐ",imagpart="ℑ",imath="ı",Im="ℑ",imof="⊷",imped="Ƶ",Implies="⇒",incare="℅",infin="∞",infintie="⧝",inodot="ı",intcal="⊺",int="∫",Int="∬",integers="ℤ",Integral="∫",intercal="⊺",Intersection="⋂",intlarhk="⨗",intprod="⨼",InvisibleComma="⁣",InvisibleTimes="⁢",IOcy="Ё",iocy="ё",Iogon="Į",iogon="į",Iopf="𝕀",iopf="𝕚",Iota="Ι",iota="ι",iprod="⨼",iquest$1="¿",iscr="𝒾",Iscr="ℐ",isin="∈",isindot="⋵",isinE="⋹",isins="⋴",isinsv="⋳",isinv="∈",it$3="⁢",Itilde="Ĩ",itilde="ĩ",Iukcy="І",iukcy="і",Iuml$1="Ï",iuml$1="ï",Jcirc="Ĵ",jcirc="ĵ",Jcy="Й",jcy="й",Jfr="𝔍",jfr="𝔧",jmath="ȷ",Jopf="𝕁",jopf="𝕛",Jscr="𝒥",jscr="𝒿",Jsercy="Ј",jsercy="ј",Jukcy="Є",jukcy="є",Kappa="Κ",kappa="κ",kappav="ϰ",Kcedil="Ķ",kcedil="ķ",Kcy="К",kcy="к",Kfr="𝔎",kfr="𝔨",kgreen="ĸ",KHcy="Х",khcy="х",KJcy="Ќ",kjcy="ќ",Kopf="𝕂",kopf="𝕜",Kscr="𝒦",kscr="𝓀",lAarr="⇚",Lacute="Ĺ",lacute="ĺ",laemptyv="⦴",lagran="ℒ",Lambda="Λ",lambda="λ",lang="⟨",Lang="⟪",langd="⦑",langle="⟨",lap="⪅",Laplacetrf="ℒ",laquo$1="«",larrb="⇤",larrbfs="⤟",larr="←",Larr="↞",lArr="⇐",larrfs="⤝",larrhk="↩",larrlp="↫",larrpl="⤹",larrsim="⥳",larrtl="↢",latail="⤙",lAtail="⤛",lat="⪫",late="⪭",lates="⪭︀",lbarr="⤌",lBarr="⤎",lbbrk="❲",lbrace="{",lbrack="[",lbrke="⦋",lbrksld="⦏",lbrkslu="⦍",Lcaron="Ľ",lcaron="ľ",Lcedil="Ļ",lcedil="ļ",lceil="⌈",lcub="{",Lcy="Л",lcy="л",ldca="⤶",ldquo="“",ldquor="„",ldrdhar="⥧",ldrushar="⥋",ldsh="↲",le$4="≤",lE="≦",LeftAngleBracket="⟨",LeftArrowBar="⇤",leftarrow="←",LeftArrow="←",Leftarrow="⇐",LeftArrowRightArrow="⇆",leftarrowtail="↢",LeftCeiling="⌈",LeftDoubleBracket="⟦",LeftDownTeeVector="⥡",LeftDownVectorBar="⥙",LeftDownVector="⇃",LeftFloor="⌊",leftharpoondown="↽",leftharpoonup="↼",leftleftarrows="⇇",leftrightarrow="↔",LeftRightArrow="↔",Leftrightarrow="⇔",leftrightarrows="⇆",leftrightharpoons="⇋",leftrightsquigarrow="↭",LeftRightVector="⥎",LeftTeeArrow="↤",LeftTee="⊣",LeftTeeVector="⥚",leftthreetimes="⋋",LeftTriangleBar="⧏",LeftTriangle="⊲",LeftTriangleEqual="⊴",LeftUpDownVector="⥑",LeftUpTeeVector="⥠",LeftUpVectorBar="⥘",LeftUpVector="↿",LeftVectorBar="⥒",LeftVector="↼",lEg="⪋",leg="⋚",leq="≤",leqq="≦",leqslant="⩽",lescc="⪨",les="⩽",lesdot="⩿",lesdoto="⪁",lesdotor="⪃",lesg="⋚︀",lesges="⪓",lessapprox="⪅",lessdot="⋖",lesseqgtr="⋚",lesseqqgtr="⪋",LessEqualGreater="⋚",LessFullEqual="≦",LessGreater="≶",lessgtr="≶",LessLess="⪡",lesssim="≲",LessSlantEqual="⩽",LessTilde="≲",lfisht="⥼",lfloor="⌊",Lfr="𝔏",lfr="𝔩",lg="≶",lgE="⪑",lHar="⥢",lhard="↽",lharu="↼",lharul="⥪",lhblk="▄",LJcy="Љ",ljcy="љ",llarr="⇇",ll$3="≪",Ll$4="⋘",llcorner="⌞",Lleftarrow="⇚",llhard="⥫",lltri="◺",Lmidot="Ŀ",lmidot="ŀ",lmoustache="⎰",lmoust="⎰",lnap="⪉",lnapprox="⪉",lne="⪇",lnE="≨",lneq="⪇",lneqq="≨",lnsim="⋦",loang="⟬",loarr="⇽",lobrk="⟦",longleftarrow="⟵",LongLeftArrow="⟵",Longleftarrow="⟸",longleftrightarrow="⟷",LongLeftRightArrow="⟷",Longleftrightarrow="⟺",longmapsto="⟼",longrightarrow="⟶",LongRightArrow="⟶",Longrightarrow="⟹",looparrowleft="↫",looparrowright="↬",lopar="⦅",Lopf="𝕃",lopf="𝕝",loplus="⨭",lotimes="⨴",lowast="∗",lowbar="_",LowerLeftArrow="↙",LowerRightArrow="↘",loz="◊",lozenge="◊",lozf="⧫",lpar="(",lparlt="⦓",lrarr="⇆",lrcorner="⌟",lrhar="⇋",lrhard="⥭",lrm="‎",lrtri="⊿",lsaquo="‹",lscr="𝓁",Lscr="ℒ",lsh="↰",Lsh="↰",lsim="≲",lsime="⪍",lsimg="⪏",lsqb="[",lsquo="‘",lsquor="‚",Lstrok="Ł",lstrok="ł",ltcc="⪦",ltcir="⩹",lt$5="<",LT$1="<",Lt$3="≪",ltdot="⋖",lthree="⋋",ltimes="⋉",ltlarr="⥶",ltquest="⩻",ltri="◃",ltrie="⊴",ltrif="◂",ltrPar="⦖",lurdshar="⥊",luruhar="⥦",lvertneqq="≨︀",lvnE="≨︀",macr$1="¯",male="♂",malt="✠",maltese="✠",map="↦",mapsto="↦",mapstodown="↧",mapstoleft="↤",mapstoup="↥",marker="▮",mcomma="⨩",Mcy="М",mcy="м",mdash="—",mDDot="∺",measuredangle="∡",MediumSpace=" ",Mellintrf="ℳ",Mfr="𝔐",mfr="𝔪",mho="℧",micro$1="µ",midast="*",midcir="⫰",mid="∣",middot$1="·",minusb="⊟",minus="−",minusd="∸",minusdu="⨪",MinusPlus="∓",mlcp="⫛",mldr="…",mnplus="∓",models="⊧",Mopf="𝕄",mopf="𝕞",mp$1="∓",mscr="𝓂",Mscr="ℳ",mstpos="∾",Mu$3="Μ",mu$4="μ",multimap="⊸",mumap="⊸",nabla="∇",Nacute="Ń",nacute="ń",nang="∠⃒",nap="≉",napE="⩰̸",napid="≋̸",napos="ʼn",napprox="≉",natural="♮",naturals="ℕ",natur="♮",nbsp$1=" ",nbump="≎̸",nbumpe="≏̸",ncap="⩃",Ncaron="Ň",ncaron="ň",Ncedil="Ņ",ncedil="ņ",ncong="≇",ncongdot="⩭̸",ncup="⩂",Ncy="Н",ncy="н",ndash="–",nearhk="⤤",nearr="↗",neArr="⇗",nearrow="↗",ne$4="≠",nedot="≐̸",NegativeMediumSpace="​",NegativeThickSpace="​",NegativeThinSpace="​",NegativeVeryThinSpace="​",nequiv="≢",nesear="⤨",nesim="≂̸",NestedGreaterGreater="≫",NestedLessLess="≪",NewLine=` `,nexist="∄",nexists="∄",Nfr="𝔑",nfr="𝔫",ngE="≧̸",nge="≱",ngeq="≱",ngeqq="≧̸",ngeqslant="⩾̸",nges="⩾̸",nGg="⋙̸",ngsim="≵",nGt="≫⃒",ngt="≯",ngtr="≯",nGtv="≫̸",nharr="↮",nhArr="⇎",nhpar="⫲",ni$5="∋",nis="⋼",nisd="⋺",niv="∋",NJcy="Њ",njcy="њ",nlarr="↚",nlArr="⇍",nldr="‥",nlE="≦̸",nle="≰",nleftarrow="↚",nLeftarrow="⇍",nleftrightarrow="↮",nLeftrightarrow="⇎",nleq="≰",nleqq="≦̸",nleqslant="⩽̸",nles="⩽̸",nless="≮",nLl="⋘̸",nlsim="≴",nLt="≪⃒",nlt="≮",nltri="⋪",nltrie="⋬",nLtv="≪̸",nmid="∤",NoBreak="⁠",NonBreakingSpace=" ",nopf="𝕟",Nopf="ℕ",Not="⫬",not$1="¬",NotCongruent="≢",NotCupCap="≭",NotDoubleVerticalBar="∦",NotElement="∉",NotEqual="≠",NotEqualTilde="≂̸",NotExists="∄",NotGreater="≯",NotGreaterEqual="≱",NotGreaterFullEqual="≧̸",NotGreaterGreater="≫̸",NotGreaterLess="≹",NotGreaterSlantEqual="⩾̸",NotGreaterTilde="≵",NotHumpDownHump="≎̸",NotHumpEqual="≏̸",notin="∉",notindot="⋵̸",notinE="⋹̸",notinva="∉",notinvb="⋷",notinvc="⋶",NotLeftTriangleBar="⧏̸",NotLeftTriangle="⋪",NotLeftTriangleEqual="⋬",NotLess="≮",NotLessEqual="≰",NotLessGreater="≸",NotLessLess="≪̸",NotLessSlantEqual="⩽̸",NotLessTilde="≴",NotNestedGreaterGreater="⪢̸",NotNestedLessLess="⪡̸",notni="∌",notniva="∌",notnivb="⋾",notnivc="⋽",NotPrecedes="⊀",NotPrecedesEqual="⪯̸",NotPrecedesSlantEqual="⋠",NotReverseElement="∌",NotRightTriangleBar="⧐̸",NotRightTriangle="⋫",NotRightTriangleEqual="⋭",NotSquareSubset="⊏̸",NotSquareSubsetEqual="⋢",NotSquareSuperset="⊐̸",NotSquareSupersetEqual="⋣",NotSubset="⊂⃒",NotSubsetEqual="⊈",NotSucceeds="⊁",NotSucceedsEqual="⪰̸",NotSucceedsSlantEqual="⋡",NotSucceedsTilde="≿̸",NotSuperset="⊃⃒",NotSupersetEqual="⊉",NotTilde="≁",NotTildeEqual="≄",NotTildeFullEqual="≇",NotTildeTilde="≉",NotVerticalBar="∤",nparallel="∦",npar="∦",nparsl="⫽⃥",npart="∂̸",npolint="⨔",npr="⊀",nprcue="⋠",nprec="⊀",npreceq="⪯̸",npre="⪯̸",nrarrc="⤳̸",nrarr="↛",nrArr="⇏",nrarrw="↝̸",nrightarrow="↛",nRightarrow="⇏",nrtri="⋫",nrtrie="⋭",nsc="⊁",nsccue="⋡",nsce="⪰̸",Nscr="𝒩",nscr="𝓃",nshortmid="∤",nshortparallel="∦",nsim="≁",nsime="≄",nsimeq="≄",nsmid="∤",nspar="∦",nsqsube="⋢",nsqsupe="⋣",nsub="⊄",nsubE="⫅̸",nsube="⊈",nsubset="⊂⃒",nsubseteq="⊈",nsubseteqq="⫅̸",nsucc="⊁",nsucceq="⪰̸",nsup="⊅",nsupE="⫆̸",nsupe="⊉",nsupset="⊃⃒",nsupseteq="⊉",nsupseteqq="⫆̸",ntgl="≹",Ntilde$1="Ñ",ntilde$1="ñ",ntlg="≸",ntriangleleft="⋪",ntrianglelefteq="⋬",ntriangleright="⋫",ntrianglerighteq="⋭",Nu$3="Ν",nu$5="ν",num="#",numero="№",numsp=" ",nvap="≍⃒",nvdash="⊬",nvDash="⊭",nVdash="⊮",nVDash="⊯",nvge="≥⃒",nvgt=">⃒",nvHarr="⤄",nvinfin="⧞",nvlArr="⤂",nvle="≤⃒",nvlt="<⃒",nvltrie="⊴⃒",nvrArr="⤃",nvrtrie="⊵⃒",nvsim="∼⃒",nwarhk="⤣",nwarr="↖",nwArr="⇖",nwarrow="↖",nwnear="⤧",Oacute$1="Ó",oacute$1="ó",oast="⊛",Ocirc$1="Ô",ocirc$1="ô",ocir="⊚",Ocy="О",ocy="о",odash="⊝",Odblac="Ő",odblac="ő",odiv="⨸",odot="⊙",odsold="⦼",OElig="Œ",oelig="œ",ofcir="⦿",Ofr="𝔒",ofr="𝔬",ogon="˛",Ograve$1="Ò",ograve$1="ò",ogt="⧁",ohbar="⦵",ohm="Ω",oint="∮",olarr="↺",olcir="⦾",olcross="⦻",oline="‾",olt="⧀",Omacr="Ō",omacr="ō",Omega="Ω",omega="ω",Omicron="Ο",omicron="ο",omid="⦶",ominus="⊖",Oopf="𝕆",oopf="𝕠",opar="⦷",OpenCurlyDoubleQuote="“",OpenCurlyQuote="‘",operp="⦹",oplus="⊕",orarr="↻",Or$4="⩔",or$3="∨",ord="⩝",order="ℴ",orderof="ℴ",ordf$1="ª",ordm$1="º",origof="⊶",oror="⩖",orslope="⩗",orv="⩛",oS="Ⓢ",Oscr="𝒪",oscr="ℴ",Oslash$1="Ø",oslash$1="ø",osol="⊘",Otilde$1="Õ",otilde$1="õ",otimesas="⨶",Otimes="⨷",otimes="⊗",Ouml$1="Ö",ouml$1="ö",ovbar="⌽",OverBar="‾",OverBrace="⏞",OverBracket="⎴",OverParenthesis="⏜",para$1="¶",parallel="∥",par="∥",parsim="⫳",parsl="⫽",part="∂",PartialD="∂",Pcy="П",pcy="п",percnt="%",period=".",permil="‰",perp="⊥",pertenk="‱",Pfr="𝔓",pfr="𝔭",Phi="Φ",phi="φ",phiv="ϕ",phmmat="ℳ",phone="☎",Pi$3="Π",pi$5="π",pitchfork="⋔",piv="ϖ",planck="ℏ",planckh="ℎ",plankv="ℏ",plusacir="⨣",plusb="⊞",pluscir="⨢",plus="+",plusdo="∔",plusdu="⨥",pluse="⩲",PlusMinus="±",plusmn$1="±",plussim="⨦",plustwo="⨧",pm="±",Poincareplane="ℌ",pointint="⨕",popf="𝕡",Popf="ℙ",pound$1="£",prap="⪷",Pr$4="⪻",pr$3="≺",prcue="≼",precapprox="⪷",prec="≺",preccurlyeq="≼",Precedes="≺",PrecedesEqual="⪯",PrecedesSlantEqual="≼",PrecedesTilde="≾",preceq="⪯",precnapprox="⪹",precneqq="⪵",precnsim="⋨",pre="⪯",prE="⪳",precsim="≾",prime="′",Prime="″",primes="ℙ",prnap="⪹",prnE="⪵",prnsim="⋨",prod="∏",Product="∏",profalar="⌮",profline="⌒",profsurf="⌓",prop="∝",Proportional="∝",Proportion="∷",propto="∝",prsim="≾",prurel="⊰",Pscr="𝒫",pscr="𝓅",Psi="Ψ",psi="ψ",puncsp=" ",Qfr="𝔔",qfr="𝔮",qint="⨌",qopf="𝕢",Qopf="ℚ",qprime="⁗",Qscr="𝒬",qscr="𝓆",quaternions="ℍ",quatint="⨖",quest="?",questeq="≟",quot$2='"',QUOT$1='"',rAarr="⇛",race="∽̱",Racute="Ŕ",racute="ŕ",radic="√",raemptyv="⦳",rang="⟩",Rang="⟫",rangd="⦒",range="⦥",rangle="⟩",raquo$1="»",rarrap="⥵",rarrb="⇥",rarrbfs="⤠",rarrc="⤳",rarr="→",Rarr="↠",rArr="⇒",rarrfs="⤞",rarrhk="↪",rarrlp="↬",rarrpl="⥅",rarrsim="⥴",Rarrtl="⤖",rarrtl="↣",rarrw="↝",ratail="⤚",rAtail="⤜",ratio="∶",rationals="ℚ",rbarr="⤍",rBarr="⤏",RBarr="⤐",rbbrk="❳",rbrace="}",rbrack="]",rbrke="⦌",rbrksld="⦎",rbrkslu="⦐",Rcaron="Ř",rcaron="ř",Rcedil="Ŗ",rcedil="ŗ",rceil="⌉",rcub="}",Rcy="Р",rcy="р",rdca="⤷",rdldhar="⥩",rdquo="”",rdquor="”",rdsh="↳",real="ℜ",realine="ℛ",realpart="ℜ",reals="ℝ",Re$6="ℜ",rect="▭",reg$1="®",REG$1="®",ReverseElement="∋",ReverseEquilibrium="⇋",ReverseUpEquilibrium="⥯",rfisht="⥽",rfloor="⌋",rfr="𝔯",Rfr="ℜ",rHar="⥤",rhard="⇁",rharu="⇀",rharul="⥬",Rho="Ρ",rho="ρ",rhov="ϱ",RightAngleBracket="⟩",RightArrowBar="⇥",rightarrow="→",RightArrow="→",Rightarrow="⇒",RightArrowLeftArrow="⇄",rightarrowtail="↣",RightCeiling="⌉",RightDoubleBracket="⟧",RightDownTeeVector="⥝",RightDownVectorBar="⥕",RightDownVector="⇂",RightFloor="⌋",rightharpoondown="⇁",rightharpoonup="⇀",rightleftarrows="⇄",rightleftharpoons="⇌",rightrightarrows="⇉",rightsquigarrow="↝",RightTeeArrow="↦",RightTee="⊢",RightTeeVector="⥛",rightthreetimes="⋌",RightTriangleBar="⧐",RightTriangle="⊳",RightTriangleEqual="⊵",RightUpDownVector="⥏",RightUpTeeVector="⥜",RightUpVectorBar="⥔",RightUpVector="↾",RightVectorBar="⥓",RightVector="⇀",ring="˚",risingdotseq="≓",rlarr="⇄",rlhar="⇌",rlm="‏",rmoustache="⎱",rmoust="⎱",rnmid="⫮",roang="⟭",roarr="⇾",robrk="⟧",ropar="⦆",ropf="𝕣",Ropf="ℝ",roplus="⨮",rotimes="⨵",RoundImplies="⥰",rpar=")",rpargt="⦔",rppolint="⨒",rrarr="⇉",Rrightarrow="⇛",rsaquo="›",rscr="𝓇",Rscr="ℛ",rsh="↱",Rsh="↱",rsqb="]",rsquo="’",rsquor="’",rthree="⋌",rtimes="⋊",rtri="▹",rtrie="⊵",rtrif="▸",rtriltri="⧎",RuleDelayed="⧴",ruluhar="⥨",rx="℞",Sacute="Ś",sacute="ś",sbquo="‚",scap="⪸",Scaron="Š",scaron="š",Sc$1="⪼",sc$2="≻",sccue="≽",sce="⪰",scE="⪴",Scedil="Ş",scedil="ş",Scirc="Ŝ",scirc="ŝ",scnap="⪺",scnE="⪶",scnsim="⋩",scpolint="⨓",scsim="≿",Scy="С",scy="с",sdotb="⊡",sdot="⋅",sdote="⩦",searhk="⤥",searr="↘",seArr="⇘",searrow="↘",sect$1="§",semi=";",seswar="⤩",setminus="∖",setmn="∖",sext="✶",Sfr="𝔖",sfr="𝔰",sfrown="⌢",sharp="♯",SHCHcy="Щ",shchcy="щ",SHcy="Ш",shcy="ш",ShortDownArrow="↓",ShortLeftArrow="←",shortmid="∣",shortparallel="∥",ShortRightArrow="→",ShortUpArrow="↑",shy$1="­",Sigma="Σ",sigma="σ",sigmaf="ς",sigmav="ς",sim="∼",simdot="⩪",sime="≃",simeq="≃",simg="⪞",simgE="⪠",siml="⪝",simlE="⪟",simne="≆",simplus="⨤",simrarr="⥲",slarr="←",SmallCircle="∘",smallsetminus="∖",smashp="⨳",smeparsl="⧤",smid="∣",smile="⌣",smt="⪪",smte="⪬",smtes="⪬︀",SOFTcy="Ь",softcy="ь",solbar="⌿",solb="⧄",sol="/",Sopf="𝕊",sopf="𝕤",spades="♠",spadesuit="♠",spar="∥",sqcap="⊓",sqcaps="⊓︀",sqcup="⊔",sqcups="⊔︀",Sqrt="√",sqsub="⊏",sqsube="⊑",sqsubset="⊏",sqsubseteq="⊑",sqsup="⊐",sqsupe="⊒",sqsupset="⊐",sqsupseteq="⊒",square="□",Square="□",SquareIntersection="⊓",SquareSubset="⊏",SquareSubsetEqual="⊑",SquareSuperset="⊐",SquareSupersetEqual="⊒",SquareUnion="⊔",squarf="▪",squ="□",squf="▪",srarr="→",Sscr="𝒮",sscr="𝓈",ssetmn="∖",ssmile="⌣",sstarf="⋆",Star="⋆",star="☆",starf="★",straightepsilon="ϵ",straightphi="ϕ",strns="¯",sub="⊂",Sub="⋐",subdot="⪽",subE="⫅",sube="⊆",subedot="⫃",submult="⫁",subnE="⫋",subne="⊊",subplus="⪿",subrarr="⥹",subset="⊂",Subset="⋐",subseteq="⊆",subseteqq="⫅",SubsetEqual="⊆",subsetneq="⊊",subsetneqq="⫋",subsim="⫇",subsub="⫕",subsup="⫓",succapprox="⪸",succ="≻",succcurlyeq="≽",Succeeds="≻",SucceedsEqual="⪰",SucceedsSlantEqual="≽",SucceedsTilde="≿",succeq="⪰",succnapprox="⪺",succneqq="⪶",succnsim="⋩",succsim="≿",SuchThat="∋",sum="∑",Sum="∑",sung="♪",sup1$1="¹",sup2$1="²",sup3$1="³",sup="⊃",Sup="⋑",supdot="⪾",supdsub="⫘",supE="⫆",supe="⊇",supedot="⫄",Superset="⊃",SupersetEqual="⊇",suphsol="⟉",suphsub="⫗",suplarr="⥻",supmult="⫂",supnE="⫌",supne="⊋",supplus="⫀",supset="⊃",Supset="⋑",supseteq="⊇",supseteqq="⫆",supsetneq="⊋",supsetneqq="⫌",supsim="⫈",supsub="⫔",supsup="⫖",swarhk="⤦",swarr="↙",swArr="⇙",swarrow="↙",swnwar="⤪",szlig$1="ß",Tab=" ",target="⌖",Tau="Τ",tau="τ",tbrk="⎴",Tcaron="Ť",tcaron="ť",Tcedil="Ţ",tcedil="ţ",Tcy="Т",tcy="т",tdot="⃛",telrec="⌕",Tfr="𝔗",tfr="𝔱",there4="∴",therefore="∴",Therefore="∴",Theta="Θ",theta="θ",thetasym="ϑ",thetav="ϑ",thickapprox="≈",thicksim="∼",ThickSpace="  ",ThinSpace=" ",thinsp=" ",thkap="≈",thksim="∼",THORN$1="Þ",thorn$1="þ",tilde="˜",Tilde="∼",TildeEqual="≃",TildeFullEqual="≅",TildeTilde="≈",timesbar="⨱",timesb="⊠",times$1="×",timesd="⨰",tint="∭",toea="⤨",topbot="⌶",topcir="⫱",top="⊤",Topf="𝕋",topf="𝕥",topfork="⫚",tosa="⤩",tprime="‴",trade="™",TRADE="™",triangle="▵",triangledown="▿",triangleleft="◃",trianglelefteq="⊴",triangleq="≜",triangleright="▹",trianglerighteq="⊵",tridot="◬",trie="≜",triminus="⨺",TripleDot="⃛",triplus="⨹",trisb="⧍",tritime="⨻",trpezium="⏢",Tscr="𝒯",tscr="𝓉",TScy="Ц",tscy="ц",TSHcy="Ћ",tshcy="ћ",Tstrok="Ŧ",tstrok="ŧ",twixt="≬",twoheadleftarrow="↞",twoheadrightarrow="↠",Uacute$1="Ú",uacute$1="ú",uarr="↑",Uarr="↟",uArr="⇑",Uarrocir="⥉",Ubrcy="Ў",ubrcy="ў",Ubreve="Ŭ",ubreve="ŭ",Ucirc$1="Û",ucirc$1="û",Ucy="У",ucy="у",udarr="⇅",Udblac="Ű",udblac="ű",udhar="⥮",ufisht="⥾",Ufr="𝔘",ufr="𝔲",Ugrave$1="Ù",ugrave$1="ù",uHar="⥣",uharl="↿",uharr="↾",uhblk="▀",ulcorn="⌜",ulcorner="⌜",ulcrop="⌏",ultri="◸",Umacr="Ū",umacr="ū",uml$1="¨",UnderBar="_",UnderBrace="⏟",UnderBracket="⎵",UnderParenthesis="⏝",Union="⋃",UnionPlus="⊎",Uogon="Ų",uogon="ų",Uopf="𝕌",uopf="𝕦",UpArrowBar="⤒",uparrow="↑",UpArrow="↑",Uparrow="⇑",UpArrowDownArrow="⇅",updownarrow="↕",UpDownArrow="↕",Updownarrow="⇕",UpEquilibrium="⥮",upharpoonleft="↿",upharpoonright="↾",uplus="⊎",UpperLeftArrow="↖",UpperRightArrow="↗",upsi="υ",Upsi="ϒ",upsih="ϒ",Upsilon="Υ",upsilon="υ",UpTeeArrow="↥",UpTee="⊥",upuparrows="⇈",urcorn="⌝",urcorner="⌝",urcrop="⌎",Uring="Ů",uring="ů",urtri="◹",Uscr="𝒰",uscr="𝓊",utdot="⋰",Utilde="Ũ",utilde="ũ",utri="▵",utrif="▴",uuarr="⇈",Uuml$1="Ü",uuml$1="ü",uwangle="⦧",vangrt="⦜",varepsilon="ϵ",varkappa="ϰ",varnothing="∅",varphi="ϕ",varpi="ϖ",varpropto="∝",varr="↕",vArr="⇕",varrho="ϱ",varsigma="ς",varsubsetneq="⊊︀",varsubsetneqq="⫋︀",varsupsetneq="⊋︀",varsupsetneqq="⫌︀",vartheta="ϑ",vartriangleleft="⊲",vartriangleright="⊳",vBar="⫨",Vbar="⫫",vBarv="⫩",Vcy="В",vcy="в",vdash="⊢",vDash="⊨",Vdash="⊩",VDash="⊫",Vdashl="⫦",veebar="⊻",vee="∨",Vee="⋁",veeeq="≚",vellip="⋮",verbar="|",Verbar="‖",vert="|",Vert="‖",VerticalBar="∣",VerticalLine="|",VerticalSeparator="❘",VerticalTilde="≀",VeryThinSpace=" ",Vfr="𝔙",vfr="𝔳",vltri="⊲",vnsub="⊂⃒",vnsup="⊃⃒",Vopf="𝕍",vopf="𝕧",vprop="∝",vrtri="⊳",Vscr="𝒱",vscr="𝓋",vsubnE="⫋︀",vsubne="⊊︀",vsupnE="⫌︀",vsupne="⊋︀",Vvdash="⊪",vzigzag="⦚",Wcirc="Ŵ",wcirc="ŵ",wedbar="⩟",wedge="∧",Wedge="⋀",wedgeq="≙",weierp="℘",Wfr="𝔚",wfr="𝔴",Wopf="𝕎",wopf="𝕨",wp$1="℘",wr$4="≀",wreath="≀",Wscr="𝒲",wscr="𝓌",xcap="⋂",xcirc="◯",xcup="⋃",xdtri="▽",Xfr="𝔛",xfr="𝔵",xharr="⟷",xhArr="⟺",Xi$2="Ξ",xi$3="ξ",xlarr="⟵",xlArr="⟸",xmap="⟼",xnis="⋻",xodot="⨀",Xopf="𝕏",xopf="𝕩",xoplus="⨁",xotime="⨂",xrarr="⟶",xrArr="⟹",Xscr="𝒳",xscr="𝓍",xsqcup="⨆",xuplus="⨄",xutri="△",xvee="⋁",xwedge="⋀",Yacute$1="Ý",yacute$1="ý",YAcy="Я",yacy="я",Ycirc="Ŷ",ycirc="ŷ",Ycy="Ы",ycy="ы",yen$1="¥",Yfr="𝔜",yfr="𝔶",YIcy="Ї",yicy="ї",Yopf="𝕐",yopf="𝕪",Yscr="𝒴",yscr="𝓎",YUcy="Ю",yucy="ю",yuml$1="ÿ",Yuml="Ÿ",Zacute="Ź",zacute="ź",Zcaron="Ž",zcaron="ž",Zcy="З",zcy="з",Zdot="Ż",zdot="ż",zeetrf="ℨ",ZeroWidthSpace="​",Zeta="Ζ",zeta="ζ",zfr="𝔷",Zfr="ℨ",ZHcy="Ж",zhcy="ж",zigrarr="⇝",zopf="𝕫",Zopf="ℤ",Zscr="𝒵",zscr="𝓏",zwj="‍",zwnj="‌",require$$1={Aacute:Aacute$1,aacute:aacute$1,Abreve,abreve,ac:ac$2,acd,acE,Acirc:Acirc$1,acirc:acirc$1,acute:acute$1,Acy,acy,AElig:AElig$1,aelig:aelig$1,af:af$1,Afr,afr,Agrave:Agrave$1,agrave:agrave$1,alefsym,aleph,Alpha,alpha,Amacr,amacr,amalg,amp:amp$2,AMP:AMP$1,andand,And,and,andd,andslope,andv,ang,ange,angle,angmsdaa,angmsdab,angmsdac,angmsdad,angmsdae,angmsdaf,angmsdag,angmsdah,angmsd,angrt,angrtvb,angrtvbd,angsph,angst,angzarr,Aogon,aogon,Aopf,aopf,apacir,ap:ap$2,apE,ape,apid,apos:apos$1,ApplyFunction,approx,approxeq,Aring:Aring$1,aring:aring$1,Ascr,ascr,Assign,ast,asymp,asympeq,Atilde:Atilde$1,atilde:atilde$1,Auml:Auml$1,auml:auml$1,awconint,awint,backcong,backepsilon,backprime,backsim,backsimeq,Backslash,Barv,barvee,barwed,Barwed,barwedge,bbrk,bbrktbrk,bcong,Bcy,bcy,bdquo,becaus,because,Because,bemptyv,bepsi,bernou,Bernoullis,Beta,beta,beth,between,Bfr,bfr,bigcap,bigcirc,bigcup,bigodot,bigoplus,bigotimes,bigsqcup,bigstar,bigtriangledown,bigtriangleup,biguplus,bigvee,bigwedge,bkarow,blacklozenge,blacksquare,blacktriangle,blacktriangledown,blacktriangleleft,blacktriangleright,blank,blk12,blk14,blk34,block,bne,bnequiv,bNot,bnot,Bopf,bopf,bot,bottom,bowtie,boxbox,boxdl,boxdL,boxDl,boxDL,boxdr,boxdR,boxDr,boxDR,boxh,boxH,boxhd,boxHd,boxhD,boxHD,boxhu,boxHu,boxhU,boxHU,boxminus,boxplus,boxtimes,boxul,boxuL,boxUl,boxUL,boxur,boxuR,boxUr,boxUR,boxv,boxV,boxvh,boxvH,boxVh,boxVH,boxvl,boxvL,boxVl,boxVL,boxvr,boxvR,boxVr,boxVR,bprime,breve,Breve,brvbar:brvbar$1,bscr,Bscr,bsemi,bsim,bsime,bsolb,bsol,bsolhsub,bull,bullet,bump,bumpE,bumpe,Bumpeq,bumpeq,Cacute,cacute,capand,capbrcup,capcap,cap,Cap,capcup,capdot,CapitalDifferentialD,caps,caret,caron,Cayleys,ccaps,Ccaron,ccaron,Ccedil:Ccedil$1,ccedil:ccedil$1,Ccirc,ccirc,Cconint,ccups,ccupssm,Cdot,cdot,cedil:cedil$1,Cedilla,cemptyv,cent:cent$1,centerdot,CenterDot,cfr,Cfr,CHcy,chcy,check,checkmark,Chi,chi,circ,circeq,circlearrowleft,circlearrowright,circledast,circledcirc,circleddash,CircleDot,circledR,circledS,CircleMinus,CirclePlus,CircleTimes,cir,cirE,cire,cirfnint,cirmid,cirscir,ClockwiseContourIntegral,CloseCurlyDoubleQuote,CloseCurlyQuote,clubs,clubsuit,colon,Colon,Colone,colone,coloneq,comma,commat,comp,compfn,complement,complexes,cong,congdot,Congruent,conint,Conint,ContourIntegral,copf,Copf,coprod,Coproduct,copy:copy$1,COPY:COPY$1,copysr,CounterClockwiseContourIntegral,crarr,cross,Cross,Cscr,cscr,csub,csube,csup,csupe,ctdot,cudarrl,cudarrr,cuepr,cuesc,cularr,cularrp,cupbrcap,cupcap,CupCap,cup,Cup,cupcup,cupdot,cupor,cups,curarr,curarrm,curlyeqprec,curlyeqsucc,curlyvee,curlywedge,curren:curren$1,curvearrowleft,curvearrowright,cuvee,cuwed,cwconint,cwint,cylcty,dagger,Dagger,daleth,darr,Darr,dArr,dash,Dashv,dashv,dbkarow,dblac,Dcaron,dcaron,Dcy,dcy,ddagger,ddarr,DD,dd,DDotrahd,ddotseq,deg:deg$1,Del,Delta,delta,demptyv,dfisht,Dfr,dfr,dHar,dharl,dharr,DiacriticalAcute,DiacriticalDot,DiacriticalDoubleAcute,DiacriticalGrave,DiacriticalTilde,diam,diamond,Diamond,diamondsuit,diams,die,DifferentialD,digamma,disin,div,divide:divide$1,divideontimes,divonx,DJcy,djcy,dlcorn,dlcrop,dollar,Dopf,dopf,Dot,dot,DotDot,doteq,doteqdot,DotEqual,dotminus,dotplus,dotsquare,doublebarwedge,DoubleContourIntegral,DoubleDot,DoubleDownArrow,DoubleLeftArrow,DoubleLeftRightArrow,DoubleLeftTee,DoubleLongLeftArrow,DoubleLongLeftRightArrow,DoubleLongRightArrow,DoubleRightArrow,DoubleRightTee,DoubleUpArrow,DoubleUpDownArrow,DoubleVerticalBar,DownArrowBar,downarrow,DownArrow,Downarrow,DownArrowUpArrow,DownBreve,downdownarrows,downharpoonleft,downharpoonright,DownLeftRightVector,DownLeftTeeVector,DownLeftVectorBar,DownLeftVector,DownRightTeeVector,DownRightVectorBar,DownRightVector,DownTeeArrow,DownTee,drbkarow,drcorn,drcrop,Dscr,dscr,DScy,dscy,dsol,Dstrok,dstrok,dtdot,dtri,dtrif,duarr,duhar,dwangle,DZcy,dzcy,dzigrarr,Eacute:Eacute$1,eacute:eacute$1,easter,Ecaron,ecaron,Ecirc:Ecirc$1,ecirc:ecirc$1,ecir,ecolon,Ecy,ecy,eDDot,Edot,edot,eDot,ee:ee$3,efDot,Efr,efr,eg,Egrave:Egrave$1,egrave:egrave$1,egs,egsdot,el:el$3,Element:Element$1,elinters,ell,els,elsdot,Emacr,emacr,empty,emptyset,EmptySmallSquare,emptyv,EmptyVerySmallSquare,emsp13,emsp14,emsp,ENG,eng,ensp,Eogon,eogon,Eopf,eopf,epar,eparsl,eplus,epsi,Epsilon,epsilon,epsiv,eqcirc,eqcolon,eqsim,eqslantgtr,eqslantless,Equal,equals,EqualTilde,equest,Equilibrium,equiv,equivDD,eqvparsl,erarr,erDot,escr,Escr,esdot,Esim,esim,Eta,eta,ETH:ETH$1,eth:eth$1,Euml:Euml$1,euml:euml$1,euro,excl,exist,Exists,expectation,exponentiale,ExponentialE,fallingdotseq,Fcy,fcy,female,ffilig,fflig,ffllig,Ffr,ffr,filig,FilledSmallSquare,FilledVerySmallSquare,fjlig,flat,fllig,fltns,fnof,Fopf,fopf,forall,ForAll,fork,forkv,Fouriertrf,fpartint,frac12:frac12$1,frac13,frac14:frac14$1,frac15,frac16,frac18,frac23,frac25,frac34:frac34$1,frac35,frac38,frac45,frac56,frac58,frac78,frasl,frown,fscr,Fscr,gacute,Gamma,gamma,Gammad,gammad,gap,Gbreve,gbreve,Gcedil,Gcirc,gcirc,Gcy,gcy,Gdot,gdot,ge:ge$5,gE,gEl,gel,geq,geqq,geqslant,gescc,ges,gesdot,gesdoto,gesdotol,gesl,gesles,Gfr,gfr,gg,Gg,ggg,gimel,GJcy,gjcy,gla,gl:gl$3,glE,glj,gnap,gnapprox,gne,gnE,gneq,gneqq,gnsim,Gopf,gopf,grave,GreaterEqual,GreaterEqualLess,GreaterFullEqual,GreaterGreater,GreaterLess,GreaterSlantEqual,GreaterTilde,Gscr,gscr,gsim,gsime,gsiml,gtcc,gtcir,gt:gt$5,GT:GT$1,Gt:Gt$4,gtdot,gtlPar,gtquest,gtrapprox,gtrarr,gtrdot,gtreqless,gtreqqless,gtrless,gtrsim,gvertneqq,gvnE,Hacek,hairsp,half,hamilt,HARDcy,hardcy,harrcir,harr,hArr,harrw,Hat,hbar,Hcirc,hcirc,hearts,heartsuit,hellip,hercon,hfr,Hfr,HilbertSpace,hksearow,hkswarow,hoarr,homtht,hookleftarrow,hookrightarrow,hopf,Hopf,horbar,HorizontalLine,hscr,Hscr,hslash,Hstrok,hstrok,HumpDownHump,HumpEqual,hybull,hyphen,Iacute:Iacute$1,iacute:iacute$1,ic:ic$2,Icirc:Icirc$1,icirc:icirc$1,Icy,icy,Idot,IEcy,iecy,iexcl:iexcl$1,iff,ifr,Ifr,Igrave:Igrave$1,igrave:igrave$1,ii:ii$5,iiiint,iiint,iinfin,iiota,IJlig,ijlig,Imacr,imacr,image,ImaginaryI,imagline,imagpart,imath,Im,imof,imped,Implies,incare,in:"∈",infin,infintie,inodot,intcal,int,Int,integers,Integral,intercal,Intersection,intlarhk,intprod,InvisibleComma,InvisibleTimes,IOcy,iocy,Iogon,iogon,Iopf,iopf,Iota,iota,iprod,iquest:iquest$1,iscr,Iscr,isin,isindot,isinE,isins,isinsv,isinv,it:it$3,Itilde,itilde,Iukcy,iukcy,Iuml:Iuml$1,iuml:iuml$1,Jcirc,jcirc,Jcy,jcy,Jfr,jfr,jmath,Jopf,jopf,Jscr,jscr,Jsercy,jsercy,Jukcy,jukcy,Kappa,kappa,kappav,Kcedil,kcedil,Kcy,kcy,Kfr,kfr,kgreen,KHcy,khcy,KJcy,kjcy,Kopf,kopf,Kscr,kscr,lAarr,Lacute,lacute,laemptyv,lagran,Lambda,lambda,lang,Lang,langd,langle,lap,Laplacetrf,laquo:laquo$1,larrb,larrbfs,larr,Larr,lArr,larrfs,larrhk,larrlp,larrpl,larrsim,larrtl,latail,lAtail,lat,late,lates,lbarr,lBarr,lbbrk,lbrace,lbrack,lbrke,lbrksld,lbrkslu,Lcaron,lcaron,Lcedil,lcedil,lceil,lcub,Lcy,lcy,ldca,ldquo,ldquor,ldrdhar,ldrushar,ldsh,le:le$4,lE,LeftAngleBracket,LeftArrowBar,leftarrow,LeftArrow,Leftarrow,LeftArrowRightArrow,leftarrowtail,LeftCeiling,LeftDoubleBracket,LeftDownTeeVector,LeftDownVectorBar,LeftDownVector,LeftFloor,leftharpoondown,leftharpoonup,leftleftarrows,leftrightarrow,LeftRightArrow,Leftrightarrow,leftrightarrows,leftrightharpoons,leftrightsquigarrow,LeftRightVector,LeftTeeArrow,LeftTee,LeftTeeVector,leftthreetimes,LeftTriangleBar,LeftTriangle,LeftTriangleEqual,LeftUpDownVector,LeftUpTeeVector,LeftUpVectorBar,LeftUpVector,LeftVectorBar,LeftVector,lEg,leg,leq,leqq,leqslant,lescc,les,lesdot,lesdoto,lesdotor,lesg,lesges,lessapprox,lessdot,lesseqgtr,lesseqqgtr,LessEqualGreater,LessFullEqual,LessGreater,lessgtr,LessLess,lesssim,LessSlantEqual,LessTilde,lfisht,lfloor,Lfr,lfr,lg,lgE,lHar,lhard,lharu,lharul,lhblk,LJcy,ljcy,llarr,ll:ll$3,Ll:Ll$4,llcorner,Lleftarrow,llhard,lltri,Lmidot,lmidot,lmoustache,lmoust,lnap,lnapprox,lne,lnE,lneq,lneqq,lnsim,loang,loarr,lobrk,longleftarrow,LongLeftArrow,Longleftarrow,longleftrightarrow,LongLeftRightArrow,Longleftrightarrow,longmapsto,longrightarrow,LongRightArrow,Longrightarrow,looparrowleft,looparrowright,lopar,Lopf,lopf,loplus,lotimes,lowast,lowbar,LowerLeftArrow,LowerRightArrow,loz,lozenge,lozf,lpar,lparlt,lrarr,lrcorner,lrhar,lrhard,lrm,lrtri,lsaquo,lscr,Lscr,lsh,Lsh,lsim,lsime,lsimg,lsqb,lsquo,lsquor,Lstrok,lstrok,ltcc,ltcir,lt:lt$5,LT:LT$1,Lt:Lt$3,ltdot,lthree,ltimes,ltlarr,ltquest,ltri,ltrie,ltrif,ltrPar,lurdshar,luruhar,lvertneqq,lvnE,macr:macr$1,male,malt,maltese,Map:"⤅",map,mapsto,mapstodown,mapstoleft,mapstoup,marker,mcomma,Mcy,mcy,mdash,mDDot,measuredangle,MediumSpace,Mellintrf,Mfr,mfr,mho,micro:micro$1,midast,midcir,mid,middot:middot$1,minusb,minus,minusd,minusdu,MinusPlus,mlcp,mldr,mnplus,models,Mopf,mopf,mp:mp$1,mscr,Mscr,mstpos,Mu:Mu$3,mu:mu$4,multimap,mumap,nabla,Nacute,nacute,nang,nap,napE,napid,napos,napprox,natural,naturals,natur,nbsp:nbsp$1,nbump,nbumpe,ncap,Ncaron,ncaron,Ncedil,ncedil,ncong,ncongdot,ncup,Ncy,ncy,ndash,nearhk,nearr,neArr,nearrow,ne:ne$4,nedot,NegativeMediumSpace,NegativeThickSpace,NegativeThinSpace,NegativeVeryThinSpace,nequiv,nesear,nesim,NestedGreaterGreater,NestedLessLess,NewLine,nexist,nexists,Nfr,nfr,ngE,nge,ngeq,ngeqq,ngeqslant,nges,nGg,ngsim,nGt,ngt,ngtr,nGtv,nharr,nhArr,nhpar,ni:ni$5,nis,nisd,niv,NJcy,njcy,nlarr,nlArr,nldr,nlE,nle,nleftarrow,nLeftarrow,nleftrightarrow,nLeftrightarrow,nleq,nleqq,nleqslant,nles,nless,nLl,nlsim,nLt,nlt,nltri,nltrie,nLtv,nmid,NoBreak,NonBreakingSpace,nopf,Nopf,Not,not:not$1,NotCongruent,NotCupCap,NotDoubleVerticalBar,NotElement,NotEqual,NotEqualTilde,NotExists,NotGreater,NotGreaterEqual,NotGreaterFullEqual,NotGreaterGreater,NotGreaterLess,NotGreaterSlantEqual,NotGreaterTilde,NotHumpDownHump,NotHumpEqual,notin,notindot,notinE,notinva,notinvb,notinvc,NotLeftTriangleBar,NotLeftTriangle,NotLeftTriangleEqual,NotLess,NotLessEqual,NotLessGreater,NotLessLess,NotLessSlantEqual,NotLessTilde,NotNestedGreaterGreater,NotNestedLessLess,notni,notniva,notnivb,notnivc,NotPrecedes,NotPrecedesEqual,NotPrecedesSlantEqual,NotReverseElement,NotRightTriangleBar,NotRightTriangle,NotRightTriangleEqual,NotSquareSubset,NotSquareSubsetEqual,NotSquareSuperset,NotSquareSupersetEqual,NotSubset,NotSubsetEqual,NotSucceeds,NotSucceedsEqual,NotSucceedsSlantEqual,NotSucceedsTilde,NotSuperset,NotSupersetEqual,NotTilde,NotTildeEqual,NotTildeFullEqual,NotTildeTilde,NotVerticalBar,nparallel,npar,nparsl,npart,npolint,npr,nprcue,nprec,npreceq,npre,nrarrc,nrarr,nrArr,nrarrw,nrightarrow,nRightarrow,nrtri,nrtrie,nsc,nsccue,nsce,Nscr,nscr,nshortmid,nshortparallel,nsim,nsime,nsimeq,nsmid,nspar,nsqsube,nsqsupe,nsub,nsubE,nsube,nsubset,nsubseteq,nsubseteqq,nsucc,nsucceq,nsup,nsupE,nsupe,nsupset,nsupseteq,nsupseteqq,ntgl,Ntilde:Ntilde$1,ntilde:ntilde$1,ntlg,ntriangleleft,ntrianglelefteq,ntriangleright,ntrianglerighteq,Nu:Nu$3,nu:nu$5,num,numero,numsp,nvap,nvdash,nvDash,nVdash,nVDash,nvge,nvgt,nvHarr,nvinfin,nvlArr,nvle,nvlt,nvltrie,nvrArr,nvrtrie,nvsim,nwarhk,nwarr,nwArr,nwarrow,nwnear,Oacute:Oacute$1,oacute:oacute$1,oast,Ocirc:Ocirc$1,ocirc:ocirc$1,ocir,Ocy,ocy,odash,Odblac,odblac,odiv,odot,odsold,OElig,oelig,ofcir,Ofr,ofr,ogon,Ograve:Ograve$1,ograve:ograve$1,ogt,ohbar,ohm,oint,olarr,olcir,olcross,oline,olt,Omacr,omacr,Omega,omega,Omicron,omicron,omid,ominus,Oopf,oopf,opar,OpenCurlyDoubleQuote,OpenCurlyQuote,operp,oplus,orarr,Or:Or$4,or:or$3,ord,order,orderof,ordf:ordf$1,ordm:ordm$1,origof,oror,orslope,orv,oS,Oscr,oscr,Oslash:Oslash$1,oslash:oslash$1,osol,Otilde:Otilde$1,otilde:otilde$1,otimesas,Otimes,otimes,Ouml:Ouml$1,ouml:ouml$1,ovbar,OverBar,OverBrace,OverBracket,OverParenthesis,para:para$1,parallel,par,parsim,parsl,part,PartialD,Pcy,pcy,percnt,period,permil,perp,pertenk,Pfr,pfr,Phi,phi,phiv,phmmat,phone,Pi:Pi$3,pi:pi$5,pitchfork,piv,planck,planckh,plankv,plusacir,plusb,pluscir,plus,plusdo,plusdu,pluse,PlusMinus,plusmn:plusmn$1,plussim,plustwo,pm,Poincareplane,pointint,popf,Popf,pound:pound$1,prap,Pr:Pr$4,pr:pr$3,prcue,precapprox,prec,preccurlyeq,Precedes,PrecedesEqual,PrecedesSlantEqual,PrecedesTilde,preceq,precnapprox,precneqq,precnsim,pre,prE,precsim,prime,Prime,primes,prnap,prnE,prnsim,prod,Product,profalar,profline,profsurf,prop,Proportional,Proportion,propto,prsim,prurel,Pscr,pscr,Psi,psi,puncsp,Qfr,qfr,qint,qopf,Qopf,qprime,Qscr,qscr,quaternions,quatint,quest,questeq,quot:quot$2,QUOT:QUOT$1,rAarr,race,Racute,racute,radic,raemptyv,rang,Rang,rangd,range,rangle,raquo:raquo$1,rarrap,rarrb,rarrbfs,rarrc,rarr,Rarr,rArr,rarrfs,rarrhk,rarrlp,rarrpl,rarrsim,Rarrtl,rarrtl,rarrw,ratail,rAtail,ratio,rationals,rbarr,rBarr,RBarr,rbbrk,rbrace,rbrack,rbrke,rbrksld,rbrkslu,Rcaron,rcaron,Rcedil,rcedil,rceil,rcub,Rcy,rcy,rdca,rdldhar,rdquo,rdquor,rdsh,real,realine,realpart,reals,Re:Re$6,rect,reg:reg$1,REG:REG$1,ReverseElement,ReverseEquilibrium,ReverseUpEquilibrium,rfisht,rfloor,rfr,Rfr,rHar,rhard,rharu,rharul,Rho,rho,rhov,RightAngleBracket,RightArrowBar,rightarrow,RightArrow,Rightarrow,RightArrowLeftArrow,rightarrowtail,RightCeiling,RightDoubleBracket,RightDownTeeVector,RightDownVectorBar,RightDownVector,RightFloor,rightharpoondown,rightharpoonup,rightleftarrows,rightleftharpoons,rightrightarrows,rightsquigarrow,RightTeeArrow,RightTee,RightTeeVector,rightthreetimes,RightTriangleBar,RightTriangle,RightTriangleEqual,RightUpDownVector,RightUpTeeVector,RightUpVectorBar,RightUpVector,RightVectorBar,RightVector,ring,risingdotseq,rlarr,rlhar,rlm,rmoustache,rmoust,rnmid,roang,roarr,robrk,ropar,ropf,Ropf,roplus,rotimes,RoundImplies,rpar,rpargt,rppolint,rrarr,Rrightarrow,rsaquo,rscr,Rscr,rsh,Rsh,rsqb,rsquo,rsquor,rthree,rtimes,rtri,rtrie,rtrif,rtriltri,RuleDelayed,ruluhar,rx,Sacute,sacute,sbquo,scap,Scaron,scaron,Sc:Sc$1,sc:sc$2,sccue,sce,scE,Scedil,scedil,Scirc,scirc,scnap,scnE,scnsim,scpolint,scsim,Scy,scy,sdotb,sdot,sdote,searhk,searr,seArr,searrow,sect:sect$1,semi,seswar,setminus,setmn,sext,Sfr,sfr,sfrown,sharp,SHCHcy,shchcy,SHcy,shcy,ShortDownArrow,ShortLeftArrow,shortmid,shortparallel,ShortRightArrow,ShortUpArrow,shy:shy$1,Sigma,sigma,sigmaf,sigmav,sim,simdot,sime,simeq,simg,simgE,siml,simlE,simne,simplus,simrarr,slarr,SmallCircle,smallsetminus,smashp,smeparsl,smid,smile,smt,smte,smtes,SOFTcy,softcy,solbar,solb,sol,Sopf,sopf,spades,spadesuit,spar,sqcap,sqcaps,sqcup,sqcups,Sqrt,sqsub,sqsube,sqsubset,sqsubseteq,sqsup,sqsupe,sqsupset,sqsupseteq,square,Square,SquareIntersection,SquareSubset,SquareSubsetEqual,SquareSuperset,SquareSupersetEqual,SquareUnion,squarf,squ,squf,srarr,Sscr,sscr,ssetmn,ssmile,sstarf,Star,star,starf,straightepsilon,straightphi,strns,sub,Sub,subdot,subE,sube,subedot,submult,subnE,subne,subplus,subrarr,subset,Subset,subseteq,subseteqq,SubsetEqual,subsetneq,subsetneqq,subsim,subsub,subsup,succapprox,succ,succcurlyeq,Succeeds,SucceedsEqual,SucceedsSlantEqual,SucceedsTilde,succeq,succnapprox,succneqq,succnsim,succsim,SuchThat,sum,Sum,sung,sup1:sup1$1,sup2:sup2$1,sup3:sup3$1,sup,Sup,supdot,supdsub,supE,supe,supedot,Superset,SupersetEqual,suphsol,suphsub,suplarr,supmult,supnE,supne,supplus,supset,Supset,supseteq,supseteqq,supsetneq,supsetneqq,supsim,supsub,supsup,swarhk,swarr,swArr,swarrow,swnwar,szlig:szlig$1,Tab,target,Tau,tau,tbrk,Tcaron,tcaron,Tcedil,tcedil,Tcy,tcy,tdot,telrec,Tfr,tfr,there4,therefore,Therefore,Theta,theta,thetasym,thetav,thickapprox,thicksim,ThickSpace,ThinSpace,thinsp,thkap,thksim,THORN:THORN$1,thorn:thorn$1,tilde,Tilde,TildeEqual,TildeFullEqual,TildeTilde,timesbar,timesb,times:times$1,timesd,tint,toea,topbot,topcir,top,Topf,topf,topfork,tosa,tprime,trade,TRADE,triangle,triangledown,triangleleft,trianglelefteq,triangleq,triangleright,trianglerighteq,tridot,trie,triminus,TripleDot,triplus,trisb,tritime,trpezium,Tscr,tscr,TScy,tscy,TSHcy,tshcy,Tstrok,tstrok,twixt,twoheadleftarrow,twoheadrightarrow,Uacute:Uacute$1,uacute:uacute$1,uarr,Uarr,uArr,Uarrocir,Ubrcy,ubrcy,Ubreve,ubreve,Ucirc:Ucirc$1,ucirc:ucirc$1,Ucy,ucy,udarr,Udblac,udblac,udhar,ufisht,Ufr,ufr,Ugrave:Ugrave$1,ugrave:ugrave$1,uHar,uharl,uharr,uhblk,ulcorn,ulcorner,ulcrop,ultri,Umacr,umacr,uml:uml$1,UnderBar,UnderBrace,UnderBracket,UnderParenthesis,Union,UnionPlus,Uogon,uogon,Uopf,uopf,UpArrowBar,uparrow,UpArrow,Uparrow,UpArrowDownArrow,updownarrow,UpDownArrow,Updownarrow,UpEquilibrium,upharpoonleft,upharpoonright,uplus,UpperLeftArrow,UpperRightArrow,upsi,Upsi,upsih,Upsilon,upsilon,UpTeeArrow,UpTee,upuparrows,urcorn,urcorner,urcrop,Uring,uring,urtri,Uscr,uscr,utdot,Utilde,utilde,utri,utrif,uuarr,Uuml:Uuml$1,uuml:uuml$1,uwangle,vangrt,varepsilon,varkappa,varnothing,varphi,varpi,varpropto,varr,vArr,varrho,varsigma,varsubsetneq,varsubsetneqq,varsupsetneq,varsupsetneqq,vartheta,vartriangleleft,vartriangleright,vBar,Vbar,vBarv,Vcy,vcy,vdash,vDash,Vdash,VDash,Vdashl,veebar,vee,Vee,veeeq,vellip,verbar,Verbar,vert,Vert,VerticalBar,VerticalLine,VerticalSeparator,VerticalTilde,VeryThinSpace,Vfr,vfr,vltri,vnsub,vnsup,Vopf,vopf,vprop,vrtri,Vscr,vscr,vsubnE,vsubne,vsupnE,vsupne,Vvdash,vzigzag,Wcirc,wcirc,wedbar,wedge,Wedge,wedgeq,weierp,Wfr,wfr,Wopf,wopf,wp:wp$1,wr:wr$4,wreath,Wscr,wscr,xcap,xcirc,xcup,xdtri,Xfr,xfr,xharr,xhArr,Xi:Xi$2,xi:xi$3,xlarr,xlArr,xmap,xnis,xodot,Xopf,xopf,xoplus,xotime,xrarr,xrArr,Xscr,xscr,xsqcup,xuplus,xutri,xvee,xwedge,Yacute:Yacute$1,yacute:yacute$1,YAcy,yacy,Ycirc,ycirc,Ycy,ycy,yen:yen$1,Yfr,yfr,YIcy,yicy,Yopf,yopf,Yscr,yscr,YUcy,yucy,yuml:yuml$1,Yuml,Zacute,zacute,Zcaron,zcaron,Zcy,zcy,Zdot,zdot,zeetrf,ZeroWidthSpace,Zeta,zeta,zfr,Zfr,ZHcy,zhcy,zigrarr,zopf,Zopf,Zscr,zscr,zwj,zwnj},Aacute="Á",aacute="á",Acirc="Â",acirc="â",acute="´",AElig="Æ",aelig="æ",Agrave="À",agrave="à",amp$1="&",AMP="&",Aring="Å",aring="å",Atilde="Ã",atilde="ã",Auml="Ä",auml="ä",brvbar="¦",Ccedil="Ç",ccedil="ç",cedil="¸",cent="¢",copy="©",COPY="©",curren="¤",deg="°",divide="÷",Eacute="É",eacute="é",Ecirc="Ê",ecirc="ê",Egrave="È",egrave="è",ETH="Ð",eth="ð",Euml="Ë",euml="ë",frac12="½",frac14="¼",frac34="¾",gt$4=">",GT=">",Iacute="Í",iacute="í",Icirc="Î",icirc="î",iexcl="¡",Igrave="Ì",igrave="ì",iquest="¿",Iuml="Ï",iuml="ï",laquo="«",lt$4="<",LT="<",macr="¯",micro="µ",middot="·",nbsp=" ",not="¬",Ntilde="Ñ",ntilde="ñ",Oacute="Ó",oacute="ó",Ocirc="Ô",ocirc="ô",Ograve="Ò",ograve="ò",ordf="ª",ordm="º",Oslash="Ø",oslash="ø",Otilde="Õ",otilde="õ",Ouml="Ö",ouml="ö",para="¶",plusmn="±",pound="£",quot$1='"',QUOT='"',raquo="»",reg="®",REG="®",sect="§",shy="­",sup1="¹",sup2="²",sup3="³",szlig="ß",THORN="Þ",thorn="þ",times="×",Uacute="Ú",uacute="ú",Ucirc="Û",ucirc="û",Ugrave="Ù",ugrave="ù",uml="¨",Uuml="Ü",uuml="ü",Yacute="Ý",yacute="ý",yen="¥",yuml="ÿ",require$$2={Aacute,aacute,Acirc,acirc,acute,AElig,aelig,Agrave,agrave,amp:amp$1,AMP,Aring,aring,Atilde,atilde,Auml,auml,brvbar,Ccedil,ccedil,cedil,cent,copy,COPY,curren,deg,divide,Eacute,eacute,Ecirc,ecirc,Egrave,egrave,ETH,eth,Euml,euml,frac12,frac14,frac34,gt:gt$4,GT,Iacute,iacute,Icirc,icirc,iexcl,Igrave,igrave,iquest,Iuml,iuml,laquo,lt:lt$4,LT,macr,micro,middot,nbsp,not,Ntilde,ntilde,Oacute,oacute,Ocirc,ocirc,Ograve,ograve,ordf,ordm,Oslash,oslash,Otilde,otilde,Ouml,ouml,para,plusmn,pound,quot:quot$1,QUOT,raquo,reg,REG,sect,shy,sup1,sup2,sup3,szlig,THORN,thorn,times,Uacute,uacute,Ucirc,ucirc,Ugrave,ugrave,uml,Uuml,uuml,Yacute,yacute,yen,yuml},amp="&",apos="'",gt$3=">",lt$3="<",quot='"',require$$3={amp,apos,gt:gt$3,lt:lt$3,quot};var hasRequiredTokenizer$1;function requireTokenizer$1(){if(hasRequiredTokenizer$1)return Tokenizer;hasRequiredTokenizer$1=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(xf){return xf&&xf.__esModule?xf:{default:xf}};Object.defineProperty(Tokenizer,"__esModule",{value:!0});var qa=Ra(requireDecode_codepoint()),ed=Ra(require$$1),td=Ra(require$$2),rd=Ra(require$$3);function sd(xf){return xf===" "||xf===` `||xf===" "||xf==="\f"||xf==="\r"}function od(xf){return xf>="a"&&xf<="z"||xf>="A"&&xf<="Z"}function ld(xf,wf,Jf){var Qf=xf.toLowerCase();return xf===Qf?function(Ef,bf){bf===Qf?Ef._state=wf:(Ef._state=Jf,Ef._index--)}:function(Ef,bf){bf===Qf||bf===xf?Ef._state=wf:(Ef._state=Jf,Ef._index--)}}function cd(xf,wf){var Jf=xf.toLowerCase();return function(Qf,Ef){Ef===Jf||Ef===xf?Qf._state=wf:(Qf._state=3,Qf._index--)}}var ud=ld("C",24,16),_d=ld("D",25,16),Ed=ld("A",26,16),Ad=ld("T",27,16),Td=ld("A",28,16),Nd=cd("R",35),Rd=cd("I",36),Bd=cd("P",37),kd=cd("T",38),Od=ld("R",40,1),Md=ld("I",41,1),Pd=ld("P",42,1),Fd=ld("T",43,1),Ud=cd("Y",45),Gd=cd("L",46),Qd=cd("E",47),Vd=ld("Y",49,1),zd=ld("L",50,1),Xd=ld("E",51,1),df=cd("I",54),mf=cd("T",55),_f=cd("L",56),hf=cd("E",57),gf=ld("I",58,1),yf=ld("T",59,1),Nf=ld("L",60,1),Pf=ld("E",61,1),Yf=ld("#",63,64),Uf=ld("X",66,65),Lf=function(){function xf(wf,Jf){var Qf;this._state=1,this.buffer="",this.sectionStart=0,this._index=0,this.bufferOffset=0,this.baseState=1,this.special=1,this.running=!0,this.ended=!1,this.cbs=Jf,this.xmlMode=!!(wf!=null&&wf.xmlMode),this.decodeEntities=(Qf=wf==null?void 0:wf.decodeEntities)!==null&&Qf!==void 0?Qf:!0}return xf.prototype.reset=function(){this._state=1,this.buffer="",this.sectionStart=0,this._index=0,this.bufferOffset=0,this.baseState=1,this.special=1,this.running=!0,this.ended=!1},xf.prototype.write=function(wf){this.ended&&this.cbs.onerror(Error(".write() after done!")),this.buffer+=wf,this.parse()},xf.prototype.end=function(wf){this.ended&&this.cbs.onerror(Error(".end() after done!")),wf&&this.write(wf),this.ended=!0,this.running&&this.finish()},xf.prototype.pause=function(){this.running=!1},xf.prototype.resume=function(){this.running=!0,this._indexthis.sectionStart&&this.cbs.ontext(this.getSection()),this._state=2,this.sectionStart=this._index):this.decodeEntities&&wf==="&"&&(this.special===1||this.special===4)&&(this._index>this.sectionStart&&this.cbs.ontext(this.getSection()),this.baseState=1,this._state=62,this.sectionStart=this._index)},xf.prototype.isTagStartChar=function(wf){return od(wf)||this.xmlMode&&!sd(wf)&&wf!=="/"&&wf!==">"},xf.prototype.stateBeforeTagName=function(wf){wf==="/"?this._state=5:wf==="<"?(this.cbs.ontext(this.getSection()),this.sectionStart=this._index):wf===">"||this.special!==1||sd(wf)?this._state=1:wf==="!"?(this._state=15,this.sectionStart=this._index+1):wf==="?"?(this._state=17,this.sectionStart=this._index+1):this.isTagStartChar(wf)?(this._state=!this.xmlMode&&(wf==="s"||wf==="S")?32:!this.xmlMode&&(wf==="t"||wf==="T")?52:3,this.sectionStart=this._index):this._state=1},xf.prototype.stateInTagName=function(wf){(wf==="/"||wf===">"||sd(wf))&&(this.emitToken("onopentagname"),this._state=8,this._index--)},xf.prototype.stateBeforeClosingTagName=function(wf){sd(wf)||(wf===">"?this._state=1:this.special!==1?this.special!==4&&(wf==="s"||wf==="S")?this._state=33:this.special===4&&(wf==="t"||wf==="T")?this._state=53:(this._state=1,this._index--):this.isTagStartChar(wf)?(this._state=6,this.sectionStart=this._index):(this._state=20,this.sectionStart=this._index))},xf.prototype.stateInClosingTagName=function(wf){(wf===">"||sd(wf))&&(this.emitToken("onclosetag"),this._state=7,this._index--)},xf.prototype.stateAfterClosingTagName=function(wf){wf===">"&&(this._state=1,this.sectionStart=this._index+1)},xf.prototype.stateBeforeAttributeName=function(wf){wf===">"?(this.cbs.onopentagend(),this._state=1,this.sectionStart=this._index+1):wf==="/"?this._state=4:sd(wf)||(this._state=9,this.sectionStart=this._index)},xf.prototype.stateInSelfClosingTag=function(wf){wf===">"?(this.cbs.onselfclosingtag(),this._state=1,this.sectionStart=this._index+1,this.special=1):sd(wf)||(this._state=8,this._index--)},xf.prototype.stateInAttributeName=function(wf){(wf==="="||wf==="/"||wf===">"||sd(wf))&&(this.cbs.onattribname(this.getSection()),this.sectionStart=-1,this._state=10,this._index--)},xf.prototype.stateAfterAttributeName=function(wf){wf==="="?this._state=11:wf==="/"||wf===">"?(this.cbs.onattribend(void 0),this._state=8,this._index--):sd(wf)||(this.cbs.onattribend(void 0),this._state=9,this.sectionStart=this._index)},xf.prototype.stateBeforeAttributeValue=function(wf){wf==='"'?(this._state=12,this.sectionStart=this._index+1):wf==="'"?(this._state=13,this.sectionStart=this._index+1):sd(wf)||(this._state=14,this.sectionStart=this._index,this._index--)},xf.prototype.handleInAttributeValue=function(wf,Jf){wf===Jf?(this.emitToken("onattribdata"),this.cbs.onattribend(Jf),this._state=8):this.decodeEntities&&wf==="&"&&(this.emitToken("onattribdata"),this.baseState=this._state,this._state=62,this.sectionStart=this._index)},xf.prototype.stateInAttributeValueDoubleQuotes=function(wf){this.handleInAttributeValue(wf,'"')},xf.prototype.stateInAttributeValueSingleQuotes=function(wf){this.handleInAttributeValue(wf,"'")},xf.prototype.stateInAttributeValueNoQuotes=function(wf){sd(wf)||wf===">"?(this.emitToken("onattribdata"),this.cbs.onattribend(null),this._state=8,this._index--):this.decodeEntities&&wf==="&"&&(this.emitToken("onattribdata"),this.baseState=this._state,this._state=62,this.sectionStart=this._index)},xf.prototype.stateBeforeDeclaration=function(wf){this._state=wf==="["?23:wf==="-"?18:16},xf.prototype.stateInDeclaration=function(wf){wf===">"&&(this.cbs.ondeclaration(this.getSection()),this._state=1,this.sectionStart=this._index+1)},xf.prototype.stateInProcessingInstruction=function(wf){wf===">"&&(this.cbs.onprocessinginstruction(this.getSection()),this._state=1,this.sectionStart=this._index+1)},xf.prototype.stateBeforeComment=function(wf){wf==="-"?(this._state=19,this.sectionStart=this._index+1):this._state=16},xf.prototype.stateInComment=function(wf){wf==="-"&&(this._state=21)},xf.prototype.stateInSpecialComment=function(wf){wf===">"&&(this.cbs.oncomment(this.buffer.substring(this.sectionStart,this._index)),this._state=1,this.sectionStart=this._index+1)},xf.prototype.stateAfterComment1=function(wf){wf==="-"?this._state=22:this._state=19},xf.prototype.stateAfterComment2=function(wf){wf===">"?(this.cbs.oncomment(this.buffer.substring(this.sectionStart,this._index-2)),this._state=1,this.sectionStart=this._index+1):wf!=="-"&&(this._state=19)},xf.prototype.stateBeforeCdata6=function(wf){wf==="["?(this._state=29,this.sectionStart=this._index+1):(this._state=16,this._index--)},xf.prototype.stateInCdata=function(wf){wf==="]"&&(this._state=30)},xf.prototype.stateAfterCdata1=function(wf){wf==="]"?this._state=31:this._state=29},xf.prototype.stateAfterCdata2=function(wf){wf===">"?(this.cbs.oncdata(this.buffer.substring(this.sectionStart,this._index-2)),this._state=1,this.sectionStart=this._index+1):wf!=="]"&&(this._state=29)},xf.prototype.stateBeforeSpecialS=function(wf){wf==="c"||wf==="C"?this._state=34:wf==="t"||wf==="T"?this._state=44:(this._state=3,this._index--)},xf.prototype.stateBeforeSpecialSEnd=function(wf){this.special===2&&(wf==="c"||wf==="C")?this._state=39:this.special===3&&(wf==="t"||wf==="T")?this._state=48:this._state=1},xf.prototype.stateBeforeSpecialLast=function(wf,Jf){(wf==="/"||wf===">"||sd(wf))&&(this.special=Jf),this._state=3,this._index--},xf.prototype.stateAfterSpecialLast=function(wf,Jf){wf===">"||sd(wf)?(this.special=1,this._state=6,this.sectionStart=this._index-Jf,this._index--):this._state=1},xf.prototype.parseFixedEntity=function(wf){if(wf===void 0&&(wf=this.xmlMode?rd.default:ed.default),this.sectionStart+1=2;){var Qf=this.buffer.substr(wf,Jf);if(Object.prototype.hasOwnProperty.call(td.default,Qf)){this.emitPartial(td.default[Qf]),this.sectionStart+=Jf+1;return}Jf--}},xf.prototype.stateInNamedEntity=function(wf){wf===";"?(this.parseFixedEntity(),this.baseState===1&&this.sectionStart+1"9")&&!od(wf)&&(this.xmlMode||this.sectionStart+1===this._index||(this.baseState!==1?wf!=="="&&this.parseFixedEntity(td.default):this.parseLegacyEntity()),this._state=this.baseState,this._index--)},xf.prototype.decodeNumericEntity=function(wf,Jf,Qf){var Ef=this.sectionStart+wf;if(Ef!==this._index){var bf=this.buffer.substring(Ef,this._index),Bf=parseInt(bf,Jf);this.emitPartial(qa.default(Bf)),this.sectionStart=Qf?this._index+1:this._index}this._state=this.baseState},xf.prototype.stateInNumericEntity=function(wf){wf===";"?this.decodeNumericEntity(2,10,!0):(wf<"0"||wf>"9")&&(this.xmlMode?this._state=this.baseState:this.decodeNumericEntity(2,10,!1),this._index--)},xf.prototype.stateInHexEntity=function(wf){wf===";"?this.decodeNumericEntity(3,16,!0):(wf<"a"||wf>"f")&&(wf<"A"||wf>"F")&&(wf<"0"||wf>"9")&&(this.xmlMode?this._state=this.baseState:this.decodeNumericEntity(3,16,!1),this._index--)},xf.prototype.cleanup=function(){this.sectionStart<0?(this.buffer="",this.bufferOffset+=this._index,this._index=0):this.running&&(this._state===1?(this.sectionStart!==this._index&&this.cbs.ontext(this.buffer.substr(this.sectionStart)),this.buffer="",this.bufferOffset+=this._index,this._index=0):this.sectionStart===this._index?(this.buffer="",this.bufferOffset+=this._index,this._index=0):(this.buffer=this.buffer.substr(this.sectionStart),this._index-=this.sectionStart,this.bufferOffset+=this.sectionStart),this.sectionStart=0)},xf.prototype.parse=function(){for(;this._index0&&rd[Ed].has(Nd=this.stack[this.stack.length-1]);)this.onclosetag(Nd);(this.options.xmlMode||!sd.has(Ed))&&(this.stack.push(Ed),od.has(Ed)?this.foreignContext.push(!0):ld.has(Ed)&&this.foreignContext.push(!1)),(Td=(Ad=this.cbs).onopentagname)===null||Td===void 0||Td.call(Ad,Ed),this.cbs.onopentag&&(this.attribs={})},_d.prototype.onopentagend=function(){var Ed,Ad;this.updatePosition(1),this.attribs&&((Ad=(Ed=this.cbs).onopentag)===null||Ad===void 0||Ad.call(Ed,this.tagname,this.attribs),this.attribs=null),!this.options.xmlMode&&this.cbs.onclosetag&&sd.has(this.tagname)&&this.cbs.onclosetag(this.tagname),this.tagname=""},_d.prototype.onclosetag=function(Ed){if(this.updatePosition(1),this.lowerCaseTagNames&&(Ed=Ed.toLowerCase()),(od.has(Ed)||ld.has(Ed))&&this.foreignContext.pop(),this.stack.length&&(this.options.xmlMode||!sd.has(Ed))){var Ad=this.stack.lastIndexOf(Ed);if(Ad!==-1)if(this.cbs.onclosetag)for(Ad=this.stack.length-Ad;Ad--;)this.cbs.onclosetag(this.stack.pop());else this.stack.length=Ad;else Ed==="p"&&!this.options.xmlMode&&(this.onopentagname(Ed),this.closeCurrentTag())}else!this.options.xmlMode&&(Ed==="br"||Ed==="p")&&(this.onopentagname(Ed),this.closeCurrentTag())},_d.prototype.onselfclosingtag=function(){this.options.xmlMode||this.options.recognizeSelfClosing||this.foreignContext[this.foreignContext.length-1]?this.closeCurrentTag():this.onopentagend()},_d.prototype.closeCurrentTag=function(){var Ed,Ad,Td=this.tagname;this.onopentagend(),this.stack[this.stack.length-1]===Td&&((Ad=(Ed=this.cbs).onclosetag)===null||Ad===void 0||Ad.call(Ed,Td),this.stack.pop())},_d.prototype.onattribname=function(Ed){this.lowerCaseAttributeNames&&(Ed=Ed.toLowerCase()),this.attribname=Ed},_d.prototype.onattribdata=function(Ed){this.attribvalue+=Ed},_d.prototype.onattribend=function(Ed){var Ad,Td;(Td=(Ad=this.cbs).onattribute)===null||Td===void 0||Td.call(Ad,this.attribname,this.attribvalue,Ed),this.attribs&&!Object.prototype.hasOwnProperty.call(this.attribs,this.attribname)&&(this.attribs[this.attribname]=this.attribvalue),this.attribname="",this.attribvalue=""},_d.prototype.getInstructionName=function(Ed){var Ad=Ed.search(cd),Td=Ad<0?Ed:Ed.substr(0,Ad);return this.lowerCaseTagNames&&(Td=Td.toLowerCase()),Td},_d.prototype.ondeclaration=function(Ed){if(this.cbs.onprocessinginstruction){var Ad=this.getInstructionName(Ed);this.cbs.onprocessinginstruction("!"+Ad,"!"+Ed)}},_d.prototype.onprocessinginstruction=function(Ed){if(this.cbs.onprocessinginstruction){var Ad=this.getInstructionName(Ed);this.cbs.onprocessinginstruction("?"+Ad,"?"+Ed)}},_d.prototype.oncomment=function(Ed){var Ad,Td,Nd,Rd;this.updatePosition(4),(Td=(Ad=this.cbs).oncomment)===null||Td===void 0||Td.call(Ad,Ed),(Rd=(Nd=this.cbs).oncommentend)===null||Rd===void 0||Rd.call(Nd)},_d.prototype.oncdata=function(Ed){var Ad,Td,Nd,Rd,Bd,kd;this.updatePosition(1),this.options.xmlMode||this.options.recognizeCDATA?((Td=(Ad=this.cbs).oncdatastart)===null||Td===void 0||Td.call(Ad),(Rd=(Nd=this.cbs).ontext)===null||Rd===void 0||Rd.call(Nd,Ed),(kd=(Bd=this.cbs).oncdataend)===null||kd===void 0||kd.call(Bd)):this.oncomment("[CDATA["+Ed+"]]")},_d.prototype.onerror=function(Ed){var Ad,Td;(Td=(Ad=this.cbs).onerror)===null||Td===void 0||Td.call(Ad,Ed)},_d.prototype.onend=function(){var Ed,Ad;if(this.cbs.onclosetag)for(var Td=this.stack.length;Td>0;this.cbs.onclosetag(this.stack[--Td]));(Ad=(Ed=this.cbs).onend)===null||Ad===void 0||Ad.call(Ed)},_d.prototype.reset=function(){var Ed,Ad,Td,Nd;(Ad=(Ed=this.cbs).onreset)===null||Ad===void 0||Ad.call(Ed),this.tokenizer.reset(),this.tagname="",this.attribname="",this.attribs=null,this.stack=[],(Nd=(Td=this.cbs).onparserinit)===null||Nd===void 0||Nd.call(Td,this)},_d.prototype.parseComplete=function(Ed){this.reset(),this.end(Ed)},_d.prototype.write=function(Ed){this.tokenizer.write(Ed)},_d.prototype.end=function(Ed){this.tokenizer.end(Ed)},_d.prototype.pause=function(){this.tokenizer.pause()},_d.prototype.resume=function(){this.tokenizer.resume()},_d.prototype.parseChunk=function(Ed){this.write(Ed)},_d.prototype.done=function(Ed){this.end(Ed)},_d}();return Parser.Parser=ud,Parser}var FeedHandler={},hasRequiredFeedHandler;function requireFeedHandler(){if(hasRequiredFeedHandler)return FeedHandler;hasRequiredFeedHandler=1;var Ra=commonjsGlobal&&commonjsGlobal.__extends||function(){var Md=function(Pd,Fd){return Md=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(Ud,Gd){Ud.__proto__=Gd}||function(Ud,Gd){for(var Qd in Gd)Object.prototype.hasOwnProperty.call(Gd,Qd)&&(Ud[Qd]=Gd[Qd])},Md(Pd,Fd)};return function(Pd,Fd){if(typeof Fd!="function"&&Fd!==null)throw new TypeError("Class extends value "+String(Fd)+" is not a constructor or null");Md(Pd,Fd);function Ud(){this.constructor=Pd}Pd.prototype=Fd===null?Object.create(Fd):(Ud.prototype=Fd.prototype,new Ud)}}(),qa=commonjsGlobal&&commonjsGlobal.__createBinding||(Object.create?function(Md,Pd,Fd,Ud){Ud===void 0&&(Ud=Fd),Object.defineProperty(Md,Ud,{enumerable:!0,get:function(){return Pd[Fd]}})}:function(Md,Pd,Fd,Ud){Ud===void 0&&(Ud=Fd),Md[Ud]=Pd[Fd]}),ed=commonjsGlobal&&commonjsGlobal.__setModuleDefault||(Object.create?function(Md,Pd){Object.defineProperty(Md,"default",{enumerable:!0,value:Pd})}:function(Md,Pd){Md.default=Pd}),td=commonjsGlobal&&commonjsGlobal.__importStar||function(Md){if(Md&&Md.__esModule)return Md;var Pd={};if(Md!=null)for(var Fd in Md)Fd!=="default"&&Object.prototype.hasOwnProperty.call(Md,Fd)&&qa(Pd,Md,Fd);return ed(Pd,Md),Pd},rd=commonjsGlobal&&commonjsGlobal.__importDefault||function(Md){return Md&&Md.__esModule?Md:{default:Md}};Object.defineProperty(FeedHandler,"__esModule",{value:!0}),FeedHandler.parseFeed=FeedHandler.FeedHandler=void 0;var sd=rd(requireLib$9()),od=td(requireLib$6()),ld=requireParser$1(),cd;(function(Md){Md[Md.image=0]="image",Md[Md.audio=1]="audio",Md[Md.video=2]="video",Md[Md.document=3]="document",Md[Md.executable=4]="executable"})(cd||(cd={}));var ud;(function(Md){Md[Md.sample=0]="sample",Md[Md.full=1]="full",Md[Md.nonstop=2]="nonstop"})(ud||(ud={}));var _d=function(Md){Ra(Pd,Md);function Pd(Fd,Ud){var Gd=this;return typeof Fd=="object"&&(Fd=void 0,Ud=Fd),Gd=Md.call(this,Fd,Ud)||this,Gd}return Pd.prototype.onend=function(){var Fd,Ud,Gd=Td(kd,this.dom);if(!Gd){this.handleCallback(new Error("couldn't find root of feed"));return}var Qd={};if(Gd.name==="feed"){var Vd=Gd.children;Qd.type="atom",Bd(Qd,"id","id",Vd),Bd(Qd,"title","title",Vd);var zd=Rd("href",Td("link",Vd));zd&&(Qd.link=zd),Bd(Qd,"description","subtitle",Vd);var Xd=Nd("updated",Vd);Xd&&(Qd.updated=new Date(Xd)),Bd(Qd,"author","email",Vd,!0),Qd.items=Ad("entry",Vd).map(function(df){var mf={},_f=df.children;Bd(mf,"id","id",_f),Bd(mf,"title","title",_f);var hf=Rd("href",Td("link",_f));hf&&(mf.link=hf);var gf=Nd("summary",_f)||Nd("content",_f);gf&&(mf.description=gf);var yf=Nd("updated",_f);return yf&&(mf.pubDate=new Date(yf)),mf.media=Ed(_f),mf})}else{var Vd=(Ud=(Fd=Td("channel",Gd.children))===null||Fd===void 0?void 0:Fd.children)!==null&&Ud!==void 0?Ud:[];Qd.type=Gd.name.substr(0,3),Qd.id="",Bd(Qd,"title","title",Vd),Bd(Qd,"link","link",Vd),Bd(Qd,"description","description",Vd);var Xd=Nd("lastBuildDate",Vd);Xd&&(Qd.updated=new Date(Xd)),Bd(Qd,"author","managingEditor",Vd,!0),Qd.items=Ad("item",Gd.children).map(function(_f){var hf={},gf=_f.children;Bd(hf,"id","guid",gf),Bd(hf,"title","title",gf),Bd(hf,"link","link",gf),Bd(hf,"description","description",gf);var yf=Nd("pubDate",gf);return yf&&(hf.pubDate=new Date(yf)),hf.media=Ed(gf),hf})}this.feed=Qd,this.handleCallback(null)},Pd}(sd.default);FeedHandler.FeedHandler=_d;function Ed(Md){return Ad("media:content",Md).map(function(Pd){var Fd={medium:Pd.attribs.medium,isDefault:!!Pd.attribs.isDefault};return Pd.attribs.url&&(Fd.url=Pd.attribs.url),Pd.attribs.fileSize&&(Fd.fileSize=parseInt(Pd.attribs.fileSize,10)),Pd.attribs.type&&(Fd.type=Pd.attribs.type),Pd.attribs.expression&&(Fd.expression=Pd.attribs.expression),Pd.attribs.bitrate&&(Fd.bitrate=parseInt(Pd.attribs.bitrate,10)),Pd.attribs.framerate&&(Fd.framerate=parseInt(Pd.attribs.framerate,10)),Pd.attribs.samplingrate&&(Fd.samplingrate=parseInt(Pd.attribs.samplingrate,10)),Pd.attribs.channels&&(Fd.channels=parseInt(Pd.attribs.channels,10)),Pd.attribs.duration&&(Fd.duration=parseInt(Pd.attribs.duration,10)),Pd.attribs.height&&(Fd.height=parseInt(Pd.attribs.height,10)),Pd.attribs.width&&(Fd.width=parseInt(Pd.attribs.width,10)),Pd.attribs.lang&&(Fd.lang=Pd.attribs.lang),Fd})}function Ad(Md,Pd){return od.getElementsByTagName(Md,Pd,!0)}function Td(Md,Pd){return od.getElementsByTagName(Md,Pd,!0,1)[0]}function Nd(Md,Pd,Fd){return Fd===void 0&&(Fd=!1),od.getText(od.getElementsByTagName(Md,Pd,Fd,1)).trim()}function Rd(Md,Pd){if(!Pd)return null;var Fd=Pd.attribs;return Fd[Md]}function Bd(Md,Pd,Fd,Ud,Gd){Gd===void 0&&(Gd=!1);var Qd=Nd(Fd,Ud,Gd);Qd&&(Md[Pd]=Qd)}function kd(Md){return Md==="rss"||Md==="feed"||Md==="rdf:RDF"}function Od(Md,Pd){Pd===void 0&&(Pd={xmlMode:!0});var Fd=new _d(Pd);return new ld.Parser(Fd,Pd).end(Md),Fd.feed}return FeedHandler.parseFeed=Od,FeedHandler}var hasRequiredLib$2;function requireLib$2(){return hasRequiredLib$2||(hasRequiredLib$2=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__createBinding||(Object.create?function(Nd,Rd,Bd,kd){kd===void 0&&(kd=Bd),Object.defineProperty(Nd,kd,{enumerable:!0,get:function(){return Rd[Bd]}})}:function(Nd,Rd,Bd,kd){kd===void 0&&(kd=Bd),Nd[kd]=Rd[Bd]}),ed=commonjsGlobal&&commonjsGlobal.__setModuleDefault||(Object.create?function(Nd,Rd){Object.defineProperty(Nd,"default",{enumerable:!0,value:Rd})}:function(Nd,Rd){Nd.default=Rd}),td=commonjsGlobal&&commonjsGlobal.__importStar||function(Nd){if(Nd&&Nd.__esModule)return Nd;var Rd={};if(Nd!=null)for(var Bd in Nd)Bd!=="default"&&Object.prototype.hasOwnProperty.call(Nd,Bd)&&qa(Rd,Nd,Bd);return ed(Rd,Nd),Rd},rd=commonjsGlobal&&commonjsGlobal.__exportStar||function(Nd,Rd){for(var Bd in Nd)Bd!=="default"&&!Object.prototype.hasOwnProperty.call(Rd,Bd)&&qa(Rd,Nd,Bd)},sd=commonjsGlobal&&commonjsGlobal.__importDefault||function(Nd){return Nd&&Nd.__esModule?Nd:{default:Nd}};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.RssHandler=Ra.DefaultHandler=Ra.DomUtils=Ra.ElementType=Ra.Tokenizer=Ra.createDomStream=Ra.parseDOM=Ra.parseDocument=Ra.DomHandler=Ra.Parser=void 0;var od=requireParser$1();Object.defineProperty(Ra,"Parser",{enumerable:!0,get:function(){return od.Parser}});var ld=requireLib$9();Object.defineProperty(Ra,"DomHandler",{enumerable:!0,get:function(){return ld.DomHandler}}),Object.defineProperty(Ra,"DefaultHandler",{enumerable:!0,get:function(){return ld.DomHandler}});function cd(Nd,Rd){var Bd=new ld.DomHandler(void 0,Rd);return new od.Parser(Bd,Rd).end(Nd),Bd.root}Ra.parseDocument=cd;function ud(Nd,Rd){return cd(Nd,Rd).children}Ra.parseDOM=ud;function _d(Nd,Rd,Bd){var kd=new ld.DomHandler(Nd,Rd,Bd);return new od.Parser(kd,Rd)}Ra.createDomStream=_d;var Ed=requireTokenizer$1();Object.defineProperty(Ra,"Tokenizer",{enumerable:!0,get:function(){return sd(Ed).default}});var Ad=td(requireLib$a());Ra.ElementType=Ad,rd(requireFeedHandler(),Ra),Ra.DomUtils=td(requireLib$6());var Td=requireFeedHandler();Object.defineProperty(Ra,"RssHandler",{enumerable:!0,get:function(){return Td.FeedHandler}})}(lib$2)),lib$2}var parse5Adapter={},lib$1={},unicode={},hasRequiredUnicode;function requireUnicode(){if(hasRequiredUnicode)return unicode;hasRequiredUnicode=1;const Ra=[65534,65535,131070,131071,196606,196607,262142,262143,327678,327679,393214,393215,458750,458751,524286,524287,589822,589823,655358,655359,720894,720895,786430,786431,851966,851967,917502,917503,983038,983039,1048574,1048575,1114110,1114111];return unicode.REPLACEMENT_CHARACTER="�",unicode.CODE_POINTS={EOF:-1,NULL:0,TABULATION:9,CARRIAGE_RETURN:13,LINE_FEED:10,FORM_FEED:12,SPACE:32,EXCLAMATION_MARK:33,QUOTATION_MARK:34,NUMBER_SIGN:35,AMPERSAND:38,APOSTROPHE:39,HYPHEN_MINUS:45,SOLIDUS:47,DIGIT_0:48,DIGIT_9:57,SEMICOLON:59,LESS_THAN_SIGN:60,EQUALS_SIGN:61,GREATER_THAN_SIGN:62,QUESTION_MARK:63,LATIN_CAPITAL_A:65,LATIN_CAPITAL_F:70,LATIN_CAPITAL_X:88,LATIN_CAPITAL_Z:90,RIGHT_SQUARE_BRACKET:93,GRAVE_ACCENT:96,LATIN_SMALL_A:97,LATIN_SMALL_F:102,LATIN_SMALL_X:120,LATIN_SMALL_Z:122,REPLACEMENT_CHARACTER:65533},unicode.CODE_POINT_SEQUENCES={DASH_DASH_STRING:[45,45],DOCTYPE_STRING:[68,79,67,84,89,80,69],CDATA_START_STRING:[91,67,68,65,84,65,91],SCRIPT_STRING:[115,99,114,105,112,116],PUBLIC_STRING:[80,85,66,76,73,67],SYSTEM_STRING:[83,89,83,84,69,77]},unicode.isSurrogate=function(qa){return qa>=55296&&qa<=57343},unicode.isSurrogatePair=function(qa){return qa>=56320&&qa<=57343},unicode.getSurrogatePairCodePoint=function(qa,ed){return(qa-55296)*1024+9216+ed},unicode.isControlCodePoint=function(qa){return qa!==32&&qa!==10&&qa!==13&&qa!==9&&qa!==12&&qa>=1&&qa<=31||qa>=127&&qa<=159},unicode.isUndefinedCodePoint=function(qa){return qa>=64976&&qa<=65007||Ra.indexOf(qa)>-1},unicode}var errorCodes,hasRequiredErrorCodes;function requireErrorCodes(){return hasRequiredErrorCodes||(hasRequiredErrorCodes=1,errorCodes={controlCharacterInInputStream:"control-character-in-input-stream",noncharacterInInputStream:"noncharacter-in-input-stream",surrogateInInputStream:"surrogate-in-input-stream",nonVoidHtmlElementStartTagWithTrailingSolidus:"non-void-html-element-start-tag-with-trailing-solidus",endTagWithAttributes:"end-tag-with-attributes",endTagWithTrailingSolidus:"end-tag-with-trailing-solidus",unexpectedSolidusInTag:"unexpected-solidus-in-tag",unexpectedNullCharacter:"unexpected-null-character",unexpectedQuestionMarkInsteadOfTagName:"unexpected-question-mark-instead-of-tag-name",invalidFirstCharacterOfTagName:"invalid-first-character-of-tag-name",unexpectedEqualsSignBeforeAttributeName:"unexpected-equals-sign-before-attribute-name",missingEndTagName:"missing-end-tag-name",unexpectedCharacterInAttributeName:"unexpected-character-in-attribute-name",unknownNamedCharacterReference:"unknown-named-character-reference",missingSemicolonAfterCharacterReference:"missing-semicolon-after-character-reference",unexpectedCharacterAfterDoctypeSystemIdentifier:"unexpected-character-after-doctype-system-identifier",unexpectedCharacterInUnquotedAttributeValue:"unexpected-character-in-unquoted-attribute-value",eofBeforeTagName:"eof-before-tag-name",eofInTag:"eof-in-tag",missingAttributeValue:"missing-attribute-value",missingWhitespaceBetweenAttributes:"missing-whitespace-between-attributes",missingWhitespaceAfterDoctypePublicKeyword:"missing-whitespace-after-doctype-public-keyword",missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers:"missing-whitespace-between-doctype-public-and-system-identifiers",missingWhitespaceAfterDoctypeSystemKeyword:"missing-whitespace-after-doctype-system-keyword",missingQuoteBeforeDoctypePublicIdentifier:"missing-quote-before-doctype-public-identifier",missingQuoteBeforeDoctypeSystemIdentifier:"missing-quote-before-doctype-system-identifier",missingDoctypePublicIdentifier:"missing-doctype-public-identifier",missingDoctypeSystemIdentifier:"missing-doctype-system-identifier",abruptDoctypePublicIdentifier:"abrupt-doctype-public-identifier",abruptDoctypeSystemIdentifier:"abrupt-doctype-system-identifier",cdataInHtmlContent:"cdata-in-html-content",incorrectlyOpenedComment:"incorrectly-opened-comment",eofInScriptHtmlCommentLikeText:"eof-in-script-html-comment-like-text",eofInDoctype:"eof-in-doctype",nestedComment:"nested-comment",abruptClosingOfEmptyComment:"abrupt-closing-of-empty-comment",eofInComment:"eof-in-comment",incorrectlyClosedComment:"incorrectly-closed-comment",eofInCdata:"eof-in-cdata",absenceOfDigitsInNumericCharacterReference:"absence-of-digits-in-numeric-character-reference",nullCharacterReference:"null-character-reference",surrogateCharacterReference:"surrogate-character-reference",characterReferenceOutsideUnicodeRange:"character-reference-outside-unicode-range",controlCharacterReference:"control-character-reference",noncharacterCharacterReference:"noncharacter-character-reference",missingWhitespaceBeforeDoctypeName:"missing-whitespace-before-doctype-name",missingDoctypeName:"missing-doctype-name",invalidCharacterSequenceAfterDoctypeName:"invalid-character-sequence-after-doctype-name",duplicateAttribute:"duplicate-attribute",nonConformingDoctype:"non-conforming-doctype",missingDoctype:"missing-doctype",misplacedDoctype:"misplaced-doctype",endTagWithoutMatchingOpenElement:"end-tag-without-matching-open-element",closingOfElementWithOpenChildElements:"closing-of-element-with-open-child-elements",disallowedContentInNoscriptInHead:"disallowed-content-in-noscript-in-head",openElementsLeftAfterEof:"open-elements-left-after-eof",abandonedHeadElementChild:"abandoned-head-element-child",misplacedStartTagForHeadElement:"misplaced-start-tag-for-head-element",nestedNoscriptInHead:"nested-noscript-in-head",eofInElementThatCanContainOnlyText:"eof-in-element-that-can-contain-only-text"}),errorCodes}var preprocessor,hasRequiredPreprocessor;function requirePreprocessor(){if(hasRequiredPreprocessor)return preprocessor;hasRequiredPreprocessor=1;const Ra=requireUnicode(),qa=requireErrorCodes(),ed=Ra.CODE_POINTS,td=65536;class rd{constructor(){this.html=null,this.pos=-1,this.lastGapPos=-1,this.lastCharPos=-1,this.gapStack=[],this.skipNextNewLine=!1,this.lastChunkWritten=!1,this.endOfChunkHit=!1,this.bufferWaterline=td}_err(){}_addGap(){this.gapStack.push(this.lastGapPos),this.lastGapPos=this.pos}_processSurrogate(od){if(this.pos!==this.lastCharPos){const ld=this.html.charCodeAt(this.pos+1);if(Ra.isSurrogatePair(ld))return this.pos++,this._addGap(),Ra.getSurrogatePairCodePoint(od,ld)}else if(!this.lastChunkWritten)return this.endOfChunkHit=!0,ed.EOF;return this._err(qa.surrogateInInputStream),od}dropParsedChunk(){this.pos>this.bufferWaterline&&(this.lastCharPos-=this.pos,this.html=this.html.substring(this.pos),this.pos=0,this.lastGapPos=-1,this.gapStack=[])}write(od,ld){this.html?this.html+=od:this.html=od,this.lastCharPos=this.html.length-1,this.endOfChunkHit=!1,this.lastChunkWritten=ld}insertHtmlAtCurrentPos(od){this.html=this.html.substring(0,this.pos+1)+od+this.html.substring(this.pos+1,this.html.length),this.lastCharPos=this.html.length-1,this.endOfChunkHit=!1}advance(){if(this.pos++,this.pos>this.lastCharPos)return this.endOfChunkHit=!this.lastChunkWritten,ed.EOF;let od=this.html.charCodeAt(this.pos);return this.skipNextNewLine&&od===ed.LINE_FEED?(this.skipNextNewLine=!1,this._addGap(),this.advance()):od===ed.CARRIAGE_RETURN?(this.skipNextNewLine=!0,ed.LINE_FEED):(this.skipNextNewLine=!1,Ra.isSurrogate(od)&&(od=this._processSurrogate(od)),od>31&&od<127||od===ed.LINE_FEED||od===ed.CARRIAGE_RETURN||od>159&&od<64976||this._checkForProblematicCharacters(od),od)}_checkForProblematicCharacters(od){Ra.isControlCodePoint(od)?this._err(qa.controlCharacterInInputStream):Ra.isUndefinedCodePoint(od)&&this._err(qa.noncharacterInInputStream)}retreat(){this.pos===this.lastGapPos&&(this.lastGapPos=this.gapStack.pop(),this.pos--),this.pos--}}return preprocessor=rd,preprocessor}var namedEntityData,hasRequiredNamedEntityData;function requireNamedEntityData(){return hasRequiredNamedEntityData||(hasRequiredNamedEntityData=1,namedEntityData=new Uint16Array([4,52,65,66,67,68,69,70,71,72,73,74,75,76,77,78,79,80,81,82,83,84,85,86,87,88,89,90,97,98,99,100,101,102,103,104,105,106,107,108,109,110,111,112,113,114,115,116,117,118,119,120,121,122,106,303,412,810,1432,1701,1796,1987,2114,2360,2420,2484,3170,3251,4140,4393,4575,4610,5106,5512,5728,6117,6274,6315,6345,6427,6516,7002,7910,8733,9323,9870,10170,10631,10893,11318,11386,11467,12773,13092,14474,14922,15448,15542,16419,17666,18166,18611,19004,19095,19298,19397,4,16,69,77,97,98,99,102,103,108,109,110,111,112,114,115,116,117,140,150,158,169,176,194,199,210,216,222,226,242,256,266,283,294,108,105,103,5,198,1,59,148,1,198,80,5,38,1,59,156,1,38,99,117,116,101,5,193,1,59,167,1,193,114,101,118,101,59,1,258,4,2,105,121,182,191,114,99,5,194,1,59,189,1,194,59,1,1040,114,59,3,55349,56580,114,97,118,101,5,192,1,59,208,1,192,112,104,97,59,1,913,97,99,114,59,1,256,100,59,1,10835,4,2,103,112,232,237,111,110,59,1,260,102,59,3,55349,56632,112,108,121,70,117,110,99,116,105,111,110,59,1,8289,105,110,103,5,197,1,59,264,1,197,4,2,99,115,272,277,114,59,3,55349,56476,105,103,110,59,1,8788,105,108,100,101,5,195,1,59,292,1,195,109,108,5,196,1,59,301,1,196,4,8,97,99,101,102,111,114,115,117,321,350,354,383,388,394,400,405,4,2,99,114,327,336,107,115,108,97,115,104,59,1,8726,4,2,118,119,342,345,59,1,10983,101,100,59,1,8966,121,59,1,1041,4,3,99,114,116,362,369,379,97,117,115,101,59,1,8757,110,111,117,108,108,105,115,59,1,8492,97,59,1,914,114,59,3,55349,56581,112,102,59,3,55349,56633,101,118,101,59,1,728,99,114,59,1,8492,109,112,101,113,59,1,8782,4,14,72,79,97,99,100,101,102,104,105,108,111,114,115,117,442,447,456,504,542,547,569,573,577,616,678,784,790,796,99,121,59,1,1063,80,89,5,169,1,59,454,1,169,4,3,99,112,121,464,470,497,117,116,101,59,1,262,4,2,59,105,476,478,1,8914,116,97,108,68,105,102,102,101,114,101,110,116,105,97,108,68,59,1,8517,108,101,121,115,59,1,8493,4,4,97,101,105,111,514,520,530,535,114,111,110,59,1,268,100,105,108,5,199,1,59,528,1,199,114,99,59,1,264,110,105,110,116,59,1,8752,111,116,59,1,266,4,2,100,110,553,560,105,108,108,97,59,1,184,116,101,114,68,111,116,59,1,183,114,59,1,8493,105,59,1,935,114,99,108,101,4,4,68,77,80,84,591,596,603,609,111,116,59,1,8857,105,110,117,115,59,1,8854,108,117,115,59,1,8853,105,109,101,115,59,1,8855,111,4,2,99,115,623,646,107,119,105,115,101,67,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8754,101,67,117,114,108,121,4,2,68,81,658,671,111,117,98,108,101,81,117,111,116,101,59,1,8221,117,111,116,101,59,1,8217,4,4,108,110,112,117,688,701,736,753,111,110,4,2,59,101,696,698,1,8759,59,1,10868,4,3,103,105,116,709,717,722,114,117,101,110,116,59,1,8801,110,116,59,1,8751,111,117,114,73,110,116,101,103,114,97,108,59,1,8750,4,2,102,114,742,745,59,1,8450,111,100,117,99,116,59,1,8720,110,116,101,114,67,108,111,99,107,119,105,115,101,67,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8755,111,115,115,59,1,10799,99,114,59,3,55349,56478,112,4,2,59,67,803,805,1,8915,97,112,59,1,8781,4,11,68,74,83,90,97,99,101,102,105,111,115,834,850,855,860,865,888,903,916,921,1011,1415,4,2,59,111,840,842,1,8517,116,114,97,104,100,59,1,10513,99,121,59,1,1026,99,121,59,1,1029,99,121,59,1,1039,4,3,103,114,115,873,879,883,103,101,114,59,1,8225,114,59,1,8609,104,118,59,1,10980,4,2,97,121,894,900,114,111,110,59,1,270,59,1,1044,108,4,2,59,116,910,912,1,8711,97,59,1,916,114,59,3,55349,56583,4,2,97,102,927,998,4,2,99,109,933,992,114,105,116,105,99,97,108,4,4,65,68,71,84,950,957,978,985,99,117,116,101,59,1,180,111,4,2,116,117,964,967,59,1,729,98,108,101,65,99,117,116,101,59,1,733,114,97,118,101,59,1,96,105,108,100,101,59,1,732,111,110,100,59,1,8900,102,101,114,101,110,116,105,97,108,68,59,1,8518,4,4,112,116,117,119,1021,1026,1048,1249,102,59,3,55349,56635,4,3,59,68,69,1034,1036,1041,1,168,111,116,59,1,8412,113,117,97,108,59,1,8784,98,108,101,4,6,67,68,76,82,85,86,1065,1082,1101,1189,1211,1236,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8751,111,4,2,116,119,1089,1092,59,1,168,110,65,114,114,111,119,59,1,8659,4,2,101,111,1107,1141,102,116,4,3,65,82,84,1117,1124,1136,114,114,111,119,59,1,8656,105,103,104,116,65,114,114,111,119,59,1,8660,101,101,59,1,10980,110,103,4,2,76,82,1149,1177,101,102,116,4,2,65,82,1158,1165,114,114,111,119,59,1,10232,105,103,104,116,65,114,114,111,119,59,1,10234,105,103,104,116,65,114,114,111,119,59,1,10233,105,103,104,116,4,2,65,84,1199,1206,114,114,111,119,59,1,8658,101,101,59,1,8872,112,4,2,65,68,1218,1225,114,114,111,119,59,1,8657,111,119,110,65,114,114,111,119,59,1,8661,101,114,116,105,99,97,108,66,97,114,59,1,8741,110,4,6,65,66,76,82,84,97,1264,1292,1299,1352,1391,1408,114,114,111,119,4,3,59,66,85,1276,1278,1283,1,8595,97,114,59,1,10515,112,65,114,114,111,119,59,1,8693,114,101,118,101,59,1,785,101,102,116,4,3,82,84,86,1310,1323,1334,105,103,104,116,86,101,99,116,111,114,59,1,10576,101,101,86,101,99,116,111,114,59,1,10590,101,99,116,111,114,4,2,59,66,1345,1347,1,8637,97,114,59,1,10582,105,103,104,116,4,2,84,86,1362,1373,101,101,86,101,99,116,111,114,59,1,10591,101,99,116,111,114,4,2,59,66,1384,1386,1,8641,97,114,59,1,10583,101,101,4,2,59,65,1399,1401,1,8868,114,114,111,119,59,1,8615,114,114,111,119,59,1,8659,4,2,99,116,1421,1426,114,59,3,55349,56479,114,111,107,59,1,272,4,16,78,84,97,99,100,102,103,108,109,111,112,113,115,116,117,120,1466,1470,1478,1489,1515,1520,1525,1536,1544,1593,1609,1617,1650,1664,1668,1677,71,59,1,330,72,5,208,1,59,1476,1,208,99,117,116,101,5,201,1,59,1487,1,201,4,3,97,105,121,1497,1503,1512,114,111,110,59,1,282,114,99,5,202,1,59,1510,1,202,59,1,1069,111,116,59,1,278,114,59,3,55349,56584,114,97,118,101,5,200,1,59,1534,1,200,101,109,101,110,116,59,1,8712,4,2,97,112,1550,1555,99,114,59,1,274,116,121,4,2,83,86,1563,1576,109,97,108,108,83,113,117,97,114,101,59,1,9723,101,114,121,83,109,97,108,108,83,113,117,97,114,101,59,1,9643,4,2,103,112,1599,1604,111,110,59,1,280,102,59,3,55349,56636,115,105,108,111,110,59,1,917,117,4,2,97,105,1624,1640,108,4,2,59,84,1631,1633,1,10869,105,108,100,101,59,1,8770,108,105,98,114,105,117,109,59,1,8652,4,2,99,105,1656,1660,114,59,1,8496,109,59,1,10867,97,59,1,919,109,108,5,203,1,59,1675,1,203,4,2,105,112,1683,1689,115,116,115,59,1,8707,111,110,101,110,116,105,97,108,69,59,1,8519,4,5,99,102,105,111,115,1713,1717,1722,1762,1791,121,59,1,1060,114,59,3,55349,56585,108,108,101,100,4,2,83,86,1732,1745,109,97,108,108,83,113,117,97,114,101,59,1,9724,101,114,121,83,109,97,108,108,83,113,117,97,114,101,59,1,9642,4,3,112,114,117,1770,1775,1781,102,59,3,55349,56637,65,108,108,59,1,8704,114,105,101,114,116,114,102,59,1,8497,99,114,59,1,8497,4,12,74,84,97,98,99,100,102,103,111,114,115,116,1822,1827,1834,1848,1855,1877,1882,1887,1890,1896,1978,1984,99,121,59,1,1027,5,62,1,59,1832,1,62,109,109,97,4,2,59,100,1843,1845,1,915,59,1,988,114,101,118,101,59,1,286,4,3,101,105,121,1863,1869,1874,100,105,108,59,1,290,114,99,59,1,284,59,1,1043,111,116,59,1,288,114,59,3,55349,56586,59,1,8921,112,102,59,3,55349,56638,101,97,116,101,114,4,6,69,70,71,76,83,84,1915,1933,1944,1953,1959,1971,113,117,97,108,4,2,59,76,1925,1927,1,8805,101,115,115,59,1,8923,117,108,108,69,113,117,97,108,59,1,8807,114,101,97,116,101,114,59,1,10914,101,115,115,59,1,8823,108,97,110,116,69,113,117,97,108,59,1,10878,105,108,100,101,59,1,8819,99,114,59,3,55349,56482,59,1,8811,4,8,65,97,99,102,105,111,115,117,2005,2012,2026,2032,2036,2049,2073,2089,82,68,99,121,59,1,1066,4,2,99,116,2018,2023,101,107,59,1,711,59,1,94,105,114,99,59,1,292,114,59,1,8460,108,98,101,114,116,83,112,97,99,101,59,1,8459,4,2,112,114,2055,2059,102,59,1,8461,105,122,111,110,116,97,108,76,105,110,101,59,1,9472,4,2,99,116,2079,2083,114,59,1,8459,114,111,107,59,1,294,109,112,4,2,68,69,2097,2107,111,119,110,72,117,109,112,59,1,8782,113,117,97,108,59,1,8783,4,14,69,74,79,97,99,100,102,103,109,110,111,115,116,117,2144,2149,2155,2160,2171,2189,2194,2198,2209,2245,2307,2329,2334,2341,99,121,59,1,1045,108,105,103,59,1,306,99,121,59,1,1025,99,117,116,101,5,205,1,59,2169,1,205,4,2,105,121,2177,2186,114,99,5,206,1,59,2184,1,206,59,1,1048,111,116,59,1,304,114,59,1,8465,114,97,118,101,5,204,1,59,2207,1,204,4,3,59,97,112,2217,2219,2238,1,8465,4,2,99,103,2225,2229,114,59,1,298,105,110,97,114,121,73,59,1,8520,108,105,101,115,59,1,8658,4,2,116,118,2251,2281,4,2,59,101,2257,2259,1,8748,4,2,103,114,2265,2271,114,97,108,59,1,8747,115,101,99,116,105,111,110,59,1,8898,105,115,105,98,108,101,4,2,67,84,2293,2300,111,109,109,97,59,1,8291,105,109,101,115,59,1,8290,4,3,103,112,116,2315,2320,2325,111,110,59,1,302,102,59,3,55349,56640,97,59,1,921,99,114,59,1,8464,105,108,100,101,59,1,296,4,2,107,109,2347,2352,99,121,59,1,1030,108,5,207,1,59,2358,1,207,4,5,99,102,111,115,117,2372,2386,2391,2397,2414,4,2,105,121,2378,2383,114,99,59,1,308,59,1,1049,114,59,3,55349,56589,112,102,59,3,55349,56641,4,2,99,101,2403,2408,114,59,3,55349,56485,114,99,121,59,1,1032,107,99,121,59,1,1028,4,7,72,74,97,99,102,111,115,2436,2441,2446,2452,2467,2472,2478,99,121,59,1,1061,99,121,59,1,1036,112,112,97,59,1,922,4,2,101,121,2458,2464,100,105,108,59,1,310,59,1,1050,114,59,3,55349,56590,112,102,59,3,55349,56642,99,114,59,3,55349,56486,4,11,74,84,97,99,101,102,108,109,111,115,116,2508,2513,2520,2562,2585,2981,2986,3004,3011,3146,3167,99,121,59,1,1033,5,60,1,59,2518,1,60,4,5,99,109,110,112,114,2532,2538,2544,2548,2558,117,116,101,59,1,313,98,100,97,59,1,923,103,59,1,10218,108,97,99,101,116,114,102,59,1,8466,114,59,1,8606,4,3,97,101,121,2570,2576,2582,114,111,110,59,1,317,100,105,108,59,1,315,59,1,1051,4,2,102,115,2591,2907,116,4,10,65,67,68,70,82,84,85,86,97,114,2614,2663,2672,2728,2735,2760,2820,2870,2888,2895,4,2,110,114,2620,2633,103,108,101,66,114,97,99,107,101,116,59,1,10216,114,111,119,4,3,59,66,82,2644,2646,2651,1,8592,97,114,59,1,8676,105,103,104,116,65,114,114,111,119,59,1,8646,101,105,108,105,110,103,59,1,8968,111,4,2,117,119,2679,2692,98,108,101,66,114,97,99,107,101,116,59,1,10214,110,4,2,84,86,2699,2710,101,101,86,101,99,116,111,114,59,1,10593,101,99,116,111,114,4,2,59,66,2721,2723,1,8643,97,114,59,1,10585,108,111,111,114,59,1,8970,105,103,104,116,4,2,65,86,2745,2752,114,114,111,119,59,1,8596,101,99,116,111,114,59,1,10574,4,2,101,114,2766,2792,101,4,3,59,65,86,2775,2777,2784,1,8867,114,114,111,119,59,1,8612,101,99,116,111,114,59,1,10586,105,97,110,103,108,101,4,3,59,66,69,2806,2808,2813,1,8882,97,114,59,1,10703,113,117,97,108,59,1,8884,112,4,3,68,84,86,2829,2841,2852,111,119,110,86,101,99,116,111,114,59,1,10577,101,101,86,101,99,116,111,114,59,1,10592,101,99,116,111,114,4,2,59,66,2863,2865,1,8639,97,114,59,1,10584,101,99,116,111,114,4,2,59,66,2881,2883,1,8636,97,114,59,1,10578,114,114,111,119,59,1,8656,105,103,104,116,97,114,114,111,119,59,1,8660,115,4,6,69,70,71,76,83,84,2922,2936,2947,2956,2962,2974,113,117,97,108,71,114,101,97,116,101,114,59,1,8922,117,108,108,69,113,117,97,108,59,1,8806,114,101,97,116,101,114,59,1,8822,101,115,115,59,1,10913,108,97,110,116,69,113,117,97,108,59,1,10877,105,108,100,101,59,1,8818,114,59,3,55349,56591,4,2,59,101,2992,2994,1,8920,102,116,97,114,114,111,119,59,1,8666,105,100,111,116,59,1,319,4,3,110,112,119,3019,3110,3115,103,4,4,76,82,108,114,3030,3058,3070,3098,101,102,116,4,2,65,82,3039,3046,114,114,111,119,59,1,10229,105,103,104,116,65,114,114,111,119,59,1,10231,105,103,104,116,65,114,114,111,119,59,1,10230,101,102,116,4,2,97,114,3079,3086,114,114,111,119,59,1,10232,105,103,104,116,97,114,114,111,119,59,1,10234,105,103,104,116,97,114,114,111,119,59,1,10233,102,59,3,55349,56643,101,114,4,2,76,82,3123,3134,101,102,116,65,114,114,111,119,59,1,8601,105,103,104,116,65,114,114,111,119,59,1,8600,4,3,99,104,116,3154,3158,3161,114,59,1,8466,59,1,8624,114,111,107,59,1,321,59,1,8810,4,8,97,99,101,102,105,111,115,117,3188,3192,3196,3222,3227,3237,3243,3248,112,59,1,10501,121,59,1,1052,4,2,100,108,3202,3213,105,117,109,83,112,97,99,101,59,1,8287,108,105,110,116,114,102,59,1,8499,114,59,3,55349,56592,110,117,115,80,108,117,115,59,1,8723,112,102,59,3,55349,56644,99,114,59,1,8499,59,1,924,4,9,74,97,99,101,102,111,115,116,117,3271,3276,3283,3306,3422,3427,4120,4126,4137,99,121,59,1,1034,99,117,116,101,59,1,323,4,3,97,101,121,3291,3297,3303,114,111,110,59,1,327,100,105,108,59,1,325,59,1,1053,4,3,103,115,119,3314,3380,3415,97,116,105,118,101,4,3,77,84,86,3327,3340,3365,101,100,105,117,109,83,112,97,99,101,59,1,8203,104,105,4,2,99,110,3348,3357,107,83,112,97,99,101,59,1,8203,83,112,97,99,101,59,1,8203,101,114,121,84,104,105,110,83,112,97,99,101,59,1,8203,116,101,100,4,2,71,76,3389,3405,114,101,97,116,101,114,71,114,101,97,116,101,114,59,1,8811,101,115,115,76,101,115,115,59,1,8810,76,105,110,101,59,1,10,114,59,3,55349,56593,4,4,66,110,112,116,3437,3444,3460,3464,114,101,97,107,59,1,8288,66,114,101,97,107,105,110,103,83,112,97,99,101,59,1,160,102,59,1,8469,4,13,59,67,68,69,71,72,76,78,80,82,83,84,86,3492,3494,3517,3536,3578,3657,3685,3784,3823,3860,3915,4066,4107,1,10988,4,2,111,117,3500,3510,110,103,114,117,101,110,116,59,1,8802,112,67,97,112,59,1,8813,111,117,98,108,101,86,101,114,116,105,99,97,108,66,97,114,59,1,8742,4,3,108,113,120,3544,3552,3571,101,109,101,110,116,59,1,8713,117,97,108,4,2,59,84,3561,3563,1,8800,105,108,100,101,59,3,8770,824,105,115,116,115,59,1,8708,114,101,97,116,101,114,4,7,59,69,70,71,76,83,84,3600,3602,3609,3621,3631,3637,3650,1,8815,113,117,97,108,59,1,8817,117,108,108,69,113,117,97,108,59,3,8807,824,114,101,97,116,101,114,59,3,8811,824,101,115,115,59,1,8825,108,97,110,116,69,113,117,97,108,59,3,10878,824,105,108,100,101,59,1,8821,117,109,112,4,2,68,69,3666,3677,111,119,110,72,117,109,112,59,3,8782,824,113,117,97,108,59,3,8783,824,101,4,2,102,115,3692,3724,116,84,114,105,97,110,103,108,101,4,3,59,66,69,3709,3711,3717,1,8938,97,114,59,3,10703,824,113,117,97,108,59,1,8940,115,4,6,59,69,71,76,83,84,3739,3741,3748,3757,3764,3777,1,8814,113,117,97,108,59,1,8816,114,101,97,116,101,114,59,1,8824,101,115,115,59,3,8810,824,108,97,110,116,69,113,117,97,108,59,3,10877,824,105,108,100,101,59,1,8820,101,115,116,101,100,4,2,71,76,3795,3812,114,101,97,116,101,114,71,114,101,97,116,101,114,59,3,10914,824,101,115,115,76,101,115,115,59,3,10913,824,114,101,99,101,100,101,115,4,3,59,69,83,3838,3840,3848,1,8832,113,117,97,108,59,3,10927,824,108,97,110,116,69,113,117,97,108,59,1,8928,4,2,101,105,3866,3881,118,101,114,115,101,69,108,101,109,101,110,116,59,1,8716,103,104,116,84,114,105,97,110,103,108,101,4,3,59,66,69,3900,3902,3908,1,8939,97,114,59,3,10704,824,113,117,97,108,59,1,8941,4,2,113,117,3921,3973,117,97,114,101,83,117,4,2,98,112,3933,3952,115,101,116,4,2,59,69,3942,3945,3,8847,824,113,117,97,108,59,1,8930,101,114,115,101,116,4,2,59,69,3963,3966,3,8848,824,113,117,97,108,59,1,8931,4,3,98,99,112,3981,4e3,4045,115,101,116,4,2,59,69,3990,3993,3,8834,8402,113,117,97,108,59,1,8840,99,101,101,100,115,4,4,59,69,83,84,4015,4017,4025,4037,1,8833,113,117,97,108,59,3,10928,824,108,97,110,116,69,113,117,97,108,59,1,8929,105,108,100,101,59,3,8831,824,101,114,115,101,116,4,2,59,69,4056,4059,3,8835,8402,113,117,97,108,59,1,8841,105,108,100,101,4,4,59,69,70,84,4080,4082,4089,4100,1,8769,113,117,97,108,59,1,8772,117,108,108,69,113,117,97,108,59,1,8775,105,108,100,101,59,1,8777,101,114,116,105,99,97,108,66,97,114,59,1,8740,99,114,59,3,55349,56489,105,108,100,101,5,209,1,59,4135,1,209,59,1,925,4,14,69,97,99,100,102,103,109,111,112,114,115,116,117,118,4170,4176,4187,4205,4212,4217,4228,4253,4259,4292,4295,4316,4337,4346,108,105,103,59,1,338,99,117,116,101,5,211,1,59,4185,1,211,4,2,105,121,4193,4202,114,99,5,212,1,59,4200,1,212,59,1,1054,98,108,97,99,59,1,336,114,59,3,55349,56594,114,97,118,101,5,210,1,59,4226,1,210,4,3,97,101,105,4236,4241,4246,99,114,59,1,332,103,97,59,1,937,99,114,111,110,59,1,927,112,102,59,3,55349,56646,101,110,67,117,114,108,121,4,2,68,81,4272,4285,111,117,98,108,101,81,117,111,116,101,59,1,8220,117,111,116,101,59,1,8216,59,1,10836,4,2,99,108,4301,4306,114,59,3,55349,56490,97,115,104,5,216,1,59,4314,1,216,105,4,2,108,109,4323,4332,100,101,5,213,1,59,4330,1,213,101,115,59,1,10807,109,108,5,214,1,59,4344,1,214,101,114,4,2,66,80,4354,4380,4,2,97,114,4360,4364,114,59,1,8254,97,99,4,2,101,107,4372,4375,59,1,9182,101,116,59,1,9140,97,114,101,110,116,104,101,115,105,115,59,1,9180,4,9,97,99,102,104,105,108,111,114,115,4413,4422,4426,4431,4435,4438,4448,4471,4561,114,116,105,97,108,68,59,1,8706,121,59,1,1055,114,59,3,55349,56595,105,59,1,934,59,1,928,117,115,77,105,110,117,115,59,1,177,4,2,105,112,4454,4467,110,99,97,114,101,112,108,97,110,101,59,1,8460,102,59,1,8473,4,4,59,101,105,111,4481,4483,4526,4531,1,10939,99,101,100,101,115,4,4,59,69,83,84,4498,4500,4507,4519,1,8826,113,117,97,108,59,1,10927,108,97,110,116,69,113,117,97,108,59,1,8828,105,108,100,101,59,1,8830,109,101,59,1,8243,4,2,100,112,4537,4543,117,99,116,59,1,8719,111,114,116,105,111,110,4,2,59,97,4555,4557,1,8759,108,59,1,8733,4,2,99,105,4567,4572,114,59,3,55349,56491,59,1,936,4,4,85,102,111,115,4585,4594,4599,4604,79,84,5,34,1,59,4592,1,34,114,59,3,55349,56596,112,102,59,1,8474,99,114,59,3,55349,56492,4,12,66,69,97,99,101,102,104,105,111,114,115,117,4636,4642,4650,4681,4704,4763,4767,4771,5047,5069,5081,5094,97,114,114,59,1,10512,71,5,174,1,59,4648,1,174,4,3,99,110,114,4658,4664,4668,117,116,101,59,1,340,103,59,1,10219,114,4,2,59,116,4675,4677,1,8608,108,59,1,10518,4,3,97,101,121,4689,4695,4701,114,111,110,59,1,344,100,105,108,59,1,342,59,1,1056,4,2,59,118,4710,4712,1,8476,101,114,115,101,4,2,69,85,4722,4748,4,2,108,113,4728,4736,101,109,101,110,116,59,1,8715,117,105,108,105,98,114,105,117,109,59,1,8651,112,69,113,117,105,108,105,98,114,105,117,109,59,1,10607,114,59,1,8476,111,59,1,929,103,104,116,4,8,65,67,68,70,84,85,86,97,4792,4840,4849,4905,4912,4972,5022,5040,4,2,110,114,4798,4811,103,108,101,66,114,97,99,107,101,116,59,1,10217,114,111,119,4,3,59,66,76,4822,4824,4829,1,8594,97,114,59,1,8677,101,102,116,65,114,114,111,119,59,1,8644,101,105,108,105,110,103,59,1,8969,111,4,2,117,119,4856,4869,98,108,101,66,114,97,99,107,101,116,59,1,10215,110,4,2,84,86,4876,4887,101,101,86,101,99,116,111,114,59,1,10589,101,99,116,111,114,4,2,59,66,4898,4900,1,8642,97,114,59,1,10581,108,111,111,114,59,1,8971,4,2,101,114,4918,4944,101,4,3,59,65,86,4927,4929,4936,1,8866,114,114,111,119,59,1,8614,101,99,116,111,114,59,1,10587,105,97,110,103,108,101,4,3,59,66,69,4958,4960,4965,1,8883,97,114,59,1,10704,113,117,97,108,59,1,8885,112,4,3,68,84,86,4981,4993,5004,111,119,110,86,101,99,116,111,114,59,1,10575,101,101,86,101,99,116,111,114,59,1,10588,101,99,116,111,114,4,2,59,66,5015,5017,1,8638,97,114,59,1,10580,101,99,116,111,114,4,2,59,66,5033,5035,1,8640,97,114,59,1,10579,114,114,111,119,59,1,8658,4,2,112,117,5053,5057,102,59,1,8477,110,100,73,109,112,108,105,101,115,59,1,10608,105,103,104,116,97,114,114,111,119,59,1,8667,4,2,99,104,5087,5091,114,59,1,8475,59,1,8625,108,101,68,101,108,97,121,101,100,59,1,10740,4,13,72,79,97,99,102,104,105,109,111,113,115,116,117,5134,5150,5157,5164,5198,5203,5259,5265,5277,5283,5374,5380,5385,4,2,67,99,5140,5146,72,99,121,59,1,1065,121,59,1,1064,70,84,99,121,59,1,1068,99,117,116,101,59,1,346,4,5,59,97,101,105,121,5176,5178,5184,5190,5195,1,10940,114,111,110,59,1,352,100,105,108,59,1,350,114,99,59,1,348,59,1,1057,114,59,3,55349,56598,111,114,116,4,4,68,76,82,85,5216,5227,5238,5250,111,119,110,65,114,114,111,119,59,1,8595,101,102,116,65,114,114,111,119,59,1,8592,105,103,104,116,65,114,114,111,119,59,1,8594,112,65,114,114,111,119,59,1,8593,103,109,97,59,1,931,97,108,108,67,105,114,99,108,101,59,1,8728,112,102,59,3,55349,56650,4,2,114,117,5289,5293,116,59,1,8730,97,114,101,4,4,59,73,83,85,5306,5308,5322,5367,1,9633,110,116,101,114,115,101,99,116,105,111,110,59,1,8851,117,4,2,98,112,5329,5347,115,101,116,4,2,59,69,5338,5340,1,8847,113,117,97,108,59,1,8849,101,114,115,101,116,4,2,59,69,5358,5360,1,8848,113,117,97,108,59,1,8850,110,105,111,110,59,1,8852,99,114,59,3,55349,56494,97,114,59,1,8902,4,4,98,99,109,112,5395,5420,5475,5478,4,2,59,115,5401,5403,1,8912,101,116,4,2,59,69,5411,5413,1,8912,113,117,97,108,59,1,8838,4,2,99,104,5426,5468,101,101,100,115,4,4,59,69,83,84,5440,5442,5449,5461,1,8827,113,117,97,108,59,1,10928,108,97,110,116,69,113,117,97,108,59,1,8829,105,108,100,101,59,1,8831,84,104,97,116,59,1,8715,59,1,8721,4,3,59,101,115,5486,5488,5507,1,8913,114,115,101,116,4,2,59,69,5498,5500,1,8835,113,117,97,108,59,1,8839,101,116,59,1,8913,4,11,72,82,83,97,99,102,104,105,111,114,115,5536,5546,5552,5567,5579,5602,5607,5655,5695,5701,5711,79,82,78,5,222,1,59,5544,1,222,65,68,69,59,1,8482,4,2,72,99,5558,5563,99,121,59,1,1035,121,59,1,1062,4,2,98,117,5573,5576,59,1,9,59,1,932,4,3,97,101,121,5587,5593,5599,114,111,110,59,1,356,100,105,108,59,1,354,59,1,1058,114,59,3,55349,56599,4,2,101,105,5613,5631,4,2,114,116,5619,5627,101,102,111,114,101,59,1,8756,97,59,1,920,4,2,99,110,5637,5647,107,83,112,97,99,101,59,3,8287,8202,83,112,97,99,101,59,1,8201,108,100,101,4,4,59,69,70,84,5668,5670,5677,5688,1,8764,113,117,97,108,59,1,8771,117,108,108,69,113,117,97,108,59,1,8773,105,108,100,101,59,1,8776,112,102,59,3,55349,56651,105,112,108,101,68,111,116,59,1,8411,4,2,99,116,5717,5722,114,59,3,55349,56495,114,111,107,59,1,358,4,14,97,98,99,100,102,103,109,110,111,112,114,115,116,117,5758,5789,5805,5823,5830,5835,5846,5852,5921,5937,6089,6095,6101,6108,4,2,99,114,5764,5774,117,116,101,5,218,1,59,5772,1,218,114,4,2,59,111,5781,5783,1,8607,99,105,114,59,1,10569,114,4,2,99,101,5796,5800,121,59,1,1038,118,101,59,1,364,4,2,105,121,5811,5820,114,99,5,219,1,59,5818,1,219,59,1,1059,98,108,97,99,59,1,368,114,59,3,55349,56600,114,97,118,101,5,217,1,59,5844,1,217,97,99,114,59,1,362,4,2,100,105,5858,5905,101,114,4,2,66,80,5866,5892,4,2,97,114,5872,5876,114,59,1,95,97,99,4,2,101,107,5884,5887,59,1,9183,101,116,59,1,9141,97,114,101,110,116,104,101,115,105,115,59,1,9181,111,110,4,2,59,80,5913,5915,1,8899,108,117,115,59,1,8846,4,2,103,112,5927,5932,111,110,59,1,370,102,59,3,55349,56652,4,8,65,68,69,84,97,100,112,115,5955,5985,5996,6009,6026,6033,6044,6075,114,114,111,119,4,3,59,66,68,5967,5969,5974,1,8593,97,114,59,1,10514,111,119,110,65,114,114,111,119,59,1,8645,111,119,110,65,114,114,111,119,59,1,8597,113,117,105,108,105,98,114,105,117,109,59,1,10606,101,101,4,2,59,65,6017,6019,1,8869,114,114,111,119,59,1,8613,114,114,111,119,59,1,8657,111,119,110,97,114,114,111,119,59,1,8661,101,114,4,2,76,82,6052,6063,101,102,116,65,114,114,111,119,59,1,8598,105,103,104,116,65,114,114,111,119,59,1,8599,105,4,2,59,108,6082,6084,1,978,111,110,59,1,933,105,110,103,59,1,366,99,114,59,3,55349,56496,105,108,100,101,59,1,360,109,108,5,220,1,59,6115,1,220,4,9,68,98,99,100,101,102,111,115,118,6137,6143,6148,6152,6166,6250,6255,6261,6267,97,115,104,59,1,8875,97,114,59,1,10987,121,59,1,1042,97,115,104,4,2,59,108,6161,6163,1,8873,59,1,10982,4,2,101,114,6172,6175,59,1,8897,4,3,98,116,121,6183,6188,6238,97,114,59,1,8214,4,2,59,105,6194,6196,1,8214,99,97,108,4,4,66,76,83,84,6209,6214,6220,6231,97,114,59,1,8739,105,110,101,59,1,124,101,112,97,114,97,116,111,114,59,1,10072,105,108,100,101,59,1,8768,84,104,105,110,83,112,97,99,101,59,1,8202,114,59,3,55349,56601,112,102,59,3,55349,56653,99,114,59,3,55349,56497,100,97,115,104,59,1,8874,4,5,99,101,102,111,115,6286,6292,6298,6303,6309,105,114,99,59,1,372,100,103,101,59,1,8896,114,59,3,55349,56602,112,102,59,3,55349,56654,99,114,59,3,55349,56498,4,4,102,105,111,115,6325,6330,6333,6339,114,59,3,55349,56603,59,1,926,112,102,59,3,55349,56655,99,114,59,3,55349,56499,4,9,65,73,85,97,99,102,111,115,117,6365,6370,6375,6380,6391,6405,6410,6416,6422,99,121,59,1,1071,99,121,59,1,1031,99,121,59,1,1070,99,117,116,101,5,221,1,59,6389,1,221,4,2,105,121,6397,6402,114,99,59,1,374,59,1,1067,114,59,3,55349,56604,112,102,59,3,55349,56656,99,114,59,3,55349,56500,109,108,59,1,376,4,8,72,97,99,100,101,102,111,115,6445,6450,6457,6472,6477,6501,6505,6510,99,121,59,1,1046,99,117,116,101,59,1,377,4,2,97,121,6463,6469,114,111,110,59,1,381,59,1,1047,111,116,59,1,379,4,2,114,116,6483,6497,111,87,105,100,116,104,83,112,97,99,101,59,1,8203,97,59,1,918,114,59,1,8488,112,102,59,1,8484,99,114,59,3,55349,56501,4,16,97,98,99,101,102,103,108,109,110,111,112,114,115,116,117,119,6550,6561,6568,6612,6622,6634,6645,6672,6699,6854,6870,6923,6933,6963,6974,6983,99,117,116,101,5,225,1,59,6559,1,225,114,101,118,101,59,1,259,4,6,59,69,100,105,117,121,6582,6584,6588,6591,6600,6609,1,8766,59,3,8766,819,59,1,8767,114,99,5,226,1,59,6598,1,226,116,101,5,180,1,59,6607,1,180,59,1,1072,108,105,103,5,230,1,59,6620,1,230,4,2,59,114,6628,6630,1,8289,59,3,55349,56606,114,97,118,101,5,224,1,59,6643,1,224,4,2,101,112,6651,6667,4,2,102,112,6657,6663,115,121,109,59,1,8501,104,59,1,8501,104,97,59,1,945,4,2,97,112,6678,6692,4,2,99,108,6684,6688,114,59,1,257,103,59,1,10815,5,38,1,59,6697,1,38,4,2,100,103,6705,6737,4,5,59,97,100,115,118,6717,6719,6724,6727,6734,1,8743,110,100,59,1,10837,59,1,10844,108,111,112,101,59,1,10840,59,1,10842,4,7,59,101,108,109,114,115,122,6753,6755,6758,6762,6814,6835,6848,1,8736,59,1,10660,101,59,1,8736,115,100,4,2,59,97,6770,6772,1,8737,4,8,97,98,99,100,101,102,103,104,6790,6793,6796,6799,6802,6805,6808,6811,59,1,10664,59,1,10665,59,1,10666,59,1,10667,59,1,10668,59,1,10669,59,1,10670,59,1,10671,116,4,2,59,118,6821,6823,1,8735,98,4,2,59,100,6830,6832,1,8894,59,1,10653,4,2,112,116,6841,6845,104,59,1,8738,59,1,197,97,114,114,59,1,9084,4,2,103,112,6860,6865,111,110,59,1,261,102,59,3,55349,56658,4,7,59,69,97,101,105,111,112,6886,6888,6891,6897,6900,6904,6908,1,8776,59,1,10864,99,105,114,59,1,10863,59,1,8778,100,59,1,8779,115,59,1,39,114,111,120,4,2,59,101,6917,6919,1,8776,113,59,1,8778,105,110,103,5,229,1,59,6931,1,229,4,3,99,116,121,6941,6946,6949,114,59,3,55349,56502,59,1,42,109,112,4,2,59,101,6957,6959,1,8776,113,59,1,8781,105,108,100,101,5,227,1,59,6972,1,227,109,108,5,228,1,59,6981,1,228,4,2,99,105,6989,6997,111,110,105,110,116,59,1,8755,110,116,59,1,10769,4,16,78,97,98,99,100,101,102,105,107,108,110,111,112,114,115,117,7036,7041,7119,7135,7149,7155,7219,7224,7347,7354,7463,7489,7786,7793,7814,7866,111,116,59,1,10989,4,2,99,114,7047,7094,107,4,4,99,101,112,115,7058,7064,7073,7080,111,110,103,59,1,8780,112,115,105,108,111,110,59,1,1014,114,105,109,101,59,1,8245,105,109,4,2,59,101,7088,7090,1,8765,113,59,1,8909,4,2,118,119,7100,7105,101,101,59,1,8893,101,100,4,2,59,103,7113,7115,1,8965,101,59,1,8965,114,107,4,2,59,116,7127,7129,1,9141,98,114,107,59,1,9142,4,2,111,121,7141,7146,110,103,59,1,8780,59,1,1073,113,117,111,59,1,8222,4,5,99,109,112,114,116,7167,7181,7188,7193,7199,97,117,115,4,2,59,101,7176,7178,1,8757,59,1,8757,112,116,121,118,59,1,10672,115,105,59,1,1014,110,111,117,59,1,8492,4,3,97,104,119,7207,7210,7213,59,1,946,59,1,8502,101,101,110,59,1,8812,114,59,3,55349,56607,103,4,7,99,111,115,116,117,118,119,7241,7262,7288,7305,7328,7335,7340,4,3,97,105,117,7249,7253,7258,112,59,1,8898,114,99,59,1,9711,112,59,1,8899,4,3,100,112,116,7270,7275,7281,111,116,59,1,10752,108,117,115,59,1,10753,105,109,101,115,59,1,10754,4,2,113,116,7294,7300,99,117,112,59,1,10758,97,114,59,1,9733,114,105,97,110,103,108,101,4,2,100,117,7318,7324,111,119,110,59,1,9661,112,59,1,9651,112,108,117,115,59,1,10756,101,101,59,1,8897,101,100,103,101,59,1,8896,97,114,111,119,59,1,10509,4,3,97,107,111,7362,7436,7458,4,2,99,110,7368,7432,107,4,3,108,115,116,7377,7386,7394,111,122,101,110,103,101,59,1,10731,113,117,97,114,101,59,1,9642,114,105,97,110,103,108,101,4,4,59,100,108,114,7411,7413,7419,7425,1,9652,111,119,110,59,1,9662,101,102,116,59,1,9666,105,103,104,116,59,1,9656,107,59,1,9251,4,2,49,51,7442,7454,4,2,50,52,7448,7451,59,1,9618,59,1,9617,52,59,1,9619,99,107,59,1,9608,4,2,101,111,7469,7485,4,2,59,113,7475,7478,3,61,8421,117,105,118,59,3,8801,8421,116,59,1,8976,4,4,112,116,119,120,7499,7504,7517,7523,102,59,3,55349,56659,4,2,59,116,7510,7512,1,8869,111,109,59,1,8869,116,105,101,59,1,8904,4,12,68,72,85,86,98,100,104,109,112,116,117,118,7549,7571,7597,7619,7655,7660,7682,7708,7715,7721,7728,7750,4,4,76,82,108,114,7559,7562,7565,7568,59,1,9559,59,1,9556,59,1,9558,59,1,9555,4,5,59,68,85,100,117,7583,7585,7588,7591,7594,1,9552,59,1,9574,59,1,9577,59,1,9572,59,1,9575,4,4,76,82,108,114,7607,7610,7613,7616,59,1,9565,59,1,9562,59,1,9564,59,1,9561,4,7,59,72,76,82,104,108,114,7635,7637,7640,7643,7646,7649,7652,1,9553,59,1,9580,59,1,9571,59,1,9568,59,1,9579,59,1,9570,59,1,9567,111,120,59,1,10697,4,4,76,82,108,114,7670,7673,7676,7679,59,1,9557,59,1,9554,59,1,9488,59,1,9484,4,5,59,68,85,100,117,7694,7696,7699,7702,7705,1,9472,59,1,9573,59,1,9576,59,1,9516,59,1,9524,105,110,117,115,59,1,8863,108,117,115,59,1,8862,105,109,101,115,59,1,8864,4,4,76,82,108,114,7738,7741,7744,7747,59,1,9563,59,1,9560,59,1,9496,59,1,9492,4,7,59,72,76,82,104,108,114,7766,7768,7771,7774,7777,7780,7783,1,9474,59,1,9578,59,1,9569,59,1,9566,59,1,9532,59,1,9508,59,1,9500,114,105,109,101,59,1,8245,4,2,101,118,7799,7804,118,101,59,1,728,98,97,114,5,166,1,59,7812,1,166,4,4,99,101,105,111,7824,7829,7834,7846,114,59,3,55349,56503,109,105,59,1,8271,109,4,2,59,101,7841,7843,1,8765,59,1,8909,108,4,3,59,98,104,7855,7857,7860,1,92,59,1,10693,115,117,98,59,1,10184,4,2,108,109,7872,7885,108,4,2,59,101,7879,7881,1,8226,116,59,1,8226,112,4,3,59,69,101,7894,7896,7899,1,8782,59,1,10926,4,2,59,113,7905,7907,1,8783,59,1,8783,4,15,97,99,100,101,102,104,105,108,111,114,115,116,117,119,121,7942,8021,8075,8080,8121,8126,8157,8279,8295,8430,8446,8485,8491,8707,8726,4,3,99,112,114,7950,7956,8007,117,116,101,59,1,263,4,6,59,97,98,99,100,115,7970,7972,7977,7984,7998,8003,1,8745,110,100,59,1,10820,114,99,117,112,59,1,10825,4,2,97,117,7990,7994,112,59,1,10827,112,59,1,10823,111,116,59,1,10816,59,3,8745,65024,4,2,101,111,8013,8017,116,59,1,8257,110,59,1,711,4,4,97,101,105,117,8031,8046,8056,8061,4,2,112,114,8037,8041,115,59,1,10829,111,110,59,1,269,100,105,108,5,231,1,59,8054,1,231,114,99,59,1,265,112,115,4,2,59,115,8069,8071,1,10828,109,59,1,10832,111,116,59,1,267,4,3,100,109,110,8088,8097,8104,105,108,5,184,1,59,8095,1,184,112,116,121,118,59,1,10674,116,5,162,2,59,101,8112,8114,1,162,114,100,111,116,59,1,183,114,59,3,55349,56608,4,3,99,101,105,8134,8138,8154,121,59,1,1095,99,107,4,2,59,109,8146,8148,1,10003,97,114,107,59,1,10003,59,1,967,114,4,7,59,69,99,101,102,109,115,8174,8176,8179,8258,8261,8268,8273,1,9675,59,1,10691,4,3,59,101,108,8187,8189,8193,1,710,113,59,1,8791,101,4,2,97,100,8200,8223,114,114,111,119,4,2,108,114,8210,8216,101,102,116,59,1,8634,105,103,104,116,59,1,8635,4,5,82,83,97,99,100,8235,8238,8241,8246,8252,59,1,174,59,1,9416,115,116,59,1,8859,105,114,99,59,1,8858,97,115,104,59,1,8861,59,1,8791,110,105,110,116,59,1,10768,105,100,59,1,10991,99,105,114,59,1,10690,117,98,115,4,2,59,117,8288,8290,1,9827,105,116,59,1,9827,4,4,108,109,110,112,8305,8326,8376,8400,111,110,4,2,59,101,8313,8315,1,58,4,2,59,113,8321,8323,1,8788,59,1,8788,4,2,109,112,8332,8344,97,4,2,59,116,8339,8341,1,44,59,1,64,4,3,59,102,108,8352,8354,8358,1,8705,110,59,1,8728,101,4,2,109,120,8365,8371,101,110,116,59,1,8705,101,115,59,1,8450,4,2,103,105,8382,8395,4,2,59,100,8388,8390,1,8773,111,116,59,1,10861,110,116,59,1,8750,4,3,102,114,121,8408,8412,8417,59,3,55349,56660,111,100,59,1,8720,5,169,2,59,115,8424,8426,1,169,114,59,1,8471,4,2,97,111,8436,8441,114,114,59,1,8629,115,115,59,1,10007,4,2,99,117,8452,8457,114,59,3,55349,56504,4,2,98,112,8463,8474,4,2,59,101,8469,8471,1,10959,59,1,10961,4,2,59,101,8480,8482,1,10960,59,1,10962,100,111,116,59,1,8943,4,7,100,101,108,112,114,118,119,8507,8522,8536,8550,8600,8697,8702,97,114,114,4,2,108,114,8516,8519,59,1,10552,59,1,10549,4,2,112,115,8528,8532,114,59,1,8926,99,59,1,8927,97,114,114,4,2,59,112,8545,8547,1,8630,59,1,10557,4,6,59,98,99,100,111,115,8564,8566,8573,8587,8592,8596,1,8746,114,99,97,112,59,1,10824,4,2,97,117,8579,8583,112,59,1,10822,112,59,1,10826,111,116,59,1,8845,114,59,1,10821,59,3,8746,65024,4,4,97,108,114,118,8610,8623,8663,8672,114,114,4,2,59,109,8618,8620,1,8631,59,1,10556,121,4,3,101,118,119,8632,8651,8656,113,4,2,112,115,8639,8645,114,101,99,59,1,8926,117,99,99,59,1,8927,101,101,59,1,8910,101,100,103,101,59,1,8911,101,110,5,164,1,59,8670,1,164,101,97,114,114,111,119,4,2,108,114,8684,8690,101,102,116,59,1,8630,105,103,104,116,59,1,8631,101,101,59,1,8910,101,100,59,1,8911,4,2,99,105,8713,8721,111,110,105,110,116,59,1,8754,110,116,59,1,8753,108,99,116,121,59,1,9005,4,19,65,72,97,98,99,100,101,102,104,105,106,108,111,114,115,116,117,119,122,8773,8778,8783,8821,8839,8854,8887,8914,8930,8944,9036,9041,9058,9197,9227,9258,9281,9297,9305,114,114,59,1,8659,97,114,59,1,10597,4,4,103,108,114,115,8793,8799,8805,8809,103,101,114,59,1,8224,101,116,104,59,1,8504,114,59,1,8595,104,4,2,59,118,8816,8818,1,8208,59,1,8867,4,2,107,108,8827,8834,97,114,111,119,59,1,10511,97,99,59,1,733,4,2,97,121,8845,8851,114,111,110,59,1,271,59,1,1076,4,3,59,97,111,8862,8864,8880,1,8518,4,2,103,114,8870,8876,103,101,114,59,1,8225,114,59,1,8650,116,115,101,113,59,1,10871,4,3,103,108,109,8895,8902,8907,5,176,1,59,8900,1,176,116,97,59,1,948,112,116,121,118,59,1,10673,4,2,105,114,8920,8926,115,104,116,59,1,10623,59,3,55349,56609,97,114,4,2,108,114,8938,8941,59,1,8643,59,1,8642,4,5,97,101,103,115,118,8956,8986,8989,8996,9001,109,4,3,59,111,115,8965,8967,8983,1,8900,110,100,4,2,59,115,8975,8977,1,8900,117,105,116,59,1,9830,59,1,9830,59,1,168,97,109,109,97,59,1,989,105,110,59,1,8946,4,3,59,105,111,9009,9011,9031,1,247,100,101,5,247,2,59,111,9020,9022,1,247,110,116,105,109,101,115,59,1,8903,110,120,59,1,8903,99,121,59,1,1106,99,4,2,111,114,9048,9053,114,110,59,1,8990,111,112,59,1,8973,4,5,108,112,116,117,119,9070,9076,9081,9130,9144,108,97,114,59,1,36,102,59,3,55349,56661,4,5,59,101,109,112,115,9093,9095,9109,9116,9122,1,729,113,4,2,59,100,9102,9104,1,8784,111,116,59,1,8785,105,110,117,115,59,1,8760,108,117,115,59,1,8724,113,117,97,114,101,59,1,8865,98,108,101,98,97,114,119,101,100,103,101,59,1,8966,110,4,3,97,100,104,9153,9160,9172,114,114,111,119,59,1,8595,111,119,110,97,114,114,111,119,115,59,1,8650,97,114,112,111,111,110,4,2,108,114,9184,9190,101,102,116,59,1,8643,105,103,104,116,59,1,8642,4,2,98,99,9203,9211,107,97,114,111,119,59,1,10512,4,2,111,114,9217,9222,114,110,59,1,8991,111,112,59,1,8972,4,3,99,111,116,9235,9248,9252,4,2,114,121,9241,9245,59,3,55349,56505,59,1,1109,108,59,1,10742,114,111,107,59,1,273,4,2,100,114,9264,9269,111,116,59,1,8945,105,4,2,59,102,9276,9278,1,9663,59,1,9662,4,2,97,104,9287,9292,114,114,59,1,8693,97,114,59,1,10607,97,110,103,108,101,59,1,10662,4,2,99,105,9311,9315,121,59,1,1119,103,114,97,114,114,59,1,10239,4,18,68,97,99,100,101,102,103,108,109,110,111,112,113,114,115,116,117,120,9361,9376,9398,9439,9444,9447,9462,9495,9531,9585,9598,9614,9659,9755,9771,9792,9808,9826,4,2,68,111,9367,9372,111,116,59,1,10871,116,59,1,8785,4,2,99,115,9382,9392,117,116,101,5,233,1,59,9390,1,233,116,101,114,59,1,10862,4,4,97,105,111,121,9408,9414,9430,9436,114,111,110,59,1,283,114,4,2,59,99,9421,9423,1,8790,5,234,1,59,9428,1,234,108,111,110,59,1,8789,59,1,1101,111,116,59,1,279,59,1,8519,4,2,68,114,9453,9458,111,116,59,1,8786,59,3,55349,56610,4,3,59,114,115,9470,9472,9482,1,10906,97,118,101,5,232,1,59,9480,1,232,4,2,59,100,9488,9490,1,10902,111,116,59,1,10904,4,4,59,105,108,115,9505,9507,9515,9518,1,10905,110,116,101,114,115,59,1,9191,59,1,8467,4,2,59,100,9524,9526,1,10901,111,116,59,1,10903,4,3,97,112,115,9539,9544,9564,99,114,59,1,275,116,121,4,3,59,115,118,9554,9556,9561,1,8709,101,116,59,1,8709,59,1,8709,112,4,2,49,59,9571,9583,4,2,51,52,9577,9580,59,1,8196,59,1,8197,1,8195,4,2,103,115,9591,9594,59,1,331,112,59,1,8194,4,2,103,112,9604,9609,111,110,59,1,281,102,59,3,55349,56662,4,3,97,108,115,9622,9635,9640,114,4,2,59,115,9629,9631,1,8917,108,59,1,10723,117,115,59,1,10865,105,4,3,59,108,118,9649,9651,9656,1,949,111,110,59,1,949,59,1,1013,4,4,99,115,117,118,9669,9686,9716,9747,4,2,105,111,9675,9680,114,99,59,1,8790,108,111,110,59,1,8789,4,2,105,108,9692,9696,109,59,1,8770,97,110,116,4,2,103,108,9705,9710,116,114,59,1,10902,101,115,115,59,1,10901,4,3,97,101,105,9724,9729,9734,108,115,59,1,61,115,116,59,1,8799,118,4,2,59,68,9741,9743,1,8801,68,59,1,10872,112,97,114,115,108,59,1,10725,4,2,68,97,9761,9766,111,116,59,1,8787,114,114,59,1,10609,4,3,99,100,105,9779,9783,9788,114,59,1,8495,111,116,59,1,8784,109,59,1,8770,4,2,97,104,9798,9801,59,1,951,5,240,1,59,9806,1,240,4,2,109,114,9814,9822,108,5,235,1,59,9820,1,235,111,59,1,8364,4,3,99,105,112,9834,9838,9843,108,59,1,33,115,116,59,1,8707,4,2,101,111,9849,9859,99,116,97,116,105,111,110,59,1,8496,110,101,110,116,105,97,108,101,59,1,8519,4,12,97,99,101,102,105,106,108,110,111,112,114,115,9896,9910,9914,9921,9954,9960,9967,9989,9994,10027,10036,10164,108,108,105,110,103,100,111,116,115,101,113,59,1,8786,121,59,1,1092,109,97,108,101,59,1,9792,4,3,105,108,114,9929,9935,9950,108,105,103,59,1,64259,4,2,105,108,9941,9945,103,59,1,64256,105,103,59,1,64260,59,3,55349,56611,108,105,103,59,1,64257,108,105,103,59,3,102,106,4,3,97,108,116,9975,9979,9984,116,59,1,9837,105,103,59,1,64258,110,115,59,1,9649,111,102,59,1,402,4,2,112,114,1e4,10005,102,59,3,55349,56663,4,2,97,107,10011,10016,108,108,59,1,8704,4,2,59,118,10022,10024,1,8916,59,1,10969,97,114,116,105,110,116,59,1,10765,4,2,97,111,10042,10159,4,2,99,115,10048,10155,4,6,49,50,51,52,53,55,10062,10102,10114,10135,10139,10151,4,6,50,51,52,53,54,56,10076,10083,10086,10093,10096,10099,5,189,1,59,10081,1,189,59,1,8531,5,188,1,59,10091,1,188,59,1,8533,59,1,8537,59,1,8539,4,2,51,53,10108,10111,59,1,8532,59,1,8534,4,3,52,53,56,10122,10129,10132,5,190,1,59,10127,1,190,59,1,8535,59,1,8540,53,59,1,8536,4,2,54,56,10145,10148,59,1,8538,59,1,8541,56,59,1,8542,108,59,1,8260,119,110,59,1,8994,99,114,59,3,55349,56507,4,17,69,97,98,99,100,101,102,103,105,106,108,110,111,114,115,116,118,10206,10217,10247,10254,10268,10273,10358,10363,10374,10380,10385,10406,10458,10464,10470,10497,10610,4,2,59,108,10212,10214,1,8807,59,1,10892,4,3,99,109,112,10225,10231,10244,117,116,101,59,1,501,109,97,4,2,59,100,10239,10241,1,947,59,1,989,59,1,10886,114,101,118,101,59,1,287,4,2,105,121,10260,10265,114,99,59,1,285,59,1,1075,111,116,59,1,289,4,4,59,108,113,115,10283,10285,10288,10308,1,8805,59,1,8923,4,3,59,113,115,10296,10298,10301,1,8805,59,1,8807,108,97,110,116,59,1,10878,4,4,59,99,100,108,10318,10320,10324,10345,1,10878,99,59,1,10921,111,116,4,2,59,111,10332,10334,1,10880,4,2,59,108,10340,10342,1,10882,59,1,10884,4,2,59,101,10351,10354,3,8923,65024,115,59,1,10900,114,59,3,55349,56612,4,2,59,103,10369,10371,1,8811,59,1,8921,109,101,108,59,1,8503,99,121,59,1,1107,4,4,59,69,97,106,10395,10397,10400,10403,1,8823,59,1,10898,59,1,10917,59,1,10916,4,4,69,97,101,115,10416,10419,10434,10453,59,1,8809,112,4,2,59,112,10426,10428,1,10890,114,111,120,59,1,10890,4,2,59,113,10440,10442,1,10888,4,2,59,113,10448,10450,1,10888,59,1,8809,105,109,59,1,8935,112,102,59,3,55349,56664,97,118,101,59,1,96,4,2,99,105,10476,10480,114,59,1,8458,109,4,3,59,101,108,10489,10491,10494,1,8819,59,1,10894,59,1,10896,5,62,6,59,99,100,108,113,114,10512,10514,10527,10532,10538,10545,1,62,4,2,99,105,10520,10523,59,1,10919,114,59,1,10874,111,116,59,1,8919,80,97,114,59,1,10645,117,101,115,116,59,1,10876,4,5,97,100,101,108,115,10557,10574,10579,10599,10605,4,2,112,114,10563,10570,112,114,111,120,59,1,10886,114,59,1,10616,111,116,59,1,8919,113,4,2,108,113,10586,10592,101,115,115,59,1,8923,108,101,115,115,59,1,10892,101,115,115,59,1,8823,105,109,59,1,8819,4,2,101,110,10616,10626,114,116,110,101,113,113,59,3,8809,65024,69,59,3,8809,65024,4,10,65,97,98,99,101,102,107,111,115,121,10653,10658,10713,10718,10724,10760,10765,10786,10850,10875,114,114,59,1,8660,4,4,105,108,109,114,10668,10674,10678,10684,114,115,112,59,1,8202,102,59,1,189,105,108,116,59,1,8459,4,2,100,114,10690,10695,99,121,59,1,1098,4,3,59,99,119,10703,10705,10710,1,8596,105,114,59,1,10568,59,1,8621,97,114,59,1,8463,105,114,99,59,1,293,4,3,97,108,114,10732,10748,10754,114,116,115,4,2,59,117,10741,10743,1,9829,105,116,59,1,9829,108,105,112,59,1,8230,99,111,110,59,1,8889,114,59,3,55349,56613,115,4,2,101,119,10772,10779,97,114,111,119,59,1,10533,97,114,111,119,59,1,10534,4,5,97,109,111,112,114,10798,10803,10809,10839,10844,114,114,59,1,8703,116,104,116,59,1,8763,107,4,2,108,114,10816,10827,101,102,116,97,114,114,111,119,59,1,8617,105,103,104,116,97,114,114,111,119,59,1,8618,102,59,3,55349,56665,98,97,114,59,1,8213,4,3,99,108,116,10858,10863,10869,114,59,3,55349,56509,97,115,104,59,1,8463,114,111,107,59,1,295,4,2,98,112,10881,10887,117,108,108,59,1,8259,104,101,110,59,1,8208,4,15,97,99,101,102,103,105,106,109,110,111,112,113,115,116,117,10925,10936,10958,10977,10990,11001,11039,11045,11101,11192,11220,11226,11237,11285,11299,99,117,116,101,5,237,1,59,10934,1,237,4,3,59,105,121,10944,10946,10955,1,8291,114,99,5,238,1,59,10953,1,238,59,1,1080,4,2,99,120,10964,10968,121,59,1,1077,99,108,5,161,1,59,10975,1,161,4,2,102,114,10983,10986,59,1,8660,59,3,55349,56614,114,97,118,101,5,236,1,59,10999,1,236,4,4,59,105,110,111,11011,11013,11028,11034,1,8520,4,2,105,110,11019,11024,110,116,59,1,10764,116,59,1,8749,102,105,110,59,1,10716,116,97,59,1,8489,108,105,103,59,1,307,4,3,97,111,112,11053,11092,11096,4,3,99,103,116,11061,11065,11088,114,59,1,299,4,3,101,108,112,11073,11076,11082,59,1,8465,105,110,101,59,1,8464,97,114,116,59,1,8465,104,59,1,305,102,59,1,8887,101,100,59,1,437,4,5,59,99,102,111,116,11113,11115,11121,11136,11142,1,8712,97,114,101,59,1,8453,105,110,4,2,59,116,11129,11131,1,8734,105,101,59,1,10717,100,111,116,59,1,305,4,5,59,99,101,108,112,11154,11156,11161,11179,11186,1,8747,97,108,59,1,8890,4,2,103,114,11167,11173,101,114,115,59,1,8484,99,97,108,59,1,8890,97,114,104,107,59,1,10775,114,111,100,59,1,10812,4,4,99,103,112,116,11202,11206,11211,11216,121,59,1,1105,111,110,59,1,303,102,59,3,55349,56666,97,59,1,953,114,111,100,59,1,10812,117,101,115,116,5,191,1,59,11235,1,191,4,2,99,105,11243,11248,114,59,3,55349,56510,110,4,5,59,69,100,115,118,11261,11263,11266,11271,11282,1,8712,59,1,8953,111,116,59,1,8949,4,2,59,118,11277,11279,1,8948,59,1,8947,59,1,8712,4,2,59,105,11291,11293,1,8290,108,100,101,59,1,297,4,2,107,109,11305,11310,99,121,59,1,1110,108,5,239,1,59,11316,1,239,4,6,99,102,109,111,115,117,11332,11346,11351,11357,11363,11380,4,2,105,121,11338,11343,114,99,59,1,309,59,1,1081,114,59,3,55349,56615,97,116,104,59,1,567,112,102,59,3,55349,56667,4,2,99,101,11369,11374,114,59,3,55349,56511,114,99,121,59,1,1112,107,99,121,59,1,1108,4,8,97,99,102,103,104,106,111,115,11404,11418,11433,11438,11445,11450,11455,11461,112,112,97,4,2,59,118,11413,11415,1,954,59,1,1008,4,2,101,121,11424,11430,100,105,108,59,1,311,59,1,1082,114,59,3,55349,56616,114,101,101,110,59,1,312,99,121,59,1,1093,99,121,59,1,1116,112,102,59,3,55349,56668,99,114,59,3,55349,56512,4,23,65,66,69,72,97,98,99,100,101,102,103,104,106,108,109,110,111,112,114,115,116,117,118,11515,11538,11544,11555,11560,11721,11780,11818,11868,12136,12160,12171,12203,12208,12246,12275,12327,12509,12523,12569,12641,12732,12752,4,3,97,114,116,11523,11528,11532,114,114,59,1,8666,114,59,1,8656,97,105,108,59,1,10523,97,114,114,59,1,10510,4,2,59,103,11550,11552,1,8806,59,1,10891,97,114,59,1,10594,4,9,99,101,103,109,110,112,113,114,116,11580,11586,11594,11600,11606,11624,11627,11636,11694,117,116,101,59,1,314,109,112,116,121,118,59,1,10676,114,97,110,59,1,8466,98,100,97,59,1,955,103,4,3,59,100,108,11615,11617,11620,1,10216,59,1,10641,101,59,1,10216,59,1,10885,117,111,5,171,1,59,11634,1,171,114,4,8,59,98,102,104,108,112,115,116,11655,11657,11669,11673,11677,11681,11685,11690,1,8592,4,2,59,102,11663,11665,1,8676,115,59,1,10527,115,59,1,10525,107,59,1,8617,112,59,1,8619,108,59,1,10553,105,109,59,1,10611,108,59,1,8610,4,3,59,97,101,11702,11704,11709,1,10923,105,108,59,1,10521,4,2,59,115,11715,11717,1,10925,59,3,10925,65024,4,3,97,98,114,11729,11734,11739,114,114,59,1,10508,114,107,59,1,10098,4,2,97,107,11745,11758,99,4,2,101,107,11752,11755,59,1,123,59,1,91,4,2,101,115,11764,11767,59,1,10635,108,4,2,100,117,11774,11777,59,1,10639,59,1,10637,4,4,97,101,117,121,11790,11796,11811,11815,114,111,110,59,1,318,4,2,100,105,11802,11807,105,108,59,1,316,108,59,1,8968,98,59,1,123,59,1,1083,4,4,99,113,114,115,11828,11832,11845,11864,97,59,1,10550,117,111,4,2,59,114,11840,11842,1,8220,59,1,8222,4,2,100,117,11851,11857,104,97,114,59,1,10599,115,104,97,114,59,1,10571,104,59,1,8626,4,5,59,102,103,113,115,11880,11882,12008,12011,12031,1,8804,116,4,5,97,104,108,114,116,11895,11913,11935,11947,11996,114,114,111,119,4,2,59,116,11905,11907,1,8592,97,105,108,59,1,8610,97,114,112,111,111,110,4,2,100,117,11925,11931,111,119,110,59,1,8637,112,59,1,8636,101,102,116,97,114,114,111,119,115,59,1,8647,105,103,104,116,4,3,97,104,115,11959,11974,11984,114,114,111,119,4,2,59,115,11969,11971,1,8596,59,1,8646,97,114,112,111,111,110,115,59,1,8651,113,117,105,103,97,114,114,111,119,59,1,8621,104,114,101,101,116,105,109,101,115,59,1,8907,59,1,8922,4,3,59,113,115,12019,12021,12024,1,8804,59,1,8806,108,97,110,116,59,1,10877,4,5,59,99,100,103,115,12043,12045,12049,12070,12083,1,10877,99,59,1,10920,111,116,4,2,59,111,12057,12059,1,10879,4,2,59,114,12065,12067,1,10881,59,1,10883,4,2,59,101,12076,12079,3,8922,65024,115,59,1,10899,4,5,97,100,101,103,115,12095,12103,12108,12126,12131,112,112,114,111,120,59,1,10885,111,116,59,1,8918,113,4,2,103,113,12115,12120,116,114,59,1,8922,103,116,114,59,1,10891,116,114,59,1,8822,105,109,59,1,8818,4,3,105,108,114,12144,12150,12156,115,104,116,59,1,10620,111,111,114,59,1,8970,59,3,55349,56617,4,2,59,69,12166,12168,1,8822,59,1,10897,4,2,97,98,12177,12198,114,4,2,100,117,12184,12187,59,1,8637,4,2,59,108,12193,12195,1,8636,59,1,10602,108,107,59,1,9604,99,121,59,1,1113,4,5,59,97,99,104,116,12220,12222,12227,12235,12241,1,8810,114,114,59,1,8647,111,114,110,101,114,59,1,8990,97,114,100,59,1,10603,114,105,59,1,9722,4,2,105,111,12252,12258,100,111,116,59,1,320,117,115,116,4,2,59,97,12267,12269,1,9136,99,104,101,59,1,9136,4,4,69,97,101,115,12285,12288,12303,12322,59,1,8808,112,4,2,59,112,12295,12297,1,10889,114,111,120,59,1,10889,4,2,59,113,12309,12311,1,10887,4,2,59,113,12317,12319,1,10887,59,1,8808,105,109,59,1,8934,4,8,97,98,110,111,112,116,119,122,12345,12359,12364,12421,12446,12467,12474,12490,4,2,110,114,12351,12355,103,59,1,10220,114,59,1,8701,114,107,59,1,10214,103,4,3,108,109,114,12373,12401,12409,101,102,116,4,2,97,114,12382,12389,114,114,111,119,59,1,10229,105,103,104,116,97,114,114,111,119,59,1,10231,97,112,115,116,111,59,1,10236,105,103,104,116,97,114,114,111,119,59,1,10230,112,97,114,114,111,119,4,2,108,114,12433,12439,101,102,116,59,1,8619,105,103,104,116,59,1,8620,4,3,97,102,108,12454,12458,12462,114,59,1,10629,59,3,55349,56669,117,115,59,1,10797,105,109,101,115,59,1,10804,4,2,97,98,12480,12485,115,116,59,1,8727,97,114,59,1,95,4,3,59,101,102,12498,12500,12506,1,9674,110,103,101,59,1,9674,59,1,10731,97,114,4,2,59,108,12517,12519,1,40,116,59,1,10643,4,5,97,99,104,109,116,12535,12540,12548,12561,12564,114,114,59,1,8646,111,114,110,101,114,59,1,8991,97,114,4,2,59,100,12556,12558,1,8651,59,1,10605,59,1,8206,114,105,59,1,8895,4,6,97,99,104,105,113,116,12583,12589,12594,12597,12614,12635,113,117,111,59,1,8249,114,59,3,55349,56513,59,1,8624,109,4,3,59,101,103,12606,12608,12611,1,8818,59,1,10893,59,1,10895,4,2,98,117,12620,12623,59,1,91,111,4,2,59,114,12630,12632,1,8216,59,1,8218,114,111,107,59,1,322,5,60,8,59,99,100,104,105,108,113,114,12660,12662,12675,12680,12686,12692,12698,12705,1,60,4,2,99,105,12668,12671,59,1,10918,114,59,1,10873,111,116,59,1,8918,114,101,101,59,1,8907,109,101,115,59,1,8905,97,114,114,59,1,10614,117,101,115,116,59,1,10875,4,2,80,105,12711,12716,97,114,59,1,10646,4,3,59,101,102,12724,12726,12729,1,9667,59,1,8884,59,1,9666,114,4,2,100,117,12739,12746,115,104,97,114,59,1,10570,104,97,114,59,1,10598,4,2,101,110,12758,12768,114,116,110,101,113,113,59,3,8808,65024,69,59,3,8808,65024,4,14,68,97,99,100,101,102,104,105,108,110,111,112,115,117,12803,12809,12893,12908,12914,12928,12933,12937,13011,13025,13032,13049,13052,13069,68,111,116,59,1,8762,4,4,99,108,112,114,12819,12827,12849,12887,114,5,175,1,59,12825,1,175,4,2,101,116,12833,12836,59,1,9794,4,2,59,101,12842,12844,1,10016,115,101,59,1,10016,4,2,59,115,12855,12857,1,8614,116,111,4,4,59,100,108,117,12869,12871,12877,12883,1,8614,111,119,110,59,1,8615,101,102,116,59,1,8612,112,59,1,8613,107,101,114,59,1,9646,4,2,111,121,12899,12905,109,109,97,59,1,10793,59,1,1084,97,115,104,59,1,8212,97,115,117,114,101,100,97,110,103,108,101,59,1,8737,114,59,3,55349,56618,111,59,1,8487,4,3,99,100,110,12945,12954,12985,114,111,5,181,1,59,12952,1,181,4,4,59,97,99,100,12964,12966,12971,12976,1,8739,115,116,59,1,42,105,114,59,1,10992,111,116,5,183,1,59,12983,1,183,117,115,4,3,59,98,100,12995,12997,13e3,1,8722,59,1,8863,4,2,59,117,13006,13008,1,8760,59,1,10794,4,2,99,100,13017,13021,112,59,1,10971,114,59,1,8230,112,108,117,115,59,1,8723,4,2,100,112,13038,13044,101,108,115,59,1,8871,102,59,3,55349,56670,59,1,8723,4,2,99,116,13058,13063,114,59,3,55349,56514,112,111,115,59,1,8766,4,3,59,108,109,13077,13079,13087,1,956,116,105,109,97,112,59,1,8888,97,112,59,1,8888,4,24,71,76,82,86,97,98,99,100,101,102,103,104,105,106,108,109,111,112,114,115,116,117,118,119,13142,13165,13217,13229,13247,13330,13359,13414,13420,13508,13513,13579,13602,13626,13631,13762,13767,13855,13936,13995,14214,14285,14312,14432,4,2,103,116,13148,13152,59,3,8921,824,4,2,59,118,13158,13161,3,8811,8402,59,3,8811,824,4,3,101,108,116,13173,13200,13204,102,116,4,2,97,114,13181,13188,114,114,111,119,59,1,8653,105,103,104,116,97,114,114,111,119,59,1,8654,59,3,8920,824,4,2,59,118,13210,13213,3,8810,8402,59,3,8810,824,105,103,104,116,97,114,114,111,119,59,1,8655,4,2,68,100,13235,13241,97,115,104,59,1,8879,97,115,104,59,1,8878,4,5,98,99,110,112,116,13259,13264,13270,13275,13308,108,97,59,1,8711,117,116,101,59,1,324,103,59,3,8736,8402,4,5,59,69,105,111,112,13287,13289,13293,13298,13302,1,8777,59,3,10864,824,100,59,3,8779,824,115,59,1,329,114,111,120,59,1,8777,117,114,4,2,59,97,13316,13318,1,9838,108,4,2,59,115,13325,13327,1,9838,59,1,8469,4,2,115,117,13336,13344,112,5,160,1,59,13342,1,160,109,112,4,2,59,101,13352,13355,3,8782,824,59,3,8783,824,4,5,97,101,111,117,121,13371,13385,13391,13407,13411,4,2,112,114,13377,13380,59,1,10819,111,110,59,1,328,100,105,108,59,1,326,110,103,4,2,59,100,13399,13401,1,8775,111,116,59,3,10861,824,112,59,1,10818,59,1,1085,97,115,104,59,1,8211,4,7,59,65,97,100,113,115,120,13436,13438,13443,13466,13472,13478,13494,1,8800,114,114,59,1,8663,114,4,2,104,114,13450,13454,107,59,1,10532,4,2,59,111,13460,13462,1,8599,119,59,1,8599,111,116,59,3,8784,824,117,105,118,59,1,8802,4,2,101,105,13484,13489,97,114,59,1,10536,109,59,3,8770,824,105,115,116,4,2,59,115,13503,13505,1,8708,59,1,8708,114,59,3,55349,56619,4,4,69,101,115,116,13523,13527,13563,13568,59,3,8807,824,4,3,59,113,115,13535,13537,13559,1,8817,4,3,59,113,115,13545,13547,13551,1,8817,59,3,8807,824,108,97,110,116,59,3,10878,824,59,3,10878,824,105,109,59,1,8821,4,2,59,114,13574,13576,1,8815,59,1,8815,4,3,65,97,112,13587,13592,13597,114,114,59,1,8654,114,114,59,1,8622,97,114,59,1,10994,4,3,59,115,118,13610,13612,13623,1,8715,4,2,59,100,13618,13620,1,8956,59,1,8954,59,1,8715,99,121,59,1,1114,4,7,65,69,97,100,101,115,116,13647,13652,13656,13661,13665,13737,13742,114,114,59,1,8653,59,3,8806,824,114,114,59,1,8602,114,59,1,8229,4,4,59,102,113,115,13675,13677,13703,13725,1,8816,116,4,2,97,114,13684,13691,114,114,111,119,59,1,8602,105,103,104,116,97,114,114,111,119,59,1,8622,4,3,59,113,115,13711,13713,13717,1,8816,59,3,8806,824,108,97,110,116,59,3,10877,824,4,2,59,115,13731,13734,3,10877,824,59,1,8814,105,109,59,1,8820,4,2,59,114,13748,13750,1,8814,105,4,2,59,101,13757,13759,1,8938,59,1,8940,105,100,59,1,8740,4,2,112,116,13773,13778,102,59,3,55349,56671,5,172,3,59,105,110,13787,13789,13829,1,172,110,4,4,59,69,100,118,13800,13802,13806,13812,1,8713,59,3,8953,824,111,116,59,3,8949,824,4,3,97,98,99,13820,13823,13826,59,1,8713,59,1,8951,59,1,8950,105,4,2,59,118,13836,13838,1,8716,4,3,97,98,99,13846,13849,13852,59,1,8716,59,1,8958,59,1,8957,4,3,97,111,114,13863,13892,13899,114,4,4,59,97,115,116,13874,13876,13883,13888,1,8742,108,108,101,108,59,1,8742,108,59,3,11005,8421,59,3,8706,824,108,105,110,116,59,1,10772,4,3,59,99,101,13907,13909,13914,1,8832,117,101,59,1,8928,4,2,59,99,13920,13923,3,10927,824,4,2,59,101,13929,13931,1,8832,113,59,3,10927,824,4,4,65,97,105,116,13946,13951,13971,13982,114,114,59,1,8655,114,114,4,3,59,99,119,13961,13963,13967,1,8603,59,3,10547,824,59,3,8605,824,103,104,116,97,114,114,111,119,59,1,8603,114,105,4,2,59,101,13990,13992,1,8939,59,1,8941,4,7,99,104,105,109,112,113,117,14011,14036,14060,14080,14085,14090,14106,4,4,59,99,101,114,14021,14023,14028,14032,1,8833,117,101,59,1,8929,59,3,10928,824,59,3,55349,56515,111,114,116,4,2,109,112,14045,14050,105,100,59,1,8740,97,114,97,108,108,101,108,59,1,8742,109,4,2,59,101,14067,14069,1,8769,4,2,59,113,14075,14077,1,8772,59,1,8772,105,100,59,1,8740,97,114,59,1,8742,115,117,4,2,98,112,14098,14102,101,59,1,8930,101,59,1,8931,4,3,98,99,112,14114,14157,14171,4,4,59,69,101,115,14124,14126,14130,14133,1,8836,59,3,10949,824,59,1,8840,101,116,4,2,59,101,14141,14144,3,8834,8402,113,4,2,59,113,14151,14153,1,8840,59,3,10949,824,99,4,2,59,101,14164,14166,1,8833,113,59,3,10928,824,4,4,59,69,101,115,14181,14183,14187,14190,1,8837,59,3,10950,824,59,1,8841,101,116,4,2,59,101,14198,14201,3,8835,8402,113,4,2,59,113,14208,14210,1,8841,59,3,10950,824,4,4,103,105,108,114,14224,14228,14238,14242,108,59,1,8825,108,100,101,5,241,1,59,14236,1,241,103,59,1,8824,105,97,110,103,108,101,4,2,108,114,14254,14269,101,102,116,4,2,59,101,14263,14265,1,8938,113,59,1,8940,105,103,104,116,4,2,59,101,14279,14281,1,8939,113,59,1,8941,4,2,59,109,14291,14293,1,957,4,3,59,101,115,14301,14303,14308,1,35,114,111,59,1,8470,112,59,1,8199,4,9,68,72,97,100,103,105,108,114,115,14332,14338,14344,14349,14355,14369,14376,14408,14426,97,115,104,59,1,8877,97,114,114,59,1,10500,112,59,3,8781,8402,97,115,104,59,1,8876,4,2,101,116,14361,14365,59,3,8805,8402,59,3,62,8402,110,102,105,110,59,1,10718,4,3,65,101,116,14384,14389,14393,114,114,59,1,10498,59,3,8804,8402,4,2,59,114,14399,14402,3,60,8402,105,101,59,3,8884,8402,4,2,65,116,14414,14419,114,114,59,1,10499,114,105,101,59,3,8885,8402,105,109,59,3,8764,8402,4,3,65,97,110,14440,14445,14468,114,114,59,1,8662,114,4,2,104,114,14452,14456,107,59,1,10531,4,2,59,111,14462,14464,1,8598,119,59,1,8598,101,97,114,59,1,10535,4,18,83,97,99,100,101,102,103,104,105,108,109,111,112,114,115,116,117,118,14512,14515,14535,14560,14597,14603,14618,14643,14657,14662,14701,14741,14747,14769,14851,14877,14907,14916,59,1,9416,4,2,99,115,14521,14531,117,116,101,5,243,1,59,14529,1,243,116,59,1,8859,4,2,105,121,14541,14557,114,4,2,59,99,14548,14550,1,8858,5,244,1,59,14555,1,244,59,1,1086,4,5,97,98,105,111,115,14572,14577,14583,14587,14591,115,104,59,1,8861,108,97,99,59,1,337,118,59,1,10808,116,59,1,8857,111,108,100,59,1,10684,108,105,103,59,1,339,4,2,99,114,14609,14614,105,114,59,1,10687,59,3,55349,56620,4,3,111,114,116,14626,14630,14640,110,59,1,731,97,118,101,5,242,1,59,14638,1,242,59,1,10689,4,2,98,109,14649,14654,97,114,59,1,10677,59,1,937,110,116,59,1,8750,4,4,97,99,105,116,14672,14677,14693,14698,114,114,59,1,8634,4,2,105,114,14683,14687,114,59,1,10686,111,115,115,59,1,10683,110,101,59,1,8254,59,1,10688,4,3,97,101,105,14709,14714,14719,99,114,59,1,333,103,97,59,1,969,4,3,99,100,110,14727,14733,14736,114,111,110,59,1,959,59,1,10678,117,115,59,1,8854,112,102,59,3,55349,56672,4,3,97,101,108,14755,14759,14764,114,59,1,10679,114,112,59,1,10681,117,115,59,1,8853,4,7,59,97,100,105,111,115,118,14785,14787,14792,14831,14837,14841,14848,1,8744,114,114,59,1,8635,4,4,59,101,102,109,14802,14804,14817,14824,1,10845,114,4,2,59,111,14811,14813,1,8500,102,59,1,8500,5,170,1,59,14822,1,170,5,186,1,59,14829,1,186,103,111,102,59,1,8886,114,59,1,10838,108,111,112,101,59,1,10839,59,1,10843,4,3,99,108,111,14859,14863,14873,114,59,1,8500,97,115,104,5,248,1,59,14871,1,248,108,59,1,8856,105,4,2,108,109,14884,14893,100,101,5,245,1,59,14891,1,245,101,115,4,2,59,97,14901,14903,1,8855,115,59,1,10806,109,108,5,246,1,59,14914,1,246,98,97,114,59,1,9021,4,12,97,99,101,102,104,105,108,109,111,114,115,117,14948,14992,14996,15033,15038,15068,15090,15189,15192,15222,15427,15441,114,4,4,59,97,115,116,14959,14961,14976,14989,1,8741,5,182,2,59,108,14968,14970,1,182,108,101,108,59,1,8741,4,2,105,108,14982,14986,109,59,1,10995,59,1,11005,59,1,8706,121,59,1,1087,114,4,5,99,105,109,112,116,15009,15014,15019,15024,15027,110,116,59,1,37,111,100,59,1,46,105,108,59,1,8240,59,1,8869,101,110,107,59,1,8241,114,59,3,55349,56621,4,3,105,109,111,15046,15057,15063,4,2,59,118,15052,15054,1,966,59,1,981,109,97,116,59,1,8499,110,101,59,1,9742,4,3,59,116,118,15076,15078,15087,1,960,99,104,102,111,114,107,59,1,8916,59,1,982,4,2,97,117,15096,15119,110,4,2,99,107,15103,15115,107,4,2,59,104,15110,15112,1,8463,59,1,8462,118,59,1,8463,115,4,9,59,97,98,99,100,101,109,115,116,15140,15142,15148,15151,15156,15168,15171,15179,15184,1,43,99,105,114,59,1,10787,59,1,8862,105,114,59,1,10786,4,2,111,117,15162,15165,59,1,8724,59,1,10789,59,1,10866,110,5,177,1,59,15177,1,177,105,109,59,1,10790,119,111,59,1,10791,59,1,177,4,3,105,112,117,15200,15208,15213,110,116,105,110,116,59,1,10773,102,59,3,55349,56673,110,100,5,163,1,59,15220,1,163,4,10,59,69,97,99,101,105,110,111,115,117,15244,15246,15249,15253,15258,15334,15347,15367,15416,15421,1,8826,59,1,10931,112,59,1,10935,117,101,59,1,8828,4,2,59,99,15264,15266,1,10927,4,6,59,97,99,101,110,115,15280,15282,15290,15299,15303,15329,1,8826,112,112,114,111,120,59,1,10935,117,114,108,121,101,113,59,1,8828,113,59,1,10927,4,3,97,101,115,15311,15319,15324,112,112,114,111,120,59,1,10937,113,113,59,1,10933,105,109,59,1,8936,105,109,59,1,8830,109,101,4,2,59,115,15342,15344,1,8242,59,1,8473,4,3,69,97,115,15355,15358,15362,59,1,10933,112,59,1,10937,105,109,59,1,8936,4,3,100,102,112,15375,15378,15404,59,1,8719,4,3,97,108,115,15386,15392,15398,108,97,114,59,1,9006,105,110,101,59,1,8978,117,114,102,59,1,8979,4,2,59,116,15410,15412,1,8733,111,59,1,8733,105,109,59,1,8830,114,101,108,59,1,8880,4,2,99,105,15433,15438,114,59,3,55349,56517,59,1,968,110,99,115,112,59,1,8200,4,6,102,105,111,112,115,117,15462,15467,15472,15478,15485,15491,114,59,3,55349,56622,110,116,59,1,10764,112,102,59,3,55349,56674,114,105,109,101,59,1,8279,99,114,59,3,55349,56518,4,3,97,101,111,15499,15520,15534,116,4,2,101,105,15506,15515,114,110,105,111,110,115,59,1,8461,110,116,59,1,10774,115,116,4,2,59,101,15528,15530,1,63,113,59,1,8799,116,5,34,1,59,15540,1,34,4,21,65,66,72,97,98,99,100,101,102,104,105,108,109,110,111,112,114,115,116,117,120,15586,15609,15615,15620,15796,15855,15893,15931,15977,16001,16039,16183,16204,16222,16228,16285,16312,16318,16363,16408,16416,4,3,97,114,116,15594,15599,15603,114,114,59,1,8667,114,59,1,8658,97,105,108,59,1,10524,97,114,114,59,1,10511,97,114,59,1,10596,4,7,99,100,101,110,113,114,116,15636,15651,15656,15664,15687,15696,15770,4,2,101,117,15642,15646,59,3,8765,817,116,101,59,1,341,105,99,59,1,8730,109,112,116,121,118,59,1,10675,103,4,4,59,100,101,108,15675,15677,15680,15683,1,10217,59,1,10642,59,1,10661,101,59,1,10217,117,111,5,187,1,59,15694,1,187,114,4,11,59,97,98,99,102,104,108,112,115,116,119,15721,15723,15727,15739,15742,15746,15750,15754,15758,15763,15767,1,8594,112,59,1,10613,4,2,59,102,15733,15735,1,8677,115,59,1,10528,59,1,10547,115,59,1,10526,107,59,1,8618,112,59,1,8620,108,59,1,10565,105,109,59,1,10612,108,59,1,8611,59,1,8605,4,2,97,105,15776,15781,105,108,59,1,10522,111,4,2,59,110,15788,15790,1,8758,97,108,115,59,1,8474,4,3,97,98,114,15804,15809,15814,114,114,59,1,10509,114,107,59,1,10099,4,2,97,107,15820,15833,99,4,2,101,107,15827,15830,59,1,125,59,1,93,4,2,101,115,15839,15842,59,1,10636,108,4,2,100,117,15849,15852,59,1,10638,59,1,10640,4,4,97,101,117,121,15865,15871,15886,15890,114,111,110,59,1,345,4,2,100,105,15877,15882,105,108,59,1,343,108,59,1,8969,98,59,1,125,59,1,1088,4,4,99,108,113,115,15903,15907,15914,15927,97,59,1,10551,100,104,97,114,59,1,10601,117,111,4,2,59,114,15922,15924,1,8221,59,1,8221,104,59,1,8627,4,3,97,99,103,15939,15966,15970,108,4,4,59,105,112,115,15950,15952,15957,15963,1,8476,110,101,59,1,8475,97,114,116,59,1,8476,59,1,8477,116,59,1,9645,5,174,1,59,15975,1,174,4,3,105,108,114,15985,15991,15997,115,104,116,59,1,10621,111,111,114,59,1,8971,59,3,55349,56623,4,2,97,111,16007,16028,114,4,2,100,117,16014,16017,59,1,8641,4,2,59,108,16023,16025,1,8640,59,1,10604,4,2,59,118,16034,16036,1,961,59,1,1009,4,3,103,110,115,16047,16167,16171,104,116,4,6,97,104,108,114,115,116,16063,16081,16103,16130,16143,16155,114,114,111,119,4,2,59,116,16073,16075,1,8594,97,105,108,59,1,8611,97,114,112,111,111,110,4,2,100,117,16093,16099,111,119,110,59,1,8641,112,59,1,8640,101,102,116,4,2,97,104,16112,16120,114,114,111,119,115,59,1,8644,97,114,112,111,111,110,115,59,1,8652,105,103,104,116,97,114,114,111,119,115,59,1,8649,113,117,105,103,97,114,114,111,119,59,1,8605,104,114,101,101,116,105,109,101,115,59,1,8908,103,59,1,730,105,110,103,100,111,116,115,101,113,59,1,8787,4,3,97,104,109,16191,16196,16201,114,114,59,1,8644,97,114,59,1,8652,59,1,8207,111,117,115,116,4,2,59,97,16214,16216,1,9137,99,104,101,59,1,9137,109,105,100,59,1,10990,4,4,97,98,112,116,16238,16252,16257,16278,4,2,110,114,16244,16248,103,59,1,10221,114,59,1,8702,114,107,59,1,10215,4,3,97,102,108,16265,16269,16273,114,59,1,10630,59,3,55349,56675,117,115,59,1,10798,105,109,101,115,59,1,10805,4,2,97,112,16291,16304,114,4,2,59,103,16298,16300,1,41,116,59,1,10644,111,108,105,110,116,59,1,10770,97,114,114,59,1,8649,4,4,97,99,104,113,16328,16334,16339,16342,113,117,111,59,1,8250,114,59,3,55349,56519,59,1,8625,4,2,98,117,16348,16351,59,1,93,111,4,2,59,114,16358,16360,1,8217,59,1,8217,4,3,104,105,114,16371,16377,16383,114,101,101,59,1,8908,109,101,115,59,1,8906,105,4,4,59,101,102,108,16394,16396,16399,16402,1,9657,59,1,8885,59,1,9656,116,114,105,59,1,10702,108,117,104,97,114,59,1,10600,59,1,8478,4,19,97,98,99,100,101,102,104,105,108,109,111,112,113,114,115,116,117,119,122,16459,16466,16472,16572,16590,16672,16687,16746,16844,16850,16924,16963,16988,17115,17121,17154,17206,17614,17656,99,117,116,101,59,1,347,113,117,111,59,1,8218,4,10,59,69,97,99,101,105,110,112,115,121,16494,16496,16499,16513,16518,16531,16536,16556,16564,16569,1,8827,59,1,10932,4,2,112,114,16505,16508,59,1,10936,111,110,59,1,353,117,101,59,1,8829,4,2,59,100,16524,16526,1,10928,105,108,59,1,351,114,99,59,1,349,4,3,69,97,115,16544,16547,16551,59,1,10934,112,59,1,10938,105,109,59,1,8937,111,108,105,110,116,59,1,10771,105,109,59,1,8831,59,1,1089,111,116,4,3,59,98,101,16582,16584,16587,1,8901,59,1,8865,59,1,10854,4,7,65,97,99,109,115,116,120,16606,16611,16634,16642,16646,16652,16668,114,114,59,1,8664,114,4,2,104,114,16618,16622,107,59,1,10533,4,2,59,111,16628,16630,1,8600,119,59,1,8600,116,5,167,1,59,16640,1,167,105,59,1,59,119,97,114,59,1,10537,109,4,2,105,110,16659,16665,110,117,115,59,1,8726,59,1,8726,116,59,1,10038,114,4,2,59,111,16679,16682,3,55349,56624,119,110,59,1,8994,4,4,97,99,111,121,16697,16702,16716,16739,114,112,59,1,9839,4,2,104,121,16708,16713,99,121,59,1,1097,59,1,1096,114,116,4,2,109,112,16724,16729,105,100,59,1,8739,97,114,97,108,108,101,108,59,1,8741,5,173,1,59,16744,1,173,4,2,103,109,16752,16770,109,97,4,3,59,102,118,16762,16764,16767,1,963,59,1,962,59,1,962,4,8,59,100,101,103,108,110,112,114,16788,16790,16795,16806,16817,16828,16832,16838,1,8764,111,116,59,1,10858,4,2,59,113,16801,16803,1,8771,59,1,8771,4,2,59,69,16812,16814,1,10910,59,1,10912,4,2,59,69,16823,16825,1,10909,59,1,10911,101,59,1,8774,108,117,115,59,1,10788,97,114,114,59,1,10610,97,114,114,59,1,8592,4,4,97,101,105,116,16860,16883,16891,16904,4,2,108,115,16866,16878,108,115,101,116,109,105,110,117,115,59,1,8726,104,112,59,1,10803,112,97,114,115,108,59,1,10724,4,2,100,108,16897,16900,59,1,8739,101,59,1,8995,4,2,59,101,16910,16912,1,10922,4,2,59,115,16918,16920,1,10924,59,3,10924,65024,4,3,102,108,112,16932,16938,16958,116,99,121,59,1,1100,4,2,59,98,16944,16946,1,47,4,2,59,97,16952,16954,1,10692,114,59,1,9023,102,59,3,55349,56676,97,4,2,100,114,16970,16985,101,115,4,2,59,117,16978,16980,1,9824,105,116,59,1,9824,59,1,8741,4,3,99,115,117,16996,17028,17089,4,2,97,117,17002,17015,112,4,2,59,115,17009,17011,1,8851,59,3,8851,65024,112,4,2,59,115,17022,17024,1,8852,59,3,8852,65024,117,4,2,98,112,17035,17062,4,3,59,101,115,17043,17045,17048,1,8847,59,1,8849,101,116,4,2,59,101,17056,17058,1,8847,113,59,1,8849,4,3,59,101,115,17070,17072,17075,1,8848,59,1,8850,101,116,4,2,59,101,17083,17085,1,8848,113,59,1,8850,4,3,59,97,102,17097,17099,17112,1,9633,114,4,2,101,102,17106,17109,59,1,9633,59,1,9642,59,1,9642,97,114,114,59,1,8594,4,4,99,101,109,116,17131,17136,17142,17148,114,59,3,55349,56520,116,109,110,59,1,8726,105,108,101,59,1,8995,97,114,102,59,1,8902,4,2,97,114,17160,17172,114,4,2,59,102,17167,17169,1,9734,59,1,9733,4,2,97,110,17178,17202,105,103,104,116,4,2,101,112,17188,17197,112,115,105,108,111,110,59,1,1013,104,105,59,1,981,115,59,1,175,4,5,98,99,109,110,112,17218,17351,17420,17423,17427,4,9,59,69,100,101,109,110,112,114,115,17238,17240,17243,17248,17261,17267,17279,17285,17291,1,8834,59,1,10949,111,116,59,1,10941,4,2,59,100,17254,17256,1,8838,111,116,59,1,10947,117,108,116,59,1,10945,4,2,69,101,17273,17276,59,1,10955,59,1,8842,108,117,115,59,1,10943,97,114,114,59,1,10617,4,3,101,105,117,17299,17335,17339,116,4,3,59,101,110,17308,17310,17322,1,8834,113,4,2,59,113,17317,17319,1,8838,59,1,10949,101,113,4,2,59,113,17330,17332,1,8842,59,1,10955,109,59,1,10951,4,2,98,112,17345,17348,59,1,10965,59,1,10963,99,4,6,59,97,99,101,110,115,17366,17368,17376,17385,17389,17415,1,8827,112,112,114,111,120,59,1,10936,117,114,108,121,101,113,59,1,8829,113,59,1,10928,4,3,97,101,115,17397,17405,17410,112,112,114,111,120,59,1,10938,113,113,59,1,10934,105,109,59,1,8937,105,109,59,1,8831,59,1,8721,103,59,1,9834,4,13,49,50,51,59,69,100,101,104,108,109,110,112,115,17455,17462,17469,17476,17478,17481,17496,17509,17524,17530,17536,17548,17554,5,185,1,59,17460,1,185,5,178,1,59,17467,1,178,5,179,1,59,17474,1,179,1,8835,59,1,10950,4,2,111,115,17487,17491,116,59,1,10942,117,98,59,1,10968,4,2,59,100,17502,17504,1,8839,111,116,59,1,10948,115,4,2,111,117,17516,17520,108,59,1,10185,98,59,1,10967,97,114,114,59,1,10619,117,108,116,59,1,10946,4,2,69,101,17542,17545,59,1,10956,59,1,8843,108,117,115,59,1,10944,4,3,101,105,117,17562,17598,17602,116,4,3,59,101,110,17571,17573,17585,1,8835,113,4,2,59,113,17580,17582,1,8839,59,1,10950,101,113,4,2,59,113,17593,17595,1,8843,59,1,10956,109,59,1,10952,4,2,98,112,17608,17611,59,1,10964,59,1,10966,4,3,65,97,110,17622,17627,17650,114,114,59,1,8665,114,4,2,104,114,17634,17638,107,59,1,10534,4,2,59,111,17644,17646,1,8601,119,59,1,8601,119,97,114,59,1,10538,108,105,103,5,223,1,59,17664,1,223,4,13,97,98,99,100,101,102,104,105,111,112,114,115,119,17694,17709,17714,17737,17742,17749,17754,17860,17905,17957,17964,18090,18122,4,2,114,117,17700,17706,103,101,116,59,1,8982,59,1,964,114,107,59,1,9140,4,3,97,101,121,17722,17728,17734,114,111,110,59,1,357,100,105,108,59,1,355,59,1,1090,111,116,59,1,8411,108,114,101,99,59,1,8981,114,59,3,55349,56625,4,4,101,105,107,111,17764,17805,17836,17851,4,2,114,116,17770,17786,101,4,2,52,102,17777,17780,59,1,8756,111,114,101,59,1,8756,97,4,3,59,115,118,17795,17797,17802,1,952,121,109,59,1,977,59,1,977,4,2,99,110,17811,17831,107,4,2,97,115,17818,17826,112,112,114,111,120,59,1,8776,105,109,59,1,8764,115,112,59,1,8201,4,2,97,115,17842,17846,112,59,1,8776,105,109,59,1,8764,114,110,5,254,1,59,17858,1,254,4,3,108,109,110,17868,17873,17901,100,101,59,1,732,101,115,5,215,3,59,98,100,17884,17886,17898,1,215,4,2,59,97,17892,17894,1,8864,114,59,1,10801,59,1,10800,116,59,1,8749,4,3,101,112,115,17913,17917,17953,97,59,1,10536,4,4,59,98,99,102,17927,17929,17934,17939,1,8868,111,116,59,1,9014,105,114,59,1,10993,4,2,59,111,17945,17948,3,55349,56677,114,107,59,1,10970,97,59,1,10537,114,105,109,101,59,1,8244,4,3,97,105,112,17972,17977,18082,100,101,59,1,8482,4,7,97,100,101,109,112,115,116,17993,18051,18056,18059,18066,18072,18076,110,103,108,101,4,5,59,100,108,113,114,18009,18011,18017,18032,18035,1,9653,111,119,110,59,1,9663,101,102,116,4,2,59,101,18026,18028,1,9667,113,59,1,8884,59,1,8796,105,103,104,116,4,2,59,101,18045,18047,1,9657,113,59,1,8885,111,116,59,1,9708,59,1,8796,105,110,117,115,59,1,10810,108,117,115,59,1,10809,98,59,1,10701,105,109,101,59,1,10811,101,122,105,117,109,59,1,9186,4,3,99,104,116,18098,18111,18116,4,2,114,121,18104,18108,59,3,55349,56521,59,1,1094,99,121,59,1,1115,114,111,107,59,1,359,4,2,105,111,18128,18133,120,116,59,1,8812,104,101,97,100,4,2,108,114,18143,18154,101,102,116,97,114,114,111,119,59,1,8606,105,103,104,116,97,114,114,111,119,59,1,8608,4,18,65,72,97,98,99,100,102,103,104,108,109,111,112,114,115,116,117,119,18204,18209,18214,18234,18250,18268,18292,18308,18319,18343,18379,18397,18413,18504,18547,18553,18584,18603,114,114,59,1,8657,97,114,59,1,10595,4,2,99,114,18220,18230,117,116,101,5,250,1,59,18228,1,250,114,59,1,8593,114,4,2,99,101,18241,18245,121,59,1,1118,118,101,59,1,365,4,2,105,121,18256,18265,114,99,5,251,1,59,18263,1,251,59,1,1091,4,3,97,98,104,18276,18281,18287,114,114,59,1,8645,108,97,99,59,1,369,97,114,59,1,10606,4,2,105,114,18298,18304,115,104,116,59,1,10622,59,3,55349,56626,114,97,118,101,5,249,1,59,18317,1,249,4,2,97,98,18325,18338,114,4,2,108,114,18332,18335,59,1,8639,59,1,8638,108,107,59,1,9600,4,2,99,116,18349,18374,4,2,111,114,18355,18369,114,110,4,2,59,101,18363,18365,1,8988,114,59,1,8988,111,112,59,1,8975,114,105,59,1,9720,4,2,97,108,18385,18390,99,114,59,1,363,5,168,1,59,18395,1,168,4,2,103,112,18403,18408,111,110,59,1,371,102,59,3,55349,56678,4,6,97,100,104,108,115,117,18427,18434,18445,18470,18475,18494,114,114,111,119,59,1,8593,111,119,110,97,114,114,111,119,59,1,8597,97,114,112,111,111,110,4,2,108,114,18457,18463,101,102,116,59,1,8639,105,103,104,116,59,1,8638,117,115,59,1,8846,105,4,3,59,104,108,18484,18486,18489,1,965,59,1,978,111,110,59,1,965,112,97,114,114,111,119,115,59,1,8648,4,3,99,105,116,18512,18537,18542,4,2,111,114,18518,18532,114,110,4,2,59,101,18526,18528,1,8989,114,59,1,8989,111,112,59,1,8974,110,103,59,1,367,114,105,59,1,9721,99,114,59,3,55349,56522,4,3,100,105,114,18561,18566,18572,111,116,59,1,8944,108,100,101,59,1,361,105,4,2,59,102,18579,18581,1,9653,59,1,9652,4,2,97,109,18590,18595,114,114,59,1,8648,108,5,252,1,59,18601,1,252,97,110,103,108,101,59,1,10663,4,15,65,66,68,97,99,100,101,102,108,110,111,112,114,115,122,18643,18648,18661,18667,18847,18851,18857,18904,18909,18915,18931,18937,18943,18949,18996,114,114,59,1,8661,97,114,4,2,59,118,18656,18658,1,10984,59,1,10985,97,115,104,59,1,8872,4,2,110,114,18673,18679,103,114,116,59,1,10652,4,7,101,107,110,112,114,115,116,18695,18704,18711,18720,18742,18754,18810,112,115,105,108,111,110,59,1,1013,97,112,112,97,59,1,1008,111,116,104,105,110,103,59,1,8709,4,3,104,105,114,18728,18732,18735,105,59,1,981,59,1,982,111,112,116,111,59,1,8733,4,2,59,104,18748,18750,1,8597,111,59,1,1009,4,2,105,117,18760,18766,103,109,97,59,1,962,4,2,98,112,18772,18791,115,101,116,110,101,113,4,2,59,113,18784,18787,3,8842,65024,59,3,10955,65024,115,101,116,110,101,113,4,2,59,113,18803,18806,3,8843,65024,59,3,10956,65024,4,2,104,114,18816,18822,101,116,97,59,1,977,105,97,110,103,108,101,4,2,108,114,18834,18840,101,102,116,59,1,8882,105,103,104,116,59,1,8883,121,59,1,1074,97,115,104,59,1,8866,4,3,101,108,114,18865,18884,18890,4,3,59,98,101,18873,18875,18880,1,8744,97,114,59,1,8891,113,59,1,8794,108,105,112,59,1,8942,4,2,98,116,18896,18901,97,114,59,1,124,59,1,124,114,59,3,55349,56627,116,114,105,59,1,8882,115,117,4,2,98,112,18923,18927,59,3,8834,8402,59,3,8835,8402,112,102,59,3,55349,56679,114,111,112,59,1,8733,116,114,105,59,1,8883,4,2,99,117,18955,18960,114,59,3,55349,56523,4,2,98,112,18966,18981,110,4,2,69,101,18973,18977,59,3,10955,65024,59,3,8842,65024,110,4,2,69,101,18988,18992,59,3,10956,65024,59,3,8843,65024,105,103,122,97,103,59,1,10650,4,7,99,101,102,111,112,114,115,19020,19026,19061,19066,19072,19075,19089,105,114,99,59,1,373,4,2,100,105,19032,19055,4,2,98,103,19038,19043,97,114,59,1,10847,101,4,2,59,113,19050,19052,1,8743,59,1,8793,101,114,112,59,1,8472,114,59,3,55349,56628,112,102,59,3,55349,56680,59,1,8472,4,2,59,101,19081,19083,1,8768,97,116,104,59,1,8768,99,114,59,3,55349,56524,4,14,99,100,102,104,105,108,109,110,111,114,115,117,118,119,19125,19146,19152,19157,19173,19176,19192,19197,19202,19236,19252,19269,19286,19291,4,3,97,105,117,19133,19137,19142,112,59,1,8898,114,99,59,1,9711,112,59,1,8899,116,114,105,59,1,9661,114,59,3,55349,56629,4,2,65,97,19163,19168,114,114,59,1,10234,114,114,59,1,10231,59,1,958,4,2,65,97,19182,19187,114,114,59,1,10232,114,114,59,1,10229,97,112,59,1,10236,105,115,59,1,8955,4,3,100,112,116,19210,19215,19230,111,116,59,1,10752,4,2,102,108,19221,19225,59,3,55349,56681,117,115,59,1,10753,105,109,101,59,1,10754,4,2,65,97,19242,19247,114,114,59,1,10233,114,114,59,1,10230,4,2,99,113,19258,19263,114,59,3,55349,56525,99,117,112,59,1,10758,4,2,112,116,19275,19281,108,117,115,59,1,10756,114,105,59,1,9651,101,101,59,1,8897,101,100,103,101,59,1,8896,4,8,97,99,101,102,105,111,115,117,19316,19335,19349,19357,19362,19367,19373,19379,99,4,2,117,121,19323,19332,116,101,5,253,1,59,19330,1,253,59,1,1103,4,2,105,121,19341,19346,114,99,59,1,375,59,1,1099,110,5,165,1,59,19355,1,165,114,59,3,55349,56630,99,121,59,1,1111,112,102,59,3,55349,56682,99,114,59,3,55349,56526,4,2,99,109,19385,19389,121,59,1,1102,108,5,255,1,59,19395,1,255,4,10,97,99,100,101,102,104,105,111,115,119,19419,19426,19441,19446,19462,19467,19472,19480,19486,19492,99,117,116,101,59,1,378,4,2,97,121,19432,19438,114,111,110,59,1,382,59,1,1079,111,116,59,1,380,4,2,101,116,19452,19458,116,114,102,59,1,8488,97,59,1,950,114,59,3,55349,56631,99,121,59,1,1078,103,114,97,114,114,59,1,8669,112,102,59,3,55349,56683,99,114,59,3,55349,56527,4,2,106,110,19498,19501,59,1,8205,106,59,1,8204])),namedEntityData}var tokenizer,hasRequiredTokenizer;function requireTokenizer(){if(hasRequiredTokenizer)return tokenizer;hasRequiredTokenizer=1;const Ra=requirePreprocessor(),qa=requireUnicode(),ed=requireNamedEntityData(),td=requireErrorCodes(),rd=qa.CODE_POINTS,sd=qa.CODE_POINT_SEQUENCES,od={128:8364,130:8218,131:402,132:8222,133:8230,134:8224,135:8225,136:710,137:8240,138:352,139:8249,140:338,142:381,145:8216,146:8217,147:8220,148:8221,149:8226,150:8211,151:8212,152:732,153:8482,154:353,155:8250,156:339,158:382,159:376},ld=1,cd=2,ud=4,_d=ld|cd|ud,Ed="DATA_STATE",Ad="RCDATA_STATE",Td="RAWTEXT_STATE",Nd="SCRIPT_DATA_STATE",Rd="PLAINTEXT_STATE",Bd="TAG_OPEN_STATE",kd="END_TAG_OPEN_STATE",Od="TAG_NAME_STATE",Md="RCDATA_LESS_THAN_SIGN_STATE",Pd="RCDATA_END_TAG_OPEN_STATE",Fd="RCDATA_END_TAG_NAME_STATE",Ud="RAWTEXT_LESS_THAN_SIGN_STATE",Gd="RAWTEXT_END_TAG_OPEN_STATE",Qd="RAWTEXT_END_TAG_NAME_STATE",Vd="SCRIPT_DATA_LESS_THAN_SIGN_STATE",zd="SCRIPT_DATA_END_TAG_OPEN_STATE",Xd="SCRIPT_DATA_END_TAG_NAME_STATE",df="SCRIPT_DATA_ESCAPE_START_STATE",mf="SCRIPT_DATA_ESCAPE_START_DASH_STATE",_f="SCRIPT_DATA_ESCAPED_STATE",hf="SCRIPT_DATA_ESCAPED_DASH_STATE",gf="SCRIPT_DATA_ESCAPED_DASH_DASH_STATE",yf="SCRIPT_DATA_ESCAPED_LESS_THAN_SIGN_STATE",Nf="SCRIPT_DATA_ESCAPED_END_TAG_OPEN_STATE",Pf="SCRIPT_DATA_ESCAPED_END_TAG_NAME_STATE",Yf="SCRIPT_DATA_DOUBLE_ESCAPE_START_STATE",Uf="SCRIPT_DATA_DOUBLE_ESCAPED_STATE",Lf="SCRIPT_DATA_DOUBLE_ESCAPED_DASH_STATE",xf="SCRIPT_DATA_DOUBLE_ESCAPED_DASH_DASH_STATE",wf="SCRIPT_DATA_DOUBLE_ESCAPED_LESS_THAN_SIGN_STATE",Jf="SCRIPT_DATA_DOUBLE_ESCAPE_END_STATE",Qf="BEFORE_ATTRIBUTE_NAME_STATE",Ef="ATTRIBUTE_NAME_STATE",bf="AFTER_ATTRIBUTE_NAME_STATE",Bf="BEFORE_ATTRIBUTE_VALUE_STATE",Kf="ATTRIBUTE_VALUE_DOUBLE_QUOTED_STATE",nh="ATTRIBUTE_VALUE_SINGLE_QUOTED_STATE",zf="ATTRIBUTE_VALUE_UNQUOTED_STATE",$f="AFTER_ATTRIBUTE_VALUE_QUOTED_STATE",th="SELF_CLOSING_START_TAG_STATE",hh="BOGUS_COMMENT_STATE",Xf="MARKUP_DECLARATION_OPEN_STATE",Df="COMMENT_START_STATE",Of="COMMENT_START_DASH_STATE",Vf="COMMENT_STATE",dh="COMMENT_LESS_THAN_SIGN_STATE",bh="COMMENT_LESS_THAN_SIGN_BANG_STATE",oh="COMMENT_LESS_THAN_SIGN_BANG_DASH_STATE",Ih="COMMENT_LESS_THAN_SIGN_BANG_DASH_DASH_STATE",_h="COMMENT_END_DASH_STATE",Dh="COMMENT_END_STATE",Xh="COMMENT_END_BANG_STATE",qh="DOCTYPE_STATE",sm="BEFORE_DOCTYPE_NAME_STATE",hm="DOCTYPE_NAME_STATE",ah="AFTER_DOCTYPE_NAME_STATE",kf="AFTER_DOCTYPE_PUBLIC_KEYWORD_STATE",If="BEFORE_DOCTYPE_PUBLIC_IDENTIFIER_STATE",rh="DOCTYPE_PUBLIC_IDENTIFIER_DOUBLE_QUOTED_STATE",ch="DOCTYPE_PUBLIC_IDENTIFIER_SINGLE_QUOTED_STATE",wh="AFTER_DOCTYPE_PUBLIC_IDENTIFIER_STATE",$h="BETWEEN_DOCTYPE_PUBLIC_AND_SYSTEM_IDENTIFIERS_STATE",om="AFTER_DOCTYPE_SYSTEM_KEYWORD_STATE",Tm="BEFORE_DOCTYPE_SYSTEM_IDENTIFIER_STATE",um="DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE",Wm="DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE",Fm="AFTER_DOCTYPE_SYSTEM_IDENTIFIER_STATE",Lm="BOGUS_DOCTYPE_STATE",e_="CDATA_SECTION_STATE",F_="CDATA_SECTION_BRACKET_STATE",R_="CDATA_SECTION_END_STATE",w_="CHARACTER_REFERENCE_STATE",Qm="NAMED_CHARACTER_REFERENCE_STATE",P_="AMBIGUOS_AMPERSAND_STATE",V_="NUMERIC_CHARACTER_REFERENCE_STATE",Z_="HEXADEMICAL_CHARACTER_REFERENCE_START_STATE",t1="DECIMAL_CHARACTER_REFERENCE_START_STATE",j_="HEXADEMICAL_CHARACTER_REFERENCE_STATE",$_="DECIMAL_CHARACTER_REFERENCE_STATE",Hm="NUMERIC_CHARACTER_REFERENCE_END_STATE";function v_(_m){return _m===rd.SPACE||_m===rd.LINE_FEED||_m===rd.TABULATION||_m===rd.FORM_FEED}function m1(_m){return _m>=rd.DIGIT_0&&_m<=rd.DIGIT_9}function am(_m){return _m>=rd.LATIN_CAPITAL_A&&_m<=rd.LATIN_CAPITAL_Z}function Af(_m){return _m>=rd.LATIN_SMALL_A&&_m<=rd.LATIN_SMALL_Z}function ph(_m){return Af(_m)||am(_m)}function ih(_m){return ph(_m)||m1(_m)}function fh(_m){return _m>=rd.LATIN_CAPITAL_A&&_m<=rd.LATIN_CAPITAL_F}function Ah(_m){return _m>=rd.LATIN_SMALL_A&&_m<=rd.LATIN_SMALL_F}function Ch(_m){return m1(_m)||fh(_m)||Ah(_m)}function Bh(_m){return _m+32}function Rh(_m){return _m<=65535?String.fromCharCode(_m):(_m-=65536,String.fromCharCode(_m>>>10&1023|55296)+String.fromCharCode(56320|_m&1023))}function gh(_m){return String.fromCharCode(Bh(_m))}function dm(_m,Gf){const Mm=ed[++_m];let g_=++_m,m_=g_+Mm-1;for(;g_<=m_;){const s_=g_+m_>>>1,Rm=ed[s_];if(RmGf)m_=s_-1;else return ed[s_+Mm]}return-1}class cm{constructor(){this.preprocessor=new Ra,this.tokenQueue=[],this.allowCDATA=!1,this.state=Ed,this.returnState="",this.charRefCode=-1,this.tempBuff=[],this.lastStartTagName="",this.consumedAfterSnapshot=-1,this.active=!1,this.currentCharacterToken=null,this.currentToken=null,this.currentAttr=null}_err(){}_errOnNextCodePoint(Gf){this._consume(),this._err(Gf),this._unconsume()}getNextToken(){for(;!this.tokenQueue.length&&this.active;){this.consumedAfterSnapshot=0;const Gf=this._consume();this._ensureHibernation()||this[this.state](Gf)}return this.tokenQueue.shift()}write(Gf,Mm){this.active=!0,this.preprocessor.write(Gf,Mm)}insertHtmlAtCurrentPos(Gf){this.active=!0,this.preprocessor.insertHtmlAtCurrentPos(Gf)}_ensureHibernation(){if(this.preprocessor.endOfChunkHit){for(;this.consumedAfterSnapshot>0;this.consumedAfterSnapshot--)this.preprocessor.retreat();return this.active=!1,this.tokenQueue.push({type:cm.HIBERNATION_TOKEN}),!0}return!1}_consume(){return this.consumedAfterSnapshot++,this.preprocessor.advance()}_unconsume(){this.consumedAfterSnapshot--,this.preprocessor.retreat()}_reconsumeInState(Gf){this.state=Gf,this._unconsume()}_consumeSequenceIfMatch(Gf,Mm,g_){let m_=0,s_=!0;const Rm=Gf.length;let T_=0,p_=Mm,l_;for(;T_0&&(p_=this._consume(),m_++),p_===rd.EOF){s_=!1;break}if(l_=Gf[T_],p_!==l_&&(g_||p_!==Bh(l_))){s_=!1;break}}if(!s_)for(;m_--;)this._unconsume();return s_}_isTempBufferEqualToScriptString(){if(this.tempBuff.length!==sd.SCRIPT_STRING.length)return!1;for(let Gf=0;Gf0&&this._err(td.endTagWithAttributes),Gf.selfClosing&&this._err(td.endTagWithTrailingSolidus)),this.tokenQueue.push(Gf)}_emitCurrentCharacterToken(){this.currentCharacterToken&&(this.tokenQueue.push(this.currentCharacterToken),this.currentCharacterToken=null)}_emitEOFToken(){this._createEOFToken(),this._emitCurrentToken()}_appendCharToCurrentCharacterToken(Gf,Mm){this.currentCharacterToken&&this.currentCharacterToken.type!==Gf&&this._emitCurrentCharacterToken(),this.currentCharacterToken?this.currentCharacterToken.chars+=Mm:this._createCharacterToken(Gf,Mm)}_emitCodePoint(Gf){let Mm=cm.CHARACTER_TOKEN;v_(Gf)?Mm=cm.WHITESPACE_CHARACTER_TOKEN:Gf===rd.NULL&&(Mm=cm.NULL_CHARACTER_TOKEN),this._appendCharToCurrentCharacterToken(Mm,Rh(Gf))}_emitSeveralCodePoints(Gf){for(let Mm=0;Mm-1;){const s_=ed[m_],Rm=s_<_d;Rm&&s_&ld&&(Mm=s_&cd?[ed[++m_],ed[++m_]]:[ed[++m_]],g_=0);const p_=this._consume();if(this.tempBuff.push(p_),g_++,p_===rd.EOF)break;Rm?m_=s_&ud?dm(m_,p_):-1:m_=p_===s_?++m_:-1}for(;g_--;)this.tempBuff.pop(),this._unconsume();return Mm}_isCharacterReferenceInAttribute(){return this.returnState===Kf||this.returnState===nh||this.returnState===zf}_isCharacterReferenceAttributeQuirk(Gf){if(!Gf&&this._isCharacterReferenceInAttribute()){const Mm=this._consume();return this._unconsume(),Mm===rd.EQUALS_SIGN||ih(Mm)}return!1}_flushCodePointsConsumedAsCharacterReference(){if(this._isCharacterReferenceInAttribute())for(let Gf=0;Gf")):Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.state=_f,this._emitChars(qa.REPLACEMENT_CHARACTER)):Gf===rd.EOF?(this._err(td.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):(this.state=_f,this._emitCodePoint(Gf))}[yf](Gf){Gf===rd.SOLIDUS?(this.tempBuff=[],this.state=Nf):ph(Gf)?(this.tempBuff=[],this._emitChars("<"),this._reconsumeInState(Yf)):(this._emitChars("<"),this._reconsumeInState(_f))}[Nf](Gf){ph(Gf)?(this._createEndTagToken(),this._reconsumeInState(Pf)):(this._emitChars("")):Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.state=Uf,this._emitChars(qa.REPLACEMENT_CHARACTER)):Gf===rd.EOF?(this._err(td.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):(this.state=Uf,this._emitCodePoint(Gf))}[wf](Gf){Gf===rd.SOLIDUS?(this.tempBuff=[],this.state=Jf,this._emitChars("/")):this._reconsumeInState(Uf)}[Jf](Gf){v_(Gf)||Gf===rd.SOLIDUS||Gf===rd.GREATER_THAN_SIGN?(this.state=this._isTempBufferEqualToScriptString()?_f:Uf,this._emitCodePoint(Gf)):am(Gf)?(this.tempBuff.push(Bh(Gf)),this._emitCodePoint(Gf)):Af(Gf)?(this.tempBuff.push(Gf),this._emitCodePoint(Gf)):this._reconsumeInState(Uf)}[Qf](Gf){v_(Gf)||(Gf===rd.SOLIDUS||Gf===rd.GREATER_THAN_SIGN||Gf===rd.EOF?this._reconsumeInState(bf):Gf===rd.EQUALS_SIGN?(this._err(td.unexpectedEqualsSignBeforeAttributeName),this._createAttr("="),this.state=Ef):(this._createAttr(""),this._reconsumeInState(Ef)))}[Ef](Gf){v_(Gf)||Gf===rd.SOLIDUS||Gf===rd.GREATER_THAN_SIGN||Gf===rd.EOF?(this._leaveAttrName(bf),this._unconsume()):Gf===rd.EQUALS_SIGN?this._leaveAttrName(Bf):am(Gf)?this.currentAttr.name+=gh(Gf):Gf===rd.QUOTATION_MARK||Gf===rd.APOSTROPHE||Gf===rd.LESS_THAN_SIGN?(this._err(td.unexpectedCharacterInAttributeName),this.currentAttr.name+=Rh(Gf)):Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentAttr.name+=qa.REPLACEMENT_CHARACTER):this.currentAttr.name+=Rh(Gf)}[bf](Gf){v_(Gf)||(Gf===rd.SOLIDUS?this.state=th:Gf===rd.EQUALS_SIGN?this.state=Bf:Gf===rd.GREATER_THAN_SIGN?(this.state=Ed,this._emitCurrentToken()):Gf===rd.EOF?(this._err(td.eofInTag),this._emitEOFToken()):(this._createAttr(""),this._reconsumeInState(Ef)))}[Bf](Gf){v_(Gf)||(Gf===rd.QUOTATION_MARK?this.state=Kf:Gf===rd.APOSTROPHE?this.state=nh:Gf===rd.GREATER_THAN_SIGN?(this._err(td.missingAttributeValue),this.state=Ed,this._emitCurrentToken()):this._reconsumeInState(zf))}[Kf](Gf){Gf===rd.QUOTATION_MARK?this.state=$f:Gf===rd.AMPERSAND?(this.returnState=Kf,this.state=w_):Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentAttr.value+=qa.REPLACEMENT_CHARACTER):Gf===rd.EOF?(this._err(td.eofInTag),this._emitEOFToken()):this.currentAttr.value+=Rh(Gf)}[nh](Gf){Gf===rd.APOSTROPHE?this.state=$f:Gf===rd.AMPERSAND?(this.returnState=nh,this.state=w_):Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentAttr.value+=qa.REPLACEMENT_CHARACTER):Gf===rd.EOF?(this._err(td.eofInTag),this._emitEOFToken()):this.currentAttr.value+=Rh(Gf)}[zf](Gf){v_(Gf)?this._leaveAttrValue(Qf):Gf===rd.AMPERSAND?(this.returnState=zf,this.state=w_):Gf===rd.GREATER_THAN_SIGN?(this._leaveAttrValue(Ed),this._emitCurrentToken()):Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentAttr.value+=qa.REPLACEMENT_CHARACTER):Gf===rd.QUOTATION_MARK||Gf===rd.APOSTROPHE||Gf===rd.LESS_THAN_SIGN||Gf===rd.EQUALS_SIGN||Gf===rd.GRAVE_ACCENT?(this._err(td.unexpectedCharacterInUnquotedAttributeValue),this.currentAttr.value+=Rh(Gf)):Gf===rd.EOF?(this._err(td.eofInTag),this._emitEOFToken()):this.currentAttr.value+=Rh(Gf)}[$f](Gf){v_(Gf)?this._leaveAttrValue(Qf):Gf===rd.SOLIDUS?this._leaveAttrValue(th):Gf===rd.GREATER_THAN_SIGN?(this._leaveAttrValue(Ed),this._emitCurrentToken()):Gf===rd.EOF?(this._err(td.eofInTag),this._emitEOFToken()):(this._err(td.missingWhitespaceBetweenAttributes),this._reconsumeInState(Qf))}[th](Gf){Gf===rd.GREATER_THAN_SIGN?(this.currentToken.selfClosing=!0,this.state=Ed,this._emitCurrentToken()):Gf===rd.EOF?(this._err(td.eofInTag),this._emitEOFToken()):(this._err(td.unexpectedSolidusInTag),this._reconsumeInState(Qf))}[hh](Gf){Gf===rd.GREATER_THAN_SIGN?(this.state=Ed,this._emitCurrentToken()):Gf===rd.EOF?(this._emitCurrentToken(),this._emitEOFToken()):Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentToken.data+=qa.REPLACEMENT_CHARACTER):this.currentToken.data+=Rh(Gf)}[Xf](Gf){this._consumeSequenceIfMatch(sd.DASH_DASH_STRING,Gf,!0)?(this._createCommentToken(),this.state=Df):this._consumeSequenceIfMatch(sd.DOCTYPE_STRING,Gf,!1)?this.state=qh:this._consumeSequenceIfMatch(sd.CDATA_START_STRING,Gf,!0)?this.allowCDATA?this.state=e_:(this._err(td.cdataInHtmlContent),this._createCommentToken(),this.currentToken.data="[CDATA[",this.state=hh):this._ensureHibernation()||(this._err(td.incorrectlyOpenedComment),this._createCommentToken(),this._reconsumeInState(hh))}[Df](Gf){Gf===rd.HYPHEN_MINUS?this.state=Of:Gf===rd.GREATER_THAN_SIGN?(this._err(td.abruptClosingOfEmptyComment),this.state=Ed,this._emitCurrentToken()):this._reconsumeInState(Vf)}[Of](Gf){Gf===rd.HYPHEN_MINUS?this.state=Dh:Gf===rd.GREATER_THAN_SIGN?(this._err(td.abruptClosingOfEmptyComment),this.state=Ed,this._emitCurrentToken()):Gf===rd.EOF?(this._err(td.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="-",this._reconsumeInState(Vf))}[Vf](Gf){Gf===rd.HYPHEN_MINUS?this.state=_h:Gf===rd.LESS_THAN_SIGN?(this.currentToken.data+="<",this.state=dh):Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentToken.data+=qa.REPLACEMENT_CHARACTER):Gf===rd.EOF?(this._err(td.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.data+=Rh(Gf)}[dh](Gf){Gf===rd.EXCLAMATION_MARK?(this.currentToken.data+="!",this.state=bh):Gf===rd.LESS_THAN_SIGN?this.currentToken.data+="!":this._reconsumeInState(Vf)}[bh](Gf){Gf===rd.HYPHEN_MINUS?this.state=oh:this._reconsumeInState(Vf)}[oh](Gf){Gf===rd.HYPHEN_MINUS?this.state=Ih:this._reconsumeInState(_h)}[Ih](Gf){Gf!==rd.GREATER_THAN_SIGN&&Gf!==rd.EOF&&this._err(td.nestedComment),this._reconsumeInState(Dh)}[_h](Gf){Gf===rd.HYPHEN_MINUS?this.state=Dh:Gf===rd.EOF?(this._err(td.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="-",this._reconsumeInState(Vf))}[Dh](Gf){Gf===rd.GREATER_THAN_SIGN?(this.state=Ed,this._emitCurrentToken()):Gf===rd.EXCLAMATION_MARK?this.state=Xh:Gf===rd.HYPHEN_MINUS?this.currentToken.data+="-":Gf===rd.EOF?(this._err(td.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="--",this._reconsumeInState(Vf))}[Xh](Gf){Gf===rd.HYPHEN_MINUS?(this.currentToken.data+="--!",this.state=_h):Gf===rd.GREATER_THAN_SIGN?(this._err(td.incorrectlyClosedComment),this.state=Ed,this._emitCurrentToken()):Gf===rd.EOF?(this._err(td.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="--!",this._reconsumeInState(Vf))}[qh](Gf){v_(Gf)?this.state=sm:Gf===rd.GREATER_THAN_SIGN?this._reconsumeInState(sm):Gf===rd.EOF?(this._err(td.eofInDoctype),this._createDoctypeToken(null),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(td.missingWhitespaceBeforeDoctypeName),this._reconsumeInState(sm))}[sm](Gf){v_(Gf)||(am(Gf)?(this._createDoctypeToken(gh(Gf)),this.state=hm):Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this._createDoctypeToken(qa.REPLACEMENT_CHARACTER),this.state=hm):Gf===rd.GREATER_THAN_SIGN?(this._err(td.missingDoctypeName),this._createDoctypeToken(null),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=Ed):Gf===rd.EOF?(this._err(td.eofInDoctype),this._createDoctypeToken(null),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._createDoctypeToken(Rh(Gf)),this.state=hm))}[hm](Gf){v_(Gf)?this.state=ah:Gf===rd.GREATER_THAN_SIGN?(this.state=Ed,this._emitCurrentToken()):am(Gf)?this.currentToken.name+=gh(Gf):Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentToken.name+=qa.REPLACEMENT_CHARACTER):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.name+=Rh(Gf)}[ah](Gf){v_(Gf)||(Gf===rd.GREATER_THAN_SIGN?(this.state=Ed,this._emitCurrentToken()):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this._consumeSequenceIfMatch(sd.PUBLIC_STRING,Gf,!1)?this.state=kf:this._consumeSequenceIfMatch(sd.SYSTEM_STRING,Gf,!1)?this.state=om:this._ensureHibernation()||(this._err(td.invalidCharacterSequenceAfterDoctypeName),this.currentToken.forceQuirks=!0,this._reconsumeInState(Lm)))}[kf](Gf){v_(Gf)?this.state=If:Gf===rd.QUOTATION_MARK?(this._err(td.missingWhitespaceAfterDoctypePublicKeyword),this.currentToken.publicId="",this.state=rh):Gf===rd.APOSTROPHE?(this._err(td.missingWhitespaceAfterDoctypePublicKeyword),this.currentToken.publicId="",this.state=ch):Gf===rd.GREATER_THAN_SIGN?(this._err(td.missingDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this.state=Ed,this._emitCurrentToken()):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(td.missingQuoteBeforeDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Lm))}[If](Gf){v_(Gf)||(Gf===rd.QUOTATION_MARK?(this.currentToken.publicId="",this.state=rh):Gf===rd.APOSTROPHE?(this.currentToken.publicId="",this.state=ch):Gf===rd.GREATER_THAN_SIGN?(this._err(td.missingDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this.state=Ed,this._emitCurrentToken()):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(td.missingQuoteBeforeDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Lm)))}[rh](Gf){Gf===rd.QUOTATION_MARK?this.state=wh:Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentToken.publicId+=qa.REPLACEMENT_CHARACTER):Gf===rd.GREATER_THAN_SIGN?(this._err(td.abruptDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=Ed):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.publicId+=Rh(Gf)}[ch](Gf){Gf===rd.APOSTROPHE?this.state=wh:Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentToken.publicId+=qa.REPLACEMENT_CHARACTER):Gf===rd.GREATER_THAN_SIGN?(this._err(td.abruptDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=Ed):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.publicId+=Rh(Gf)}[wh](Gf){v_(Gf)?this.state=$h:Gf===rd.GREATER_THAN_SIGN?(this.state=Ed,this._emitCurrentToken()):Gf===rd.QUOTATION_MARK?(this._err(td.missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers),this.currentToken.systemId="",this.state=um):Gf===rd.APOSTROPHE?(this._err(td.missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers),this.currentToken.systemId="",this.state=Wm):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(td.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Lm))}[$h](Gf){v_(Gf)||(Gf===rd.GREATER_THAN_SIGN?(this._emitCurrentToken(),this.state=Ed):Gf===rd.QUOTATION_MARK?(this.currentToken.systemId="",this.state=um):Gf===rd.APOSTROPHE?(this.currentToken.systemId="",this.state=Wm):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(td.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Lm)))}[om](Gf){v_(Gf)?this.state=Tm:Gf===rd.QUOTATION_MARK?(this._err(td.missingWhitespaceAfterDoctypeSystemKeyword),this.currentToken.systemId="",this.state=um):Gf===rd.APOSTROPHE?(this._err(td.missingWhitespaceAfterDoctypeSystemKeyword),this.currentToken.systemId="",this.state=Wm):Gf===rd.GREATER_THAN_SIGN?(this._err(td.missingDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this.state=Ed,this._emitCurrentToken()):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(td.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Lm))}[Tm](Gf){v_(Gf)||(Gf===rd.QUOTATION_MARK?(this.currentToken.systemId="",this.state=um):Gf===rd.APOSTROPHE?(this.currentToken.systemId="",this.state=Wm):Gf===rd.GREATER_THAN_SIGN?(this._err(td.missingDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this.state=Ed,this._emitCurrentToken()):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(td.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Lm)))}[um](Gf){Gf===rd.QUOTATION_MARK?this.state=Fm:Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentToken.systemId+=qa.REPLACEMENT_CHARACTER):Gf===rd.GREATER_THAN_SIGN?(this._err(td.abruptDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=Ed):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.systemId+=Rh(Gf)}[Wm](Gf){Gf===rd.APOSTROPHE?this.state=Fm:Gf===rd.NULL?(this._err(td.unexpectedNullCharacter),this.currentToken.systemId+=qa.REPLACEMENT_CHARACTER):Gf===rd.GREATER_THAN_SIGN?(this._err(td.abruptDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=Ed):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.systemId+=Rh(Gf)}[Fm](Gf){v_(Gf)||(Gf===rd.GREATER_THAN_SIGN?(this._emitCurrentToken(),this.state=Ed):Gf===rd.EOF?(this._err(td.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(td.unexpectedCharacterAfterDoctypeSystemIdentifier),this._reconsumeInState(Lm)))}[Lm](Gf){Gf===rd.GREATER_THAN_SIGN?(this._emitCurrentToken(),this.state=Ed):Gf===rd.NULL?this._err(td.unexpectedNullCharacter):Gf===rd.EOF&&(this._emitCurrentToken(),this._emitEOFToken())}[e_](Gf){Gf===rd.RIGHT_SQUARE_BRACKET?this.state=F_:Gf===rd.EOF?(this._err(td.eofInCdata),this._emitEOFToken()):this._emitCodePoint(Gf)}[F_](Gf){Gf===rd.RIGHT_SQUARE_BRACKET?this.state=R_:(this._emitChars("]"),this._reconsumeInState(e_))}[R_](Gf){Gf===rd.GREATER_THAN_SIGN?this.state=Ed:Gf===rd.RIGHT_SQUARE_BRACKET?this._emitChars("]"):(this._emitChars("]]"),this._reconsumeInState(e_))}[w_](Gf){this.tempBuff=[rd.AMPERSAND],Gf===rd.NUMBER_SIGN?(this.tempBuff.push(Gf),this.state=V_):ih(Gf)?this._reconsumeInState(Qm):(this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState))}[Qm](Gf){const Mm=this._matchNamedCharacterReference(Gf);if(this._ensureHibernation())this.tempBuff=[rd.AMPERSAND];else if(Mm){const g_=this.tempBuff[this.tempBuff.length-1]===rd.SEMICOLON;this._isCharacterReferenceAttributeQuirk(g_)||(g_||this._errOnNextCodePoint(td.missingSemicolonAfterCharacterReference),this.tempBuff=Mm),this._flushCodePointsConsumedAsCharacterReference(),this.state=this.returnState}else this._flushCodePointsConsumedAsCharacterReference(),this.state=P_}[P_](Gf){ih(Gf)?this._isCharacterReferenceInAttribute()?this.currentAttr.value+=Rh(Gf):this._emitCodePoint(Gf):(Gf===rd.SEMICOLON&&this._err(td.unknownNamedCharacterReference),this._reconsumeInState(this.returnState))}[V_](Gf){this.charRefCode=0,Gf===rd.LATIN_SMALL_X||Gf===rd.LATIN_CAPITAL_X?(this.tempBuff.push(Gf),this.state=Z_):this._reconsumeInState(t1)}[Z_](Gf){Ch(Gf)?this._reconsumeInState(j_):(this._err(td.absenceOfDigitsInNumericCharacterReference),this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState))}[t1](Gf){m1(Gf)?this._reconsumeInState($_):(this._err(td.absenceOfDigitsInNumericCharacterReference),this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState))}[j_](Gf){fh(Gf)?this.charRefCode=this.charRefCode*16+Gf-55:Ah(Gf)?this.charRefCode=this.charRefCode*16+Gf-87:m1(Gf)?this.charRefCode=this.charRefCode*16+Gf-48:Gf===rd.SEMICOLON?this.state=Hm:(this._err(td.missingSemicolonAfterCharacterReference),this._reconsumeInState(Hm))}[$_](Gf){m1(Gf)?this.charRefCode=this.charRefCode*10+Gf-48:Gf===rd.SEMICOLON?this.state=Hm:(this._err(td.missingSemicolonAfterCharacterReference),this._reconsumeInState(Hm))}[Hm](){if(this.charRefCode===rd.NULL)this._err(td.nullCharacterReference),this.charRefCode=rd.REPLACEMENT_CHARACTER;else if(this.charRefCode>1114111)this._err(td.characterReferenceOutsideUnicodeRange),this.charRefCode=rd.REPLACEMENT_CHARACTER;else if(qa.isSurrogate(this.charRefCode))this._err(td.surrogateCharacterReference),this.charRefCode=rd.REPLACEMENT_CHARACTER;else if(qa.isUndefinedCodePoint(this.charRefCode))this._err(td.noncharacterCharacterReference);else if(qa.isControlCodePoint(this.charRefCode)||this.charRefCode===rd.CARRIAGE_RETURN){this._err(td.controlCharacterReference);const Gf=od[this.charRefCode];Gf&&(this.charRefCode=Gf)}this.tempBuff=[this.charRefCode],this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState)}}return cm.CHARACTER_TOKEN="CHARACTER_TOKEN",cm.NULL_CHARACTER_TOKEN="NULL_CHARACTER_TOKEN",cm.WHITESPACE_CHARACTER_TOKEN="WHITESPACE_CHARACTER_TOKEN",cm.START_TAG_TOKEN="START_TAG_TOKEN",cm.END_TAG_TOKEN="END_TAG_TOKEN",cm.COMMENT_TOKEN="COMMENT_TOKEN",cm.DOCTYPE_TOKEN="DOCTYPE_TOKEN",cm.EOF_TOKEN="EOF_TOKEN",cm.HIBERNATION_TOKEN="HIBERNATION_TOKEN",cm.MODE={DATA:Ed,RCDATA:Ad,RAWTEXT:Td,SCRIPT_DATA:Nd,PLAINTEXT:Rd},cm.getTokenAttr=function(_m,Gf){for(let Mm=_m.attrs.length-1;Mm>=0;Mm--)if(_m.attrs[Mm].name===Gf)return _m.attrs[Mm].value;return null},tokenizer=cm,tokenizer}var html={},hasRequiredHtml;function requireHtml(){if(hasRequiredHtml)return html;hasRequiredHtml=1;const Ra=html.NAMESPACES={HTML:"http://www.w3.org/1999/xhtml",MATHML:"http://www.w3.org/1998/Math/MathML",SVG:"http://www.w3.org/2000/svg",XLINK:"http://www.w3.org/1999/xlink",XML:"http://www.w3.org/XML/1998/namespace",XMLNS:"http://www.w3.org/2000/xmlns/"};html.ATTRS={TYPE:"type",ACTION:"action",ENCODING:"encoding",PROMPT:"prompt",NAME:"name",COLOR:"color",FACE:"face",SIZE:"size"},html.DOCUMENT_MODE={NO_QUIRKS:"no-quirks",QUIRKS:"quirks",LIMITED_QUIRKS:"limited-quirks"};const qa=html.TAG_NAMES={A:"a",ADDRESS:"address",ANNOTATION_XML:"annotation-xml",APPLET:"applet",AREA:"area",ARTICLE:"article",ASIDE:"aside",B:"b",BASE:"base",BASEFONT:"basefont",BGSOUND:"bgsound",BIG:"big",BLOCKQUOTE:"blockquote",BODY:"body",BR:"br",BUTTON:"button",CAPTION:"caption",CENTER:"center",CODE:"code",COL:"col",COLGROUP:"colgroup",DD:"dd",DESC:"desc",DETAILS:"details",DIALOG:"dialog",DIR:"dir",DIV:"div",DL:"dl",DT:"dt",EM:"em",EMBED:"embed",FIELDSET:"fieldset",FIGCAPTION:"figcaption",FIGURE:"figure",FONT:"font",FOOTER:"footer",FOREIGN_OBJECT:"foreignObject",FORM:"form",FRAME:"frame",FRAMESET:"frameset",H1:"h1",H2:"h2",H3:"h3",H4:"h4",H5:"h5",H6:"h6",HEAD:"head",HEADER:"header",HGROUP:"hgroup",HR:"hr",HTML:"html",I:"i",IMG:"img",IMAGE:"image",INPUT:"input",IFRAME:"iframe",KEYGEN:"keygen",LABEL:"label",LI:"li",LINK:"link",LISTING:"listing",MAIN:"main",MALIGNMARK:"malignmark",MARQUEE:"marquee",MATH:"math",MENU:"menu",META:"meta",MGLYPH:"mglyph",MI:"mi",MO:"mo",MN:"mn",MS:"ms",MTEXT:"mtext",NAV:"nav",NOBR:"nobr",NOFRAMES:"noframes",NOEMBED:"noembed",NOSCRIPT:"noscript",OBJECT:"object",OL:"ol",OPTGROUP:"optgroup",OPTION:"option",P:"p",PARAM:"param",PLAINTEXT:"plaintext",PRE:"pre",RB:"rb",RP:"rp",RT:"rt",RTC:"rtc",RUBY:"ruby",S:"s",SCRIPT:"script",SECTION:"section",SELECT:"select",SOURCE:"source",SMALL:"small",SPAN:"span",STRIKE:"strike",STRONG:"strong",STYLE:"style",SUB:"sub",SUMMARY:"summary",SUP:"sup",TABLE:"table",TBODY:"tbody",TEMPLATE:"template",TEXTAREA:"textarea",TFOOT:"tfoot",TD:"td",TH:"th",THEAD:"thead",TITLE:"title",TR:"tr",TRACK:"track",TT:"tt",U:"u",UL:"ul",SVG:"svg",VAR:"var",WBR:"wbr",XMP:"xmp"};return html.SPECIAL_ELEMENTS={[Ra.HTML]:{[qa.ADDRESS]:!0,[qa.APPLET]:!0,[qa.AREA]:!0,[qa.ARTICLE]:!0,[qa.ASIDE]:!0,[qa.BASE]:!0,[qa.BASEFONT]:!0,[qa.BGSOUND]:!0,[qa.BLOCKQUOTE]:!0,[qa.BODY]:!0,[qa.BR]:!0,[qa.BUTTON]:!0,[qa.CAPTION]:!0,[qa.CENTER]:!0,[qa.COL]:!0,[qa.COLGROUP]:!0,[qa.DD]:!0,[qa.DETAILS]:!0,[qa.DIR]:!0,[qa.DIV]:!0,[qa.DL]:!0,[qa.DT]:!0,[qa.EMBED]:!0,[qa.FIELDSET]:!0,[qa.FIGCAPTION]:!0,[qa.FIGURE]:!0,[qa.FOOTER]:!0,[qa.FORM]:!0,[qa.FRAME]:!0,[qa.FRAMESET]:!0,[qa.H1]:!0,[qa.H2]:!0,[qa.H3]:!0,[qa.H4]:!0,[qa.H5]:!0,[qa.H6]:!0,[qa.HEAD]:!0,[qa.HEADER]:!0,[qa.HGROUP]:!0,[qa.HR]:!0,[qa.HTML]:!0,[qa.IFRAME]:!0,[qa.IMG]:!0,[qa.INPUT]:!0,[qa.LI]:!0,[qa.LINK]:!0,[qa.LISTING]:!0,[qa.MAIN]:!0,[qa.MARQUEE]:!0,[qa.MENU]:!0,[qa.META]:!0,[qa.NAV]:!0,[qa.NOEMBED]:!0,[qa.NOFRAMES]:!0,[qa.NOSCRIPT]:!0,[qa.OBJECT]:!0,[qa.OL]:!0,[qa.P]:!0,[qa.PARAM]:!0,[qa.PLAINTEXT]:!0,[qa.PRE]:!0,[qa.SCRIPT]:!0,[qa.SECTION]:!0,[qa.SELECT]:!0,[qa.SOURCE]:!0,[qa.STYLE]:!0,[qa.SUMMARY]:!0,[qa.TABLE]:!0,[qa.TBODY]:!0,[qa.TD]:!0,[qa.TEMPLATE]:!0,[qa.TEXTAREA]:!0,[qa.TFOOT]:!0,[qa.TH]:!0,[qa.THEAD]:!0,[qa.TITLE]:!0,[qa.TR]:!0,[qa.TRACK]:!0,[qa.UL]:!0,[qa.WBR]:!0,[qa.XMP]:!0},[Ra.MATHML]:{[qa.MI]:!0,[qa.MO]:!0,[qa.MN]:!0,[qa.MS]:!0,[qa.MTEXT]:!0,[qa.ANNOTATION_XML]:!0},[Ra.SVG]:{[qa.TITLE]:!0,[qa.FOREIGN_OBJECT]:!0,[qa.DESC]:!0}},html}var openElementStack,hasRequiredOpenElementStack;function requireOpenElementStack(){if(hasRequiredOpenElementStack)return openElementStack;hasRequiredOpenElementStack=1;const Ra=requireHtml(),qa=Ra.TAG_NAMES,ed=Ra.NAMESPACES;function td(ld){switch(ld.length){case 1:return ld===qa.P;case 2:return ld===qa.RB||ld===qa.RP||ld===qa.RT||ld===qa.DD||ld===qa.DT||ld===qa.LI;case 3:return ld===qa.RTC;case 6:return ld===qa.OPTION;case 8:return ld===qa.OPTGROUP}return!1}function rd(ld){switch(ld.length){case 1:return ld===qa.P;case 2:return ld===qa.RB||ld===qa.RP||ld===qa.RT||ld===qa.DD||ld===qa.DT||ld===qa.LI||ld===qa.TD||ld===qa.TH||ld===qa.TR;case 3:return ld===qa.RTC;case 5:return ld===qa.TBODY||ld===qa.TFOOT||ld===qa.THEAD;case 6:return ld===qa.OPTION;case 7:return ld===qa.CAPTION;case 8:return ld===qa.OPTGROUP||ld===qa.COLGROUP}return!1}function sd(ld,cd){switch(ld.length){case 2:if(ld===qa.TD||ld===qa.TH)return cd===ed.HTML;if(ld===qa.MI||ld===qa.MO||ld===qa.MN||ld===qa.MS)return cd===ed.MATHML;break;case 4:if(ld===qa.HTML)return cd===ed.HTML;if(ld===qa.DESC)return cd===ed.SVG;break;case 5:if(ld===qa.TABLE)return cd===ed.HTML;if(ld===qa.MTEXT)return cd===ed.MATHML;if(ld===qa.TITLE)return cd===ed.SVG;break;case 6:return(ld===qa.APPLET||ld===qa.OBJECT)&&cd===ed.HTML;case 7:return(ld===qa.CAPTION||ld===qa.MARQUEE)&&cd===ed.HTML;case 8:return ld===qa.TEMPLATE&&cd===ed.HTML;case 13:return ld===qa.FOREIGN_OBJECT&&cd===ed.SVG;case 14:return ld===qa.ANNOTATION_XML&&cd===ed.MATHML}return!1}class od{constructor(cd,ud){this.stackTop=-1,this.items=[],this.current=cd,this.currentTagName=null,this.currentTmplContent=null,this.tmplCount=0,this.treeAdapter=ud}_indexOf(cd){let ud=-1;for(let _d=this.stackTop;_d>=0;_d--)if(this.items[_d]===cd){ud=_d;break}return ud}_isInTemplate(){return this.currentTagName===qa.TEMPLATE&&this.treeAdapter.getNamespaceURI(this.current)===ed.HTML}_updateCurrentElement(){this.current=this.items[this.stackTop],this.currentTagName=this.current&&this.treeAdapter.getTagName(this.current),this.currentTmplContent=this._isInTemplate()?this.treeAdapter.getTemplateContent(this.current):null}push(cd){this.items[++this.stackTop]=cd,this._updateCurrentElement(),this._isInTemplate()&&this.tmplCount++}pop(){this.stackTop--,this.tmplCount>0&&this._isInTemplate()&&this.tmplCount--,this._updateCurrentElement()}replace(cd,ud){const _d=this._indexOf(cd);this.items[_d]=ud,_d===this.stackTop&&this._updateCurrentElement()}insertAfter(cd,ud){const _d=this._indexOf(cd)+1;this.items.splice(_d,0,ud),_d===++this.stackTop&&this._updateCurrentElement()}popUntilTagNamePopped(cd){for(;this.stackTop>-1;){const ud=this.currentTagName,_d=this.treeAdapter.getNamespaceURI(this.current);if(this.pop(),ud===cd&&_d===ed.HTML)break}}popUntilElementPopped(cd){for(;this.stackTop>-1;){const ud=this.current;if(this.pop(),ud===cd)break}}popUntilNumberedHeaderPopped(){for(;this.stackTop>-1;){const cd=this.currentTagName,ud=this.treeAdapter.getNamespaceURI(this.current);if(this.pop(),cd===qa.H1||cd===qa.H2||cd===qa.H3||cd===qa.H4||cd===qa.H5||cd===qa.H6&&ud===ed.HTML)break}}popUntilTableCellPopped(){for(;this.stackTop>-1;){const cd=this.currentTagName,ud=this.treeAdapter.getNamespaceURI(this.current);if(this.pop(),cd===qa.TD||cd===qa.TH&&ud===ed.HTML)break}}popAllUpToHtmlElement(){this.stackTop=0,this._updateCurrentElement()}clearBackToTableContext(){for(;this.currentTagName!==qa.TABLE&&this.currentTagName!==qa.TEMPLATE&&this.currentTagName!==qa.HTML||this.treeAdapter.getNamespaceURI(this.current)!==ed.HTML;)this.pop()}clearBackToTableBodyContext(){for(;this.currentTagName!==qa.TBODY&&this.currentTagName!==qa.TFOOT&&this.currentTagName!==qa.THEAD&&this.currentTagName!==qa.TEMPLATE&&this.currentTagName!==qa.HTML||this.treeAdapter.getNamespaceURI(this.current)!==ed.HTML;)this.pop()}clearBackToTableRowContext(){for(;this.currentTagName!==qa.TR&&this.currentTagName!==qa.TEMPLATE&&this.currentTagName!==qa.HTML||this.treeAdapter.getNamespaceURI(this.current)!==ed.HTML;)this.pop()}remove(cd){for(let ud=this.stackTop;ud>=0;ud--)if(this.items[ud]===cd){this.items.splice(ud,1),this.stackTop--,this._updateCurrentElement();break}}tryPeekProperlyNestedBodyElement(){const cd=this.items[1];return cd&&this.treeAdapter.getTagName(cd)===qa.BODY?cd:null}contains(cd){return this._indexOf(cd)>-1}getCommonAncestor(cd){let ud=this._indexOf(cd);return--ud>=0?this.items[ud]:null}isRootHtmlElementCurrent(){return this.stackTop===0&&this.currentTagName===qa.HTML}hasInScope(cd){for(let ud=this.stackTop;ud>=0;ud--){const _d=this.treeAdapter.getTagName(this.items[ud]),Ed=this.treeAdapter.getNamespaceURI(this.items[ud]);if(_d===cd&&Ed===ed.HTML)return!0;if(sd(_d,Ed))return!1}return!0}hasNumberedHeaderInScope(){for(let cd=this.stackTop;cd>=0;cd--){const ud=this.treeAdapter.getTagName(this.items[cd]),_d=this.treeAdapter.getNamespaceURI(this.items[cd]);if((ud===qa.H1||ud===qa.H2||ud===qa.H3||ud===qa.H4||ud===qa.H5||ud===qa.H6)&&_d===ed.HTML)return!0;if(sd(ud,_d))return!1}return!0}hasInListItemScope(cd){for(let ud=this.stackTop;ud>=0;ud--){const _d=this.treeAdapter.getTagName(this.items[ud]),Ed=this.treeAdapter.getNamespaceURI(this.items[ud]);if(_d===cd&&Ed===ed.HTML)return!0;if((_d===qa.UL||_d===qa.OL)&&Ed===ed.HTML||sd(_d,Ed))return!1}return!0}hasInButtonScope(cd){for(let ud=this.stackTop;ud>=0;ud--){const _d=this.treeAdapter.getTagName(this.items[ud]),Ed=this.treeAdapter.getNamespaceURI(this.items[ud]);if(_d===cd&&Ed===ed.HTML)return!0;if(_d===qa.BUTTON&&Ed===ed.HTML||sd(_d,Ed))return!1}return!0}hasInTableScope(cd){for(let ud=this.stackTop;ud>=0;ud--){const _d=this.treeAdapter.getTagName(this.items[ud]);if(this.treeAdapter.getNamespaceURI(this.items[ud])===ed.HTML){if(_d===cd)return!0;if(_d===qa.TABLE||_d===qa.TEMPLATE||_d===qa.HTML)return!1}}return!0}hasTableBodyContextInTableScope(){for(let cd=this.stackTop;cd>=0;cd--){const ud=this.treeAdapter.getTagName(this.items[cd]);if(this.treeAdapter.getNamespaceURI(this.items[cd])===ed.HTML){if(ud===qa.TBODY||ud===qa.THEAD||ud===qa.TFOOT)return!0;if(ud===qa.TABLE||ud===qa.HTML)return!1}}return!0}hasInSelectScope(cd){for(let ud=this.stackTop;ud>=0;ud--){const _d=this.treeAdapter.getTagName(this.items[ud]);if(this.treeAdapter.getNamespaceURI(this.items[ud])===ed.HTML){if(_d===cd)return!0;if(_d!==qa.OPTION&&_d!==qa.OPTGROUP)return!1}}return!0}generateImpliedEndTags(){for(;td(this.currentTagName);)this.pop()}generateImpliedEndTagsThoroughly(){for(;rd(this.currentTagName);)this.pop()}generateImpliedEndTagsWithExclusion(cd){for(;td(this.currentTagName)&&this.currentTagName!==cd;)this.pop()}}return openElementStack=od,openElementStack}var formattingElementList,hasRequiredFormattingElementList;function requireFormattingElementList(){if(hasRequiredFormattingElementList)return formattingElementList;hasRequiredFormattingElementList=1;const Ra=3;class qa{constructor(td){this.length=0,this.entries=[],this.treeAdapter=td,this.bookmark=null}_getNoahArkConditionCandidates(td){const rd=[];if(this.length>=Ra){const sd=this.treeAdapter.getAttrList(td).length,od=this.treeAdapter.getTagName(td),ld=this.treeAdapter.getNamespaceURI(td);for(let cd=this.length-1;cd>=0;cd--){const ud=this.entries[cd];if(ud.type===qa.MARKER_ENTRY)break;const _d=ud.element,Ed=this.treeAdapter.getAttrList(_d);this.treeAdapter.getTagName(_d)===od&&this.treeAdapter.getNamespaceURI(_d)===ld&&Ed.length===sd&&rd.push({idx:cd,attrs:Ed})}}return rd.length=Ra-1;ud--)this.entries.splice(rd[ud].idx,1),this.length--}}insertMarker(){this.entries.push({type:qa.MARKER_ENTRY}),this.length++}pushElement(td,rd){this._ensureNoahArkCondition(td),this.entries.push({type:qa.ELEMENT_ENTRY,element:td,token:rd}),this.length++}insertElementAfterBookmark(td,rd){let sd=this.length-1;for(;sd>=0&&this.entries[sd]!==this.bookmark;sd--);this.entries.splice(sd+1,0,{type:qa.ELEMENT_ENTRY,element:td,token:rd}),this.length++}removeEntry(td){for(let rd=this.length-1;rd>=0;rd--)if(this.entries[rd]===td){this.entries.splice(rd,1),this.length--;break}}clearToLastMarker(){for(;this.length;){const td=this.entries.pop();if(this.length--,td.type===qa.MARKER_ENTRY)break}}getElementEntryInScopeWithTagName(td){for(let rd=this.length-1;rd>=0;rd--){const sd=this.entries[rd];if(sd.type===qa.MARKER_ENTRY)return null;if(this.treeAdapter.getTagName(sd.element)===td)return sd}return null}getElementEntry(td){for(let rd=this.length-1;rd>=0;rd--){const sd=this.entries[rd];if(sd.type===qa.ELEMENT_ENTRY&&sd.element===td)return sd}return null}}return qa.MARKER_ENTRY="MARKER_ENTRY",qa.ELEMENT_ENTRY="ELEMENT_ENTRY",formattingElementList=qa,formattingElementList}var mixin,hasRequiredMixin;function requireMixin(){if(hasRequiredMixin)return mixin;hasRequiredMixin=1;class Ra{constructor(ed){const td={},rd=this._getOverriddenMethods(this,td);for(const sd of Object.keys(rd))typeof rd[sd]=="function"&&(td[sd]=ed[sd],ed[sd]=rd[sd])}_getOverriddenMethods(){throw new Error("Not implemented")}}return Ra.install=function(qa,ed,td){qa.__mixins||(qa.__mixins=[]);for(let sd=0;sd{const ud=qa.MODE[cd];ld[ud]=function(_d){sd.ctLoc=sd._getCurrentLocation(),od[ud].call(this,_d)}}),ld}}return tokenizerMixin$1=td,tokenizerMixin$1}var openElementStackMixin,hasRequiredOpenElementStackMixin;function requireOpenElementStackMixin(){if(hasRequiredOpenElementStackMixin)return openElementStackMixin;hasRequiredOpenElementStackMixin=1;const Ra=requireMixin();class qa extends Ra{constructor(td,rd){super(td),this.onItemPop=rd.onItemPop}_getOverriddenMethods(td,rd){return{pop(){td.onItemPop(this.current),rd.pop.call(this)},popAllUpToHtmlElement(){for(let sd=this.stackTop;sd>0;sd--)td.onItemPop(this.items[sd]);rd.popAllUpToHtmlElement.call(this)},remove(sd){td.onItemPop(this.current),rd.remove.call(this,sd)}}}}return openElementStackMixin=qa,openElementStackMixin}var parserMixin$1,hasRequiredParserMixin$1;function requireParserMixin$1(){if(hasRequiredParserMixin$1)return parserMixin$1;hasRequiredParserMixin$1=1;const Ra=requireMixin(),qa=requireTokenizer(),ed=requireTokenizerMixin$1(),td=requireOpenElementStackMixin(),sd=requireHtml().TAG_NAMES;class od extends Ra{constructor(cd){super(cd),this.parser=cd,this.treeAdapter=this.parser.treeAdapter,this.posTracker=null,this.lastStartTagToken=null,this.lastFosterParentingLocation=null,this.currentToken=null}_setStartLocation(cd){let ud=null;this.lastStartTagToken&&(ud=Object.assign({},this.lastStartTagToken.location),ud.startTag=this.lastStartTagToken.location),this.treeAdapter.setNodeSourceCodeLocation(cd,ud)}_setEndLocation(cd,ud){if(this.treeAdapter.getNodeSourceCodeLocation(cd)&&ud.location){const Ed=ud.location,Ad=this.treeAdapter.getTagName(cd),Td=ud.type===qa.END_TAG_TOKEN&&Ad===ud.tagName,Nd={};Td?(Nd.endTag=Object.assign({},Ed),Nd.endLine=Ed.endLine,Nd.endCol=Ed.endCol,Nd.endOffset=Ed.endOffset):(Nd.endLine=Ed.startLine,Nd.endCol=Ed.startCol,Nd.endOffset=Ed.startOffset),this.treeAdapter.updateNodeSourceCodeLocation(cd,Nd)}}_getOverriddenMethods(cd,ud){return{_bootstrap(_d,Ed){ud._bootstrap.call(this,_d,Ed),cd.lastStartTagToken=null,cd.lastFosterParentingLocation=null,cd.currentToken=null;const Ad=Ra.install(this.tokenizer,ed);cd.posTracker=Ad.posTracker,Ra.install(this.openElements,td,{onItemPop:function(Td){cd._setEndLocation(Td,cd.currentToken)}})},_runParsingLoop(_d){ud._runParsingLoop.call(this,_d);for(let Ed=this.openElements.stackTop;Ed>=0;Ed--)cd._setEndLocation(this.openElements.items[Ed],cd.currentToken)},_processTokenInForeignContent(_d){cd.currentToken=_d,ud._processTokenInForeignContent.call(this,_d)},_processToken(_d){if(cd.currentToken=_d,ud._processToken.call(this,_d),_d.type===qa.END_TAG_TOKEN&&(_d.tagName===sd.HTML||_d.tagName===sd.BODY&&this.openElements.hasInScope(sd.BODY)))for(let Ad=this.openElements.stackTop;Ad>=0;Ad--){const Td=this.openElements.items[Ad];if(this.treeAdapter.getTagName(Td)===_d.tagName){cd._setEndLocation(Td,_d);break}}},_setDocumentType(_d){ud._setDocumentType.call(this,_d);const Ed=this.treeAdapter.getChildNodes(this.document),Ad=Ed.length;for(let Td=0;Td(Object.keys(rd).forEach(sd=>{td[sd]=rd[sd]}),td),Object.create(null))}),mergeOptions}var doctype={},hasRequiredDoctype;function requireDoctype(){if(hasRequiredDoctype)return doctype;hasRequiredDoctype=1;const{DOCUMENT_MODE:Ra}=requireHtml(),qa="html",ed="about:legacy-compat",td="http://www.ibm.com/data/dtd/v11/ibmxhtml1-transitional.dtd",rd=["+//silmaril//dtd html pro v0r11 19970101//","-//as//dtd html 3.0 aswedit + extensions//","-//advasoft ltd//dtd html 3.0 aswedit + extensions//","-//ietf//dtd html 2.0 level 1//","-//ietf//dtd html 2.0 level 2//","-//ietf//dtd html 2.0 strict level 1//","-//ietf//dtd html 2.0 strict level 2//","-//ietf//dtd html 2.0 strict//","-//ietf//dtd html 2.0//","-//ietf//dtd html 2.1e//","-//ietf//dtd html 3.0//","-//ietf//dtd html 3.2 final//","-//ietf//dtd html 3.2//","-//ietf//dtd html 3//","-//ietf//dtd html level 0//","-//ietf//dtd html level 1//","-//ietf//dtd html level 2//","-//ietf//dtd html level 3//","-//ietf//dtd html strict level 0//","-//ietf//dtd html strict level 1//","-//ietf//dtd html strict level 2//","-//ietf//dtd html strict level 3//","-//ietf//dtd html strict//","-//ietf//dtd html//","-//metrius//dtd metrius presentational//","-//microsoft//dtd internet explorer 2.0 html strict//","-//microsoft//dtd internet explorer 2.0 html//","-//microsoft//dtd internet explorer 2.0 tables//","-//microsoft//dtd internet explorer 3.0 html strict//","-//microsoft//dtd internet explorer 3.0 html//","-//microsoft//dtd internet explorer 3.0 tables//","-//netscape comm. corp.//dtd html//","-//netscape comm. corp.//dtd strict html//","-//o'reilly and associates//dtd html 2.0//","-//o'reilly and associates//dtd html extended 1.0//","-//o'reilly and associates//dtd html extended relaxed 1.0//","-//sq//dtd html 2.0 hotmetal + extensions//","-//softquad software//dtd hotmetal pro 6.0::19990601::extensions to html 4.0//","-//softquad//dtd hotmetal pro 4.0::19971010::extensions to html 4.0//","-//spyglass//dtd html 2.0 extended//","-//sun microsystems corp.//dtd hotjava html//","-//sun microsystems corp.//dtd hotjava strict html//","-//w3c//dtd html 3 1995-03-24//","-//w3c//dtd html 3.2 draft//","-//w3c//dtd html 3.2 final//","-//w3c//dtd html 3.2//","-//w3c//dtd html 3.2s draft//","-//w3c//dtd html 4.0 frameset//","-//w3c//dtd html 4.0 transitional//","-//w3c//dtd html experimental 19960712//","-//w3c//dtd html experimental 970421//","-//w3c//dtd w3 html//","-//w3o//dtd w3 html 3.0//","-//webtechs//dtd mozilla html 2.0//","-//webtechs//dtd mozilla html//"],sd=rd.concat(["-//w3c//dtd html 4.01 frameset//","-//w3c//dtd html 4.01 transitional//"]),od=["-//w3o//dtd w3 html strict 3.0//en//","-/w3c/dtd html 4.0 transitional/en","html"],ld=["-//w3c//dtd xhtml 1.0 frameset//","-//w3c//dtd xhtml 1.0 transitional//"],cd=ld.concat(["-//w3c//dtd html 4.01 frameset//","-//w3c//dtd html 4.01 transitional//"]);function ud(Ed){const Ad=Ed.indexOf('"')!==-1?"'":'"';return Ad+Ed+Ad}function _d(Ed,Ad){for(let Td=0;Td-1)return Ra.QUIRKS;let Nd=Ad===null?sd:rd;if(_d(Td,Nd))return Ra.QUIRKS;if(Nd=Ad===null?ld:cd,_d(Td,Nd))return Ra.LIMITED_QUIRKS}return Ra.NO_QUIRKS},doctype.serializeContent=function(Ed,Ad,Td){let Nd="!DOCTYPE ";return Ed&&(Nd+=Ed),Ad?Nd+=" PUBLIC "+ud(Ad):Td&&(Nd+=" SYSTEM"),Td!==null&&(Nd+=" "+ud(Td)),Nd},doctype}var foreignContent={},hasRequiredForeignContent;function requireForeignContent(){if(hasRequiredForeignContent)return foreignContent;hasRequiredForeignContent=1;const Ra=requireTokenizer(),qa=requireHtml(),ed=qa.TAG_NAMES,td=qa.NAMESPACES,rd=qa.ATTRS,sd={TEXT_HTML:"text/html",APPLICATION_XML:"application/xhtml+xml"},od="definitionurl",ld="definitionURL",cd={attributename:"attributeName",attributetype:"attributeType",basefrequency:"baseFrequency",baseprofile:"baseProfile",calcmode:"calcMode",clippathunits:"clipPathUnits",diffuseconstant:"diffuseConstant",edgemode:"edgeMode",filterunits:"filterUnits",glyphref:"glyphRef",gradienttransform:"gradientTransform",gradientunits:"gradientUnits",kernelmatrix:"kernelMatrix",kernelunitlength:"kernelUnitLength",keypoints:"keyPoints",keysplines:"keySplines",keytimes:"keyTimes",lengthadjust:"lengthAdjust",limitingconeangle:"limitingConeAngle",markerheight:"markerHeight",markerunits:"markerUnits",markerwidth:"markerWidth",maskcontentunits:"maskContentUnits",maskunits:"maskUnits",numoctaves:"numOctaves",pathlength:"pathLength",patterncontentunits:"patternContentUnits",patterntransform:"patternTransform",patternunits:"patternUnits",pointsatx:"pointsAtX",pointsaty:"pointsAtY",pointsatz:"pointsAtZ",preservealpha:"preserveAlpha",preserveaspectratio:"preserveAspectRatio",primitiveunits:"primitiveUnits",refx:"refX",refy:"refY",repeatcount:"repeatCount",repeatdur:"repeatDur",requiredextensions:"requiredExtensions",requiredfeatures:"requiredFeatures",specularconstant:"specularConstant",specularexponent:"specularExponent",spreadmethod:"spreadMethod",startoffset:"startOffset",stddeviation:"stdDeviation",stitchtiles:"stitchTiles",surfacescale:"surfaceScale",systemlanguage:"systemLanguage",tablevalues:"tableValues",targetx:"targetX",targety:"targetY",textlength:"textLength",viewbox:"viewBox",viewtarget:"viewTarget",xchannelselector:"xChannelSelector",ychannelselector:"yChannelSelector",zoomandpan:"zoomAndPan"},ud={"xlink:actuate":{prefix:"xlink",name:"actuate",namespace:td.XLINK},"xlink:arcrole":{prefix:"xlink",name:"arcrole",namespace:td.XLINK},"xlink:href":{prefix:"xlink",name:"href",namespace:td.XLINK},"xlink:role":{prefix:"xlink",name:"role",namespace:td.XLINK},"xlink:show":{prefix:"xlink",name:"show",namespace:td.XLINK},"xlink:title":{prefix:"xlink",name:"title",namespace:td.XLINK},"xlink:type":{prefix:"xlink",name:"type",namespace:td.XLINK},"xml:base":{prefix:"xml",name:"base",namespace:td.XML},"xml:lang":{prefix:"xml",name:"lang",namespace:td.XML},"xml:space":{prefix:"xml",name:"space",namespace:td.XML},xmlns:{prefix:"",name:"xmlns",namespace:td.XMLNS},"xmlns:xlink":{prefix:"xmlns",name:"xlink",namespace:td.XMLNS}},_d=foreignContent.SVG_TAG_NAMES_ADJUSTMENT_MAP={altglyph:"altGlyph",altglyphdef:"altGlyphDef",altglyphitem:"altGlyphItem",animatecolor:"animateColor",animatemotion:"animateMotion",animatetransform:"animateTransform",clippath:"clipPath",feblend:"feBlend",fecolormatrix:"feColorMatrix",fecomponenttransfer:"feComponentTransfer",fecomposite:"feComposite",feconvolvematrix:"feConvolveMatrix",fediffuselighting:"feDiffuseLighting",fedisplacementmap:"feDisplacementMap",fedistantlight:"feDistantLight",feflood:"feFlood",fefunca:"feFuncA",fefuncb:"feFuncB",fefuncg:"feFuncG",fefuncr:"feFuncR",fegaussianblur:"feGaussianBlur",feimage:"feImage",femerge:"feMerge",femergenode:"feMergeNode",femorphology:"feMorphology",feoffset:"feOffset",fepointlight:"fePointLight",fespecularlighting:"feSpecularLighting",fespotlight:"feSpotLight",fetile:"feTile",feturbulence:"feTurbulence",foreignobject:"foreignObject",glyphref:"glyphRef",lineargradient:"linearGradient",radialgradient:"radialGradient",textpath:"textPath"},Ed={[ed.B]:!0,[ed.BIG]:!0,[ed.BLOCKQUOTE]:!0,[ed.BODY]:!0,[ed.BR]:!0,[ed.CENTER]:!0,[ed.CODE]:!0,[ed.DD]:!0,[ed.DIV]:!0,[ed.DL]:!0,[ed.DT]:!0,[ed.EM]:!0,[ed.EMBED]:!0,[ed.H1]:!0,[ed.H2]:!0,[ed.H3]:!0,[ed.H4]:!0,[ed.H5]:!0,[ed.H6]:!0,[ed.HEAD]:!0,[ed.HR]:!0,[ed.I]:!0,[ed.IMG]:!0,[ed.LI]:!0,[ed.LISTING]:!0,[ed.MENU]:!0,[ed.META]:!0,[ed.NOBR]:!0,[ed.OL]:!0,[ed.P]:!0,[ed.PRE]:!0,[ed.RUBY]:!0,[ed.S]:!0,[ed.SMALL]:!0,[ed.SPAN]:!0,[ed.STRONG]:!0,[ed.STRIKE]:!0,[ed.SUB]:!0,[ed.SUP]:!0,[ed.TABLE]:!0,[ed.TT]:!0,[ed.U]:!0,[ed.UL]:!0,[ed.VAR]:!0};foreignContent.causesExit=function(Nd){const Rd=Nd.tagName;return Rd===ed.FONT&&(Ra.getTokenAttr(Nd,rd.COLOR)!==null||Ra.getTokenAttr(Nd,rd.SIZE)!==null||Ra.getTokenAttr(Nd,rd.FACE)!==null)?!0:Ed[Rd]},foreignContent.adjustTokenMathMLAttrs=function(Nd){for(let Rd=0;Rd0);for(let u_=jf;u_=0;Ff--){let Pm=this.openElements.items[Ff];Ff===0&&(jf=!0,this.fragmentContext&&(Pm=this.fragmentContext));const u_=this.treeAdapter.getTagName(Pm),C_=Ef[u_];if(C_){this.insertionMode=C_;break}else if(!jf&&(u_===Td.TD||u_===Td.TH)){this.insertionMode=Nf;break}else if(!jf&&u_===Td.HEAD){this.insertionMode=Gd;break}else if(u_===Td.SELECT){this._resetInsertionModeForSelect(Ff);break}else if(u_===Td.TEMPLATE){this.insertionMode=this.currentTmplInsertionMode;break}else if(u_===Td.HTML){this.insertionMode=this.headElement?Vd:Ud;break}else if(jf){this.insertionMode=zd;break}}}_resetInsertionModeForSelect(Ff){if(Ff>0)for(let jf=Ff-1;jf>0;jf--){const Pm=this.openElements.items[jf],u_=this.treeAdapter.getTagName(Pm);if(u_===Td.TEMPLATE)break;if(u_===Td.TABLE){this.insertionMode=Yf;return}}this.insertionMode=Pf}_pushTmplInsertionMode(Ff){this.tmplInsertionModeStack.push(Ff),this.tmplInsertionModeStackTop++,this.currentTmplInsertionMode=Ff}_popTmplInsertionMode(){this.tmplInsertionModeStack.pop(),this.tmplInsertionModeStackTop--,this.currentTmplInsertionMode=this.tmplInsertionModeStack[this.tmplInsertionModeStackTop]}_isElementCausesFosterParenting(Ff){const jf=this.treeAdapter.getTagName(Ff);return jf===Td.TABLE||jf===Td.TBODY||jf===Td.TFOOT||jf===Td.THEAD||jf===Td.TR}_shouldFosterParentOnInsertion(){return this.fosterParentingEnabled&&this._isElementCausesFosterParenting(this.openElements.current)}_findFosterParentingLocation(){const Ff={parent:null,beforeElement:null};for(let jf=this.openElements.stackTop;jf>=0;jf--){const Pm=this.openElements.items[jf],u_=this.treeAdapter.getTagName(Pm),C_=this.treeAdapter.getNamespaceURI(Pm);if(u_===Td.TEMPLATE&&C_===Nd.HTML){Ff.parent=this.treeAdapter.getTemplateContent(Pm);break}else if(u_===Td.TABLE){Ff.parent=this.treeAdapter.getParentNode(Pm),Ff.parent?Ff.beforeElement=Pm:Ff.parent=this.openElements.items[jf-1];break}}return Ff.parent||(Ff.parent=this.openElements.items[0]),Ff}_fosterParentElement(Ff){const jf=this._findFosterParentingLocation();jf.beforeElement?this.treeAdapter.insertBefore(jf.parent,Ff,jf.beforeElement):this.treeAdapter.appendChild(jf.parent,Ff)}_fosterParentText(Ff){const jf=this._findFosterParentingLocation();jf.beforeElement?this.treeAdapter.insertTextBefore(jf.parent,Ff,jf.beforeElement):this.treeAdapter.insertText(jf.parent,Ff)}_isSpecialElement(Ff){const jf=this.treeAdapter.getTagName(Ff),Pm=this.treeAdapter.getNamespaceURI(Ff);return Ad.SPECIAL_ELEMENTS[Pm][jf]}}parser$2=Kf;function nh(Cf,Ff){let jf=Cf.activeFormattingElements.getElementEntryInScopeWithTagName(Ff.tagName);return jf?Cf.openElements.contains(jf.element)?Cf.openElements.hasInScope(Ff.tagName)||(jf=null):(Cf.activeFormattingElements.removeEntry(jf),jf=null):l1(Cf,Ff),jf}function zf(Cf,Ff){let jf=null;for(let Pm=Cf.openElements.stackTop;Pm>=0;Pm--){const u_=Cf.openElements.items[Pm];if(u_===Ff.element)break;Cf._isSpecialElement(u_)&&(jf=u_)}return jf||(Cf.openElements.popUntilElementPopped(Ff.element),Cf.activeFormattingElements.removeEntry(Ff)),jf}function $f(Cf,Ff,jf){let Pm=Ff,u_=Cf.openElements.getCommonAncestor(Ff);for(let C_=0,T1=u_;T1!==jf;C_++,T1=u_){u_=Cf.openElements.getCommonAncestor(T1);const pg=Cf.activeFormattingElements.getElementEntry(T1),fg=pg&&C_>=Md;!pg||fg?(fg&&Cf.activeFormattingElements.removeEntry(pg),Cf.openElements.remove(T1)):(T1=th(Cf,pg),Pm===Ff&&(Cf.activeFormattingElements.bookmark=pg),Cf.treeAdapter.detachNode(Pm),Cf.treeAdapter.appendChild(T1,Pm),Pm=T1)}return Pm}function th(Cf,Ff){const jf=Cf.treeAdapter.getNamespaceURI(Ff.element),Pm=Cf.treeAdapter.createElement(Ff.token.tagName,jf,Ff.token.attrs);return Cf.openElements.replace(Ff.element,Pm),Ff.element=Pm,Pm}function hh(Cf,Ff,jf){if(Cf._isElementCausesFosterParenting(Ff))Cf._fosterParentElement(jf);else{const Pm=Cf.treeAdapter.getTagName(Ff),u_=Cf.treeAdapter.getNamespaceURI(Ff);Pm===Td.TEMPLATE&&u_===Nd.HTML&&(Ff=Cf.treeAdapter.getTemplateContent(Ff)),Cf.treeAdapter.appendChild(Ff,jf)}}function Xf(Cf,Ff,jf){const Pm=Cf.treeAdapter.getNamespaceURI(jf.element),u_=jf.token,C_=Cf.treeAdapter.createElement(u_.tagName,Pm,u_.attrs);Cf._adoptNodes(Ff,C_),Cf.treeAdapter.appendChild(Ff,C_),Cf.activeFormattingElements.insertElementAfterBookmark(C_,jf.token),Cf.activeFormattingElements.removeEntry(jf),Cf.openElements.remove(jf.element),Cf.openElements.insertAfter(Ff,C_)}function Df(Cf,Ff){let jf;for(let Pm=0;Pm0?(Cf.openElements.generateImpliedEndTagsThoroughly(),Cf.openElements.currentTagName!==Td.TEMPLATE&&Cf._err(_d.closingOfElementWithOpenChildElements),Cf.openElements.popUntilTagNamePopped(Td.TEMPLATE),Cf.activeFormattingElements.clearToLastMarker(),Cf._popTmplInsertionMode(),Cf._resetInsertionMode()):Cf._err(_d.endTagWithoutMatchingOpenElement)}function wh(Cf,Ff){Cf.openElements.pop(),Cf.insertionMode=Vd,Cf._processToken(Ff)}function $h(Cf,Ff){const jf=Ff.tagName;jf===Td.HTML?T_(Cf,Ff):jf===Td.BASEFONT||jf===Td.BGSOUND||jf===Td.HEAD||jf===Td.LINK||jf===Td.META||jf===Td.NOFRAMES||jf===Td.STYLE?rh(Cf,Ff):jf===Td.NOSCRIPT?Cf._err(_d.nestedNoscriptInHead):Tm(Cf,Ff)}function om(Cf,Ff){const jf=Ff.tagName;jf===Td.NOSCRIPT?(Cf.openElements.pop(),Cf.insertionMode=Gd):jf===Td.BR?Tm(Cf,Ff):Cf._err(_d.endTagWithoutMatchingOpenElement)}function Tm(Cf,Ff){const jf=Ff.type===Ra.EOF_TOKEN?_d.openElementsLeftAfterEof:_d.disallowedContentInNoscriptInHead;Cf._err(jf),Cf.openElements.pop(),Cf.insertionMode=Gd,Cf._processToken(Ff)}function um(Cf,Ff){const jf=Ff.tagName;jf===Td.HTML?T_(Cf,Ff):jf===Td.BODY?(Cf._insertElement(Ff,Nd.HTML),Cf.framesetOk=!1,Cf.insertionMode=zd):jf===Td.FRAMESET?(Cf._insertElement(Ff,Nd.HTML),Cf.insertionMode=xf):jf===Td.BASE||jf===Td.BASEFONT||jf===Td.BGSOUND||jf===Td.LINK||jf===Td.META||jf===Td.NOFRAMES||jf===Td.SCRIPT||jf===Td.STYLE||jf===Td.TEMPLATE||jf===Td.TITLE?(Cf._err(_d.abandonedHeadElementChild),Cf.openElements.push(Cf.headElement),rh(Cf,Ff),Cf.openElements.remove(Cf.headElement)):jf===Td.HEAD?Cf._err(_d.misplacedStartTagForHeadElement):Fm(Cf,Ff)}function Wm(Cf,Ff){const jf=Ff.tagName;jf===Td.BODY||jf===Td.HTML||jf===Td.BR?Fm(Cf,Ff):jf===Td.TEMPLATE?ch(Cf,Ff):Cf._err(_d.endTagWithoutMatchingOpenElement)}function Fm(Cf,Ff){Cf._insertFakeElement(Td.BODY),Cf.insertionMode=zd,Cf._processToken(Ff)}function Lm(Cf,Ff){Cf._reconstructActiveFormattingElements(),Cf._insertCharacters(Ff)}function e_(Cf,Ff){Cf._reconstructActiveFormattingElements(),Cf._insertCharacters(Ff),Cf.framesetOk=!1}function F_(Cf,Ff){Cf.openElements.tmplCount===0&&Cf.treeAdapter.adoptAttributes(Cf.openElements.items[0],Ff.attrs)}function R_(Cf,Ff){const jf=Cf.openElements.tryPeekProperlyNestedBodyElement();jf&&Cf.openElements.tmplCount===0&&(Cf.framesetOk=!1,Cf.treeAdapter.adoptAttributes(jf,Ff.attrs))}function w_(Cf,Ff){const jf=Cf.openElements.tryPeekProperlyNestedBodyElement();Cf.framesetOk&&jf&&(Cf.treeAdapter.detachNode(jf),Cf.openElements.popAllUpToHtmlElement(),Cf._insertElement(Ff,Nd.HTML),Cf.insertionMode=xf)}function Qm(Cf,Ff){Cf.openElements.hasInButtonScope(Td.P)&&Cf._closePElement(),Cf._insertElement(Ff,Nd.HTML)}function P_(Cf,Ff){Cf.openElements.hasInButtonScope(Td.P)&&Cf._closePElement();const jf=Cf.openElements.currentTagName;(jf===Td.H1||jf===Td.H2||jf===Td.H3||jf===Td.H4||jf===Td.H5||jf===Td.H6)&&Cf.openElements.pop(),Cf._insertElement(Ff,Nd.HTML)}function V_(Cf,Ff){Cf.openElements.hasInButtonScope(Td.P)&&Cf._closePElement(),Cf._insertElement(Ff,Nd.HTML),Cf.skipNextNewLine=!0,Cf.framesetOk=!1}function Z_(Cf,Ff){const jf=Cf.openElements.tmplCount>0;(!Cf.formElement||jf)&&(Cf.openElements.hasInButtonScope(Td.P)&&Cf._closePElement(),Cf._insertElement(Ff,Nd.HTML),jf||(Cf.formElement=Cf.openElements.current))}function t1(Cf,Ff){Cf.framesetOk=!1;const jf=Ff.tagName;for(let Pm=Cf.openElements.stackTop;Pm>=0;Pm--){const u_=Cf.openElements.items[Pm],C_=Cf.treeAdapter.getTagName(u_);let T1=null;if(jf===Td.LI&&C_===Td.LI?T1=Td.LI:(jf===Td.DD||jf===Td.DT)&&(C_===Td.DD||C_===Td.DT)&&(T1=C_),T1){Cf.openElements.generateImpliedEndTagsWithExclusion(T1),Cf.openElements.popUntilTagNamePopped(T1);break}if(C_!==Td.ADDRESS&&C_!==Td.DIV&&C_!==Td.P&&Cf._isSpecialElement(u_))break}Cf.openElements.hasInButtonScope(Td.P)&&Cf._closePElement(),Cf._insertElement(Ff,Nd.HTML)}function j_(Cf,Ff){Cf.openElements.hasInButtonScope(Td.P)&&Cf._closePElement(),Cf._insertElement(Ff,Nd.HTML),Cf.tokenizer.state=Ra.MODE.PLAINTEXT}function $_(Cf,Ff){Cf.openElements.hasInScope(Td.BUTTON)&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilTagNamePopped(Td.BUTTON)),Cf._reconstructActiveFormattingElements(),Cf._insertElement(Ff,Nd.HTML),Cf.framesetOk=!1}function Hm(Cf,Ff){const jf=Cf.activeFormattingElements.getElementEntryInScopeWithTagName(Td.A);jf&&(Df(Cf,Ff),Cf.openElements.remove(jf.element),Cf.activeFormattingElements.removeEntry(jf)),Cf._reconstructActiveFormattingElements(),Cf._insertElement(Ff,Nd.HTML),Cf.activeFormattingElements.pushElement(Cf.openElements.current,Ff)}function v_(Cf,Ff){Cf._reconstructActiveFormattingElements(),Cf._insertElement(Ff,Nd.HTML),Cf.activeFormattingElements.pushElement(Cf.openElements.current,Ff)}function m1(Cf,Ff){Cf._reconstructActiveFormattingElements(),Cf.openElements.hasInScope(Td.NOBR)&&(Df(Cf,Ff),Cf._reconstructActiveFormattingElements()),Cf._insertElement(Ff,Nd.HTML),Cf.activeFormattingElements.pushElement(Cf.openElements.current,Ff)}function am(Cf,Ff){Cf._reconstructActiveFormattingElements(),Cf._insertElement(Ff,Nd.HTML),Cf.activeFormattingElements.insertMarker(),Cf.framesetOk=!1}function Af(Cf,Ff){Cf.treeAdapter.getDocumentMode(Cf.document)!==Ad.DOCUMENT_MODE.QUIRKS&&Cf.openElements.hasInButtonScope(Td.P)&&Cf._closePElement(),Cf._insertElement(Ff,Nd.HTML),Cf.framesetOk=!1,Cf.insertionMode=df}function ph(Cf,Ff){Cf._reconstructActiveFormattingElements(),Cf._appendElement(Ff,Nd.HTML),Cf.framesetOk=!1,Ff.ackSelfClosing=!0}function ih(Cf,Ff){Cf._reconstructActiveFormattingElements(),Cf._appendElement(Ff,Nd.HTML);const jf=Ra.getTokenAttr(Ff,Rd.TYPE);(!jf||jf.toLowerCase()!==kd)&&(Cf.framesetOk=!1),Ff.ackSelfClosing=!0}function fh(Cf,Ff){Cf._appendElement(Ff,Nd.HTML),Ff.ackSelfClosing=!0}function Ah(Cf,Ff){Cf.openElements.hasInButtonScope(Td.P)&&Cf._closePElement(),Cf._appendElement(Ff,Nd.HTML),Cf.framesetOk=!1,Ff.ackSelfClosing=!0}function Ch(Cf,Ff){Ff.tagName=Td.IMG,ph(Cf,Ff)}function Bh(Cf,Ff){Cf._insertElement(Ff,Nd.HTML),Cf.skipNextNewLine=!0,Cf.tokenizer.state=Ra.MODE.RCDATA,Cf.originalInsertionMode=Cf.insertionMode,Cf.framesetOk=!1,Cf.insertionMode=Xd}function Rh(Cf,Ff){Cf.openElements.hasInButtonScope(Td.P)&&Cf._closePElement(),Cf._reconstructActiveFormattingElements(),Cf.framesetOk=!1,Cf._switchToTextParsing(Ff,Ra.MODE.RAWTEXT)}function gh(Cf,Ff){Cf.framesetOk=!1,Cf._switchToTextParsing(Ff,Ra.MODE.RAWTEXT)}function dm(Cf,Ff){Cf._switchToTextParsing(Ff,Ra.MODE.RAWTEXT)}function cm(Cf,Ff){Cf._reconstructActiveFormattingElements(),Cf._insertElement(Ff,Nd.HTML),Cf.framesetOk=!1,Cf.insertionMode===df||Cf.insertionMode===_f||Cf.insertionMode===gf||Cf.insertionMode===yf||Cf.insertionMode===Nf?Cf.insertionMode=Yf:Cf.insertionMode=Pf}function _m(Cf,Ff){Cf.openElements.currentTagName===Td.OPTION&&Cf.openElements.pop(),Cf._reconstructActiveFormattingElements(),Cf._insertElement(Ff,Nd.HTML)}function Gf(Cf,Ff){Cf.openElements.hasInScope(Td.RUBY)&&Cf.openElements.generateImpliedEndTags(),Cf._insertElement(Ff,Nd.HTML)}function Mm(Cf,Ff){Cf.openElements.hasInScope(Td.RUBY)&&Cf.openElements.generateImpliedEndTagsWithExclusion(Td.RTC),Cf._insertElement(Ff,Nd.HTML)}function g_(Cf,Ff){Cf.openElements.hasInButtonScope(Td.P)&&Cf._closePElement(),Cf._insertElement(Ff,Nd.HTML)}function m_(Cf,Ff){Cf._reconstructActiveFormattingElements(),ud.adjustTokenMathMLAttrs(Ff),ud.adjustTokenXMLAttrs(Ff),Ff.selfClosing?Cf._appendElement(Ff,Nd.MATHML):Cf._insertElement(Ff,Nd.MATHML),Ff.ackSelfClosing=!0}function s_(Cf,Ff){Cf._reconstructActiveFormattingElements(),ud.adjustTokenSVGAttrs(Ff),ud.adjustTokenXMLAttrs(Ff),Ff.selfClosing?Cf._appendElement(Ff,Nd.SVG):Cf._insertElement(Ff,Nd.SVG),Ff.ackSelfClosing=!0}function Rm(Cf,Ff){Cf._reconstructActiveFormattingElements(),Cf._insertElement(Ff,Nd.HTML)}function T_(Cf,Ff){const jf=Ff.tagName;switch(jf.length){case 1:jf===Td.I||jf===Td.S||jf===Td.B||jf===Td.U?v_(Cf,Ff):jf===Td.P?Qm(Cf,Ff):jf===Td.A?Hm(Cf,Ff):Rm(Cf,Ff);break;case 2:jf===Td.DL||jf===Td.OL||jf===Td.UL?Qm(Cf,Ff):jf===Td.H1||jf===Td.H2||jf===Td.H3||jf===Td.H4||jf===Td.H5||jf===Td.H6?P_(Cf,Ff):jf===Td.LI||jf===Td.DD||jf===Td.DT?t1(Cf,Ff):jf===Td.EM||jf===Td.TT?v_(Cf,Ff):jf===Td.BR?ph(Cf,Ff):jf===Td.HR?Ah(Cf,Ff):jf===Td.RB?Gf(Cf,Ff):jf===Td.RT||jf===Td.RP?Mm(Cf,Ff):jf!==Td.TH&&jf!==Td.TD&&jf!==Td.TR&&Rm(Cf,Ff);break;case 3:jf===Td.DIV||jf===Td.DIR||jf===Td.NAV?Qm(Cf,Ff):jf===Td.PRE?V_(Cf,Ff):jf===Td.BIG?v_(Cf,Ff):jf===Td.IMG||jf===Td.WBR?ph(Cf,Ff):jf===Td.XMP?Rh(Cf,Ff):jf===Td.SVG?s_(Cf,Ff):jf===Td.RTC?Gf(Cf,Ff):jf!==Td.COL&&Rm(Cf,Ff);break;case 4:jf===Td.HTML?F_(Cf,Ff):jf===Td.BASE||jf===Td.LINK||jf===Td.META?rh(Cf,Ff):jf===Td.BODY?R_(Cf,Ff):jf===Td.MAIN||jf===Td.MENU?Qm(Cf,Ff):jf===Td.FORM?Z_(Cf,Ff):jf===Td.CODE||jf===Td.FONT?v_(Cf,Ff):jf===Td.NOBR?m1(Cf,Ff):jf===Td.AREA?ph(Cf,Ff):jf===Td.MATH?m_(Cf,Ff):jf===Td.MENU?g_(Cf,Ff):jf!==Td.HEAD&&Rm(Cf,Ff);break;case 5:jf===Td.STYLE||jf===Td.TITLE?rh(Cf,Ff):jf===Td.ASIDE?Qm(Cf,Ff):jf===Td.SMALL?v_(Cf,Ff):jf===Td.TABLE?Af(Cf,Ff):jf===Td.EMBED?ph(Cf,Ff):jf===Td.INPUT?ih(Cf,Ff):jf===Td.PARAM||jf===Td.TRACK?fh(Cf,Ff):jf===Td.IMAGE?Ch(Cf,Ff):jf!==Td.FRAME&&jf!==Td.TBODY&&jf!==Td.TFOOT&&jf!==Td.THEAD&&Rm(Cf,Ff);break;case 6:jf===Td.SCRIPT?rh(Cf,Ff):jf===Td.CENTER||jf===Td.FIGURE||jf===Td.FOOTER||jf===Td.HEADER||jf===Td.HGROUP||jf===Td.DIALOG?Qm(Cf,Ff):jf===Td.BUTTON?$_(Cf,Ff):jf===Td.STRIKE||jf===Td.STRONG?v_(Cf,Ff):jf===Td.APPLET||jf===Td.OBJECT?am(Cf,Ff):jf===Td.KEYGEN?ph(Cf,Ff):jf===Td.SOURCE?fh(Cf,Ff):jf===Td.IFRAME?gh(Cf,Ff):jf===Td.SELECT?cm(Cf,Ff):jf===Td.OPTION?_m(Cf,Ff):Rm(Cf,Ff);break;case 7:jf===Td.BGSOUND?rh(Cf,Ff):jf===Td.DETAILS||jf===Td.ADDRESS||jf===Td.ARTICLE||jf===Td.SECTION||jf===Td.SUMMARY?Qm(Cf,Ff):jf===Td.LISTING?V_(Cf,Ff):jf===Td.MARQUEE?am(Cf,Ff):jf===Td.NOEMBED?dm(Cf,Ff):jf!==Td.CAPTION&&Rm(Cf,Ff);break;case 8:jf===Td.BASEFONT?rh(Cf,Ff):jf===Td.FRAMESET?w_(Cf,Ff):jf===Td.FIELDSET?Qm(Cf,Ff):jf===Td.TEXTAREA?Bh(Cf,Ff):jf===Td.TEMPLATE?rh(Cf,Ff):jf===Td.NOSCRIPT?Cf.options.scriptingEnabled?dm(Cf,Ff):Rm(Cf,Ff):jf===Td.OPTGROUP?_m(Cf,Ff):jf!==Td.COLGROUP&&Rm(Cf,Ff);break;case 9:jf===Td.PLAINTEXT?j_(Cf,Ff):Rm(Cf,Ff);break;case 10:jf===Td.BLOCKQUOTE||jf===Td.FIGCAPTION?Qm(Cf,Ff):Rm(Cf,Ff);break;default:Rm(Cf,Ff)}}function p_(Cf){Cf.openElements.hasInScope(Td.BODY)&&(Cf.insertionMode=Lf)}function l_(Cf,Ff){Cf.openElements.hasInScope(Td.BODY)&&(Cf.insertionMode=Lf,Cf._processToken(Ff))}function Vm(Cf,Ff){const jf=Ff.tagName;Cf.openElements.hasInScope(jf)&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilTagNamePopped(jf))}function D_(Cf){const Ff=Cf.openElements.tmplCount>0,jf=Cf.formElement;Ff||(Cf.formElement=null),(jf||Ff)&&Cf.openElements.hasInScope(Td.FORM)&&(Cf.openElements.generateImpliedEndTags(),Ff?Cf.openElements.popUntilTagNamePopped(Td.FORM):Cf.openElements.remove(jf))}function tg(Cf){Cf.openElements.hasInButtonScope(Td.P)||Cf._insertFakeElement(Td.P),Cf._closePElement()}function rg(Cf){Cf.openElements.hasInListItemScope(Td.LI)&&(Cf.openElements.generateImpliedEndTagsWithExclusion(Td.LI),Cf.openElements.popUntilTagNamePopped(Td.LI))}function Sm(Cf,Ff){const jf=Ff.tagName;Cf.openElements.hasInScope(jf)&&(Cf.openElements.generateImpliedEndTagsWithExclusion(jf),Cf.openElements.popUntilTagNamePopped(jf))}function f_(Cf){Cf.openElements.hasNumberedHeaderInScope()&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilNumberedHeaderPopped())}function I1(Cf,Ff){const jf=Ff.tagName;Cf.openElements.hasInScope(jf)&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilTagNamePopped(jf),Cf.activeFormattingElements.clearToLastMarker())}function ug(Cf){Cf._reconstructActiveFormattingElements(),Cf._insertFakeElement(Td.BR),Cf.openElements.pop(),Cf.framesetOk=!1}function l1(Cf,Ff){const jf=Ff.tagName;for(let Pm=Cf.openElements.stackTop;Pm>0;Pm--){const u_=Cf.openElements.items[Pm];if(Cf.treeAdapter.getTagName(u_)===jf){Cf.openElements.generateImpliedEndTagsWithExclusion(jf),Cf.openElements.popUntilElementPopped(u_);break}if(Cf._isSpecialElement(u_))break}}function j1(Cf,Ff){const jf=Ff.tagName;switch(jf.length){case 1:jf===Td.A||jf===Td.B||jf===Td.I||jf===Td.S||jf===Td.U?Df(Cf,Ff):jf===Td.P?tg(Cf):l1(Cf,Ff);break;case 2:jf===Td.DL||jf===Td.UL||jf===Td.OL?Vm(Cf,Ff):jf===Td.LI?rg(Cf):jf===Td.DD||jf===Td.DT?Sm(Cf,Ff):jf===Td.H1||jf===Td.H2||jf===Td.H3||jf===Td.H4||jf===Td.H5||jf===Td.H6?f_(Cf):jf===Td.BR?ug(Cf):jf===Td.EM||jf===Td.TT?Df(Cf,Ff):l1(Cf,Ff);break;case 3:jf===Td.BIG?Df(Cf,Ff):jf===Td.DIR||jf===Td.DIV||jf===Td.NAV||jf===Td.PRE?Vm(Cf,Ff):l1(Cf,Ff);break;case 4:jf===Td.BODY?p_(Cf):jf===Td.HTML?l_(Cf,Ff):jf===Td.FORM?D_(Cf):jf===Td.CODE||jf===Td.FONT||jf===Td.NOBR?Df(Cf,Ff):jf===Td.MAIN||jf===Td.MENU?Vm(Cf,Ff):l1(Cf,Ff);break;case 5:jf===Td.ASIDE?Vm(Cf,Ff):jf===Td.SMALL?Df(Cf,Ff):l1(Cf,Ff);break;case 6:jf===Td.CENTER||jf===Td.FIGURE||jf===Td.FOOTER||jf===Td.HEADER||jf===Td.HGROUP||jf===Td.DIALOG?Vm(Cf,Ff):jf===Td.APPLET||jf===Td.OBJECT?I1(Cf,Ff):jf===Td.STRIKE||jf===Td.STRONG?Df(Cf,Ff):l1(Cf,Ff);break;case 7:jf===Td.ADDRESS||jf===Td.ARTICLE||jf===Td.DETAILS||jf===Td.SECTION||jf===Td.SUMMARY||jf===Td.LISTING?Vm(Cf,Ff):jf===Td.MARQUEE?I1(Cf,Ff):l1(Cf,Ff);break;case 8:jf===Td.FIELDSET?Vm(Cf,Ff):jf===Td.TEMPLATE?ch(Cf,Ff):l1(Cf,Ff);break;case 10:jf===Td.BLOCKQUOTE||jf===Td.FIGCAPTION?Vm(Cf,Ff):l1(Cf,Ff);break;default:l1(Cf,Ff)}}function _1(Cf,Ff){Cf.tmplInsertionModeStackTop>-1?w0(Cf,Ff):Cf.stopped=!0}function h_(Cf,Ff){Ff.tagName===Td.SCRIPT&&(Cf.pendingScript=Cf.openElements.current),Cf.openElements.pop(),Cf.insertionMode=Cf.originalInsertionMode}function U1(Cf,Ff){Cf._err(_d.eofInElementThatCanContainOnlyText),Cf.openElements.pop(),Cf.insertionMode=Cf.originalInsertionMode,Cf._processToken(Ff)}function L_(Cf,Ff){const jf=Cf.openElements.currentTagName;jf===Td.TABLE||jf===Td.TBODY||jf===Td.TFOOT||jf===Td.THEAD||jf===Td.TR?(Cf.pendingCharacterTokens=[],Cf.hasNonWhitespacePendingCharacterToken=!1,Cf.originalInsertionMode=Cf.insertionMode,Cf.insertionMode=mf,Cf._processToken(Ff)):g1(Cf,Ff)}function y1(Cf,Ff){Cf.openElements.clearBackToTableContext(),Cf.activeFormattingElements.insertMarker(),Cf._insertElement(Ff,Nd.HTML),Cf.insertionMode=_f}function c1(Cf,Ff){Cf.openElements.clearBackToTableContext(),Cf._insertElement(Ff,Nd.HTML),Cf.insertionMode=hf}function ng(Cf,Ff){Cf.openElements.clearBackToTableContext(),Cf._insertFakeElement(Td.COLGROUP),Cf.insertionMode=hf,Cf._processToken(Ff)}function Eg(Cf,Ff){Cf.openElements.clearBackToTableContext(),Cf._insertElement(Ff,Nd.HTML),Cf.insertionMode=gf}function E1(Cf,Ff){Cf.openElements.clearBackToTableContext(),Cf._insertFakeElement(Td.TBODY),Cf.insertionMode=gf,Cf._processToken(Ff)}function ig(Cf,Ff){Cf.openElements.hasInTableScope(Td.TABLE)&&(Cf.openElements.popUntilTagNamePopped(Td.TABLE),Cf._resetInsertionMode(),Cf._processToken(Ff))}function d0(Cf,Ff){const jf=Ra.getTokenAttr(Ff,Rd.TYPE);jf&&jf.toLowerCase()===kd?Cf._appendElement(Ff,Nd.HTML):g1(Cf,Ff),Ff.ackSelfClosing=!0}function Qg(Cf,Ff){!Cf.formElement&&Cf.openElements.tmplCount===0&&(Cf._insertElement(Ff,Nd.HTML),Cf.formElement=Cf.openElements.current,Cf.openElements.pop())}function a_(Cf,Ff){const jf=Ff.tagName;switch(jf.length){case 2:jf===Td.TD||jf===Td.TH||jf===Td.TR?E1(Cf,Ff):g1(Cf,Ff);break;case 3:jf===Td.COL?ng(Cf,Ff):g1(Cf,Ff);break;case 4:jf===Td.FORM?Qg(Cf,Ff):g1(Cf,Ff);break;case 5:jf===Td.TABLE?ig(Cf,Ff):jf===Td.STYLE?rh(Cf,Ff):jf===Td.TBODY||jf===Td.TFOOT||jf===Td.THEAD?Eg(Cf,Ff):jf===Td.INPUT?d0(Cf,Ff):g1(Cf,Ff);break;case 6:jf===Td.SCRIPT?rh(Cf,Ff):g1(Cf,Ff);break;case 7:jf===Td.CAPTION?y1(Cf,Ff):g1(Cf,Ff);break;case 8:jf===Td.COLGROUP?c1(Cf,Ff):jf===Td.TEMPLATE?rh(Cf,Ff):g1(Cf,Ff);break;default:g1(Cf,Ff)}}function Tg(Cf,Ff){const jf=Ff.tagName;jf===Td.TABLE?Cf.openElements.hasInTableScope(Td.TABLE)&&(Cf.openElements.popUntilTagNamePopped(Td.TABLE),Cf._resetInsertionMode()):jf===Td.TEMPLATE?ch(Cf,Ff):jf!==Td.BODY&&jf!==Td.CAPTION&&jf!==Td.COL&&jf!==Td.COLGROUP&&jf!==Td.HTML&&jf!==Td.TBODY&&jf!==Td.TD&&jf!==Td.TFOOT&&jf!==Td.TH&&jf!==Td.THEAD&&jf!==Td.TR&&g1(Cf,Ff)}function g1(Cf,Ff){const jf=Cf.fosterParentingEnabled;Cf.fosterParentingEnabled=!0,Cf._processTokenInBodyMode(Ff),Cf.fosterParentingEnabled=jf}function p0(Cf,Ff){Cf.pendingCharacterTokens.push(Ff)}function x0(Cf,Ff){Cf.pendingCharacterTokens.push(Ff),Cf.hasNonWhitespacePendingCharacterToken=!0}function Sg(Cf,Ff){let jf=0;if(Cf.hasNonWhitespacePendingCharacterToken)for(;jf0?(Cf.openElements.popUntilTagNamePopped(Td.TEMPLATE),Cf.activeFormattingElements.clearToLastMarker(),Cf._popTmplInsertionMode(),Cf._resetInsertionMode(),Cf._processToken(Ff)):Cf.stopped=!0}function Tb(Cf,Ff){Ff.tagName===Td.HTML?T_(Cf,Ff):xg(Cf,Ff)}function Sb(Cf,Ff){Ff.tagName===Td.HTML?Cf.fragmentContext||(Cf.insertionMode=Jf):xg(Cf,Ff)}function xg(Cf,Ff){Cf.insertionMode=zd,Cf._processToken(Ff)}function xb(Cf,Ff){const jf=Ff.tagName;jf===Td.HTML?T_(Cf,Ff):jf===Td.FRAMESET?Cf._insertElement(Ff,Nd.HTML):jf===Td.FRAME?(Cf._appendElement(Ff,Nd.HTML),Ff.ackSelfClosing=!0):jf===Td.NOFRAMES&&rh(Cf,Ff)}function Y0(Cf,Ff){Ff.tagName===Td.FRAMESET&&!Cf.openElements.isRootHtmlElementCurrent()&&(Cf.openElements.pop(),!Cf.fragmentContext&&Cf.openElements.currentTagName!==Td.FRAMESET&&(Cf.insertionMode=wf))}function G_(Cf,Ff){const jf=Ff.tagName;jf===Td.HTML?T_(Cf,Ff):jf===Td.NOFRAMES&&rh(Cf,Ff)}function K0(Cf,Ff){Ff.tagName===Td.HTML&&(Cf.insertionMode=Qf)}function $g(Cf,Ff){Ff.tagName===Td.HTML?T_(Cf,Ff):Q_(Cf,Ff)}function Q_(Cf,Ff){Cf.insertionMode=zd,Cf._processToken(Ff)}function sg(Cf,Ff){const jf=Ff.tagName;jf===Td.HTML?T_(Cf,Ff):jf===Td.NOFRAMES&&rh(Cf,Ff)}function s1(Cf,Ff){Ff.chars=Ed.REPLACEMENT_CHARACTER,Cf._insertCharacters(Ff)}function h0(Cf,Ff){Cf._insertCharacters(Ff),Cf.framesetOk=!1}function og(Cf,Ff){if(ud.causesExit(Ff)&&!Cf.fragmentContext){for(;Cf.treeAdapter.getNamespaceURI(Cf.openElements.current)!==Nd.HTML&&!Cf._isIntegrationPoint(Cf.openElements.current);)Cf.openElements.pop();Cf._processToken(Ff)}else{const jf=Cf._getAdjustedCurrentElement(),Pm=Cf.treeAdapter.getNamespaceURI(jf);Pm===Nd.MATHML?ud.adjustTokenMathMLAttrs(Ff):Pm===Nd.SVG&&(ud.adjustTokenSVGTagName(Ff),ud.adjustTokenSVGAttrs(Ff)),ud.adjustTokenXMLAttrs(Ff),Ff.selfClosing?Cf._appendElement(Ff,Pm):Cf._insertElement(Ff,Pm),Ff.ackSelfClosing=!0}}function Zg(Cf,Ff){for(let jf=Cf.openElements.stackTop;jf>0;jf--){const Pm=Cf.openElements.items[jf];if(Cf.treeAdapter.getNamespaceURI(Pm)===Nd.HTML){Cf._processToken(Ff);break}if(Cf.treeAdapter.getTagName(Pm).toLowerCase()===Ff.tagName){Cf.openElements.popUntilElementPopped(Pm);break}}}return parser$2}var serializer,hasRequiredSerializer;function requireSerializer(){if(hasRequiredSerializer)return serializer;hasRequiredSerializer=1;const Ra=require_default(),qa=requireMergeOptions(),ed=requireDoctype(),td=requireHtml(),rd=td.TAG_NAMES,sd=td.NAMESPACES,od={treeAdapter:Ra},ld=/&/g,cd=/\u00a0/g,ud=/"/g,_d=//g;class Ad{constructor(Nd,Rd){this.options=qa(od,Rd),this.treeAdapter=this.options.treeAdapter,this.html="",this.startNode=Nd}serialize(){return this._serializeChildNodes(this.startNode),this.html}_serializeChildNodes(Nd){const Rd=this.treeAdapter.getChildNodes(Nd);if(Rd)for(let Bd=0,kd=Rd.length;Bd",Rd!==rd.AREA&&Rd!==rd.BASE&&Rd!==rd.BASEFONT&&Rd!==rd.BGSOUND&&Rd!==rd.BR&&Rd!==rd.COL&&Rd!==rd.EMBED&&Rd!==rd.FRAME&&Rd!==rd.HR&&Rd!==rd.IMG&&Rd!==rd.INPUT&&Rd!==rd.KEYGEN&&Rd!==rd.LINK&&Rd!==rd.META&&Rd!==rd.PARAM&&Rd!==rd.SOURCE&&Rd!==rd.TRACK&&Rd!==rd.WBR){const kd=Rd===rd.TEMPLATE&&Bd===sd.HTML?this.treeAdapter.getTemplateContent(Nd):Nd;this._serializeChildNodes(kd),this.html+=""}}_serializeAttributes(Nd){const Rd=this.treeAdapter.getAttrList(Nd);for(let Bd=0,kd=Rd.length;Bd"}_serializeDocumentTypeNode(Nd){const Rd=this.treeAdapter.getDocumentTypeNodeName(Nd);this.html+="<"+ed.serializeContent(Rd,null,null)+">"}}return Ad.escapeString=function(Td,Nd){return Td=Td.replace(ld,"&").replace(cd," "),Nd?Td=Td.replace(ud,"""):Td=Td.replace(_d,"<").replace(Ed,">"),Td},serializer=Ad,serializer}var hasRequiredLib$1;function requireLib$1(){if(hasRequiredLib$1)return lib$1;hasRequiredLib$1=1;const Ra=requireParser(),qa=requireSerializer();return lib$1.parse=function(td,rd){return new Ra(rd).parse(td)},lib$1.parseFragment=function(td,rd,sd){return typeof td=="string"&&(sd=rd,rd=td,td=null),new Ra(sd).parseFragment(rd,td)},lib$1.serialize=function(ed,td){return new qa(ed,td).serialize()},lib$1}var lib={},hasRequiredLib;function requireLib(){if(hasRequiredLib)return lib;hasRequiredLib=1;const Ra=requireDoctype(),{DOCUMENT_MODE:qa}=requireHtml(),ed={element:1,text:3,cdata:4,comment:8},td={tagName:"name",childNodes:"children",parentNode:"parent",previousSibling:"prev",nextSibling:"next",nodeValue:"data"};class rd{constructor(ud){for(const _d of Object.keys(ud))this[_d]=ud[_d]}get firstChild(){const ud=this.children;return ud&&ud[0]||null}get lastChild(){const ud=this.children;return ud&&ud[ud.length-1]||null}get nodeType(){return ed[this.type]||ed.element}}Object.keys(td).forEach(cd=>{const ud=td[cd];Object.defineProperty(rd.prototype,cd,{get:function(){return this[ud]||null},set:function(_d){return this[ud]=_d,_d}})}),lib.createDocument=function(){return new rd({type:"root",name:"root",parent:null,prev:null,next:null,children:[],"x-mode":qa.NO_QUIRKS})},lib.createDocumentFragment=function(){return new rd({type:"root",name:"root",parent:null,prev:null,next:null,children:[]})},lib.createElement=function(cd,ud,_d){const Ed=Object.create(null),Ad=Object.create(null),Td=Object.create(null);for(let Nd=0;Nd<_d.length;Nd++){const Rd=_d[Nd].name;Ed[Rd]=_d[Nd].value,Ad[Rd]=_d[Nd].namespace,Td[Rd]=_d[Nd].prefix}return new rd({type:cd==="script"||cd==="style"?cd:"tag",name:cd,namespace:ud,attribs:Ed,"x-attribsNamespace":Ad,"x-attribsPrefix":Td,children:[],parent:null,prev:null,next:null})},lib.createCommentNode=function(cd){return new rd({type:"comment",data:cd,parent:null,prev:null,next:null})};const sd=function(cd){return new rd({type:"text",data:cd,parent:null,prev:null,next:null})},od=lib.appendChild=function(cd,ud){const _d=cd.children[cd.children.length-1];_d&&(_d.next=ud,ud.prev=_d),cd.children.push(ud),ud.parent=cd},ld=lib.insertBefore=function(cd,ud,_d){const Ed=cd.children.indexOf(_d),Ad=_d.prev;Ad&&(Ad.next=ud,ud.prev=Ad),_d.prev=ud,ud.next=_d,cd.children.splice(Ed,0,ud),ud.parent=cd};return lib.setTemplateContent=function(cd,ud){od(cd,ud)},lib.getTemplateContent=function(cd){return cd.children[0]},lib.setDocumentType=function(cd,ud,_d,Ed){const Ad=Ra.serializeContent(ud,_d,Ed);let Td=null;for(let Nd=0;Nd"u"&&(cd.attribs[Ed]=ud[_d].value,cd["x-attribsNamespace"][Ed]=ud[_d].namespace,cd["x-attribsPrefix"][Ed]=ud[_d].prefix)}},lib.getFirstChild=function(cd){return cd.children[0]},lib.getChildNodes=function(cd){return cd.children},lib.getParentNode=function(cd){return cd.parent},lib.getAttrList=function(cd){const ud=[];for(const _d in cd.attribs)ud.push({name:_d,value:cd.attribs[_d],namespace:cd["x-attribsNamespace"][_d],prefix:cd["x-attribsPrefix"][_d]});return ud},lib.getTagName=function(cd){return cd.name},lib.getNamespaceURI=function(cd){return cd.namespace},lib.getTextNodeContent=function(cd){return cd.data},lib.getCommentNodeContent=function(cd){return cd.data},lib.getDocumentTypeNodeName=function(cd){return cd["x-name"]},lib.getDocumentTypeNodePublicId=function(cd){return cd["x-publicId"]},lib.getDocumentTypeNodeSystemId=function(cd){return cd["x-systemId"]},lib.isTextNode=function(cd){return cd.type==="text"},lib.isCommentNode=function(cd){return cd.type==="comment"},lib.isDocumentTypeNode=function(cd){return cd.type==="directive"&&cd.name==="!doctype"},lib.isElementNode=function(cd){return!!cd.attribs},lib.setNodeSourceCodeLocation=function(cd,ud){cd.sourceCodeLocation=ud},lib.getNodeSourceCodeLocation=function(cd){return cd.sourceCodeLocation},lib.updateNodeSourceCodeLocation=function(cd,ud){cd.sourceCodeLocation=Object.assign(cd.sourceCodeLocation,ud)},lib}var hasRequiredParse5Adapter;function requireParse5Adapter(){if(hasRequiredParse5Adapter)return parse5Adapter;hasRequiredParse5Adapter=1,Object.defineProperty(parse5Adapter,"__esModule",{value:!0}),parse5Adapter.render=parse5Adapter.parse=void 0;var Ra=require$$0$4,qa=requireLib$9(),ed=requireLib$1(),td=Ra.__importDefault(requireLib());function rd(od,ld,cd){var ud={scriptingEnabled:typeof ld.scriptingEnabled=="boolean"?ld.scriptingEnabled:!0,treeAdapter:td.default,sourceCodeLocationInfo:ld.sourceCodeLocationInfo},_d=ld.context;return cd?ed.parse(od,ud):ed.parseFragment(_d,od,ud)}parse5Adapter.parse=rd;function sd(od){for(var ld,cd=("length"in od)?od:[od],ud=0;ud/;function cd(ud){return ld.test(ud)}return utils$2.isHtml=cd,utils$2}var attributes={},hasRequiredAttributes;function requireAttributes(){if(hasRequiredAttributes)return attributes;hasRequiredAttributes=1,Object.defineProperty(attributes,"__esModule",{value:!0}),attributes.toggleClass=attributes.removeClass=attributes.addClass=attributes.hasClass=attributes.removeAttr=attributes.val=attributes.data=attributes.prop=attributes.attr=void 0;var Ra=require_static(),qa=requireUtils$1(),ed=Object.prototype.hasOwnProperty,td=/\s+/,rd="data-",sd={null:null,true:!0,false:!1},od=/^(?:autofocus|autoplay|async|checked|controls|defer|disabled|hidden|loop|multiple|open|readonly|required|scoped|selected)$/i,ld=/^{[^]*}$|^\[[^]*]$/;function cd(Vd,zd,Xd){var df;if(!(!Vd||!qa.isTag(Vd))){if((df=Vd.attribs)!==null&&df!==void 0||(Vd.attribs={}),!zd)return Vd.attribs;if(ed.call(Vd.attribs,zd))return!Xd&&od.test(zd)?zd:Vd.attribs[zd];if(Vd.name==="option"&&zd==="value")return Ra.text(Vd.children);if(Vd.name==="input"&&(Vd.attribs.type==="radio"||Vd.attribs.type==="checkbox")&&zd==="value")return"on"}}function ud(Vd,zd,Xd){Xd===null?Od(Vd,zd):Vd.attribs[zd]=""+Xd}function _d(Vd,zd){if(typeof Vd=="object"||zd!==void 0){if(typeof zd=="function"){if(typeof Vd!="string")throw new Error("Bad combination of arguments.");return qa.domEach(this,function(Xd,df){qa.isTag(Xd)&&ud(Xd,Vd,zd.call(Xd,df,Xd.attribs[Vd]))})}return qa.domEach(this,function(Xd){qa.isTag(Xd)&&(typeof Vd=="object"?Object.keys(Vd).forEach(function(df){var mf=Vd[df];ud(Xd,df,mf)}):ud(Xd,Vd,zd))})}return arguments.length>1?this:cd(this[0],Vd,this.options.xmlMode)}attributes.attr=_d;function Ed(Vd,zd,Xd){if(!(!Vd||!qa.isTag(Vd)))return zd in Vd?Vd[zd]:!Xd&&od.test(zd)?cd(Vd,zd,!1)!==void 0:cd(Vd,zd,Xd)}function Ad(Vd,zd,Xd,df){zd in Vd?Vd[zd]=Xd:ud(Vd,zd,!df&&od.test(zd)?Xd?"":null:""+Xd)}function Td(Vd,zd){var Xd=this;if(typeof Vd=="string"&&zd===void 0)switch(Vd){case"style":{var df=this.css(),mf=Object.keys(df);return mf.forEach(function(hf,gf){df[gf]=hf}),df.length=mf.length,df}case"tagName":case"nodeName":{var _f=this[0];return qa.isTag(_f)?_f.name.toUpperCase():void 0}case"outerHTML":return this.clone().wrap("").parent().html();case"innerHTML":return this.html();default:return Ed(this[0],Vd,this.options.xmlMode)}if(typeof Vd=="object"||zd!==void 0){if(typeof zd=="function"){if(typeof Vd=="object")throw new Error("Bad combination of arguments.");return qa.domEach(this,function(hf,gf){qa.isTag(hf)&&Ad(hf,Vd,zd.call(hf,gf,Ed(hf,Vd,Xd.options.xmlMode)),Xd.options.xmlMode)})}return qa.domEach(this,function(hf){qa.isTag(hf)&&(typeof Vd=="object"?Object.keys(Vd).forEach(function(gf){var yf=Vd[gf];Ad(hf,gf,yf,Xd.options.xmlMode)}):Ad(hf,Vd,zd,Xd.options.xmlMode))})}}attributes.prop=Td;function Nd(Vd,zd,Xd){var df,mf=Vd;(df=mf.data)!==null&&df!==void 0||(mf.data={}),typeof zd=="object"?Object.assign(mf.data,zd):typeof zd=="string"&&Xd!==void 0&&(mf.data[zd]=Xd)}function Rd(Vd,zd){var Xd,df,mf;zd==null?(Xd=Object.keys(Vd.attribs).filter(function(yf){return yf.startsWith(rd)}),df=Xd.map(function(yf){return qa.camelCase(yf.slice(rd.length))})):(Xd=[rd+qa.cssCase(zd)],df=[zd]);for(var _f=0;_f-1;){var mf=df+Vd.length;if((df===0||td.test(Xd[df-1]))&&(mf===Xd.length||td.test(Xd[mf])))return!0}return!1})}attributes.hasClass=Fd;function Ud(Vd){if(typeof Vd=="function")return qa.domEach(this,function(Nf,Pf){if(qa.isTag(Nf)){var Yf=Nf.attribs.class||"";Ud.call([Nf],Vd.call(Nf,Pf,Yf))}});if(!Vd||typeof Vd!="string")return this;for(var zd=Vd.split(td),Xd=this.length,df=0;df=0&&(_f.splice(yf,1),hf=!0,gf--)}hf&&(mf.attribs.class=_f.join(" "))}})}attributes.removeClass=Gd;function Qd(Vd,zd){if(typeof Vd=="function")return qa.domEach(this,function(Yf,Uf){qa.isTag(Yf)&&Qd.call([Yf],Vd.call(Yf,Uf,Yf.attribs.class||"",zd),zd)});if(!Vd||typeof Vd!="string")return this;for(var Xd=Vd.split(td),df=Xd.length,mf=typeof zd=="boolean"?zd?1:-1:0,_f=this.length,hf=0;hf<_f;hf++){var gf=this[hf];if(qa.isTag(gf)){for(var yf=Md(gf.attribs.class),Nf=0;Nf=0&&Pf<0?yf.push(Xd[Nf]):mf<=0&&Pf>=0&&yf.splice(Pf,1)}gf.attribs.class=yf.join(" ")}}return this}return attributes.toggleClass=Qd,attributes}var traversing={},hasRequiredTraversing;function requireTraversing(){if(hasRequiredTraversing)return traversing;hasRequiredTraversing=1,Object.defineProperty(traversing,"__esModule",{value:!0}),traversing.addBack=traversing.add=traversing.end=traversing.slice=traversing.index=traversing.toArray=traversing.get=traversing.eq=traversing.last=traversing.first=traversing.has=traversing.not=traversing.is=traversing.filterArray=traversing.filter=traversing.map=traversing.each=traversing.contents=traversing.children=traversing.siblings=traversing.prevUntil=traversing.prevAll=traversing.prev=traversing.nextUntil=traversing.nextAll=traversing.next=traversing.closest=traversing.parentsUntil=traversing.parents=traversing.parent=traversing.find=void 0;var Ra=require$$0$4,qa=requireLib$9(),ed=Ra.__importStar(requireLib$3()),td=requireUtils$1(),rd=require_static(),sd=requireLib$2(),od=sd.DomUtils.uniqueSort,ld=/^\s*[~+]/;function cd(Nf){var Pf;if(!Nf)return this._make([]);var Yf=this.toArray();if(typeof Nf!="string"){var Uf=td.isCheerio(Nf)?Nf.toArray():[Nf];return this._make(Uf.filter(function(wf){return Yf.some(function(Jf){return rd.contains(Jf,wf)})}))}var Lf=ld.test(Nf)?Yf:this.children().toArray(),xf={context:Yf,root:(Pf=this._root)===null||Pf===void 0?void 0:Pf[0],xmlMode:this.options.xmlMode};return this._make(ed.select(Nf,Lf,xf))}traversing.find=cd;function ud(Nf){return function(Pf){for(var Yf=[],Uf=1;Uf1&&wf.length>1?Yf.reduce(function(Jf,Qf){return Qf(Jf)},wf):wf)}}}var _d=ud(function(Nf,Pf){for(var Yf,Uf=[],Lf=0;Lf0})}traversing.has=Gd;function Qd(){return this.length>1?this._make(this[0]):this}traversing.first=Qd;function Vd(){return this.length>0?this._make(this[this.length-1]):this}traversing.last=Vd;function zd(Nf){var Pf;return Nf=+Nf,Nf===0&&this.length<=1?this:(Nf<0&&(Nf=this.length+Nf),this._make((Pf=this[Nf])!==null&&Pf!==void 0?Pf:[]))}traversing.eq=zd;function Xd(Nf){return Nf==null?this.toArray():this[Nf<0?this.length+Nf:Nf]}traversing.get=Xd;function df(){return Array.prototype.slice.call(this)}traversing.toArray=df;function mf(Nf){var Pf,Yf;return Nf==null?(Pf=this.parent().children(),Yf=this[0]):typeof Nf=="string"?(Pf=this._make(Nf),Yf=this[0]):(Pf=this,Yf=td.isCheerio(Nf)?Nf[0]:Nf),Array.prototype.indexOf.call(Pf,Yf)}traversing.index=mf;function _f(Nf,Pf){return this._make(Array.prototype.slice.call(this,Nf,Pf))}traversing.slice=_f;function hf(){var Nf;return(Nf=this.prevObject)!==null&&Nf!==void 0?Nf:this._make([])}traversing.end=hf;function gf(Nf,Pf){var Yf=this._make(Nf,Pf),Uf=od(Ra.__spreadArray(Ra.__spreadArray([],this.get()),Yf.get()));return this._make(Uf)}traversing.add=gf;function yf(Nf){return this.prevObject?this.add(Nf?this.prevObject.filter(Nf):this.prevObject):this}return traversing.addBack=yf,traversing}var manipulation={},hasRequiredManipulation;function requireManipulation(){if(hasRequiredManipulation)return manipulation;hasRequiredManipulation=1,Object.defineProperty(manipulation,"__esModule",{value:!0}),manipulation.clone=manipulation.text=manipulation.toString=manipulation.html=manipulation.empty=manipulation.replaceWith=manipulation.remove=manipulation.insertBefore=manipulation.before=manipulation.insertAfter=manipulation.after=manipulation.wrapAll=manipulation.unwrap=manipulation.wrapInner=manipulation.wrap=manipulation.prepend=manipulation.append=manipulation.prependTo=manipulation.appendTo=manipulation._makeDomArray=void 0;var Ra=require$$0$4,qa=requireLib$9(),ed=requireLib$9(),td=Ra.__importStar(requireParse()),rd=require_static(),sd=requireUtils$1(),od=requireLib$2();function ld(zd,Xd){var df=this;return zd==null?[]:sd.isCheerio(zd)?Xd?sd.cloneDom(zd.get()):zd.get():Array.isArray(zd)?zd.reduce(function(mf,_f){return mf.concat(df._makeDomArray(_f,Xd))},[]):typeof zd=="string"?td.default(zd,this.options,!1).children:Xd?sd.cloneDom([zd]):[zd]}manipulation._makeDomArray=ld;function cd(zd){return function(){for(var Xd=this,df=[],mf=0;mf-1&&(Lf.children.splice(xf,1),_f===Lf&&Xd>xf&&yf[0]--)}Uf.parent=_f,Uf.prev&&(Uf.prev.next=(hf=Uf.next)!==null&&hf!==void 0?hf:null),Uf.next&&(Uf.next.prev=(gf=Uf.prev)!==null&&gf!==void 0?gf:null),Uf.prev=mf[Yf-1]||Nf,Uf.next=mf[Yf+1]||Pf}return Nf&&(Nf.next=mf[0]),Pf&&(Pf.prev=mf[mf.length-1]),zd.splice.apply(zd,yf)}function _d(zd){var Xd=sd.isCheerio(zd)?zd:this._make(zd);return Xd.append(this),this}manipulation.appendTo=_d;function Ed(zd){var Xd=sd.isCheerio(zd)?zd:this._make(zd);return Xd.prepend(this),this}manipulation.prependTo=Ed,manipulation.append=cd(function(zd,Xd,df){ud(Xd,Xd.length,0,zd,df)}),manipulation.prepend=cd(function(zd,Xd,df){ud(Xd,0,0,zd,df)});function Ad(zd){return function(Xd){for(var df=this.length-1,mf=this.parents().last(),_f=0;_f0?Nf:!1}function Md(yf){return yf===Pd(1)}function Pd(yf){return td[ld+(yf||1)]}function Fd(){var yf=Ed.pop();return _d=Ed[Ed.length-1],yf}function Ud(yf){return _d=yf,Ed.push(_d),Ed.length}function Gd(yf){var Nf=_d;return Ed[Ed.length-1]=_d=yf,Nf}function Qd(yf){if((yf||1)==1)td[ld]==` `?(ud++,od=1):od++,ld++;else{var Nf=td.slice(ld,ld+yf).split(` `);Nf.length>1&&(ud+=Nf.length-1,od=1),od+=Nf[Nf.length-1].length,ld=ld+yf}}function Vd(){Ad.end={line:ud,col:od},Td.push(Ad),rd="",Ad={}}function zd(yf){Ad={type:yf,start:{line:ud,col:od}}}for(;sd=Rd();)switch(sd){case" ":switch(Bd()){case"selector":case"value":case"value-paren":case"at-group":case"at-value":case"comment":case"double-string":case"single-string":rd+=sd;break}break;case` `:case" ":case"\r":case"\f":switch(Bd()){case"value":case"value-paren":case"at-group":case"comment":case"single-string":case"double-string":case"selector":rd+=sd;break;case"at-value":sd===` `&&(Ad.value=rd.trim(),Vd(),Fd());break}break;case":":switch(Bd()){case"name":Ad.name=rd.trim(),rd="",Gd("before-value");break;case"before-selector":rd+=sd,zd("selector"),Ud("selector");break;case"before-value":Gd("value"),rd+=sd;break;default:rd+=sd;break}break;case";":switch(Bd()){case"name":case"before-value":case"value":rd.trim().length>0&&(Ad.value=rd.trim(),Vd()),Gd("before-name");break;case"value-paren":rd+=sd;break;case"at-value":Ad.value=rd.trim(),Vd(),Fd();break;case"before-name":break;default:rd+=sd;break}break;case"{":switch(Bd()){case"selector":if(Pd(-1)==="\\"){rd+=sd;break}Ad.text=rd.trim(),Vd(),Gd("before-name"),cd=cd+1;break;case"at-group":switch(Ad.name=rd.trim(),Ad.type){case"font-face":case"viewport":case"page":Ud("before-name");break;default:Ud("before-selector")}Vd(),cd=cd+1;break;case"name":case"at-rule":Ad.name=rd.trim(),Vd(),Ud("before-name"),cd=cd+1;break;case"comment":case"double-string":case"single-string":rd+=sd;break;case"before-value":Gd("value"),rd+=sd;break}break;case"}":switch(Bd()){case"before-name":case"name":case"before-value":case"value":rd&&(Ad.value=rd.trim()),Ad.name&&Ad.value&&Vd(),zd("end"),Vd(),Fd(),Bd()==="at-group"&&(zd("at-group-end"),Vd(),Fd()),cd>0&&(cd=cd-1);break;case"at-group":case"before-selector":case"selector":if(Pd(-1)==="\\"){rd+=sd;break}cd>0&&Bd(1)==="at-group"&&(zd("at-group-end"),Vd()),cd>1&&Fd(),cd>0&&(cd=cd-1);break;case"double-string":case"single-string":case"comment":rd+=sd;break}break;case'"':case"'":switch(Bd()){case"double-string":sd==='"'&&Pd(-1)!=="\\"&&Fd();break;case"single-string":sd==="'"&&Pd(-1)!=="\\"&&Fd();break;case"before-at-value":Gd("at-value"),Ud(sd==='"'?"double-string":"single-string");break;case"before-value":Gd("value"),Ud(sd==='"'?"double-string":"single-string");break;case"comment":break;default:Pd(-1)!=="\\"&&Ud(sd==='"'?"double-string":"single-string")}rd+=sd;break;case"/":switch(Bd()){case"comment":case"double-string":case"single-string":rd+=sd;break;case"before-value":case"selector":case"name":case"value":if(Md("*")){var Xd=Od("*/");Xd&&Qd(Xd+1)}else Bd()=="before-value"&&Gd("value"),rd+=sd;break;default:Md("*")?(zd("comment"),Ud("comment"),Qd()):rd+=sd;break}break;case"*":switch(Bd()){case"comment":Md("/")?(Ad.text=rd,Qd(),Vd(),Fd()):rd+=sd;break;case"before-selector":rd+=sd,zd("selector"),Ud("selector");break;case"before-value":Gd("value"),rd+=sd;break;default:rd+=sd}break;case"@":switch(Bd()){case"comment":case"double-string":case"single-string":rd+=sd;break;case"before-value":Gd("value"),rd+=sd;break;default:for(var df=!1,mf,_f,hf=0,gf=Nd.length;!df&&hf+)\\s*|(\\s+)|(+|\\*)|\\#(+)|\\.(+)|\\[\\s*(+)(?:\\s*([*^$!~|]?=)(?:\\s*(?:(["']?)(.*?)\\9)))?\\s*\\](?!\\])|(:+)(+)(?:\\((?:(?:(["'])([^\\13]*)\\13)|((?:\\([^)]+\\)|[^()]*)+))\\))?)`.replace(//,"["+escape$1(">+~`!@$%^&={}\\;/g,"(?:[\\w\\u00a1-\\uFFFF-]|\\\\[^\\s0-9a-f])").replace(//g,"(?:[:\\w\\u00a1-\\uFFFF-]|\\\\[^\\s0-9a-f])")),Part=function Ra(qa){this.combinator=qa||" ",this.tag="*"};Part.prototype.toString=function(){if(!this.raw){var Ra="",qa,ed;if(Ra+=this.tag||"*",this.id&&(Ra+="#"+this.id),this.classes&&(Ra+="."+this.classList.join(".")),this.attributes)for(qa=0;ed=this.attributes[qa++];)Ra+="["+ed.name+(ed.operator?ed.operator+'"'+ed.value+'"':"")+"]";if(this.pseudos)for(qa=0;ed=this.pseudos[qa++];)Ra+=":"+ed.name,ed.value&&(Ra+="("+ed.value+")");this.raw=Ra}return this.raw};var Expression=function Ra(){this.length=0};Expression.prototype.toString=function(){if(!this.raw){for(var Ra="",qa=0,ed;ed=this[qa++];)qa!==1&&(Ra+=" "),ed.combinator!==" "&&(Ra+=ed.combinator+" "),Ra+=ed;this.raw=Ra}return this.raw};var replacer=function(Ra,qa,ed,td,rd,sd,od,ld,cd,ud,_d,Ed,Ad,Td,Nd,Rd){var Bd,kd;if((qa||!this.length)&&(Bd=this[this.length++]=new Expression,qa))return"";if(Bd||(Bd=this[this.length-1]),(ed||td||!Bd.length)&&(kd=Bd[Bd.length++]=new Part(ed)),kd||(kd=Bd[Bd.length-1]),rd)kd.tag=unescape$1(rd);else if(sd)kd.id=unescape$1(sd);else if(od){var Od=unescape$1(od),Md=kd.classes||(kd.classes={});if(!Md[Od]){Md[Od]=escape$1(od);var Pd=kd.classList||(kd.classList=[]);Pd.push(Od),Pd.sort()}}else Ad?(Rd=Rd||Nd,(kd.pseudos||(kd.pseudos=[])).push({type:Ed.length==1?"class":"element",name:unescape$1(Ad),escapedName:escape$1(Ad),value:Rd?unescape$1(Rd):null,escapedValue:Rd?escape$1(Rd):null})):ld&&(_d=_d?escape$1(_d):null,(kd.attributes||(kd.attributes=[])).push({operator:cd,name:unescape$1(ld),escapedName:escape$1(ld),value:_d?unescape$1(_d):null,escapedValue:_d?escape$1(_d):null}));return""},Expressions=function Ra(qa){this.length=0;for(var ed=this,td=qa,rd;qa;){if(rd=qa.replace(slickRe,function(){return replacer.apply(ed,arguments)}),rd===qa)throw new Error(td+" is an invalid expression");qa=rd}};Expressions.prototype.toString=function(){if(!this.raw){for(var Ra=[],qa=0,ed;ed=this[qa++];)Ra.push(ed);this.raw=Ra.join(", ")}return this.raw};var cache={},parse=function(Ra){return Ra==null?null:(Ra=(""+Ra).replace(/^\s+|\s+$/g,""),cache[Ra]||(cache[Ra]=new Expressions(Ra)))},parser=parse;(function(Ra,qa){var ed=parser;Ra.exports=td;function td(sd,od){this.text=sd,this.spec=void 0,this.styleAttribute=od||!1}td.prototype.parsed=function(){return this.tokens||(this.tokens=rd(this.text)),this.tokens},td.prototype.specificity=function(){var sd=this.styleAttribute;return this.spec||(this.spec=od(this.text,this.parsed())),this.spec;function od(ld,cd){for(var ud=cd||rd(ld),_d=[sd?1:0,0,0,0],Ed=[],Ad=0;Ad=0;_d--)(sd.fontFaces&&cd[_d].type==="font-face"||sd.mediaQueries&&cd[_d].type==="media"||sd.keyFrames&&cd[_d].type==="keyframes"||sd.pseudos&&cd[_d].selectors&&this.matchesPseudo(cd[_d].selectors[0],od))&&ud.unshift(qa.stringify({stylesheet:{rules:[cd[_d]]}},{comments:!1,indentation:" "})),cd[_d].position.start;return ud.length===0?!1:` `+ud.join(` `)+` `},Ra.normalizeLineEndings=function(rd){return rd.replace(/\r\n/g,` `).replace(/\n/g,`\r `)},Ra.matchesPseudo=function(rd,sd){return sd.find(function(od){return rd.indexOf(od)>-1})},Ra.compareFunc=function(rd,sd){for(var od=Math.min(rd.length,sd.length),ld=0;ldsd[ld]?1:-1;return rd.length-sd.length},Ra.compare=function(rd,sd){return Ra.compareFunc(rd,sd)==1?rd:sd},Ra.getDefaultOptions=function(rd){var sd=Object.assign({extraCss:"",insertPreservedExtraCss:!0,applyStyleTags:!0,removeStyleTags:!0,preserveMediaQueries:!0,preserveFontFaces:!0,preserveKeyFrames:!0,preservePseudos:!0,applyWidthAttributes:!0,applyHeightAttributes:!0,applyAttributesTableElements:!0,url:""},rd);return sd.webResources=sd.webResources||{},sd}}(utils$1)),utils$1}(function(Ra){var qa=lib$b;requireUtils();var ed=function(rd,sd,od){return sd=Object.assign({decodeEntities:!1,_useHtmlParser2:!0},sd),rd=od(rd),qa.load(rd,sd)},td=function(){var rd=[],sd=function(ld){var cd=Ra.exports.codeBlocks;return Object.keys(cd).forEach(function(ud){var _d=new RegExp(cd[ud].start+"([\\S\\s]*?)"+cd[ud].end,"g");ld=ld.replace(_d,function(Ed,Ad){return rd.push(Ed),"JUICE_CODE_BLOCK_"+(rd.length-1)+"_"})}),ld},od=function(ld){for(var cd=0;cd"},HBS:{start:"{{",end:"}}"}}})(cheerio$2);var cheerioExports=cheerio$2.exports,numbers$1={};numbers$1.romanize=function(Ra){if(isNaN(Ra))return NaN;for(var qa=String(+Ra).split(""),ed=["","C","CC","CCC","CD","D","DC","DCC","DCCC","CM","","X","XX","XXX","XL","L","LX","LXX","LXXX","XC","","I","II","III","IV","V","VI","VII","VIII","IX"],td="",rd=3;rd--;)td=(ed[+qa.pop()+rd*10]||"")+td;return Array(+qa.join("")+1).join("M")+td};numbers$1.alphanumeric=function(Ra){for(var qa="",ed;Ra>0;)ed=(Ra-1)%26,qa=String.fromCharCode(65+ed)+qa,Ra=(Ra-ed)/26|0;return qa||void 0};var utils=requireUtils(),numbers=numbers$1,inline=function Ra(qa){qa.ignoredPseudos=["hover","active","focus","visited","link"],qa.widthElements=["TABLE","TD","TH","IMG"],qa.heightElements=["TABLE","TD","TH","IMG"],qa.tableElements=["TABLE","TH","TR","TD","CAPTION","COLGROUP","COL","THEAD","TBODY","TFOOT"],qa.nonVisualElements=["HEAD","TITLE","BASE","LINK","STYLE","META","SCRIPT","NOSCRIPT"],qa.styleToAttribute={"background-color":"bgcolor","background-image":"background","text-align":"align","vertical-align":"valign"},qa.excludedProperties=[],qa.juiceDocument=_d,qa.inlineDocument=ed;function ed(Td,Nd,Rd){Rd=Rd||{};var Bd=utils.parseCSS(Nd),kd=[],Od="style",Md={};if(Rd.styleAttributeName&&(Od=Rd.styleAttributeName),Bd.forEach(Ud),kd.forEach(Gd),Rd.inlinePseudoElements&&kd.forEach(Qd),Rd.applyWidthAttributes&&kd.forEach(function(df){Vd(df,"width")}),Rd.applyHeightAttributes&&kd.forEach(function(df){Vd(df,"height")}),Rd.applyAttributesTableElements&&kd.forEach(Xd),Rd.insertPreservedExtraCss&&Rd.extraCss){var Pd=utils.getPreservedText(Rd.extraCss,{mediaQueries:Rd.preserveMediaQueries,fontFaces:Rd.preserveFontFaces,keyFrames:Rd.preserveKeyFrames});if(Pd){var Fd=null;Rd.insertPreservedExtraCss!==!0?Fd=Td(Rd.insertPreservedExtraCss):(Fd=Td("head"),Fd.length||(Fd=Td("body")),Fd.length||(Fd=Td.root())),Fd.first().append("")}}function Ud(df){var mf=df[0],_f=df[1],hf=new utils.Selector(mf),gf=hf.parsed();if(gf){for(var yf=ld(gf),Nf=0;Nf=0)return}}if(yf){var Lf=gf[gf.length-1],xf=Lf.pseudos;Lf.pseudos=ud(Lf.pseudos),mf=gf.toString(),Lf.pseudos=xf}var wf;try{wf=Td(mf)}catch{return}wf.each(function(){var Jf=this;if(Jf.name&&qa.nonVisualElements.indexOf(Jf.name.toUpperCase())>=0)return;if(yf){var Qf="pseudo"+yf,Ef=Jf[Qf];Ef||(Ef=Jf[Qf]=Td("").get(0),Ef.pseudoElementType=yf,Ef.pseudoElementParent=Jf,Ef.counterProps=Jf.counterProps,Jf[Qf]=Ef),Jf=Ef}if(!Jf.styleProps){if(Jf.styleProps={},Td(Jf).attr(Od)){var bf="* { "+Td(Jf).attr(Od)+" } ";nh(utils.parseCSS(bf)[0][1],new utils.Selector(" `),cd.value=nh},hf=()=>{const nh=css2json(Pd.value.getValue()),zf=customCssWithTemplate(nh,Ad.value,customizeTheme(themeMap[ud.value],{fontSize:Rd.value,color:Ad.value}));mf.setOptions({theme:zf}),_f()};onMounted(()=>{const nh=document.querySelector("#cssEditor");nh.value=Qd().content;const zf=Ra.value?"darcula":"xq-light";Pd.value=markRaw(CodeMirror.fromTextArea(nh,{mode:"css",theme:zf,lineNumbers:!1,lineWrapping:!0,styleActiveLine:!0,matchBrackets:!0,autofocus:!0,extraKeys:{[`${shiftKey}-${altKey}-F`]:function(th){formatDoc(th.getValue(),"css").then(hh=>{Qd().content=hh,th.setValue(hh)})}}})),Pd.value.on("keyup",($f,th)=>{(th.keyCode>=65&&th.keyCode<=90||th.keyCode===189)&&$f.showHint(th)}),Pd.value.on("update",()=>{hf(),Qd().content=Pd.value.getValue()})}),watch(Ra,()=>{var zf,$f;const nh=Ra.value?"darcula":"xq-light";($f=(zf=toRaw(Pd.value))==null?void 0:zf.setOption)==null||$f.call(zf,"theme",nh)});const gf=()=>{od.value=!1,ed.value=!0,ud.value=themeOptions[0].value,_d.value=fontFamilyOptions[0].value,_d.value=fontFamilyOptions[0].value,Ed.value=fontSizeOptions[2].value,Ad.value=colorOptions[0].value,Td.value=codeBlockThemeOptions[2].value,Nd.value=legendOptions[3].value,Gd.value={active:"方案 1",tabs:[{title:"方案 1",name:"方案 1",content:Ud.value||DEFAULT_CSS_CONTENT}]},Pd.value.setValue(DEFAULT_CSS_CONTENT),hf(),_f()},yf=nh=>(...zf)=>{nh(...zf),_f()},Nf=(nh,zf)=>{const $f=themeMap[ud.value],th=nh.replace("px","");return customCssWithTemplate(css2json(Qd().content),zf,customizeTheme($f,{fontSize:th,color:zf}))},Pf=yf(nh=>{mf.setOptions({theme:customCssWithTemplate(css2json(Qd().content),Ad.value,customizeTheme(themeMap[nh],{fontSize:Rd.value}))}),ud.value=nh}),Yf=yf(nh=>{mf.setOptions({fonts:nh}),_d.value=nh}),Uf=yf(nh=>{const zf=Nf(nh,Ad.value);mf.setOptions({size:nh,theme:zf}),Ed.value=nh}),Lf=yf(nh=>{const zf=Nf(Ed.value,nh);mf.setOptions({theme:zf}),Ad.value=nh}),xf=yf(nh=>{Td.value=nh}),wf=yf(nh=>{Nd.value=nh}),Jf=yf(()=>{td()}),Qf=yf(()=>{ld()});return{isDark:Ra,toggleDark:qa,isEditOnLeft:rd,toggleEditOnLeft:sd,isMacCodeBlock:ed,isCiteStatus:od,citeStatusChanged:Qf,output:cd,editor:Bd,cssEditor:Pd,theme:ud,fontFamily:_d,fontSize:Ed,primaryColor:Ad,codeBlockTheme:Td,legend:Nd,editorRefresh:_f,themeChanged:Pf,fontChanged:Yf,sizeChanged:Uf,colorChanged:Lf,codeBlockThemeChanged:xf,legendChanged:wf,macCodeBlockChanged:Jf,formatContent:Od,exportEditorContent2HTML:()=>{exportHTML(),document.querySelector("#output").innerHTML=cd.value},exportEditorContent2MD:()=>{downloadMD(Bd.value.getValue())},importMarkdownContent:()=>{const nh=document.body,zf=document.createElement("input");zf.type="file",zf.name="filename",zf.accept=".md",zf.onchange=()=>{const $f=zf.files[0];if(!$f)return;const th=new FileReader;th.readAsText($f),th.onload=hh=>{Bd.value.setValue(hh.target.result),ElMessage.success("文档导入成功")}},nh.appendChild(zf),zf.click(),nh.removeChild(zf)},resetStyleConfirm:()=>{ElMessageBox.confirm("此操作将丢失本地自定义样式,是否继续?","提示",{confirmButtonText:"确定",cancelButtonText:"取消",type:"warning",center:!0}).then(()=>{gf(),ElMessage({type:"success",message:"样式重置成功~"})}).catch(()=>{Bd.value.focus()})},editorContent:kd,cssContentConfig:Gd,addCssContentTab:Xd,validatorTabName:df,setCssEditorValue:Fd,tabChanged:Vd,renameTab:zd}}),useDisplayStore=defineStore("display",()=>{const Ra=ref$1(!1),qa=useToggle(Ra),ed=ref$1(!1),td=useToggle(ed),rd=ref$1(!1),sd=useToggle(rd);return{isShowCssEditor:Ra,toggleShowCssEditor:qa,isShowInsertFormDialog:ed,toggleShowInsertFormDialog:td,isShowUploadImgDialog:rd,toggleShowUploadImgDialog:sd}});/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const toKebabCase=Ra=>Ra.replace(/([a-z0-9])([A-Z])/g,"$1-$2").toLowerCase();/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */var defaultAttributes={xmlns:"http://www.w3.org/2000/svg",width:24,height:24,viewBox:"0 0 24 24",fill:"none",stroke:"currentColor","stroke-width":2,"stroke-linecap":"round","stroke-linejoin":"round"};/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const Icon=({size:Ra,strokeWidth:qa=2,absoluteStrokeWidth:ed,color:td,iconNode:rd,name:sd,class:od,...ld},{slots:cd})=>h$1("svg",{...defaultAttributes,width:Ra||defaultAttributes.width,height:Ra||defaultAttributes.height,stroke:td||defaultAttributes.stroke,"stroke-width":ed?Number(qa)*24/Number(Ra):qa,class:["lucide",`lucide-${toKebabCase(sd??"icon")}`],...ld},[...rd.map(ud=>h$1(...ud)),...cd.default?[cd.default()]:[]]);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const createLucideIcon=(Ra,qa)=>(ed,{slots:td})=>h$1(Icon,{...ed,iconNode:qa,name:Ra},td);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const Check=createLucideIcon("CheckIcon",[["path",{d:"M20 6 9 17l-5-5",key:"1gmf2c"}]]);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const ChevronDown=createLucideIcon("ChevronDownIcon",[["path",{d:"m6 9 6 6 6-6",key:"qrunsl"}]]);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const ChevronRight=createLucideIcon("ChevronRightIcon",[["path",{d:"m9 18 6-6-6-6",key:"mthhwq"}]]);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const ChevronUp=createLucideIcon("ChevronUpIcon",[["path",{d:"m18 15-6-6-6 6",key:"153udz"}]]);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const CloudUpload=createLucideIcon("CloudUploadIcon",[["path",{d:"M12 13v8",key:"1l5pq0"}],["path",{d:"M4 14.899A7 7 0 1 1 15.71 8h1.79a4.5 4.5 0 0 1 2.5 8.242",key:"1pljnt"}],["path",{d:"m8 17 4-4 4 4",key:"1quai1"}]]);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const Moon=createLucideIcon("MoonIcon",[["path",{d:"M12 3a6 6 0 0 0 9 9 9 9 0 1 1-9-9Z",key:"a7tn18"}]]);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const Paintbrush=createLucideIcon("PaintbrushIcon",[["path",{d:"m14.622 17.897-10.68-2.913",key:"vj2p1u"}],["path",{d:"M18.376 2.622a1 1 0 1 1 3.002 3.002L17.36 9.643a.5.5 0 0 0 0 .707l.944.944a2.41 2.41 0 0 1 0 3.408l-.944.944a.5.5 0 0 1-.707 0L8.354 7.348a.5.5 0 0 1 0-.707l.944-.944a2.41 2.41 0 0 1 3.408 0l.944.944a.5.5 0 0 0 .707 0z",key:"18tc5c"}],["path",{d:"M9 8c-1.804 2.71-3.97 3.46-6.583 3.948a.507.507 0 0 0-.302.819l7.32 8.883a1 1 0 0 0 1.185.204C12.735 20.405 16 16.792 16 15",key:"ytzfxy"}]]);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const Sun=createLucideIcon("SunIcon",[["circle",{cx:"12",cy:"12",r:"4",key:"4exip2"}],["path",{d:"M12 2v2",key:"tus03m"}],["path",{d:"M12 20v2",key:"1lh1kg"}],["path",{d:"m4.93 4.93 1.41 1.41",key:"149t6j"}],["path",{d:"m17.66 17.66 1.41 1.41",key:"ptbguv"}],["path",{d:"M2 12h2",key:"1t8f8n"}],["path",{d:"M20 12h2",key:"1q8mjw"}],["path",{d:"m6.34 17.66-1.41 1.41",key:"1m8zz5"}],["path",{d:"m19.07 4.93-1.41 1.41",key:"1shlcs"}]]);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const Table=createLucideIcon("TableIcon",[["path",{d:"M12 3v18",key:"108xh3"}],["rect",{width:"18",height:"18",x:"3",y:"3",rx:"2",key:"afitv7"}],["path",{d:"M3 9h18",key:"1pudct"}],["path",{d:"M3 15h18",key:"5xshup"}]]);/** * @license lucide-vue-next v0.428.0 - ISC * * This source code is licensed under the ISC license. * See the LICENSE file in the root directory of this source tree. */const X=createLucideIcon("XIcon",[["path",{d:"M18 6 6 18",key:"1bl5f8"}],["path",{d:"m6 6 12 12",key:"d8bk6v"}]]);function isComponentPublicInstance(Ra){return Ra!=null&&typeof Ra=="object"&&"$el"in Ra}function unwrapElement(Ra){if(isComponentPublicInstance(Ra)){const qa=Ra.$el;return isNode$1(qa)&&getNodeName(qa)==="#comment"?null:qa}return Ra}function toValue$1(Ra){return typeof Ra=="function"?Ra():unref(Ra)}function arrow(Ra){return{name:"arrow",options:Ra,fn(qa){const ed=unwrapElement(toValue$1(Ra.element));return ed==null?{}:arrow$1({element:ed,padding:Ra.padding}).fn(qa)}}}function getDPR(Ra){return typeof window>"u"?1:(Ra.ownerDocument.defaultView||window).devicePixelRatio||1}function roundByDPR(Ra,qa){const ed=getDPR(Ra);return Math.round(qa*ed)/ed}function useFloating(Ra,qa,ed){ed===void 0&&(ed={});const td=ed.whileElementsMounted,rd=computed(()=>{var Gd;return(Gd=toValue$1(ed.open))!=null?Gd:!0}),sd=computed(()=>toValue$1(ed.middleware)),od=computed(()=>{var Gd;return(Gd=toValue$1(ed.placement))!=null?Gd:"bottom"}),ld=computed(()=>{var Gd;return(Gd=toValue$1(ed.strategy))!=null?Gd:"absolute"}),cd=computed(()=>{var Gd;return(Gd=toValue$1(ed.transform))!=null?Gd:!0}),ud=computed(()=>unwrapElement(Ra.value)),_d=computed(()=>unwrapElement(qa.value)),Ed=ref$1(0),Ad=ref$1(0),Td=ref$1(ld.value),Nd=ref$1(od.value),Rd=shallowRef({}),Bd=ref$1(!1),kd=computed(()=>{const Gd={position:Td.value,left:"0",top:"0"};if(!_d.value)return Gd;const Qd=roundByDPR(_d.value,Ed.value),Vd=roundByDPR(_d.value,Ad.value);return cd.value?{...Gd,transform:"translate("+Qd+"px, "+Vd+"px)",...getDPR(_d.value)>=1.5&&{willChange:"transform"}}:{position:Td.value,left:Qd+"px",top:Vd+"px"}});let Od;function Md(){ud.value==null||_d.value==null||computePosition(ud.value,_d.value,{middleware:sd.value,placement:od.value,strategy:ld.value}).then(Gd=>{Ed.value=Gd.x,Ad.value=Gd.y,Td.value=Gd.strategy,Nd.value=Gd.placement,Rd.value=Gd.middlewareData,Bd.value=!0})}function Pd(){typeof Od=="function"&&(Od(),Od=void 0)}function Fd(){if(Pd(),td===void 0){Md();return}if(ud.value!=null&&_d.value!=null){Od=td(ud.value,_d.value,Md);return}}function Ud(){rd.value||(Bd.value=!1)}return watch([sd,od,ld],Md,{flush:"sync"}),watch([ud,_d],Fd,{flush:"sync"}),watch(rd,Ud,{flush:"sync"}),getCurrentScope()&&onScopeDispose(Pd),{x:shallowReadonly(Ed),y:shallowReadonly(Ad),strategy:shallowReadonly(Td),placement:shallowReadonly(Nd),middlewareData:shallowReadonly(Rd),isPositioned:shallowReadonly(Bd),floatingStyles:kd,update:Md}}function Q(Ra,qa){const ed=typeof Ra=="string"&&!qa?`${Ra}Context`:qa,td=Symbol(ed);return[rd=>{const sd=inject(td,rd);if(sd||sd===null)return sd;throw new Error(`Injection \`${td.toString()}\` not found. Component must be used within ${Array.isArray(Ra)?`one of the following components: ${Ra.join(", ")}`:`\`${Ra}\``}`)},rd=>(provide(td,rd),rd)]}function Kt(Ra,qa,ed){const td=ed.originalEvent.target,rd=new CustomEvent(Ra,{bubbles:!1,cancelable:!0,detail:ed});qa&&td.addEventListener(Ra,qa,{once:!0}),td.dispatchEvent(rd)}function Ht(Ra,qa=Number.NEGATIVE_INFINITY,ed=Number.POSITIVE_INFINITY){return Math.min(Math.max(Ra,qa),ed)}function zt(Ra){return Ra==null}function Yr(Ra,qa){var ed;const td=shallowRef();return watchEffect(()=>{td.value=Ra()},{...qa,flush:(ed=void 0)!=null?ed:"sync"}),readonly(td)}function mt(Ra){return getCurrentScope()?(onScopeDispose(Ra),!0):!1}function ia(){const Ra=new Set,qa=ed=>{Ra.delete(ed)};return{on:ed=>{Ra.add(ed);const td=()=>qa(ed);return mt(td),{off:td}},off:qa,trigger:(...ed)=>Promise.all(Array.from(Ra).map(td=>td(...ed)))}}function Zr(Ra){let qa=!1,ed;const td=effectScope(!0);return(...rd)=>(qa||(ed=td.run(()=>Ra(...rd)),qa=!0),ed)}function wl(Ra){let qa=0,ed,td;const rd=()=>{qa-=1,td&&qa<=0&&(td.stop(),ed=void 0,td=void 0)};return(...sd)=>(qa+=1,ed||(td=effectScope(!0),ed=td.run(()=>Ra(...sd))),mt(rd),ed)}function Ke(Ra){return typeof Ra=="function"?Ra():unref(Ra)}const qe=typeof window<"u"&&typeof document<"u";typeof WorkerGlobalScope<"u"&&globalThis instanceof WorkerGlobalScope;const Qr=Ra=>typeof Ra<"u",ti=Object.prototype.toString,ai=Ra=>ti.call(Ra)==="[object Object]",Va=()=>{},Lo=ni();function ni(){var Ra,qa;return qe&&((Ra=window==null?void 0:window.navigator)==null?void 0:Ra.userAgent)&&(/iP(?:ad|hone|od)/.test(window.navigator.userAgent)||((qa=window==null?void 0:window.navigator)==null?void 0:qa.maxTouchPoints)>2&&/iPad|Macintosh/.test(window==null?void 0:window.navigator.userAgent))}function xl(Ra,qa){function ed(...td){return new Promise((rd,sd)=>{Promise.resolve(Ra(()=>qa.apply(this,td),{fn:qa,thisArg:this,args:td})).then(rd).catch(sd)})}return ed}const Sl=Ra=>Ra();function li(Ra=Sl){const qa=ref$1(!0);function ed(){qa.value=!1}function td(){qa.value=!0}const rd=(...sd)=>{qa.value&&Ra(...sd)};return{isActive:readonly(qa),pause:ed,resume:td,eventFilter:rd}}function El(Ra){return getCurrentInstance()}function $t(Ra,qa=1e4){return customRef((ed,td)=>{let rd=Ke(Ra),sd;const od=()=>setTimeout(()=>{rd=Ke(Ra),td()},Ke(qa));return mt(()=>{clearTimeout(sd)}),{get(){return ed(),rd},set(ld){rd=ld,td(),clearTimeout(sd),sd=od()}}})}function si(Ra,qa,ed={}){const{eventFilter:td=Sl,...rd}=ed;return watch(Ra,xl(td,qa),rd)}function zo(Ra,qa,ed={}){const{eventFilter:td,...rd}=ed,{eventFilter:sd,pause:od,resume:ld,isActive:cd}=li(td);return{stop:si(Ra,qa,{...rd,eventFilter:sd}),pause:od,resume:ld,isActive:cd}}function ri(Ra,qa,...[ed]){const{flush:td="sync",deep:rd=!1,immediate:sd=!0,direction:od="both",transform:ld={}}=ed||{},cd=[],ud="ltr"in ld&&ld.ltr||(Ed=>Ed),_d="rtl"in ld&&ld.rtl||(Ed=>Ed);return(od==="both"||od==="ltr")&&cd.push(zo(Ra,Ed=>{cd.forEach(Ad=>Ad.pause()),qa.value=ud(Ed),cd.forEach(Ad=>Ad.resume())},{flush:td,deep:rd,immediate:sd})),(od==="both"||od==="rtl")&&cd.push(zo(qa,Ed=>{cd.forEach(Ad=>Ad.pause()),Ra.value=_d(Ed),cd.forEach(Ad=>Ad.resume())},{flush:td,deep:rd,immediate:sd})),()=>{cd.forEach(Ed=>Ed.stop())}}function ii(Ra,qa){El()&&onBeforeUnmount(Ra,qa)}function $e(Ra){var qa;const ed=Ke(Ra);return(qa=ed==null?void 0:ed.$el)!=null?qa:ed}const Gt=qe?window:void 0;function Le(...Ra){let qa,ed,td,rd;if(typeof Ra[0]=="string"||Array.isArray(Ra[0])?([ed,td,rd]=Ra,qa=Gt):[qa,ed,td,rd]=Ra,!qa)return Va;Array.isArray(ed)||(ed=[ed]),Array.isArray(td)||(td=[td]);const sd=[],od=()=>{sd.forEach(_d=>_d()),sd.length=0},ld=(_d,Ed,Ad,Td)=>(_d.addEventListener(Ed,Ad,Td),()=>_d.removeEventListener(Ed,Ad,Td)),cd=watch(()=>[$e(qa),Ke(rd)],([_d,Ed])=>{if(od(),!_d)return;const Ad=ai(Ed)?{...Ed}:Ed;sd.push(...ed.flatMap(Td=>td.map(Nd=>ld(_d,Td,Nd,Ad))))},{immediate:!0,flush:"post"}),ud=()=>{cd(),od()};return mt(ud),ud}function pi(Ra){return typeof Ra=="function"?Ra:typeof Ra=="string"?qa=>qa.key===Ra:Array.isArray(Ra)?qa=>Ra.includes(qa.key):()=>!0}function jn(...Ra){let qa,ed,td={};Ra.length===3?(qa=Ra[0],ed=Ra[1],td=Ra[2]):Ra.length===2?typeof Ra[1]=="object"?(qa=!0,ed=Ra[0],td=Ra[1]):(qa=Ra[0],ed=Ra[1]):(qa=!0,ed=Ra[0]);const{target:rd=Gt,eventName:sd="keydown",passive:od=!1,dedupe:ld=!1}=td,cd=pi(qa);return Le(rd,sd,ud=>{ud.repeat&&Ke(ld)||cd(ud)&&ed(ud)},od)}function ja(){const Ra=ref$1(!1),qa=getCurrentInstance();return qa&&onMounted(()=>{Ra.value=!0},qa),Ra}function vi(Ra){return JSON.parse(JSON.stringify(Ra))}function ne(Ra,qa,ed,td={}){var rd,sd,od;const{clone:ld=!1,passive:cd=!1,eventName:ud,deep:_d=!1,defaultValue:Ed,shouldEmit:Ad}=td,Td=getCurrentInstance(),Nd=ed||(Td==null?void 0:Td.emit)||((rd=Td==null?void 0:Td.$emit)==null?void 0:rd.bind(Td))||((od=(sd=Td==null?void 0:Td.proxy)==null?void 0:sd.$emit)==null?void 0:od.bind(Td==null?void 0:Td.proxy));let Rd=ud;qa||(qa="modelValue"),Rd=Rd||`update:${qa.toString()}`;const Bd=Md=>ld?typeof ld=="function"?ld(Md):vi(Md):Md,kd=()=>Qr(Ra[qa])?Bd(Ra[qa]):Ed,Od=Md=>{Ad?Ad(Md)&&Nd(Rd,Md):Nd(Rd,Md)};if(cd){const Md=kd(),Pd=ref$1(Md);let Fd=!1;return watch(()=>Ra[qa],Ud=>{Fd||(Fd=!0,Pd.value=Bd(Ud),nextTick$1(()=>Fd=!1))}),watch(Pd,Ud=>{!Fd&&(Ud!==Ra[qa]||_d)&&Od(Ud)},{deep:_d}),Pd}else return computed({get(){return kd()},set(Md){Od(Md)}})}function Ua(Ra){return Ra?Ra.flatMap(qa=>qa.type===Fragment?Ua(qa.children):[qa]):[]}const yi=["INPUT","TEXTAREA"];function Bt(Ra,qa,ed,td={}){if(!qa||td.enableIgnoredElement&&yi.includes(qa.nodeName))return null;const{arrowKeyOptions:rd="both",attributeName:sd="[data-radix-vue-collection-item]",itemsArray:od=[],loop:ld=!0,dir:cd="ltr",preventScroll:ud=!0,focus:_d=!1}=td,[Ed,Ad,Td,Nd,Rd,Bd]=[Ra.key==="ArrowRight",Ra.key==="ArrowLeft",Ra.key==="ArrowUp",Ra.key==="ArrowDown",Ra.key==="Home",Ra.key==="End"],kd=Td||Nd,Od=Ed||Ad;if(!Rd&&!Bd&&(!kd&&!Od||rd==="vertical"&&Od||rd==="horizontal"&&kd))return null;const Md=ed?Array.from(ed.querySelectorAll(sd)):od;if(!Md.length)return null;ud&&Ra.preventDefault();let Pd=null;return Od||kd?Pd=Il(Md,qa,{goForward:kd?Nd:cd==="ltr"?Ed:Ad,loop:ld}):Rd?Pd=Md.at(0)||null:Bd&&(Pd=Md.at(-1)||null),_d&&(Pd==null||Pd.focus()),Pd}function Il(Ra,qa,ed,td=Ra.length){if(--td===0)return null;const rd=Ra.indexOf(qa),sd=ed.goForward?rd+1:rd-1;if(!ed.loop&&(sd<0||sd>=Ra.length))return null;const od=(sd+Ra.length)%Ra.length,ld=Ra[od];return ld?ld.hasAttribute("disabled")&&ld.getAttribute("disabled")!=="false"?Il(Ra,ld,ed,td):ld:null}function gn(Ra){if(Ra===null||typeof Ra!="object")return!1;const qa=Object.getPrototypeOf(Ra);return qa!==null&&qa!==Object.prototype&&Object.getPrototypeOf(qa)!==null||Symbol.iterator in Ra?!1:Symbol.toStringTag in Ra?Object.prototype.toString.call(Ra)==="[object Module]":!0}function Pn(Ra,qa,ed=".",td){if(!gn(qa))return Pn(Ra,{},ed);const rd=Object.assign({},qa);for(const sd in Ra){if(sd==="__proto__"||sd==="constructor")continue;const od=Ra[sd];od!=null&&(Array.isArray(od)&&Array.isArray(rd[sd])?rd[sd]=[...od,...rd[sd]]:gn(od)&&gn(rd[sd])?rd[sd]=Pn(od,rd[sd],(ed?`${ed}.`:"")+sd.toString()):rd[sd]=od)}return rd}function gi(Ra){return(...qa)=>qa.reduce((ed,td)=>Pn(ed,td,""),{})}const bi=gi(),[Ga,Ci]=Q("ConfigProvider");let wi="useandom-26T198340PX75pxJACKVERYMINDBUSHWOLF_GQZbfghjklqvwyzrict",_i=(Ra=21)=>{let qa="",ed=Ra;for(;ed--;)qa+=wi[Math.random()*64|0];return qa};const xi=wl(()=>{const Ra=ref$1(new Map),qa=ref$1(),ed=computed(()=>{for(const od of Ra.value.values())if(od)return!0;return!1}),td=Ga({scrollBody:ref$1(!0)});let rd=null;const sd=()=>{document.body.style.paddingRight="",document.body.style.marginRight="",document.body.style.pointerEvents="",document.body.style.removeProperty("--scrollbar-width"),document.body.style.overflow=qa.value??"",Lo&&(rd==null||rd()),qa.value=void 0};return watch(ed,(od,ld)=>{var cd;if(!qe)return;if(!od){ld&&sd();return}qa.value===void 0&&(qa.value=document.body.style.overflow);const ud=window.innerWidth-document.documentElement.clientWidth,_d={padding:ud,margin:0},Ed=(cd=td.scrollBody)!=null&&cd.value?typeof td.scrollBody.value=="object"?bi({padding:td.scrollBody.value.padding===!0?ud:td.scrollBody.value.padding,margin:td.scrollBody.value.margin===!0?ud:td.scrollBody.value.margin},_d):_d:{padding:0,margin:0};ud>0&&(document.body.style.paddingRight=`${Ed.padding}px`,document.body.style.marginRight=`${Ed.margin}px`,document.body.style.setProperty("--scrollbar-width",`${ud}px`),document.body.style.overflow="hidden"),Lo&&(rd=Le(document,"touchmove",Ad=>{var Td;Ad.target===document.documentElement&&(Ad.touches.length>1||(Td=Ad.preventDefault)==null||Td.call(Ad))},{passive:!1})),nextTick$1(()=>{document.body.style.pointerEvents="none",document.body.style.overflow="hidden"})},{immediate:!0,flush:"sync"}),Ra});function ha(Ra){const qa=_i(6),ed=xi();ed.value.set(qa,Ra??!1);const td=computed({get:()=>ed.value.get(qa)??!1,set:rd=>ed.value.set(qa,rd)});return ii(()=>{ed.value.delete(qa)}),td}const Si="data-radix-vue-collection-item";function Me(Ra,qa=Si){const ed=Ra??Symbol();return{createCollection:td=>{const rd=ref$1([]);function sd(){const od=$e(td);return od?rd.value=Array.from(od.querySelectorAll(`[${qa}]:not([data-disabled])`)):rd.value=[]}return onBeforeUpdate(()=>{rd.value=[]}),onMounted(sd),onUpdated(sd),watch(()=>td==null?void 0:td.value,sd,{immediate:!0}),provide(ed,rd),rd},injectCollection:()=>inject(ed,ref$1([]))}}function be(Ra){const qa=Ga({dir:ref$1("ltr")});return computed(()=>{var ed;return(Ra==null?void 0:Ra.value)||((ed=qa.dir)==null?void 0:ed.value)||"ltr"})}function Re(Ra){const qa=getCurrentInstance(),ed=qa==null?void 0:qa.type.emits,td={};return ed!=null&&ed.length||console.warn(`No emitted event found. Please check component: ${qa==null?void 0:qa.type.__name}`),ed==null||ed.forEach(rd=>{td[toHandlerKey(camelize(rd))]=(...sd)=>Ra(rd,...sd)}),td}let bn=0;function Gn(){watchEffect(Ra=>{if(!qe)return;const qa=document.querySelectorAll("[data-radix-focus-guard]");document.body.insertAdjacentElement("afterbegin",qa[0]??Ko()),document.body.insertAdjacentElement("beforeend",qa[1]??Ko()),bn++,Ra(()=>{bn===1&&document.querySelectorAll("[data-radix-focus-guard]").forEach(ed=>ed.remove()),bn--})})}function Ko(){const Ra=document.createElement("span");return Ra.setAttribute("data-radix-focus-guard",""),Ra.tabIndex=0,Ra.style.cssText="outline: none; opacity: 0; position: fixed; pointer-events: none",Ra}function Je(Ra){return computed(()=>{var qa;return Ke(Ra)?!!((qa=$e(Ra))!=null&&qa.closest("form")):!0})}function It(Ra){const qa=getCurrentInstance(),ed=Object.keys((qa==null?void 0:qa.type.props)??{}).reduce((rd,sd)=>{const od=(qa==null?void 0:qa.type.props[sd]).default;return od!==void 0&&(rd[sd]=od),rd},{}),td=toRef$1(Ra);return computed(()=>{const rd={},sd=(qa==null?void 0:qa.vnode.props)??{};return Object.keys(sd).forEach(od=>{rd[camelize(od)]=sd[od]}),Object.keys({...ed,...rd}).reduce((od,ld)=>(td.value[ld]!==void 0&&(od[ld]=td.value[ld]),od),{})})}function xe(Ra,qa){const ed=It(Ra),td=qa?Re(qa):{};return computed(()=>({...ed.value,...td}))}function T(){const Ra=getCurrentInstance(),qa=ref$1(),ed=computed(()=>{var od,ld;return["#text","#comment"].includes((od=qa.value)==null?void 0:od.$el.nodeName)?(ld=qa.value)==null?void 0:ld.$el.nextElementSibling:$e(qa)}),td=Object.assign({},Ra.exposed),rd={};for(const od in Ra.props)Object.defineProperty(rd,od,{enumerable:!0,configurable:!0,get:()=>Ra.props[od]});if(Object.keys(td).length>0)for(const od in td)Object.defineProperty(rd,od,{enumerable:!0,configurable:!0,get:()=>td[od]});Object.defineProperty(rd,"$el",{enumerable:!0,configurable:!0,get:()=>Ra.vnode.el}),Ra.exposed=rd;function sd(od){qa.value=od,!(od instanceof Element||!od)&&(Object.defineProperty(rd,"$el",{enumerable:!0,configurable:!0,get:()=>od.$el}),Ra.exposed=rd)}return{forwardRef:sd,currentRef:qa,currentElement:ed}}function Tl(Ra,qa){const ed=$t(!1,300),td=ref$1(null),rd=ia();function sd(){td.value=null,ed.value=!1}function od(ld,cd){const ud=ld.currentTarget,_d={x:ld.clientX,y:ld.clientY},Ed=Ei(_d,ud.getBoundingClientRect()),Ad=Pi(_d,Ed),Td=Di(cd.getBoundingClientRect()),Nd=Bi([...Ad,...Td]);td.value=Nd,ed.value=!0}return watchEffect(ld=>{if(Ra.value&&qa.value){const cd=_d=>od(_d,qa.value),ud=_d=>od(_d,Ra.value);Ra.value.addEventListener("pointerleave",cd),qa.value.addEventListener("pointerleave",ud),ld(()=>{var _d,Ed;(_d=Ra.value)==null||_d.removeEventListener("pointerleave",cd),(Ed=qa.value)==null||Ed.removeEventListener("pointerleave",ud)})}}),watchEffect(ld=>{if(td.value){const cd=ud=>{var _d,Ed;if(!td.value)return;const Ad=ud.target,Td={x:ud.clientX,y:ud.clientY},Nd=((_d=Ra.value)==null?void 0:_d.contains(Ad))||((Ed=qa.value)==null?void 0:Ed.contains(Ad)),Rd=!$i(Td,td.value),Bd=Ad.hasAttribute("data-grace-area-trigger");Nd?sd():(Rd||Bd)&&(sd(),rd.trigger())};document.addEventListener("pointermove",cd),ld(()=>document.removeEventListener("pointermove",cd))}}),{isPointerInTransit:ed,onPointerExit:rd.on}}function Ei(Ra,qa){const ed=Math.abs(qa.top-Ra.y),td=Math.abs(qa.bottom-Ra.y),rd=Math.abs(qa.right-Ra.x),sd=Math.abs(qa.left-Ra.x);switch(Math.min(ed,td,rd,sd)){case sd:return"left";case rd:return"right";case ed:return"top";case td:return"bottom";default:throw new Error("unreachable")}}function Pi(Ra,qa,ed=5){const td=[];switch(qa){case"top":td.push({x:Ra.x-ed,y:Ra.y+ed},{x:Ra.x+ed,y:Ra.y+ed});break;case"bottom":td.push({x:Ra.x-ed,y:Ra.y-ed},{x:Ra.x+ed,y:Ra.y-ed});break;case"left":td.push({x:Ra.x+ed,y:Ra.y-ed},{x:Ra.x+ed,y:Ra.y+ed});break;case"right":td.push({x:Ra.x-ed,y:Ra.y-ed},{x:Ra.x-ed,y:Ra.y+ed});break}return td}function Di(Ra){const{top:qa,right:ed,bottom:td,left:rd}=Ra;return[{x:rd,y:qa},{x:ed,y:qa},{x:ed,y:td},{x:rd,y:td}]}function $i(Ra,qa){const{x:ed,y:td}=Ra;let rd=!1;for(let sd=0,od=qa.length-1;sdtd!=_d>td&&ed<(ud-ld)*(td-cd)/(_d-cd)+ld&&(rd=!rd)}return rd}function Bi(Ra){const qa=Ra.slice();return qa.sort((ed,td)=>ed.xtd.x?1:ed.ytd.y?1:0),Ii(qa)}function Ii(Ra){if(Ra.length<=1)return Ra.slice();const qa=[];for(let td=0;td=2;){const sd=qa[qa.length-1],od=qa[qa.length-2];if((sd.x-od.x)*(rd.y-od.y)>=(sd.y-od.y)*(rd.x-od.x))qa.pop();else break}qa.push(rd)}qa.pop();const ed=[];for(let td=Ra.length-1;td>=0;td--){const rd=Ra[td];for(;ed.length>=2;){const sd=ed[ed.length-1],od=ed[ed.length-2];if((sd.x-od.x)*(rd.y-od.y)>=(sd.y-od.y)*(rd.x-od.x))ed.pop();else break}ed.push(rd)}return ed.pop(),qa.length===1&&ed.length===1&&qa[0].x===ed[0].x&&qa[0].y===ed[0].y?qa:qa.concat(ed)}var Ti=function(Ra){if(typeof document>"u")return null;var qa=Array.isArray(Ra)?Ra[0]:Ra;return qa.ownerDocument.body},Ft=new WeakMap,Pa=new WeakMap,Da={},Cn=0,Rl=function(Ra){return Ra&&(Ra.host||Rl(Ra.parentNode))},Ri=function(Ra,qa){return qa.map(function(ed){if(Ra.contains(ed))return ed;var td=Rl(ed);return td&&Ra.contains(td)?td:(console.error("aria-hidden",ed,"in not contained inside",Ra,". Doing nothing"),null)}).filter(function(ed){return!!ed})},Ai=function(Ra,qa,ed,td){var rd=Ri(qa,Array.isArray(Ra)?Ra:[Ra]);Da[ed]||(Da[ed]=new WeakMap);var sd=Da[ed],od=[],ld=new Set,cd=new Set(rd),ud=function(Ed){!Ed||ld.has(Ed)||(ld.add(Ed),ud(Ed.parentNode))};rd.forEach(ud);var _d=function(Ed){!Ed||cd.has(Ed)||Array.prototype.forEach.call(Ed.children,function(Ad){if(ld.has(Ad))_d(Ad);else try{var Td=Ad.getAttribute(td),Nd=Td!==null&&Td!=="false",Rd=(Ft.get(Ad)||0)+1,Bd=(sd.get(Ad)||0)+1;Ft.set(Ad,Rd),sd.set(Ad,Bd),od.push(Ad),Rd===1&&Nd&&Pa.set(Ad,!0),Bd===1&&Ad.setAttribute(ed,"true"),Nd||Ad.setAttribute(td,"true")}catch(kd){console.error("aria-hidden: cannot operate on ",Ad,kd)}})};return _d(qa),ld.clear(),Cn++,function(){od.forEach(function(Ed){var Ad=Ft.get(Ed)-1,Td=sd.get(Ed)-1;Ft.set(Ed,Ad),sd.set(Ed,Td),Ad||(Pa.has(Ed)||Ed.removeAttribute(td),Pa.delete(Ed)),Td||Ed.removeAttribute(ed)}),Cn--,Cn||(Ft=new WeakMap,Ft=new WeakMap,Pa=new WeakMap,Da={})}},Oi=function(Ra,qa,ed){ed===void 0&&(ed="data-aria-hidden");var td=Array.from(Array.isArray(Ra)?Ra:[Ra]),rd=Ti(Ra);return rd?(td.push.apply(td,Array.from(rd.querySelectorAll("[aria-live]"))),Ai(td,rd,ed,"aria-hidden")):function(){return null}};function ya(Ra){let qa;watch(()=>$e(Ra),ed=>{ed?qa=Oi(ed):qa&&qa()}),onUnmounted(()=>{qa&&qa()})}let ki=0;function he(Ra,qa="radix"){if(Ra)return Ra;const{useId:ed}=Ga({useId:void 0});return ed&&typeof ed=="function"?`${qa}-${ed()}`:`${qa}-${++ki}`}function Al(Ra){const qa=ref$1(),ed=computed(()=>{var rd;return((rd=qa.value)==null?void 0:rd.width)??0}),td=computed(()=>{var rd;return((rd=qa.value)==null?void 0:rd.height)??0});return onMounted(()=>{const rd=$e(Ra);if(rd){qa.value={width:rd.offsetWidth,height:rd.offsetHeight};const sd=new ResizeObserver(od=>{if(!Array.isArray(od)||!od.length)return;const ld=od[0];let cd,ud;if("borderBoxSize"in ld){const _d=ld.borderBoxSize,Ed=Array.isArray(_d)?_d[0]:_d;cd=Ed.inlineSize,ud=Ed.blockSize}else cd=rd.offsetWidth,ud=rd.offsetHeight;qa.value={width:cd,height:ud}});return sd.observe(rd,{box:"border-box"}),()=>sd.unobserve(rd)}else qa.value=void 0}),{width:ed,height:td}}function Ol(Ra,qa){const ed=ref$1(Ra);function td(rd){return qa[ed.value][rd]??ed.value}return{state:ed,dispatch:rd=>{ed.value=td(rd)}}}function ga(Ra){const qa=$t("",1e3);return{search:qa,handleTypeaheadSearch:(ed,td)=>{var rd,sd;if(!(Ra!=null&&Ra.value)&&!td)return;qa.value=qa.value+ed;const od=(Ra==null?void 0:Ra.value)??td,ld=document.activeElement,cd=((sd=(rd=od.find(Ad=>Ad===ld))==null?void 0:rd.textContent)==null?void 0:sd.trim())??"",ud=od.map(Ad=>{var Td;return((Td=Ad.textContent)==null?void 0:Td.trim())??""}),_d=Yn(ud,qa.value,cd),Ed=od.find(Ad=>{var Td;return((Td=Ad.textContent)==null?void 0:Td.trim())===_d});return Ed&&Ed.focus(),Ed},resetTypeahead:()=>{qa.value=""}}}function qn(Ra,qa){return Ra.map((ed,td)=>Ra[(qa+td)%Ra.length])}function Yn(Ra,qa,ed){const td=qa.length>1&&Array.from(qa).every(ld=>ld===qa[0])?qa[0]:qa,rd=ed?Ra.indexOf(ed):-1;let sd=qn(Ra,Math.max(rd,0));td.length===1&&(sd=sd.filter(ld=>ld!==ed));const od=sd.find(ld=>ld.toLowerCase().startsWith(td.toLowerCase()));return od!==ed?od:void 0}const Xn=defineComponent({name:"PrimitiveSlot",inheritAttrs:!1,setup(Ra,{attrs:qa,slots:ed}){return()=>{var td,rd;if(!ed.default)return null;const sd=Ua(ed.default()),od=sd.findIndex(_d=>_d.type!==Comment);if(od===-1)return sd;const ld=sd[od];(td=ld.props)==null||delete td.ref;const cd=ld.props?mergeProps(qa,ld.props):qa;qa.class&&(rd=ld.props)!=null&&rd.class&&delete ld.props.class;const ud=cloneVNode(ld,cd);for(const _d in cd)_d.startsWith("on")&&(ud.props||(ud.props={}),ud.props[_d]=cd[_d]);return sd.length===1?ud:(sd[od]=ud,sd)}}}),O=defineComponent({name:"Primitive",inheritAttrs:!1,props:{asChild:{type:Boolean,default:!1},as:{type:[String,Object],default:"div"}},setup(Ra,{attrs:qa,slots:ed}){const td=Ra.asChild?"template":Ra.as;return typeof td=="string"&&["area","img","input"].includes(td)?()=>h$1(td,qa):td!=="template"?()=>h$1(Ra.as,qa,{default:ed.default}):()=>h$1(Xn,qa,{default:ed.default})}});function ke(){const Ra=ref$1(),qa=computed(()=>{var ed,td;return["#text","#comment"].includes((ed=Ra.value)==null?void 0:ed.$el.nodeName)?(td=Ra.value)==null?void 0:td.$el.nextElementSibling:$e(Ra)});return{primitiveElement:Ra,currentElement:qa}}function Li(Ra,qa){const ed=ref$1({}),td=ref$1("none"),rd=Ra.value?"mounted":"unmounted",{state:sd,dispatch:od}=Ol(rd,{mounted:{UNMOUNT:"unmounted",ANIMATION_OUT:"unmountSuspended"},unmountSuspended:{MOUNT:"mounted",ANIMATION_END:"unmounted"},unmounted:{MOUNT:"mounted"}}),ld=Ad=>{var Td;if(qe){const Nd=new CustomEvent(Ad,{bubbles:!1,cancelable:!1});(Td=qa.value)==null||Td.dispatchEvent(Nd)}};watch(Ra,async(Ad,Td)=>{var Nd;const Rd=Td!==Ad;if(await nextTick$1(),Rd){const Bd=td.value,kd=$a(qa.value);Ad?(od("MOUNT"),ld("enter"),kd==="none"&&ld("after-enter")):kd==="none"||((Nd=ed.value)==null?void 0:Nd.display)==="none"?(od("UNMOUNT"),ld("leave"),ld("after-leave")):Td&&Bd!==kd?(od("ANIMATION_OUT"),ld("leave")):(od("UNMOUNT"),ld("after-leave"))}},{immediate:!0});const cd=Ad=>{const Td=$a(qa.value),Nd=Td.includes(Ad.animationName),Rd=sd.value==="mounted"?"enter":"leave";Ad.target===qa.value&&Nd&&(ld(`after-${Rd}`),od("ANIMATION_END")),Ad.target===qa.value&&Td==="none"&&od("ANIMATION_END")},ud=Ad=>{Ad.target===qa.value&&(td.value=$a(qa.value))},_d=watch(qa,(Ad,Td)=>{Ad?(ed.value=getComputedStyle(Ad),Ad.addEventListener("animationstart",ud),Ad.addEventListener("animationcancel",cd),Ad.addEventListener("animationend",cd)):(od("ANIMATION_END"),Td==null||Td.removeEventListener("animationstart",ud),Td==null||Td.removeEventListener("animationcancel",cd),Td==null||Td.removeEventListener("animationend",cd))},{immediate:!0}),Ed=watch(sd,()=>{const Ad=$a(qa.value);td.value=sd.value==="mounted"?Ad:"none"});return onUnmounted(()=>{_d(),Ed()}),{isPresent:computed(()=>["mounted","unmountSuspended"].includes(sd.value))}}function $a(Ra){return Ra&&getComputedStyle(Ra).animationName||"none"}const Pe=defineComponent({name:"Presence",props:{present:{type:Boolean,required:!0},forceMount:{type:Boolean}},slots:{},setup(Ra,{slots:qa,expose:ed}){var td;const{present:rd,forceMount:sd}=toRefs(Ra),od=ref$1(),{isPresent:ld}=Li(rd,od);ed({present:ld});let cd=qa.default({present:ld});cd=Ua(cd||[]);const ud=getCurrentInstance();if(cd&&(cd==null?void 0:cd.length)>1){const _d=(td=ud==null?void 0:ud.parent)!=null&&td.type.name?`<${ud.parent.type.name} />`:"component";throw new Error([`Detected an invalid children for \`${_d}\` for \`Presence\` component.`,"","Note: Presence works similarly to `v-if` directly, but it waits for animation/transition to finished before unmounting. So it expect only one direct child of valid VNode type.","You can apply a few solutions:",["Provide a single child element so that `presence` directive attach correctly.","Ensure the first child is an actual element instead of a raw text node or comment node."].map(Ed=>` - ${Ed}`).join(` `)].join(` `))}return()=>sd.value||rd.value||ld.value?h$1(qa.default({present:ld})[0],{ref:_d=>{const Ed=$e(_d);return typeof(Ed==null?void 0:Ed.hasAttribute)>"u"||(Ed!=null&&Ed.hasAttribute("data-radix-popper-content-wrapper")?od.value=Ed.firstElementChild:od.value=Ed),Ed}}):null}}),[et,Ui]=Q("DialogRoot"),Gi=defineComponent({__name:"DialogRoot",props:{open:{type:Boolean,default:void 0},defaultOpen:{type:Boolean,default:!1},modal:{type:Boolean,default:!0}},emits:["update:open"],setup(Ra,{emit:qa}){const ed=Ra,td=ne(ed,"open",qa,{defaultValue:ed.defaultOpen,passive:ed.open===void 0}),rd=ref$1(),sd=ref$1(),{modal:od}=toRefs(ed);return Ui({open:td,modal:od,openModal:()=>{td.value=!0},onOpenChange:ld=>{td.value=ld},onOpenToggle:()=>{td.value=!td.value},contentId:"",titleId:"",descriptionId:"",triggerElement:rd,contentElement:sd}),(ld,cd)=>renderSlot(ld.$slots,"default",{open:unref(td)})}}),ht=defineComponent({__name:"Teleport",props:{to:{default:"body"},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=ja();return(ed,td)=>unref(qa)||ed.forceMount?(openBlock(),createBlock(Teleport$1,{key:0,to:ed.to,disabled:ed.disabled},[renderSlot(ed.$slots,"default")],8,["to","disabled"])):createCommentVNode("",!0)}}),wv=defineComponent({__name:"DialogPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(ht),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),Yi="dismissableLayer.pointerDownOutside",Xi="dismissableLayer.focusOutside";function Fl(Ra,qa){const ed=qa.closest("[data-dismissable-layer]"),td=Ra.dataset.dismissableLayer===""?Ra:Ra.querySelector("[data-dismissable-layer]"),rd=Array.from(Ra.ownerDocument.querySelectorAll("[data-dismissable-layer]"));return!!(ed&&td===ed||rd.indexOf(td){});return watchEffect(od=>{if(!qe)return;const ld=async ud=>{const _d=ud.target;if(qa!=null&&qa.value){if(Fl(qa.value,_d)){rd.value=!1;return}if(ud.target&&!rd.value){let Ed=function(){Kt(Yi,Ra,Ad)};const Ad={originalEvent:ud};ud.pointerType==="touch"?(td.removeEventListener("click",sd.value),sd.value=Ed,td.addEventListener("click",sd.value,{once:!0})):Ed()}else td.removeEventListener("click",sd.value);rd.value=!1}},cd=window.setTimeout(()=>{td.addEventListener("pointerdown",ld)},0);od(()=>{window.clearTimeout(cd),td.removeEventListener("pointerdown",ld),td.removeEventListener("click",sd.value)})}),{onPointerDownCapture:()=>rd.value=!0}}function Ll(Ra,qa){var ed;const td=((ed=qa==null?void 0:qa.value)==null?void 0:ed.ownerDocument)??(globalThis==null?void 0:globalThis.document),rd=ref$1(!1);return watchEffect(sd=>{if(!qe)return;const od=async ld=>{qa!=null&&qa.value&&(await nextTick$1(),!(!qa.value||Fl(qa.value,ld.target))&&ld.target&&!rd.value&&Kt(Xi,Ra,{originalEvent:ld}))};td.addEventListener("focusin",od),sd(()=>td.removeEventListener("focusin",od))}),{onFocusCapture:()=>rd.value=!0,onBlurCapture:()=>rd.value=!1}}const je=reactive({layersRoot:new Set,layersWithOutsidePointerEventsDisabled:new Set,branches:new Set}),yt=defineComponent({__name:"DismissableLayer",props:{disableOutsidePointerEvents:{type:Boolean,default:!1},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","dismiss"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,{forwardRef:rd,currentElement:sd}=T(),od=computed(()=>{var Nd;return((Nd=sd.value)==null?void 0:Nd.ownerDocument)??globalThis.document}),ld=computed(()=>je.layersRoot),cd=computed(()=>sd.value?Array.from(ld.value).indexOf(sd.value):-1),ud=computed(()=>je.layersWithOutsidePointerEventsDisabled.size>0),_d=computed(()=>{const Nd=Array.from(ld.value),[Rd]=[...je.layersWithOutsidePointerEventsDisabled].slice(-1),Bd=Nd.indexOf(Rd);return cd.value>=Bd}),Ed=Nl(async Nd=>{const Rd=[...je.branches].some(Bd=>Bd.contains(Nd.target));!_d.value||Rd||(td("pointerDownOutside",Nd),td("interactOutside",Nd),await nextTick$1(),Nd.defaultPrevented||td("dismiss"))},sd),Ad=Ll(Nd=>{[...je.branches].some(Rd=>Rd.contains(Nd.target))||(td("focusOutside",Nd),td("interactOutside",Nd),Nd.defaultPrevented||td("dismiss"))},sd);jn("Escape",Nd=>{cd.value===ld.value.size-1&&(td("escapeKeyDown",Nd),Nd.defaultPrevented||td("dismiss"))});let Td;return watchEffect(Nd=>{sd.value&&(ed.disableOutsidePointerEvents&&(je.layersWithOutsidePointerEventsDisabled.size===0&&(Td=od.value.body.style.pointerEvents,od.value.body.style.pointerEvents="none"),je.layersWithOutsidePointerEventsDisabled.add(sd.value)),ld.value.add(sd.value),Nd(()=>{ed.disableOutsidePointerEvents&&je.layersWithOutsidePointerEventsDisabled.size===1&&(od.value.body.style.pointerEvents=Td)}))}),watchEffect(Nd=>{Nd(()=>{sd.value&&(ld.value.delete(sd.value),je.layersWithOutsidePointerEventsDisabled.delete(sd.value))})}),(Nd,Rd)=>(openBlock(),createBlock(unref(O),{ref:unref(rd),"as-child":Nd.asChild,as:Nd.as,"data-dismissable-layer":"",style:normalizeStyle({pointerEvents:ud.value?_d.value?"auto":"none":void 0}),onFocusCapture:unref(Ad).onFocusCapture,onBlurCapture:unref(Ad).onBlurCapture,onPointerdownCapture:unref(Ed).onPointerDownCapture},{default:withCtx(()=>[renderSlot(Nd.$slots,"default")]),_:3},8,["as-child","as","style","onFocusCapture","onBlurCapture","onPointerdownCapture"]))}}),wn="focusScope.autoFocusOnMount",_n="focusScope.autoFocusOnUnmount",Ho={bubbles:!1,cancelable:!0};function Oa(Ra,{select:qa=!1}={}){const ed=document.activeElement;for(const td of Ra)if(dt(td,{select:qa}),document.activeElement!==ed)return!0}function Ji(Ra){const qa=Jn(Ra),ed=Wo(qa,Ra),td=Wo(qa.reverse(),Ra);return[ed,td]}function Jn(Ra){const qa=[],ed=document.createTreeWalker(Ra,NodeFilter.SHOW_ELEMENT,{acceptNode:td=>{const rd=td.tagName==="INPUT"&&td.type==="hidden";return td.disabled||td.hidden||rd?NodeFilter.FILTER_SKIP:td.tabIndex>=0?NodeFilter.FILTER_ACCEPT:NodeFilter.FILTER_SKIP}});for(;ed.nextNode();)qa.push(ed.currentNode);return qa}function Wo(Ra,qa){for(const ed of Ra)if(!Qi(ed,{upTo:qa}))return ed}function Qi(Ra,{upTo:qa}){if(getComputedStyle(Ra).visibility==="hidden")return!0;for(;Ra;){if(qa!==void 0&&Ra===qa)return!1;if(getComputedStyle(Ra).display==="none")return!0;Ra=Ra.parentElement}return!1}function eu(Ra){return Ra instanceof HTMLInputElement&&"select"in Ra}function dt(Ra,{select:qa=!1}={}){if(Ra&&Ra.focus){const ed=document.activeElement;Ra.focus({preventScroll:!0}),Ra!==ed&&eu(Ra)&&qa&&Ra.select()}}const tu=Zr(()=>ref$1([]));function au(){const Ra=tu();return{add(qa){const ed=Ra.value[0];qa!==ed&&(ed==null||ed.pause()),Ra.value=jo(Ra.value,qa),Ra.value.unshift(qa)},remove(qa){var ed;Ra.value=jo(Ra.value,qa),(ed=Ra.value[0])==null||ed.resume()}}}function jo(Ra,qa){const ed=[...Ra],td=ed.indexOf(qa);return td!==-1&&ed.splice(td,1),ed}function nu(Ra){return Ra.filter(qa=>qa.tagName!=="A")}const Ya=defineComponent({__name:"FocusScope",props:{loop:{type:Boolean,default:!1},trapped:{type:Boolean,default:!1},asChild:{type:Boolean},as:{}},emits:["mountAutoFocus","unmountAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,{currentRef:rd,currentElement:sd}=T(),od=ref$1(null),ld=au(),cd=reactive({paused:!1,pause(){this.paused=!0},resume(){this.paused=!1}});watchEffect(_d=>{if(!qe)return;const Ed=sd.value;if(!ed.trapped)return;function Ad(Bd){if(cd.paused||!Ed)return;const kd=Bd.target;Ed.contains(kd)?od.value=kd:dt(od.value,{select:!0})}function Td(Bd){if(cd.paused||!Ed)return;const kd=Bd.relatedTarget;kd!==null&&(Ed.contains(kd)||dt(od.value,{select:!0}))}function Nd(Bd){Ed.contains(od.value)||dt(Ed)}document.addEventListener("focusin",Ad),document.addEventListener("focusout",Td);const Rd=new MutationObserver(Nd);Ed&&Rd.observe(Ed,{childList:!0,subtree:!0}),_d(()=>{document.removeEventListener("focusin",Ad),document.removeEventListener("focusout",Td),Rd.disconnect()})}),watchEffect(async _d=>{const Ed=sd.value;if(await nextTick$1(),!Ed)return;ld.add(cd);const Ad=document.activeElement;if(!Ed.contains(Ad)){const Td=new CustomEvent(wn,Ho);Ed.addEventListener(wn,Nd=>td("mountAutoFocus",Nd)),Ed.dispatchEvent(Td),Td.defaultPrevented||(Oa(nu(Jn(Ed)),{select:!0}),document.activeElement===Ad&&dt(Ed))}_d(()=>{Ed.removeEventListener(wn,Rd=>td("mountAutoFocus",Rd));const Td=new CustomEvent(_n,Ho),Nd=Rd=>{td("unmountAutoFocus",Rd)};Ed.addEventListener(_n,Nd),Ed.dispatchEvent(Td),setTimeout(()=>{Td.defaultPrevented||dt(Ad??document.body,{select:!0}),Ed.removeEventListener(_n,Nd),ld.remove(cd)},0)})});function ud(_d){if(!ed.loop&&!ed.trapped||cd.paused)return;const Ed=_d.key==="Tab"&&!_d.altKey&&!_d.ctrlKey&&!_d.metaKey,Ad=document.activeElement;if(Ed&&Ad){const Td=_d.currentTarget,[Nd,Rd]=Ji(Td);Nd&&Rd?!_d.shiftKey&&Ad===Rd?(_d.preventDefault(),ed.loop&&dt(Nd,{select:!0})):_d.shiftKey&&Ad===Nd&&(_d.preventDefault(),ed.loop&&dt(Rd,{select:!0})):Ad===Td&&_d.preventDefault()}}return(_d,Ed)=>(openBlock(),createBlock(unref(O),{ref_key:"currentRef",ref:rd,tabindex:"-1","as-child":_d.asChild,as:_d.as,onKeydown:ud},{default:withCtx(()=>[renderSlot(_d.$slots,"default")]),_:3},8,["as-child","as"]))}}),ou="menu.itemSelect",Dn=["Enter"," "],lu=["ArrowDown","PageUp","Home"],zl=["ArrowUp","PageDown","End"],su=[...lu,...zl],ru={ltr:[...Dn,"ArrowRight"],rtl:[...Dn,"ArrowLeft"]},iu={ltr:["ArrowLeft"],rtl:["ArrowRight"]};function Qn(Ra){return Ra?"open":"closed"}function $n(Ra){const qa=document.activeElement;for(const ed of Ra)if(ed===qa||(ed.focus(),document.activeElement!==qa))return}function uu(Ra,qa){const{x:ed,y:td}=Ra;let rd=!1;for(let sd=0,od=qa.length-1;sdtd!=_d>td&&ed<(ud-ld)*(td-cd)/(_d-cd)+ld&&(rd=!rd)}return rd}function du(Ra,qa){if(!qa)return!1;const ed={x:Ra.clientX,y:Ra.clientY};return uu(ed,qa)}function ua(Ra){return Ra.pointerType==="mouse"}const Kl=defineComponent({__name:"DialogContentImpl",props:{forceMount:{type:Boolean},trapFocus:{type:Boolean},disableOutsidePointerEvents:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=et(),{forwardRef:sd,currentElement:od}=T();return rd.titleId||(rd.titleId=he(void 0,"radix-vue-dialog-title")),rd.descriptionId||(rd.descriptionId=he(void 0,"radix-vue-dialog-description")),onMounted(()=>{rd.contentElement=od,document.activeElement!==document.body&&(rd.triggerElement.value=document.activeElement)}),(ld,cd)=>(openBlock(),createBlock(unref(Ya),{"as-child":"",loop:"",trapped:ed.trapFocus,onMountAutoFocus:cd[5]||(cd[5]=ud=>td("openAutoFocus",ud)),onUnmountAutoFocus:cd[6]||(cd[6]=ud=>td("closeAutoFocus",ud))},{default:withCtx(()=>[createVNode(unref(yt),mergeProps({id:unref(rd).contentId,ref:unref(sd),as:ld.as,"as-child":ld.asChild,"disable-outside-pointer-events":ld.disableOutsidePointerEvents,role:"dialog","aria-describedby":unref(rd).descriptionId,"aria-labelledby":unref(rd).titleId,"data-state":unref(Qn)(unref(rd).open.value)},ld.$attrs,{onDismiss:cd[0]||(cd[0]=ud=>unref(rd).onOpenChange(!1)),onEscapeKeyDown:cd[1]||(cd[1]=ud=>td("escapeKeyDown",ud)),onFocusOutside:cd[2]||(cd[2]=ud=>td("focusOutside",ud)),onInteractOutside:cd[3]||(cd[3]=ud=>td("interactOutside",ud)),onPointerDownOutside:cd[4]||(cd[4]=ud=>td("pointerDownOutside",ud))}),{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},16,["id","as","as-child","disable-outside-pointer-events","aria-describedby","aria-labelledby","data-state"])]),_:3},8,["trapped"]))}}),vu=defineComponent({__name:"DialogContentModal",props:{forceMount:{type:Boolean},trapFocus:{type:Boolean},disableOutsidePointerEvents:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=et(),sd=Re(td),{forwardRef:od,currentElement:ld}=T();return ya(ld),(cd,ud)=>(openBlock(),createBlock(Kl,mergeProps({...ed,...unref(sd)},{ref:unref(od),"trap-focus":unref(rd).open.value,"disable-outside-pointer-events":!0,onCloseAutoFocus:ud[0]||(ud[0]=_d=>{var Ed;_d.defaultPrevented||(_d.preventDefault(),(Ed=unref(rd).triggerElement.value)==null||Ed.focus())}),onPointerDownOutside:ud[1]||(ud[1]=_d=>{const Ed=_d.detail.originalEvent,Ad=Ed.button===0&&Ed.ctrlKey===!0;(Ed.button===2||Ad)&&_d.preventDefault()}),onFocusOutside:ud[2]||(ud[2]=_d=>{_d.preventDefault()})}),{default:withCtx(()=>[renderSlot(cd.$slots,"default")]),_:3},16,["trap-focus"]))}}),mu=defineComponent({__name:"DialogContentNonModal",props:{forceMount:{type:Boolean},trapFocus:{type:Boolean},disableOutsidePointerEvents:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=Re(qa);T();const rd=et(),sd=ref$1(!1),od=ref$1(!1);return(ld,cd)=>(openBlock(),createBlock(Kl,mergeProps({...ed,...unref(td)},{"trap-focus":!1,"disable-outside-pointer-events":!1,onCloseAutoFocus:cd[0]||(cd[0]=ud=>{var _d;ud.defaultPrevented||(sd.value||(_d=unref(rd).triggerElement.value)==null||_d.focus(),ud.preventDefault()),sd.value=!1,od.value=!1}),onInteractOutside:cd[1]||(cd[1]=ud=>{var _d;ud.defaultPrevented||(sd.value=!0,ud.detail.originalEvent.type==="pointerdown"&&(od.value=!0));const Ed=ud.target;(_d=unref(rd).triggerElement.value)!=null&&_d.contains(Ed)&&ud.preventDefault(),ud.detail.originalEvent.type==="focusin"&&od.value&&ud.preventDefault()})}),{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},16))}}),hu=defineComponent({__name:"DialogContent",props:{forceMount:{type:Boolean},trapFocus:{type:Boolean},disableOutsidePointerEvents:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=et(),sd=Re(td),{forwardRef:od}=T();return(ld,cd)=>(openBlock(),createBlock(unref(Pe),{present:ld.forceMount||unref(rd).open.value},{default:withCtx(()=>[unref(rd).modal.value?(openBlock(),createBlock(vu,mergeProps({key:0,ref:unref(od)},{...ed,...unref(sd),...ld.$attrs}),{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},16)):(openBlock(),createBlock(mu,mergeProps({key:1,ref:unref(od)},{...ed,...unref(sd),...ld.$attrs}),{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},16))]),_:3},8,["present"]))}}),yu=defineComponent({__name:"DialogOverlayImpl",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=et();return ha(!0),T(),(ed,td)=>(openBlock(),createBlock(unref(O),{as:ed.as,"as-child":ed.asChild,"data-state":unref(qa).open.value?"open":"closed",style:{"pointer-events":"auto"}},{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},8,["as","as-child","data-state"]))}}),gu=defineComponent({__name:"DialogOverlay",props:{forceMount:{type:Boolean},asChild:{type:Boolean},as:{}},setup(Ra){const qa=et(),{forwardRef:ed}=T();return(td,rd)=>{var sd;return(sd=unref(qa))!=null&&sd.modal.value?(openBlock(),createBlock(unref(Pe),{key:0,present:td.forceMount||unref(qa).open.value},{default:withCtx(()=>[createVNode(yu,mergeProps(td.$attrs,{ref:unref(ed),as:td.as,"as-child":td.asChild}),{default:withCtx(()=>[renderSlot(td.$slots,"default")]),_:3},16,["as","as-child"])]),_:3},8,["present"])):createCommentVNode("",!0)}}}),Hl=defineComponent({__name:"DialogClose",props:{asChild:{type:Boolean},as:{default:"button"}},setup(Ra){const qa=Ra;T();const ed=et();return(td,rd)=>(openBlock(),createBlock(unref(O),mergeProps(qa,{type:td.as==="button"?"button":void 0,onClick:rd[0]||(rd[0]=sd=>unref(ed).onOpenChange(!1))}),{default:withCtx(()=>[renderSlot(td.$slots,"default")]),_:3},16,["type"]))}}),bu=defineComponent({__name:"DialogTitle",props:{asChild:{type:Boolean},as:{default:"h2"}},setup(Ra){const qa=Ra,ed=et();return T(),(td,rd)=>(openBlock(),createBlock(unref(O),mergeProps(qa,{id:unref(ed).titleId}),{default:withCtx(()=>[renderSlot(td.$slots,"default")]),_:3},16,["id"]))}}),[Gl,qu]=Q("PopperRoot"),Tt=defineComponent({__name:"PopperRoot",setup(Ra){const qa=ref$1();return qu({anchor:qa,onAnchorChange:ed=>qa.value=ed}),(ed,td)=>renderSlot(ed.$slots,"default")}}),Rt=defineComponent({__name:"PopperAnchor",props:{element:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra,{forwardRef:ed,currentElement:td}=T(),rd=Gl();return watchEffect(()=>{rd.onAnchorChange(qa.element??td.value)}),(sd,od)=>(openBlock(),createBlock(unref(O),{ref:unref(ed),as:sd.as,"as-child":sd.asChild},{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},8,["as","as-child"]))}});function Yu(Ra){return Ra!==null}function Xu(Ra){return{name:"transformOrigin",options:Ra,fn(qa){var ed,td,rd;const{placement:sd,rects:od,middlewareData:ld}=qa,cd=((ed=ld.arrow)==null?void 0:ed.centerOffset)!==0,ud=cd?0:Ra.arrowWidth,_d=cd?0:Ra.arrowHeight,[Ed,Ad]=Bn(sd),Td={start:"0%",center:"50%",end:"100%"}[Ad],Nd=(((td=ld.arrow)==null?void 0:td.x)??0)+ud/2,Rd=(((rd=ld.arrow)==null?void 0:rd.y)??0)+_d/2;let Bd="",kd="";return Ed==="bottom"?(Bd=cd?Td:`${Nd}px`,kd=`${-_d}px`):Ed==="top"?(Bd=cd?Td:`${Nd}px`,kd=`${od.floating.height+_d}px`):Ed==="right"?(Bd=`${-_d}px`,kd=cd?Td:`${Rd}px`):Ed==="left"&&(Bd=`${od.floating.width+_d}px`,kd=cd?Td:`${Rd}px`),{data:{x:Bd,y:kd}}}}}function Bn(Ra){const[qa,ed="center"]=Ra.split("-");return[qa,ed]}const ql={side:"bottom",sideOffset:0,align:"center",alignOffset:0,arrowPadding:0,avoidCollisions:!0,collisionBoundary:()=>[],collisionPadding:0,sticky:"partial",hideWhenDetached:!1,updatePositionStrategy:"optimized",prioritizePosition:!1},[Zu,Ju]=Q("PopperContent"),Dt=defineComponent({inheritAttrs:!1,__name:"PopperContent",props:mergeDefaults({side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},{...ql}),emits:["placed"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=Gl(),{forwardRef:sd,currentElement:od}=T(),ld=ref$1(),cd=ref$1(),{width:ud,height:_d}=Al(cd),Ed=computed(()=>ed.side+(ed.align!=="center"?`-${ed.align}`:"")),Ad=computed(()=>typeof ed.collisionPadding=="number"?ed.collisionPadding:{top:0,right:0,bottom:0,left:0,...ed.collisionPadding}),Td=computed(()=>Array.isArray(ed.collisionBoundary)?ed.collisionBoundary:[ed.collisionBoundary]),Nd=computed(()=>({padding:Ad.value,boundary:Td.value.filter(Yu),altBoundary:Td.value.length>0})),Rd=Yr(()=>[offset({mainAxis:ed.sideOffset+_d.value,alignmentAxis:ed.alignOffset}),ed.prioritizePosition&&ed.avoidCollisions&&flip({...Nd.value}),ed.avoidCollisions&&shift({mainAxis:!0,crossAxis:!!ed.prioritizePosition,limiter:ed.sticky==="partial"?limitShift():void 0,...Nd.value}),!ed.prioritizePosition&&ed.avoidCollisions&&flip({...Nd.value}),size({...Nd.value,apply:({elements:zd,rects:Xd,availableWidth:df,availableHeight:mf})=>{const{width:_f,height:hf}=Xd.reference,gf=zd.floating.style;gf.setProperty("--radix-popper-available-width",`${df}px`),gf.setProperty("--radix-popper-available-height",`${mf}px`),gf.setProperty("--radix-popper-anchor-width",`${_f}px`),gf.setProperty("--radix-popper-anchor-height",`${hf}px`)}}),cd.value&&arrow({element:cd.value,padding:ed.arrowPadding}),Xu({arrowWidth:ud.value,arrowHeight:_d.value}),ed.hideWhenDetached&&hide({strategy:"referenceHidden",...Nd.value})]),{floatingStyles:Bd,placement:kd,isPositioned:Od,middlewareData:Md}=useFloating(rd.anchor,ld,{strategy:"fixed",placement:Ed,whileElementsMounted:(...zd)=>autoUpdate(...zd,{animationFrame:ed.updatePositionStrategy==="always"}),middleware:Rd}),Pd=computed(()=>Bn(kd.value)[0]),Fd=computed(()=>Bn(kd.value)[1]);watchPostEffect(()=>{Od.value&&td("placed")});const Ud=computed(()=>{var zd;return((zd=Md.value.arrow)==null?void 0:zd.centerOffset)!==0}),Gd=ref$1("");watchEffect(()=>{od.value&&(Gd.value=window.getComputedStyle(od.value).zIndex)});const Qd=computed(()=>{var zd;return((zd=Md.value.arrow)==null?void 0:zd.x)??0}),Vd=computed(()=>{var zd;return((zd=Md.value.arrow)==null?void 0:zd.y)??0});return Ju({placedSide:Pd,onArrowChange:zd=>cd.value=zd,arrowX:Qd,arrowY:Vd,shouldHideArrow:Ud}),(zd,Xd)=>{var df,mf,_f;return openBlock(),createElementBlock("div",{ref_key:"floatingRef",ref:ld,"data-radix-popper-content-wrapper":"",style:normalizeStyle({...unref(Bd),transform:unref(Od)?unref(Bd).transform:"translate(0, -200%)",minWidth:"max-content",zIndex:Gd.value,"--radix-popper-transform-origin":[(df=unref(Md).transformOrigin)==null?void 0:df.x,(mf=unref(Md).transformOrigin)==null?void 0:mf.y].join(" "),...((_f=unref(Md).hide)==null?void 0:_f.referenceHidden)&&{visibility:"hidden",pointerEvents:"none"}})},[createVNode(unref(O),mergeProps({ref:unref(sd)},zd.$attrs,{"as-child":ed.asChild,as:zd.as,"data-side":Pd.value,"data-align":Fd.value,style:{animation:unref(Od)?void 0:"none"}}),{default:withCtx(()=>[renderSlot(zd.$slots,"default")]),_:3},16,["as-child","as","data-side","data-align","style"])],4)}}}),Xt=defineComponent({__name:"VisuallyHidden",props:{asChild:{type:Boolean},as:{default:"span"}},setup(Ra){return T(),(qa,ed)=>(openBlock(),createBlock(unref(O),{as:qa.as,"as-child":qa.asChild,style:{position:"absolute",border:0,width:"1px",display:"inline-block",height:"1px",padding:0,margin:"-1px",overflow:"hidden",clip:"rect(0, 0, 0, 0)",whiteSpace:"nowrap",wordWrap:"normal"}},{default:withCtx(()=>[renderSlot(qa.$slots,"default")]),_:3},8,["as","as-child"]))}}),ad="data-radix-vue-collection-item",[ao,nd]=Q("CollectionProvider");function ba(Ra=ad){const qa=ref$1(new Map),ed=ref$1(),td=nd({collectionRef:ed,itemMap:qa,attrName:Ra}),{getItems:rd}=Jt(td),sd=computed(()=>Array.from(td.itemMap.value.values())),od=computed(()=>td.itemMap.value.size);return{getItems:rd,reactiveItems:sd,itemMapSize:od}}const Ca=defineComponent({name:"CollectionSlot",setup(Ra,{slots:qa}){const ed=ao(),{primitiveElement:td,currentElement:rd}=ke();return watch(rd,()=>{ed.collectionRef.value=rd.value}),()=>h$1(Xn,{ref:td},qa)}}),Zt=defineComponent({name:"CollectionItem",inheritAttrs:!1,props:{value:{validator:()=>!0}},setup(Ra,{slots:qa,attrs:ed}){const td=ao(),{primitiveElement:rd,currentElement:sd}=ke();return watchEffect(od=>{if(sd.value){const ld=markRaw(sd.value);td.itemMap.value.set(ld,{ref:sd.value,value:Ra.value}),od(()=>td.itemMap.value.delete(ld))}}),()=>h$1(Xn,{...ed,[td.attrName]:"",ref:rd},qa)}});function Jt(Ra){const qa=Ra??ao();return{getItems:()=>{const ed=qa.collectionRef.value;if(!ed)return[];const td=Array.from(ed.querySelectorAll(`[${qa.attrName}]`));return Array.from(qa.itemMap.value.values()).sort((rd,sd)=>td.indexOf(rd.ref)-td.indexOf(sd.ref))}}}function Xa(Ra){const qa=Ga({nonce:ref$1()});return computed(()=>{var ed;return(Ra==null?void 0:Ra.value)||((ed=qa.nonce)==null?void 0:ed.value)})}const Za=defineComponent({__name:"MenuAnchor",props:{element:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(Rt),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}});function pd(){const Ra=ref$1(!1);return onMounted(()=>{Le("keydown",()=>{Ra.value=!0},{capture:!0,passive:!0}),Le(["pointerdown","pointermove"],()=>{Ra.value=!1},{capture:!0,passive:!0})}),Ra}const fd=wl(pd),[At,Xl]=Q(["MenuRoot","MenuSub"],"MenuContext"),[wa,vd]=Q("MenuRoot"),oo=defineComponent({__name:"MenuRoot",props:{open:{type:Boolean,default:!1},dir:{},modal:{type:Boolean,default:!0}},emits:["update:open"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,{modal:rd,dir:sd}=toRefs(ed),od=be(sd),ld=ne(ed,"open",td),cd=ref$1(),ud=fd();return Xl({open:ld,onOpenChange:_d=>{ld.value=_d},content:cd,onContentChange:_d=>{cd.value=_d}}),vd({onClose:()=>{ld.value=!1},isUsingKeyboardRef:ud,dir:od,modal:rd}),(_d,Ed)=>(openBlock(),createBlock(unref(Tt),null,{default:withCtx(()=>[renderSlot(_d.$slots,"default")]),_:3}))}}),md="rovingFocusGroup.onEntryFocus",hd={bubbles:!1,cancelable:!0},Ja={ArrowLeft:"prev",ArrowUp:"prev",ArrowRight:"next",ArrowDown:"next",PageUp:"first",Home:"first",PageDown:"last",End:"last"};function yd(Ra,qa){return qa!=="rtl"?Ra:Ra==="ArrowLeft"?"ArrowRight":Ra==="ArrowRight"?"ArrowLeft":Ra}function Zl(Ra,qa,ed){const td=yd(Ra.key,ed);if(!(qa==="vertical"&&["ArrowLeft","ArrowRight"].includes(td))&&!(qa==="horizontal"&&["ArrowUp","ArrowDown"].includes(td)))return Ja[td]}function Jl(Ra,qa=!1){const ed=document.activeElement;for(const td of Ra)if(td===ed||(td.focus({preventScroll:qa}),document.activeElement!==ed))return}function gd(Ra,qa){return Ra.map((ed,td)=>Ra[(qa+td)%Ra.length])}const[bd,Cd]=Q("RovingFocusGroup"),Ot=defineComponent({__name:"RovingFocusGroup",props:{orientation:{default:void 0},dir:{},loop:{type:Boolean,default:!1},currentTabStopId:{},defaultCurrentTabStopId:{},preventScrollOnEntryFocus:{type:Boolean,default:!1},asChild:{type:Boolean},as:{}},emits:["entryFocus","update:currentTabStopId"],setup(Ra,{expose:qa,emit:ed}){const td=Ra,rd=ed,{loop:sd,orientation:od,dir:ld}=toRefs(td),cd=be(ld),ud=ne(td,"currentTabStopId",rd,{defaultValue:td.defaultCurrentTabStopId,passive:td.currentTabStopId===void 0}),_d=ref$1(!1),Ed=ref$1(!1),Ad=ref$1(0),{getItems:Td}=ba();function Nd(Rd){const Bd=!Ed.value;if(Rd.currentTarget&&Rd.target===Rd.currentTarget&&Bd&&!_d.value){const kd=new CustomEvent(md,hd);if(Rd.currentTarget.dispatchEvent(kd),rd("entryFocus",kd),!kd.defaultPrevented){const Od=Td().map(Ud=>Ud.ref).filter(Ud=>Ud.dataset.disabled!==""),Md=Od.find(Ud=>Ud.getAttribute("data-active")==="true"),Pd=Od.find(Ud=>Ud.id===ud.value),Fd=[Md,Pd,...Od].filter(Boolean);Jl(Fd,td.preventScrollOnEntryFocus)}}Ed.value=!1}return qa({getItems:Td}),Cd({loop:sd,dir:cd,orientation:od,currentTabStopId:ud,onItemFocus:Rd=>{ud.value=Rd},onItemShiftTab:()=>{_d.value=!0},onFocusableItemAdd:()=>{Ad.value++},onFocusableItemRemove:()=>{Ad.value--}}),(Rd,Bd)=>(openBlock(),createBlock(unref(Ca),null,{default:withCtx(()=>[createVNode(unref(O),{tabindex:_d.value||Ad.value===0?-1:0,"data-orientation":unref(od),as:Rd.as,"as-child":Rd.asChild,dir:unref(cd),style:{outline:"none"},onMousedown:Bd[0]||(Bd[0]=kd=>Ed.value=!0),onFocus:Nd,onBlur:Bd[1]||(Bd[1]=kd=>_d.value=!1)},{default:withCtx(()=>[renderSlot(Rd.$slots,"default")]),_:3},8,["tabindex","data-orientation","as","as-child","dir"])]),_:3}))}}),kt=defineComponent({__name:"RovingFocusItem",props:{tabStopId:{},focusable:{type:Boolean,default:!0},active:{type:Boolean,default:!0},allowShiftKey:{type:Boolean},asChild:{type:Boolean},as:{default:"span"}},setup(Ra){const qa=Ra,ed=bd(),td=computed(()=>qa.tabStopId||he()),rd=computed(()=>ed.currentTabStopId.value===td.value),{getItems:sd}=Jt();onMounted(()=>{qa.focusable&&ed.onFocusableItemAdd()}),onUnmounted(()=>{qa.focusable&&ed.onFocusableItemRemove()});function od(ld){if(ld.key==="Tab"&&ld.shiftKey){ed.onItemShiftTab();return}if(ld.target!==ld.currentTarget)return;const cd=Zl(ld,ed.orientation.value,ed.dir.value);if(cd!==void 0){if(ld.metaKey||ld.ctrlKey||ld.altKey||!qa.allowShiftKey&&ld.shiftKey)return;ld.preventDefault();let ud=[...sd().map(_d=>_d.ref).filter(_d=>_d.dataset.disabled!=="")];if(cd==="last")ud.reverse();else if(cd==="prev"||cd==="next"){cd==="prev"&&ud.reverse();const _d=ud.indexOf(ld.currentTarget);ud=ed.loop.value?gd(ud,_d+1):ud.slice(_d+1)}nextTick$1(()=>Jl(ud))}}return(ld,cd)=>(openBlock(),createBlock(unref(Zt),null,{default:withCtx(()=>[createVNode(unref(O),{tabindex:rd.value?0:-1,"data-orientation":unref(ed).orientation.value,"data-active":ld.active,"data-disabled":ld.focusable?void 0:"",as:ld.as,"as-child":ld.asChild,onMousedown:cd[0]||(cd[0]=ud=>{ld.focusable?unref(ed).onItemFocus(td.value):ud.preventDefault()}),onFocus:cd[1]||(cd[1]=ud=>unref(ed).onItemFocus(td.value)),onKeydown:od},{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},8,["tabindex","data-orientation","data-active","data-disabled","as","as-child"])]),_:3}))}}),[lo,wd]=Q("MenuContent"),so=defineComponent({__name:"MenuContentImpl",props:mergeDefaults({loop:{type:Boolean},disableOutsidePointerEvents:{type:Boolean},disableOutsideScroll:{type:Boolean},trapFocus:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},{...ql}),emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus","dismiss"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=At(),sd=wa(),{trapFocus:od,disableOutsidePointerEvents:ld,loop:cd}=toRefs(ed);Gn(),ha(ld.value);const ud=ref$1(""),_d=ref$1(0),Ed=ref$1(0),Ad=ref$1(null),Td=ref$1("right"),Nd=ref$1(0),Rd=ref$1(null),{createCollection:Bd}=Me(),{forwardRef:kd,currentElement:Od}=T(),Md=Bd(Od);watch(Od,zd=>{rd.onContentChange(zd)});const{handleTypeaheadSearch:Pd}=ga(Md);onUnmounted(()=>{window.clearTimeout(_d.value)});function Fd(zd){var Xd,df;return Td.value===((Xd=Ad.value)==null?void 0:Xd.side)&&du(zd,(df=Ad.value)==null?void 0:df.area)}async function Ud(zd){var Xd;td("openAutoFocus",zd),!zd.defaultPrevented&&(zd.preventDefault(),(Xd=Od.value)==null||Xd.focus({preventScroll:!0}))}function Gd(zd){if(zd.defaultPrevented)return;const Xd=zd.target.closest("[data-radix-menu-content]")===zd.currentTarget,df=zd.ctrlKey||zd.altKey||zd.metaKey,mf=zd.key.length===1,_f=Bt(zd,document.activeElement,Od.value,{loop:cd.value,arrowKeyOptions:"vertical",dir:sd==null?void 0:sd.dir.value,focus:!0,attributeName:"[data-radix-vue-collection-item]:not([data-disabled])"});if(_f)return _f==null?void 0:_f.focus();if(zd.code==="Space"||(Xd&&(zd.key==="Tab"&&zd.preventDefault(),!df&&mf&&Pd(zd.key)),zd.target!==Od.value)||!su.includes(zd.key))return;zd.preventDefault();const hf=Md.value;zl.includes(zd.key)&&hf.reverse(),$n(hf)}function Qd(zd){var Xd,df;(df=(Xd=zd==null?void 0:zd.currentTarget)==null?void 0:Xd.contains)!=null&&df.call(Xd,zd.target)||(window.clearTimeout(_d.value),ud.value="")}function Vd(zd){var Xd;if(!ua(zd))return;const df=zd.target,mf=Nd.value!==zd.clientX;if((Xd=zd==null?void 0:zd.currentTarget)!=null&&Xd.contains(df)&&mf){const _f=zd.clientX>Nd.value?"right":"left";Td.value=_f,Nd.value=zd.clientX}}return wd({onItemEnter:zd=>!!Fd(zd),onItemLeave:zd=>{var Xd;Fd(zd)||((Xd=Od.value)==null||Xd.focus(),Rd.value=null)},onTriggerLeave:zd=>!!Fd(zd),searchRef:ud,pointerGraceTimerRef:Ed,onPointerGraceIntentChange:zd=>{Ad.value=zd}}),(zd,Xd)=>(openBlock(),createBlock(unref(Ya),{"as-child":"",trapped:unref(od),onMountAutoFocus:Ud,onUnmountAutoFocus:Xd[7]||(Xd[7]=df=>td("closeAutoFocus",df))},{default:withCtx(()=>[createVNode(unref(yt),{"as-child":"","disable-outside-pointer-events":unref(ld),onEscapeKeyDown:Xd[2]||(Xd[2]=df=>td("escapeKeyDown",df)),onPointerDownOutside:Xd[3]||(Xd[3]=df=>td("pointerDownOutside",df)),onFocusOutside:Xd[4]||(Xd[4]=df=>td("focusOutside",df)),onInteractOutside:Xd[5]||(Xd[5]=df=>td("interactOutside",df)),onDismiss:Xd[6]||(Xd[6]=df=>td("dismiss"))},{default:withCtx(()=>[createVNode(unref(Ot),{"current-tab-stop-id":Rd.value,"onUpdate:currentTabStopId":Xd[0]||(Xd[0]=df=>Rd.value=df),"as-child":"",orientation:"vertical",dir:unref(sd).dir.value,loop:unref(cd),onEntryFocus:Xd[1]||(Xd[1]=df=>{td("entryFocus",df),unref(sd).isUsingKeyboardRef.value||df.preventDefault()})},{default:withCtx(()=>[createVNode(unref(Dt),{ref:unref(kd),role:"menu",as:zd.as,"as-child":zd.asChild,"aria-orientation":"vertical","data-radix-menu-content":"","data-state":unref(Qn)(unref(rd).open.value),dir:unref(sd).dir.value,side:zd.side,"side-offset":zd.sideOffset,align:zd.align,"align-offset":zd.alignOffset,"avoid-collisions":zd.avoidCollisions,"collision-boundary":zd.collisionBoundary,"collision-padding":zd.collisionPadding,"arrow-padding":zd.arrowPadding,"prioritize-position":zd.prioritizePosition,sticky:zd.sticky,"hide-when-detached":zd.hideWhenDetached,onKeydown:Gd,onBlur:Qd,onPointermove:Vd},{default:withCtx(()=>[renderSlot(zd.$slots,"default")]),_:3},8,["as","as-child","data-state","dir","side","side-offset","align","align-offset","avoid-collisions","collision-boundary","collision-padding","arrow-padding","prioritize-position","sticky","hide-when-detached"])]),_:3},8,["current-tab-stop-id","dir","loop"])]),_:3},8,["disable-outside-pointer-events"])]),_:3},8,["trapped"]))}}),Ql=defineComponent({inheritAttrs:!1,__name:"MenuItemImpl",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra,ed=lo(),{forwardRef:td}=T(),rd=ref$1(!1);async function sd(ld){if(!ld.defaultPrevented&&ua(ld)){if(qa.disabled)ed.onItemLeave(ld);else if(!ed.onItemEnter(ld)){const cd=ld.currentTarget;cd==null||cd.focus({preventScroll:!0})}}}async function od(ld){await nextTick$1(),!ld.defaultPrevented&&ua(ld)&&ed.onItemLeave(ld)}return(ld,cd)=>(openBlock(),createBlock(unref(Zt),null,{default:withCtx(()=>[createVNode(unref(O),mergeProps({ref:unref(td),role:"menuitem",tabindex:"-1"},ld.$attrs,{as:ld.as,"as-child":ld.asChild,"data-radix-vue-collection-item":"","aria-disabled":ld.disabled||void 0,"data-disabled":ld.disabled?"":void 0,"data-highlighted":rd.value?"":void 0,onPointermove:sd,onPointerleave:od,onFocus:cd[0]||(cd[0]=async ud=>{await nextTick$1(),!(ud.defaultPrevented||ld.disabled)&&(rd.value=!0)}),onBlur:cd[1]||(cd[1]=async ud=>{await nextTick$1(),!ud.defaultPrevented&&(rd.value=!1)})}),{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},16,["as","as-child","aria-disabled","data-disabled","data-highlighted"])]),_:3}))}}),_a=defineComponent({__name:"MenuItem",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},emits:["select"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,{forwardRef:rd,currentElement:sd}=T(),od=wa(),ld=lo(),cd=ref$1(!1);async function ud(){const _d=sd.value;if(!ed.disabled&&_d){const Ed=new CustomEvent(ou,{bubbles:!0,cancelable:!0});td("select",Ed),await nextTick$1(),Ed.defaultPrevented?cd.value=!1:od.onClose()}}return(_d,Ed)=>(openBlock(),createBlock(Ql,mergeProps(ed,{ref:unref(rd),onClick:ud,onPointerdown:Ed[0]||(Ed[0]=()=>{cd.value=!0}),onPointerup:Ed[1]||(Ed[1]=async Ad=>{var Td;await nextTick$1(),!Ad.defaultPrevented&&(cd.value||(Td=Ad.currentTarget)==null||Td.click())}),onKeydown:Ed[2]||(Ed[2]=async Ad=>{const Td=unref(ld).searchRef.value!=="";_d.disabled||Td&&Ad.key===" "||unref(Dn).includes(Ad.key)&&(Ad.currentTarget.click(),Ad.preventDefault())})}),{default:withCtx(()=>[renderSlot(_d.$slots,"default")]),_:3},16))}}),xd=defineComponent({__name:"MenuRootContentModal",props:{loop:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=xe(ed,td),sd=At(),{forwardRef:od,currentElement:ld}=T();return ya(ld),(cd,ud)=>(openBlock(),createBlock(so,mergeProps(unref(rd),{ref:unref(od),"trap-focus":unref(sd).open.value,"disable-outside-pointer-events":unref(sd).open.value,"disable-outside-scroll":!0,onDismiss:ud[0]||(ud[0]=_d=>unref(sd).onOpenChange(!1)),onFocusOutside:ud[1]||(ud[1]=withModifiers(_d=>td("focusOutside",_d),["prevent"]))}),{default:withCtx(()=>[renderSlot(cd.$slots,"default")]),_:3},16,["trap-focus","disable-outside-pointer-events"]))}}),Sd=defineComponent({__name:"MenuRootContentNonModal",props:{loop:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=xe(Ra,qa),td=At();return(rd,sd)=>(openBlock(),createBlock(so,mergeProps(unref(ed),{"trap-focus":!1,"disable-outside-pointer-events":!1,"disable-outside-scroll":!1,onDismiss:sd[0]||(sd[0]=od=>unref(td).onOpenChange(!1))}),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16))}}),uo=defineComponent({__name:"MenuContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=xe(Ra,qa),td=At(),rd=wa();return(sd,od)=>(openBlock(),createBlock(unref(Pe),{present:sd.forceMount||unref(td).open.value},{default:withCtx(()=>[unref(rd).modal.value?(openBlock(),createBlock(xd,normalizeProps(mergeProps({key:0},{...sd.$attrs,...unref(ed)})),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16)):(openBlock(),createBlock(Sd,normalizeProps(mergeProps({key:1},{...sd.$attrs,...unref(ed)})),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16))]),_:3},8,["present"]))}}),po=defineComponent({__name:"MenuPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(ht),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),mo=defineComponent({__name:"MenuSeparator",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(O),mergeProps(qa,{role:"separator","aria-orientation":"horizontal"}),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),[ts,Dd]=Q("MenuSub"),ho=defineComponent({__name:"MenuSub",props:{open:{type:Boolean,default:void 0}},emits:["update:open"],setup(Ra,{emit:qa}){const ed=Ra,td=ne(ed,"open",qa,{defaultValue:!1,passive:ed.open===void 0}),rd=At(),sd=ref$1(),od=ref$1();return watchEffect(ld=>{(rd==null?void 0:rd.open.value)===!1&&(td.value=!1),ld(()=>td.value=!1)}),Xl({open:td,onOpenChange:ld=>{td.value=ld},content:od,onContentChange:ld=>{od.value=ld}}),Dd({triggerId:"",contentId:"",trigger:sd,onTriggerChange:ld=>{sd.value=ld}}),(ld,cd)=>(openBlock(),createBlock(unref(Tt),null,{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3}))}}),yo=defineComponent({__name:"MenuSubContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},sideOffset:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean,default:!0},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=xe(Ra,qa),td=At(),rd=wa(),sd=ts(),{forwardRef:od,currentElement:ld}=T();return sd.contentId||(sd.contentId=he(void 0,"radix-vue-menu-sub-content")),(cd,ud)=>(openBlock(),createBlock(unref(Pe),{present:cd.forceMount||unref(td).open.value},{default:withCtx(()=>[createVNode(so,mergeProps(unref(ed),{id:unref(sd).contentId,ref:unref(od),"aria-labelledby":unref(sd).triggerId,align:"start",side:unref(rd).dir.value==="rtl"?"left":"right","disable-outside-pointer-events":!1,"disable-outside-scroll":!1,"trap-focus":!1,onOpenAutoFocus:ud[0]||(ud[0]=withModifiers(_d=>{var Ed;unref(rd).isUsingKeyboardRef.value&&((Ed=unref(ld))==null||Ed.focus())},["prevent"])),onCloseAutoFocus:ud[1]||(ud[1]=withModifiers(()=>{},["prevent"])),onFocusOutside:ud[2]||(ud[2]=_d=>{_d.defaultPrevented||_d.target!==unref(sd).trigger.value&&unref(td).onOpenChange(!1)}),onEscapeKeyDown:ud[3]||(ud[3]=_d=>{unref(rd).onClose(),_d.preventDefault()}),onKeydown:ud[4]||(ud[4]=_d=>{var Ed,Ad;const Td=(Ed=_d.currentTarget)==null?void 0:Ed.contains(_d.target),Nd=unref(iu)[unref(rd).dir.value].includes(_d.key);Td&&Nd&&(unref(td).onOpenChange(!1),(Ad=unref(sd).trigger.value)==null||Ad.focus(),_d.preventDefault())})}),{default:withCtx(()=>[renderSlot(cd.$slots,"default")]),_:3},16,["id","aria-labelledby","side"])]),_:3},8,["present"]))}}),go=defineComponent({__name:"MenuSubTrigger",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra,ed=At(),td=wa(),rd=ts(),sd=lo(),od=ref$1(null);rd.triggerId||(rd.triggerId=he(void 0,"radix-vue-menu-sub-trigger"));function ld(){od.value&&window.clearTimeout(od.value),od.value=null}onUnmounted(()=>{ld()});function cd(Ed){!ua(Ed)||sd.onItemEnter(Ed)||!qa.disabled&&!ed.open.value&&!od.value&&(sd.onPointerGraceIntentChange(null),od.value=window.setTimeout(()=>{ed.onOpenChange(!0),ld()},100))}async function ud(Ed){var Ad,Td;if(!ua(Ed))return;ld();const Nd=(Ad=ed.content.value)==null?void 0:Ad.getBoundingClientRect();if(Nd!=null&&Nd.width){const Rd=(Td=ed.content.value)==null?void 0:Td.dataset.side,Bd=Rd==="right",kd=Bd?-5:5,Od=Nd[Bd?"left":"right"],Md=Nd[Bd?"right":"left"];sd.onPointerGraceIntentChange({area:[{x:Ed.clientX+kd,y:Ed.clientY},{x:Od,y:Nd.top},{x:Md,y:Nd.top},{x:Md,y:Nd.bottom},{x:Od,y:Nd.bottom}],side:Rd}),window.clearTimeout(sd.pointerGraceTimerRef.value),sd.pointerGraceTimerRef.value=window.setTimeout(()=>sd.onPointerGraceIntentChange(null),300)}else{if(sd.onTriggerLeave(Ed))return;sd.onPointerGraceIntentChange(null)}}async function _d(Ed){var Ad;const Td=sd.searchRef.value!=="";qa.disabled||Td&&Ed.key===" "||ru[td.dir.value].includes(Ed.key)&&(ed.onOpenChange(!0),await nextTick$1(),(Ad=ed.content.value)==null||Ad.focus(),Ed.preventDefault())}return(Ed,Ad)=>(openBlock(),createBlock(Za,{"as-child":""},{default:withCtx(()=>[createVNode(Ql,mergeProps(qa,{id:unref(rd).triggerId,ref:Td=>{var Nd;(Nd=unref(rd))==null||Nd.onTriggerChange(Td==null?void 0:Td.$el)},"aria-haspopup":"menu","aria-expanded":unref(ed).open.value,"aria-controls":unref(rd).contentId,"data-state":unref(Qn)(unref(ed).open.value),onClick:Ad[0]||(Ad[0]=async Td=>{qa.disabled||Td.defaultPrevented||(Td.currentTarget.focus(),unref(ed).open.value||unref(ed).onOpenChange(!0))}),onPointermove:cd,onPointerleave:ud,onKeydown:_d}),{default:withCtx(()=>[renderSlot(Ed.$slots,"default")]),_:3},16,["id","aria-expanded","aria-controls","data-state"])]),_:3}))}}),[as,$d]=Q("ContextMenuRoot"),Jv=defineComponent({inheritAttrs:!1,__name:"ContextMenuRoot",props:{dir:{},modal:{type:Boolean,default:!0}},emits:["update:open"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,{dir:rd,modal:sd}=toRefs(ed);T();const od=be(rd),ld=ref$1(!1);return $d({open:ld,onOpenChange:cd=>{ld.value=cd},dir:od,modal:sd}),watch(ld,cd=>{td("update:open",cd)}),(cd,ud)=>(openBlock(),createBlock(unref(oo),{open:ld.value,"onUpdate:open":ud[0]||(ud[0]=_d=>ld.value=_d),dir:unref(od),modal:unref(sd)},{default:withCtx(()=>[renderSlot(cd.$slots,"default")]),_:3},8,["open","dir","modal"]))}});function Uo(Ra){return Ra.pointerType!=="mouse"}const Qv=defineComponent({inheritAttrs:!1,__name:"ContextMenuTrigger",props:{disabled:{type:Boolean,default:!1},asChild:{type:Boolean},as:{default:"span"}},setup(Ra){const qa=Ra,{disabled:ed}=toRefs(qa),{forwardRef:td}=T(),rd=as(),sd=ref$1({x:0,y:0}),od=computed(()=>({getBoundingClientRect:()=>({width:0,height:0,left:sd.value.x,right:sd.value.x,top:sd.value.y,bottom:sd.value.y,...sd.value})})),ld=ref$1(0);function cd(){window.clearTimeout(ld.value)}function ud(Td){sd.value={x:Td.clientX,y:Td.clientY},rd.onOpenChange(!0)}async function _d(Td){ed.value||(await nextTick$1(),Td.defaultPrevented||(cd(),ud(Td),Td.preventDefault()))}async function Ed(Td){ed.value||(await nextTick$1(),Uo(Td)&&!Td.defaultPrevented&&(cd(),ld.value=window.setTimeout(()=>ud(Td),700)))}async function Ad(Td){ed.value||(await nextTick$1(),Uo(Td)&&!Td.defaultPrevented&&cd())}return(Td,Nd)=>(openBlock(),createElementBlock(Fragment,null,[createVNode(unref(Za),{as:"template",element:od.value},null,8,["element"]),createVNode(unref(O),mergeProps({ref:unref(td),as:Td.as,"as-child":Td.asChild,"data-state":unref(rd).open.value?"open":"closed","data-disabled":unref(ed)?"":void 0,style:{WebkitTouchCallout:"none"}},Td.$attrs,{onContextmenu:_d,onPointerdown:Ed,onPointermove:Ad,onPointercancel:Ad,onPointerup:Ad}),{default:withCtx(()=>[renderSlot(Td.$slots,"default")]),_:3},16,["as","as-child","data-state","data-disabled"])],64))}}),em=defineComponent({__name:"ContextMenuPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(po),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),tm=defineComponent({__name:"ContextMenuContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},alignOffset:{default:0},avoidCollisions:{type:Boolean,default:!0},collisionBoundary:{default:()=>[]},collisionPadding:{default:0},sticky:{default:"partial"},hideWhenDetached:{type:Boolean,default:!1},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=xe(Ra,qa);T();const td=as(),rd=ref$1(!1);return(sd,od)=>(openBlock(),createBlock(unref(uo),mergeProps(unref(ed),{side:"right","side-offset":2,align:"start",style:{"--radix-context-menu-content-transform-origin":"var(--radix-popper-transform-origin)","--radix-context-menu-content-available-width":"var(--radix-popper-available-width)","--radix-context-menu-content-available-height":"var(--radix-popper-available-height)","--radix-context-menu-trigger-width":"var(--radix-popper-anchor-width)","--radix-context-menu-trigger-height":"var(--radix-popper-anchor-height)"},onCloseAutoFocus:od[0]||(od[0]=ld=>{!ld.defaultPrevented&&rd.value&&ld.preventDefault(),rd.value=!1}),onInteractOutside:od[1]||(od[1]=ld=>{!ld.defaultPrevented&&!unref(td).modal.value&&(rd.value=!0)})}),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16))}}),nm=defineComponent({__name:"ContextMenuItem",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},emits:["select"],setup(Ra,{emit:qa}){const ed=Ra,td=Re(qa);return T(),(rd,sd)=>(openBlock(),createBlock(unref(_a),normalizeProps(guardReactiveProps({...ed,...unref(td)})),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16))}}),lm=defineComponent({__name:"ContextMenuSeparator",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return T(),(ed,td)=>(openBlock(),createBlock(unref(mo),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),[wo,nc]=Q("HoverCardRoot"),xh=defineComponent({__name:"HoverCardRoot",props:{defaultOpen:{type:Boolean,default:!1},open:{type:Boolean,default:void 0},openDelay:{default:700},closeDelay:{default:300}},emits:["update:open"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,{openDelay:rd,closeDelay:sd}=toRefs(ed);T();const od=ne(ed,"open",td,{defaultValue:ed.defaultOpen,passive:ed.open===void 0}),ld=ref$1(0),cd=ref$1(0),ud=ref$1(!1),_d=ref$1(!1),Ed=ref$1(!1),Ad=ref$1();function Td(){clearTimeout(cd.value),ld.value=window.setTimeout(()=>od.value=!0,rd.value)}function Nd(){clearTimeout(ld.value),!ud.value&&!_d.value&&(cd.value=window.setTimeout(()=>od.value=!1,sd.value))}function Rd(){od.value=!1}return nc({open:od,onOpenChange(Bd){od.value=Bd},onOpen:Td,onClose:Nd,onDismiss:Rd,hasSelectionRef:ud,isPointerDownOnContentRef:_d,isPointerInTransitRef:Ed,triggerElement:Ad}),(Bd,kd)=>(openBlock(),createBlock(unref(Tt),null,{default:withCtx(()=>[renderSlot(Bd.$slots,"default",{open:unref(od)})]),_:3}))}});function Tn(Ra){return qa=>qa.pointerType==="touch"?void 0:Ra()}function oc(Ra){const qa=[],ed=document.createTreeWalker(Ra,NodeFilter.SHOW_ELEMENT,{acceptNode:td=>td.tabIndex>=0?NodeFilter.FILTER_ACCEPT:NodeFilter.FILTER_SKIP});for(;ed.nextNode();)qa.push(ed.currentNode);return qa}const Sh=defineComponent({__name:"HoverCardTrigger",props:{asChild:{type:Boolean},as:{default:"a"}},setup(Ra){const{forwardRef:qa,currentElement:ed}=T(),td=wo();td.triggerElement=ed;function rd(){setTimeout(()=>{!td.isPointerInTransitRef.value&&!td.open.value&&td.onClose()},0)}return(sd,od)=>(openBlock(),createBlock(unref(Rt),{"as-child":""},{default:withCtx(()=>[createVNode(unref(O),{ref:unref(qa),"as-child":sd.asChild,as:sd.as,"data-state":unref(td).open.value?"open":"closed","data-grace-area-trigger":"",onPointerenter:od[0]||(od[0]=ld=>unref(Tn)(unref(td).onOpen)(ld)),onPointerleave:od[1]||(od[1]=ld=>unref(Tn)(rd)(ld)),onFocus:od[2]||(od[2]=ld=>unref(td).onOpen()),onBlur:od[3]||(od[3]=ld=>unref(td).onClose())},{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},8,["as-child","as","data-state"])]),_:3}))}}),Eh=defineComponent({__name:"HoverCardPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(ht),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),lc=defineComponent({__name:"HoverCardContentImpl",props:{side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=It(ed),{forwardRef:sd,currentElement:od}=T(),ld=wo(),{isPointerInTransit:cd,onPointerExit:ud}=Tl(ld.triggerElement,od);ri(ld.isPointerInTransitRef,cd,{direction:"rtl"}),ud(()=>{ld.onClose()});const _d=ref$1(!1);let Ed;watchEffect(Td=>{if(_d.value){const Nd=document.body;Ed=Nd.style.userSelect||Nd.style.webkitUserSelect,Nd.style.userSelect="none",Nd.style.webkitUserSelect="none",Td(()=>{Nd.style.userSelect=Ed,Nd.style.webkitUserSelect=Ed})}});function Ad(){_d.value=!1,ld.isPointerDownOnContentRef.value=!1,nextTick$1(()=>{var Td;((Td=document.getSelection())==null?void 0:Td.toString())!==""&&(ld.hasSelectionRef.value=!0)})}return onMounted(()=>{od.value&&(document.addEventListener("pointerup",Ad),oc(od.value).forEach(Td=>Td.setAttribute("tabindex","-1")))}),onUnmounted(()=>{document.removeEventListener("pointerup",Ad),ld.hasSelectionRef.value=!1,ld.isPointerDownOnContentRef.value=!1}),(Td,Nd)=>(openBlock(),createBlock(unref(yt),{"as-child":"","disable-outside-pointer-events":!1,onEscapeKeyDown:Nd[1]||(Nd[1]=Rd=>td("escapeKeyDown",Rd)),onPointerDownOutside:Nd[2]||(Nd[2]=Rd=>td("pointerDownOutside",Rd)),onFocusOutside:Nd[3]||(Nd[3]=withModifiers(Rd=>td("focusOutside",Rd),["prevent"])),onDismiss:unref(ld).onDismiss},{default:withCtx(()=>[createVNode(unref(Dt),mergeProps({...unref(rd),...Td.$attrs},{ref:unref(sd),"data-state":unref(ld).open.value?"open":"closed",style:{userSelect:_d.value?"text":void 0,WebkitUserSelect:_d.value?"text":void 0,"--radix-hover-card-content-transform-origin":"var(--radix-popper-transform-origin)","--radix-hover-card-content-available-width":"var(--radix-popper-available-width)","--radix-hover-card-content-available-height":"var(--radix-popper-available-height)","--radix-hover-card-trigger-width":"var(--radix-popper-anchor-width)","--radix-hover-card-trigger-height":"var(--radix-popper-anchor-height)"},onPointerdown:Nd[0]||(Nd[0]=Rd=>{Rd.currentTarget.contains(Rd.target)&&(_d.value=!0),unref(ld).hasSelectionRef.value=!1,unref(ld).isPointerDownOnContentRef.value=!0})}),{default:withCtx(()=>[renderSlot(Td.$slots,"default")]),_:3},16,["data-state","style"])]),_:3},8,["onDismiss"]))}}),Ph=defineComponent({__name:"HoverCardContent",props:{forceMount:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside"],setup(Ra,{emit:qa}){const ed=xe(Ra,qa),{forwardRef:td}=T(),rd=wo();return(sd,od)=>(openBlock(),createBlock(unref(Pe),{present:sd.forceMount||unref(rd).open.value},{default:withCtx(()=>[createVNode(lc,mergeProps(unref(ed),{ref:unref(td),onPointerenter:od[0]||(od[0]=ld=>unref(Tn)(unref(rd).onOpen)(ld))}),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16)]),_:3},8,["present"]))}}),[tn,Pc]=Q("MenubarRoot"),Vh=defineComponent({__name:"MenubarRoot",props:{modelValue:{},defaultValue:{},dir:{},loop:{type:Boolean,default:!1}},emits:["update:modelValue"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,{forwardRef:rd,currentElement:sd}=T(),{createCollection:od}=Me("menubar");od(sd);const ld=ne(ed,"modelValue",td,{defaultValue:ed.defaultValue??"",passive:ed.modelValue===void 0}),cd=ref$1(null),{dir:ud,loop:_d}=toRefs(ed),Ed=be(ud);return Pc({modelValue:ld,dir:Ed,loop:_d,onMenuOpen:Ad=>{ld.value=Ad,cd.value=Ad},onMenuClose:()=>{ld.value=""},onMenuToggle:Ad=>{ld.value=ld.value?"":Ad,cd.value=Ad}}),(Ad,Td)=>(openBlock(),createBlock(unref(Ot),{"current-tab-stop-id":cd.value,"onUpdate:currentTabStopId":Td[0]||(Td[0]=Nd=>cd.value=Nd),orientation:"horizontal",loop:unref(_d),dir:unref(Ed),"as-child":""},{default:withCtx(()=>[createVNode(unref(O),{ref:unref(rd),role:"menubar"},{default:withCtx(()=>[renderSlot(Ad.$slots,"default",{modelValue:unref(ld)})]),_:3},512)]),_:3},8,["current-tab-stop-id","loop","dir"]))}}),[_o,Dc]=Q("MenubarMenu"),Fh=defineComponent({__name:"MenubarMenu",props:{value:{}},setup(Ra){const qa=he(Ra.value),ed=tn();T();const td=ref$1(),rd=ref$1(!1),sd=computed(()=>ed.modelValue.value===qa);return watch(sd,()=>{sd.value||(rd.value=!1)}),Dc({value:qa,triggerElement:td,triggerId:qa,contentId:"",wasKeyboardTriggerOpenRef:rd}),(od,ld)=>(openBlock(),createBlock(unref(oo),{open:sd.value,modal:!1,dir:unref(ed).dir.value,"onUpdate:open":ld[0]||(ld[0]=cd=>{cd||unref(ed).onMenuClose()})},{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},8,["open","dir"]))}}),Nh=defineComponent({__name:"MenubarTrigger",props:{disabled:{type:Boolean},asChild:{type:Boolean},as:{default:"button"}},setup(Ra){const qa=tn(),ed=_o(),{forwardRef:td,currentElement:rd}=T(),sd=ref$1(!1),od=computed(()=>qa.modelValue.value===ed.value);return onMounted(()=>{ed.triggerElement=rd}),(ld,cd)=>(openBlock(),createBlock(unref(kt),{"as-child":"",focusable:!ld.disabled,"tab-stop-id":unref(ed).value},{default:withCtx(()=>[createVNode(unref(Za),{"as-child":""},{default:withCtx(()=>[createVNode(unref(O),{id:unref(ed).triggerId,ref:unref(td),as:ld.as,type:ld.as==="button"?"button":void 0,role:"menuitem","aria-haspopup":"menu","aria-expanded":od.value,"aria-controls":od.value?unref(ed).contentId:void 0,"data-highlighted":sd.value?"":void 0,"data-state":od.value?"open":"closed","data-disabled":ld.disabled?"":void 0,disabled:ld.disabled,"data-value":unref(ed).value,"data-radix-vue-collection-item":"",onPointerdown:cd[0]||(cd[0]=ud=>{!ld.disabled&&ud.button===0&&ud.ctrlKey===!1&&(unref(qa).onMenuOpen(unref(ed).value),od.value||ud.preventDefault())}),onPointerenter:cd[1]||(cd[1]=()=>{var ud;unref(qa).modelValue.value&&!od.value&&(unref(qa).onMenuOpen(unref(ed).value),(ud=unref(rd))==null||ud.focus())}),onKeydown:cd[2]||(cd[2]=withKeys(ud=>{ld.disabled||(["Enter"," "].includes(ud.key)&&unref(qa).onMenuToggle(unref(ed).value),ud.key==="ArrowDown"&&unref(qa).onMenuOpen(unref(ed).value),["Enter"," ","ArrowDown"].includes(ud.key)&&(unref(ed).wasKeyboardTriggerOpenRef.value=!0,ud.preventDefault()))},["enter","space","arrow-down"])),onFocus:cd[3]||(cd[3]=ud=>sd.value=!0),onBlur:cd[4]||(cd[4]=ud=>sd.value=!1)},{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},8,["id","as","type","aria-expanded","aria-controls","data-highlighted","data-state","data-disabled","disabled","data-value"])]),_:3})]),_:3},8,["focusable","tab-stop-id"]))}}),Lh=defineComponent({__name:"MenubarPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(po),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),zh=defineComponent({__name:"MenubarContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},side:{},sideOffset:{},align:{default:"start"},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=xe(Ra,qa);T();const td=tn(),rd=_o();rd.contentId||(rd.contentId=he(void 0,"radix-vue-menubar-content"));const{injectCollection:sd}=Me("menubar"),od=sd(),ld=ref$1(!1);function cd(ud){const _d=ud.target.hasAttribute("data-radix-menubar-subtrigger"),Ed=(td.dir.value==="rtl"?"ArrowRight":"ArrowLeft")===ud.key;if(!Ed&&_d)return;let Ad=od.value.map(Rd=>Rd.dataset.value);Ed&&Ad.reverse();const Td=Ad.indexOf(rd.value);Ad=td.loop.value?qn(Ad,Td+1):Ad.slice(Td+1);const[Nd]=Ad;Nd&&td.onMenuOpen(Nd)}return(ud,_d)=>(openBlock(),createBlock(unref(uo),mergeProps(unref(ed),{id:unref(rd).contentId,"data-radix-menubar-content":"","aria-labelledby":unref(rd).triggerId,style:{"--radix-menubar-content-transform-origin":"var(--radix-popper-transform-origin)","--radix-menubar-content-available-width":"var(--radix-popper-available-width)","--radix-menubar-content-available-height":"var(--radix-popper-available-height)","--radix-menubar-trigger-width":"var(--radix-popper-anchor-width)","--radix-menubar-trigger-height":"var(--radix-popper-anchor-height)"},onCloseAutoFocus:_d[0]||(_d[0]=Ed=>{var Ad;!unref(td).modelValue.value&&!ld.value&&((Ad=unref(rd).triggerElement.value)==null||Ad.focus()),ld.value=!1,Ed.preventDefault()}),onFocusOutside:_d[1]||(_d[1]=Ed=>{const Ad=Ed.target;unref(od).some(Td=>Td.contains(Ad))&&Ed.preventDefault()}),onInteractOutside:_d[2]||(_d[2]=Ed=>{ld.value=!0}),onEntryFocus:_d[3]||(_d[3]=Ed=>{unref(rd).wasKeyboardTriggerOpenRef.value||Ed.preventDefault()}),onKeydown:withKeys(cd,["arrow-right","arrow-left"])}),{default:withCtx(()=>[renderSlot(ud.$slots,"default")]),_:3},16,["id","aria-labelledby"]))}}),Hh=defineComponent({__name:"MenubarItem",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},emits:["select"],setup(Ra,{emit:qa}){const ed=Ra,td=Re(qa);return T(),(rd,sd)=>(openBlock(),createBlock(unref(_a),normalizeProps(guardReactiveProps({...ed,...unref(td)})),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16))}}),jh=defineComponent({__name:"MenubarSeparator",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return T(),(ed,td)=>(openBlock(),createBlock(unref(mo),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),Zh=defineComponent({__name:"MenubarSub",props:{defaultOpen:{type:Boolean},open:{type:Boolean,default:void 0}},emits:["update:open"],setup(Ra,{emit:qa}){const ed=Ra,td=qa;T();const rd=ne(ed,"open",td,{defaultValue:ed.defaultOpen??!1,passive:ed.open===void 0});return(sd,od)=>(openBlock(),createBlock(unref(ho),{open:unref(rd),"onUpdate:open":od[0]||(od[0]=ld=>isRef(rd)?rd.value=ld:null)},{default:withCtx(()=>[renderSlot(sd.$slots,"default",{open:unref(rd)})]),_:3},8,["open"]))}}),Jh=defineComponent({__name:"MenubarSubContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},sideOffset:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=xe(Ra,qa);T();const{injectCollection:td}=Me("menubar"),rd=tn(),sd=_o(),od=td();function ld(cd){if(cd.target.hasAttribute("data-radix-menubar-subtrigger"))return;let ud=od.value.map(Ad=>Ad.dataset.value);const _d=ud.indexOf(sd.value);ud=rd.loop.value?qn(ud,_d+1):ud.slice(_d+1);const[Ed]=ud;Ed&&rd.onMenuOpen(Ed)}return(cd,ud)=>(openBlock(),createBlock(unref(yo),mergeProps(unref(ed),{"data-radix-menubar-content":"",style:{"--radix-menubar-content-transform-origin":"var(--radix-popper-transform-origin)","--radix-menubar-content-available-width":"var(--radix-popper-available-width)","--radix-menubar-content-available-height":"var(--radix-popper-available-height)","--radix-menubar-trigger-width":"var(--radix-popper-anchor-width)","--radix-menubar-trigger-height":"var(--radix-popper-anchor-height)"},onKeydown:withKeys(ld,["arrow-right"])}),{default:withCtx(()=>[renderSlot(cd.$slots,"default")]),_:3},16))}}),Qh=defineComponent({__name:"MenubarSubTrigger",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return T(),(ed,td)=>(openBlock(),createBlock(unref(go),mergeProps(qa,{"data-radix-menubar-subtrigger":""}),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),[Vt,Hc]=Q("PopoverRoot"),fs=defineComponent({__name:"PopoverRoot",props:{defaultOpen:{type:Boolean,default:!1},open:{type:Boolean,default:void 0},modal:{type:Boolean,default:!1}},emits:["update:open"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,{modal:rd}=toRefs(ed),sd=ne(ed,"open",td,{defaultValue:ed.defaultOpen,passive:ed.open===void 0}),od=ref$1(),ld=ref$1(!1);return Hc({contentId:"",modal:rd,open:sd,onOpenChange:cd=>{sd.value=cd},onOpenToggle:()=>{sd.value=!sd.value},triggerElement:od,hasCustomAnchor:ld}),(cd,ud)=>(openBlock(),createBlock(unref(Tt),null,{default:withCtx(()=>[renderSlot(cd.$slots,"default",{open:unref(sd)})]),_:3}))}}),vs=defineComponent({__name:"PopoverTrigger",props:{asChild:{type:Boolean},as:{default:"button"}},setup(Ra){const qa=Ra,ed=Vt(),{forwardRef:td,currentElement:rd}=T();return onMounted(()=>{ed.triggerElement.value=rd.value}),(sd,od)=>(openBlock(),createBlock(resolveDynamicComponent(unref(ed).hasCustomAnchor.value?unref(O):unref(Rt)),{"as-child":""},{default:withCtx(()=>[createVNode(unref(O),{ref:unref(td),type:sd.as==="button"?"button":void 0,"aria-haspopup":"dialog","aria-expanded":unref(ed).open.value,"aria-controls":unref(ed).contentId,"data-state":unref(ed).open.value?"open":"closed",as:sd.as,"as-child":qa.asChild,onClick:unref(ed).onOpenToggle},{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},8,["type","aria-expanded","aria-controls","data-state","as","as-child","onClick"])]),_:3}))}}),ms=defineComponent({__name:"PopoverPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(ht),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),hs=defineComponent({__name:"PopoverContentImpl",props:{trapFocus:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},disableOutsidePointerEvents:{type:Boolean}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=It(ed),{forwardRef:sd}=T(),od=Vt();return Gn(),(ld,cd)=>(openBlock(),createBlock(unref(Ya),{"as-child":"",loop:"",trapped:ld.trapFocus,onMountAutoFocus:cd[5]||(cd[5]=ud=>td("openAutoFocus",ud)),onUnmountAutoFocus:cd[6]||(cd[6]=ud=>td("closeAutoFocus",ud))},{default:withCtx(()=>[createVNode(unref(yt),{"as-child":"","disable-outside-pointer-events":ld.disableOutsidePointerEvents,onPointerDownOutside:cd[0]||(cd[0]=ud=>td("pointerDownOutside",ud)),onInteractOutside:cd[1]||(cd[1]=ud=>td("interactOutside",ud)),onEscapeKeyDown:cd[2]||(cd[2]=ud=>td("escapeKeyDown",ud)),onFocusOutside:cd[3]||(cd[3]=ud=>td("focusOutside",ud)),onDismiss:cd[4]||(cd[4]=ud=>unref(od).onOpenChange(!1))},{default:withCtx(()=>[createVNode(unref(Dt),mergeProps(unref(rd),{id:unref(od).contentId,ref:unref(sd),"data-state":unref(od).open.value?"open":"closed",role:"dialog",style:{"--radix-popover-content-transform-origin":"var(--radix-popper-transform-origin)","--radix-popover-content-available-width":"var(--radix-popper-available-width)","--radix-popover-content-available-height":"var(--radix-popper-available-height)","--radix-popover-trigger-width":"var(--radix-popper-anchor-width)","--radix-popover-trigger-height":"var(--radix-popper-anchor-height)"}}),{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},16,["id","data-state"])]),_:3},8,["disable-outside-pointer-events"])]),_:3},8,["trapped"]))}}),Wc=defineComponent({__name:"PopoverContentModal",props:{trapFocus:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},disableOutsidePointerEvents:{type:Boolean}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=Vt(),sd=ref$1(!1);ha(!0);const od=xe(ed,td),{forwardRef:ld,currentElement:cd}=T();return ya(cd),(ud,_d)=>(openBlock(),createBlock(hs,mergeProps(unref(od),{ref:unref(ld),"trap-focus":unref(rd).open.value,"disable-outside-pointer-events":"",onCloseAutoFocus:_d[0]||(_d[0]=withModifiers(Ed=>{var Ad;td("closeAutoFocus",Ed),sd.value||(Ad=unref(rd).triggerElement.value)==null||Ad.focus()},["prevent"])),onPointerDownOutside:_d[1]||(_d[1]=Ed=>{td("pointerDownOutside",Ed);const Ad=Ed.detail.originalEvent,Td=Ad.button===0&&Ad.ctrlKey===!0,Nd=Ad.button===2||Td;sd.value=Nd}),onFocusOutside:_d[2]||(_d[2]=withModifiers(()=>{},["prevent"]))}),{default:withCtx(()=>[renderSlot(ud.$slots,"default")]),_:3},16,["trap-focus"]))}}),jc=defineComponent({__name:"PopoverContentNonModal",props:{trapFocus:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},disableOutsidePointerEvents:{type:Boolean}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=Vt(),sd=ref$1(!1),od=ref$1(!1),ld=xe(ed,td);return(cd,ud)=>(openBlock(),createBlock(hs,mergeProps(unref(ld),{"trap-focus":!1,"disable-outside-pointer-events":!1,onCloseAutoFocus:ud[0]||(ud[0]=_d=>{var Ed;td("closeAutoFocus",_d),_d.defaultPrevented||(sd.value||(Ed=unref(rd).triggerElement.value)==null||Ed.focus(),_d.preventDefault()),sd.value=!1,od.value=!1}),onInteractOutside:ud[1]||(ud[1]=async _d=>{var Ed;td("interactOutside",_d),_d.defaultPrevented||(sd.value=!0,_d.detail.originalEvent.type==="pointerdown"&&(od.value=!0));const Ad=_d.target;(Ed=unref(rd).triggerElement.value)!=null&&Ed.contains(Ad)&&_d.preventDefault(),_d.detail.originalEvent.type==="focusin"&&od.value&&_d.preventDefault()})}),{default:withCtx(()=>[renderSlot(cd.$slots,"default")]),_:3},16))}}),ys=defineComponent({__name:"PopoverContent",props:{forceMount:{type:Boolean},trapFocus:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},disableOutsidePointerEvents:{type:Boolean}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=Vt(),sd=xe(ed,td),{forwardRef:od}=T();return rd.contentId||(rd.contentId=he(void 0,"radix-vue-popover-content")),(ld,cd)=>(openBlock(),createBlock(unref(Pe),{present:ld.forceMount||unref(rd).open.value},{default:withCtx(()=>[unref(rd).modal.value?(openBlock(),createBlock(Wc,mergeProps({key:0},unref(sd),{ref:unref(od)}),{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},16)):(openBlock(),createBlock(jc,mergeProps({key:1},unref(sd),{ref:unref(od)}),{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},16))]),_:3},8,["present"]))}}),$p=["default-value"],Bp=defineComponent({__name:"BubbleSelect",props:{autocomplete:{},autofocus:{type:Boolean},disabled:{type:Boolean},form:{},multiple:{type:Boolean},name:{},required:{type:Boolean},size:{},value:{}},setup(Ra){const qa=Ra,{value:ed}=toRefs(qa),td=ref$1();return(rd,sd)=>(openBlock(),createBlock(unref(Xt),{"as-child":""},{default:withCtx(()=>[withDirectives(createBaseVNode("select",mergeProps({ref_key:"selectElement",ref:td},qa,{"onUpdate:modelValue":sd[0]||(sd[0]=od=>isRef(ed)?ed.value=od:null),"default-value":unref(ed)}),[renderSlot(rd.$slots,"default")],16,$p),[[vModelSelect,unref(ed)]])]),_:3}))}}),Ip={key:0,value:""},[bt,Es]=Q("SelectRoot"),[Tp,Rp]=Q("SelectRoot"),Ay=defineComponent({__name:"SelectRoot",props:{open:{type:Boolean,default:void 0},defaultOpen:{type:Boolean},defaultValue:{default:""},modelValue:{default:void 0},dir:{},name:{},autocomplete:{},disabled:{type:Boolean},required:{type:Boolean}},emits:["update:modelValue","update:open"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=ne(ed,"modelValue",td,{defaultValue:ed.defaultValue,passive:ed.modelValue===void 0}),sd=ne(ed,"open",td,{defaultValue:ed.defaultOpen,passive:ed.open===void 0}),od=ref$1(),ld=ref$1(),cd=ref$1({x:0,y:0}),ud=ref$1(!1),{required:_d,disabled:Ed,dir:Ad}=toRefs(ed),Td=be(Ad);Es({triggerElement:od,onTriggerChange:kd=>{od.value=kd},valueElement:ld,onValueElementChange:kd=>{ld.value=kd},valueElementHasChildren:ud,onValueElementHasChildrenChange:kd=>{ud.value=kd},contentId:"",modelValue:rd,onValueChange:kd=>{rd.value=kd},open:sd,required:_d,onOpenChange:kd=>{sd.value=kd},dir:Td,triggerPointerDownPosRef:cd,disabled:Ed});const Nd=Je(od),Rd=ref$1(new Set),Bd=computed(()=>Array.from(Rd.value).map(kd=>{var Od;return(Od=kd.props)==null?void 0:Od.value}).join(";"));return Rp({onNativeOptionAdd:kd=>{Rd.value.add(kd)},onNativeOptionRemove:kd=>{Rd.value.delete(kd)}}),(kd,Od)=>(openBlock(),createBlock(unref(Tt),null,{default:withCtx(()=>[renderSlot(kd.$slots,"default",{modelValue:unref(rd),open:unref(sd)}),unref(Nd)?(openBlock(),createBlock(Bp,mergeProps({key:Bd.value},kd.$attrs,{"aria-hidden":"true",tabindex:"-1",required:unref(_d),name:kd.name,autocomplete:kd.autocomplete,disabled:unref(Ed),value:unref(rd),onChange:Od[0]||(Od[0]=Md=>rd.value=Md.target.value)}),{default:withCtx(()=>[unref(rd)===void 0?(openBlock(),createElementBlock("option",Ip)):createCommentVNode("",!0),(openBlock(!0),createElementBlock(Fragment,null,renderList(Array.from(Rd.value),Md=>(openBlock(),createBlock(resolveDynamicComponent(Md),mergeProps({ref_for:!0},Md.props,{key:Md.key??""}),null,16))),128))]),_:1},16,["required","name","autocomplete","disabled","value"])):createCommentVNode("",!0)]),_:3}))}}),Ap=[" ","Enter","ArrowUp","ArrowDown"],Op=[" ","Enter"],at=10;function Ps(Ra){return Ra===""||zt(Ra)}const Oy=defineComponent({__name:"SelectTrigger",props:{disabled:{type:Boolean},asChild:{type:Boolean},as:{default:"button"}},setup(Ra){const qa=Ra,ed=bt(),td=computed(()=>{var Td;return((Td=ed.disabled)==null?void 0:Td.value)||qa.disabled}),{forwardRef:rd,currentElement:sd}=T();ed.contentId||(ed.contentId=he(void 0,"radix-vue-select-content")),onMounted(()=>{ed.triggerElement=sd});const{injectCollection:od}=Me(),ld=od(),{search:cd,handleTypeaheadSearch:ud,resetTypeahead:_d}=ga(ld);function Ed(){td.value||(ed.onOpenChange(!0),_d())}function Ad(Td){Ed(),ed.triggerPointerDownPosRef.value={x:Math.round(Td.pageX),y:Math.round(Td.pageY)}}return(Td,Nd)=>(openBlock(),createBlock(unref(Rt),{"as-child":""},{default:withCtx(()=>{var Rd,Bd,kd,Od;return[createVNode(unref(O),{ref:unref(rd),role:"combobox",type:Td.as==="button"?"button":void 0,"aria-controls":unref(ed).contentId,"aria-expanded":unref(ed).open.value||!1,"aria-required":(Rd=unref(ed).required)==null?void 0:Rd.value,"aria-autocomplete":"none",disabled:td.value,dir:(Bd=unref(ed))==null?void 0:Bd.dir.value,"data-state":(kd=unref(ed))!=null&&kd.open.value?"open":"closed","data-disabled":td.value?"":void 0,"data-placeholder":unref(Ps)((Od=unref(ed).modelValue)==null?void 0:Od.value)?"":void 0,"as-child":Td.asChild,as:Td.as,onClick:Nd[0]||(Nd[0]=Md=>{var Pd;(Pd=Md==null?void 0:Md.currentTarget)==null||Pd.focus()}),onPointerdown:Nd[1]||(Nd[1]=Md=>{if(Md.pointerType==="touch")return Md.preventDefault();const Pd=Md.target;Pd.hasPointerCapture(Md.pointerId)&&Pd.releasePointerCapture(Md.pointerId),Md.button===0&&Md.ctrlKey===!1&&(Ad(Md),Md.preventDefault())}),onPointerup:Nd[2]||(Nd[2]=withModifiers(Md=>{Md.pointerType==="touch"&&Ad(Md)},["prevent"])),onKeydown:Nd[3]||(Nd[3]=Md=>{const Pd=unref(cd)!=="";!(Md.ctrlKey||Md.altKey||Md.metaKey)&&Md.key.length===1&&Pd&&Md.key===" "||(unref(ud)(Md.key),unref(Ap).includes(Md.key)&&(Ed(),Md.preventDefault()))})},{default:withCtx(()=>[renderSlot(Td.$slots,"default")]),_:3},8,["type","aria-controls","aria-expanded","aria-required","disabled","dir","data-state","data-disabled","data-placeholder","as-child","as"])]}),_:3}))}}),ky=defineComponent({__name:"SelectPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(ht),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),[$o,kp]=Q("SelectItemAlignedPosition"),Mp=defineComponent({inheritAttrs:!1,__name:"SelectItemAlignedPosition",props:{asChild:{type:Boolean},as:{}},emits:["placed"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,{injectCollection:rd}=Me(),sd=bt(),od=Ct(),ld=rd(),cd=ref$1(!1),ud=ref$1(!0),_d=ref$1(),{forwardRef:Ed,currentElement:Ad}=T(),{viewport:Td,selectedItem:Nd,selectedItemText:Rd,focusSelectedItem:Bd}=od;function kd(){if(sd.triggerElement.value&&sd.valueElement.value&&_d.value&&Ad.value&&Td!=null&&Td.value&&Nd!=null&&Nd.value&&Rd!=null&&Rd.value){const Pd=sd.triggerElement.value.getBoundingClientRect(),Fd=Ad.value.getBoundingClientRect(),Ud=sd.valueElement.value.getBoundingClientRect(),Gd=Rd.value.getBoundingClientRect();if(sd.dir.value!=="rtl"){const Ef=Gd.left-Fd.left,bf=Ud.left-Ef,Bf=Pd.left-bf,Kf=Pd.width+Bf,nh=Math.max(Kf,Fd.width),zf=window.innerWidth-at,$f=Ht(bf,at,zf-nh);_d.value.style.minWidth=`${Kf}px`,_d.value.style.left=`${$f}px`}else{const Ef=Fd.right-Gd.right,bf=window.innerWidth-Ud.right-Ef,Bf=window.innerWidth-Pd.right-bf,Kf=Pd.width+Bf,nh=Math.max(Kf,Fd.width),zf=window.innerWidth-at,$f=Ht(bf,at,zf-nh);_d.value.style.minWidth=`${Kf}px`,_d.value.style.right=`${$f}px`}const Qd=ld.value,Vd=window.innerHeight-at*2,zd=Td.value.scrollHeight,Xd=window.getComputedStyle(Ad.value),df=Number.parseInt(Xd.borderTopWidth,10),mf=Number.parseInt(Xd.paddingTop,10),_f=Number.parseInt(Xd.borderBottomWidth,10),hf=Number.parseInt(Xd.paddingBottom,10),gf=df+mf+zd+hf+_f,yf=Math.min(Nd.value.offsetHeight*5,gf),Nf=window.getComputedStyle(Td.value),Pf=Number.parseInt(Nf.paddingTop,10),Yf=Number.parseInt(Nf.paddingBottom,10),Uf=Pd.top+Pd.height/2-at,Lf=Vd-Uf,xf=Nd.value.offsetHeight/2,wf=Nd.value.offsetTop+xf,Jf=df+mf+wf,Qf=gf-Jf;if(Jf<=Uf){const Ef=Nd.value===Qd[Qd.length-1];_d.value.style.bottom="0px";const bf=Ad.value.clientHeight-Td.value.offsetTop-Td.value.offsetHeight,Bf=Math.max(Lf,xf+(Ef?Yf:0)+bf+_f),Kf=Jf+Bf;_d.value.style.height=`${Kf}px`}else{const Ef=Nd.value===Qd[0];_d.value.style.top="0px";const bf=Math.max(Uf,df+Td.value.offsetTop+(Ef?Pf:0)+xf)+Qf;_d.value.style.height=`${bf}px`,Td.value.scrollTop=Jf-Uf+Td.value.offsetTop}_d.value.style.margin=`${at}px 0`,_d.value.style.minHeight=`${yf}px`,_d.value.style.maxHeight=`${Vd}px`,td("placed"),requestAnimationFrame(()=>cd.value=!0)}}const Od=ref$1("");onMounted(async()=>{await nextTick$1(),kd(),Ad.value&&(Od.value=window.getComputedStyle(Ad.value).zIndex)});function Md(Pd){Pd&&ud.value===!0&&(kd(),Bd==null||Bd(),ud.value=!1)}return kp({contentWrapper:_d,shouldExpandOnScrollRef:cd,onScrollButtonChange:Md}),(Pd,Fd)=>(openBlock(),createElementBlock("div",{ref_key:"contentWrapperElement",ref:_d,style:normalizeStyle({display:"flex",flexDirection:"column",position:"fixed",zIndex:Od.value})},[createVNode(unref(O),mergeProps({ref:unref(Ed),style:{boxSizing:"border-box",maxHeight:"100%"}},{...Pd.$attrs,...ed}),{default:withCtx(()=>[renderSlot(Pd.$slots,"default")]),_:3},16)],4))}}),Vp=defineComponent({__name:"SelectPopperPosition",props:{side:{},sideOffset:{},align:{default:"start"},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{default:at},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},setup(Ra){const qa=It(Ra);return(ed,td)=>(openBlock(),createBlock(unref(Dt),mergeProps(unref(qa),{style:{boxSizing:"border-box","--radix-select-content-transform-origin":"var(--radix-popper-transform-origin)","--radix-select-content-available-width":"var(--radix-popper-available-width)","--radix-select-content-available-height":"var(--radix-popper-available-height)","--radix-select-trigger-width":"var(--radix-popper-anchor-width)","--radix-select-trigger-height":"var(--radix-popper-anchor-height)"}}),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),aa={onViewportChange:()=>{},itemTextRefCallback:()=>{},itemRefCallback:()=>{}},[Ct,Fp]=Q("SelectContent"),Np=defineComponent({__name:"SelectContentImpl",props:{position:{default:"item-aligned"},bodyLock:{type:Boolean,default:!0},side:{},sideOffset:{},align:{default:"start"},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["closeAutoFocus","escapeKeyDown","pointerDownOutside"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=bt();Gn(),ha(ed.bodyLock);const{createCollection:sd}=Me(),od=ref$1();ya(od);const ld=sd(od),{search:cd,handleTypeaheadSearch:ud}=ga(ld),_d=ref$1(),Ed=ref$1(),Ad=ref$1(),Td=ref$1(!1),Nd=ref$1(!1);function Rd(){Ed.value&&od.value&&$n([Ed.value,od.value])}watch(Td,()=>{Rd()});const{onOpenChange:Bd,triggerPointerDownPosRef:kd}=rd;watchEffect(Fd=>{if(!od.value)return;let Ud={x:0,y:0};const Gd=Vd=>{var zd,Xd;Ud={x:Math.abs(Math.round(Vd.pageX)-(((zd=kd.value)==null?void 0:zd.x)??0)),y:Math.abs(Math.round(Vd.pageY)-(((Xd=kd.value)==null?void 0:Xd.y)??0))}},Qd=Vd=>{var zd;Vd.pointerType!=="touch"&&(Ud.x<=10&&Ud.y<=10?Vd.preventDefault():(zd=od.value)!=null&&zd.contains(Vd.target)||Bd(!1),document.removeEventListener("pointermove",Gd),kd.value=null)};kd.value!==null&&(document.addEventListener("pointermove",Gd),document.addEventListener("pointerup",Qd,{capture:!0,once:!0})),Fd(()=>{document.removeEventListener("pointermove",Gd),document.removeEventListener("pointerup",Qd,{capture:!0})})});function Od(Fd){const Ud=Fd.ctrlKey||Fd.altKey||Fd.metaKey;if(Fd.key==="Tab"&&Fd.preventDefault(),!Ud&&Fd.key.length===1&&ud(Fd.key),["ArrowUp","ArrowDown","Home","End"].includes(Fd.key)){let Gd=ld.value;if(["ArrowUp","End"].includes(Fd.key)&&(Gd=Gd.slice().reverse()),["ArrowUp","ArrowDown"].includes(Fd.key)){const Qd=Fd.target,Vd=Gd.indexOf(Qd);Gd=Gd.slice(Vd+1)}setTimeout(()=>$n(Gd)),Fd.preventDefault()}}const Md=computed(()=>ed.position==="popper"?ed:{}),Pd=It(Md.value);return Fp({content:od,viewport:_d,onViewportChange:Fd=>{_d.value=Fd},itemRefCallback:(Fd,Ud,Gd)=>{var Qd,Vd;const zd=!Nd.value&&!Gd;(((Qd=rd.modelValue)==null?void 0:Qd.value)!==void 0&&((Vd=rd.modelValue)==null?void 0:Vd.value)===Ud||zd)&&(Ed.value=Fd,zd&&(Nd.value=!0))},selectedItem:Ed,selectedItemText:Ad,onItemLeave:()=>{var Fd;(Fd=od.value)==null||Fd.focus()},itemTextRefCallback:(Fd,Ud,Gd)=>{var Qd,Vd;const zd=!Nd.value&&!Gd;(((Qd=rd.modelValue)==null?void 0:Qd.value)!==void 0&&((Vd=rd.modelValue)==null?void 0:Vd.value)===Ud||zd)&&(Ad.value=Fd)},focusSelectedItem:Rd,position:ed.position,isPositioned:Td,searchRef:cd}),(Fd,Ud)=>(openBlock(),createBlock(unref(Ya),{"as-child":"",onMountAutoFocus:Ud[6]||(Ud[6]=withModifiers(()=>{},["prevent"])),onUnmountAutoFocus:Ud[7]||(Ud[7]=Gd=>{var Qd;td("closeAutoFocus",Gd),!Gd.defaultPrevented&&((Qd=unref(rd).triggerElement.value)==null||Qd.focus({preventScroll:!0}),Gd.preventDefault())})},{default:withCtx(()=>[createVNode(unref(yt),{"as-child":"","disable-outside-pointer-events":"",onFocusOutside:Ud[2]||(Ud[2]=withModifiers(()=>{},["prevent"])),onDismiss:Ud[3]||(Ud[3]=Gd=>unref(rd).onOpenChange(!1)),onEscapeKeyDown:Ud[4]||(Ud[4]=Gd=>td("escapeKeyDown",Gd)),onPointerDownOutside:Ud[5]||(Ud[5]=Gd=>td("pointerDownOutside",Gd))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Fd.position==="popper"?Vp:Mp),mergeProps({...Fd.$attrs,...unref(Pd)},{id:unref(rd).contentId,ref:Gd=>{od.value=unref($e)(Gd)},role:"listbox","data-state":unref(rd).open.value?"open":"closed",dir:unref(rd).dir.value,style:{display:"flex",flexDirection:"column",outline:"none"},onContextmenu:Ud[0]||(Ud[0]=withModifiers(()=>{},["prevent"])),onPlaced:Ud[1]||(Ud[1]=Gd=>Td.value=!0),onKeydown:Od}),{default:withCtx(()=>[renderSlot(Fd.$slots,"default")]),_:3},16,["id","data-state","dir","onKeydown"]))]),_:3})]),_:3}))}}),Lp=defineComponent({__name:"SelectProvider",props:{context:{}},setup(Ra){return Es(Ra.context),(qa,ed)=>renderSlot(qa.$slots,"default")}}),zp={key:1},My=defineComponent({inheritAttrs:!1,__name:"SelectContent",props:{forceMount:{type:Boolean},position:{},bodyLock:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["closeAutoFocus","escapeKeyDown","pointerDownOutside"],setup(Ra,{emit:qa}){const ed=Ra,td=xe(ed,qa),rd=bt(),sd=ref$1();onMounted(()=>{sd.value=new DocumentFragment});const od=ref$1(),ld=computed(()=>ed.forceMount||rd.open.value);return(cd,ud)=>{var _d;return ld.value?(openBlock(),createBlock(unref(Pe),{key:0,ref_key:"presenceRef",ref:od,present:!0},{default:withCtx(()=>[createVNode(Np,normalizeProps(guardReactiveProps({...unref(td),...cd.$attrs})),{default:withCtx(()=>[renderSlot(cd.$slots,"default")]),_:3},16)]),_:3},512)):!((_d=od.value)!=null&&_d.present)&&sd.value?(openBlock(),createElementBlock("div",zp,[(openBlock(),createBlock(Teleport$1,{to:sd.value},[createVNode(Lp,{context:unref(rd)},{default:withCtx(()=>[renderSlot(cd.$slots,"default")]),_:3},8,["context"])],8,["to"]))])):createCommentVNode("",!0)}}}),[Ds,Kp]=Q("SelectItem"),Ny=defineComponent({__name:"SelectItem",props:{value:{},disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra,{disabled:ed}=toRefs(qa),td=bt(),rd=Ct(aa),{forwardRef:sd,currentElement:od}=T(),ld=computed(()=>{var Rd;return((Rd=td.modelValue)==null?void 0:Rd.value)===qa.value}),cd=ref$1(!1),ud=ref$1(qa.textValue??""),_d=he(void 0,"radix-vue-select-item-text");async function Ed(Rd){await nextTick$1(),!(Rd!=null&&Rd.defaultPrevented)&&(ed.value||(td.onValueChange(qa.value),td.onOpenChange(!1)))}async function Ad(Rd){var Bd;await nextTick$1(),!Rd.defaultPrevented&&(ed.value?(Bd=rd.onItemLeave)==null||Bd.call(rd):Rd.currentTarget.focus({preventScroll:!0}))}async function Td(Rd){var Bd;await nextTick$1(),!Rd.defaultPrevented&&Rd.currentTarget===document.activeElement&&((Bd=rd.onItemLeave)==null||Bd.call(rd))}async function Nd(Rd){var Bd;await nextTick$1(),!(Rd.defaultPrevented||((Bd=rd.searchRef)==null?void 0:Bd.value)!==""&&Rd.key===" ")&&(Op.includes(Rd.key)&&Ed(),Rd.key===" "&&Rd.preventDefault())}if(qa.value==="")throw new Error("A must have a value prop that is not an empty string. This is because the Select value can be set to an empty string to clear the selection and show the placeholder.");return onMounted(()=>{od.value&&rd.itemRefCallback(od.value,qa.value,qa.disabled)}),Kp({value:qa.value,disabled:ed,textId:_d,isSelected:ld,onItemTextChange:Rd=>{ud.value=((ud.value||(Rd==null?void 0:Rd.textContent))??"").trim()}}),(Rd,Bd)=>(openBlock(),createBlock(unref(O),{ref:unref(sd),role:"option","data-radix-vue-collection-item":"","aria-labelledby":unref(_d),"data-highlighted":cd.value?"":void 0,"aria-selected":ld.value,"data-state":ld.value?"checked":"unchecked","aria-disabled":unref(ed)||void 0,"data-disabled":unref(ed)?"":void 0,tabindex:unref(ed)?void 0:-1,as:Rd.as,"as-child":Rd.asChild,onFocus:Bd[0]||(Bd[0]=kd=>cd.value=!0),onBlur:Bd[1]||(Bd[1]=kd=>cd.value=!1),onPointerup:Ed,onPointerdown:Bd[2]||(Bd[2]=kd=>{kd.currentTarget.focus({preventScroll:!0})}),onTouchend:Bd[3]||(Bd[3]=withModifiers(()=>{},["prevent","stop"])),onPointermove:Ad,onPointerleave:Td,onKeydown:Nd},{default:withCtx(()=>[renderSlot(Rd.$slots,"default")]),_:3},8,["aria-labelledby","data-highlighted","aria-selected","data-state","aria-disabled","data-disabled","tabindex","as","as-child"]))}}),Ly=defineComponent({__name:"SelectItemIndicator",props:{asChild:{type:Boolean},as:{default:"span"}},setup(Ra){const qa=Ra,ed=Ds();return(td,rd)=>unref(ed).isSelected.value?(openBlock(),createBlock(unref(O),mergeProps({key:0,"aria-hidden":"true"},qa),{default:withCtx(()=>[renderSlot(td.$slots,"default")]),_:3},16)):createCommentVNode("",!0)}}),Hy=defineComponent({inheritAttrs:!1,__name:"SelectItemText",props:{asChild:{type:Boolean},as:{default:"span"}},setup(Ra){const qa=Ra,ed=bt(),td=Ct(aa),rd=Tp(),sd=Ds(),{forwardRef:od,currentElement:ld}=T(),cd=computed(()=>{var ud;return h$1("option",{key:sd.value,value:sd.value,disabled:sd.disabled.value,textContent:(ud=ld.value)==null?void 0:ud.textContent})});return onMounted(()=>{ld.value&&(sd.onItemTextChange(ld.value),td.itemTextRefCallback(ld.value,sd.value,sd.disabled.value),rd.onNativeOptionAdd(cd.value))}),onBeforeUnmount(()=>{rd.onNativeOptionRemove(cd.value)}),(ud,_d)=>(openBlock(),createElementBlock(Fragment,null,[createVNode(unref(O),mergeProps({id:unref(sd).textId,ref:unref(od)},{...qa,...ud.$attrs}),{default:withCtx(()=>[renderSlot(ud.$slots,"default")]),_:3},16,["id"]),unref(sd).isSelected.value&&unref(ed).valueElement.value&&!unref(ed).valueElementHasChildren.value?(openBlock(),createBlock(Teleport$1,{key:0,to:unref(ed).valueElement.value},[renderSlot(ud.$slots,"default")],8,["to"])):createCommentVNode("",!0)],64))}}),Wy=defineComponent({__name:"SelectViewport",props:{nonce:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra,{nonce:ed}=toRefs(qa),td=Xa(ed),rd=Ct(aa),sd=rd.position==="item-aligned"?$o():void 0,{forwardRef:od,currentElement:ld}=T();onMounted(()=>{rd==null||rd.onViewportChange(ld.value)});const cd=ref$1(0);function ud(_d){const Ed=_d.currentTarget,{shouldExpandOnScrollRef:Ad,contentWrapper:Td}=sd??{};if(Ad!=null&&Ad.value&&Td!=null&&Td.value){const Nd=Math.abs(cd.value-Ed.scrollTop);if(Nd>0){const Rd=window.innerHeight-at*2,Bd=Number.parseFloat(Td.value.style.minHeight),kd=Number.parseFloat(Td.value.style.height),Od=Math.max(Bd,kd);if(Od0?Fd:0,Td.value.style.justifyContent="flex-end")}}}cd.value=Ed.scrollTop}return(_d,Ed)=>(openBlock(),createElementBlock(Fragment,null,[createVNode(unref(O),mergeProps({ref:unref(od),"data-radix-select-viewport":"",role:"presentation"},{..._d.$attrs,...qa},{style:{position:"relative",flex:1,overflow:"auto"},onScroll:ud}),{default:withCtx(()=>[renderSlot(_d.$slots,"default")]),_:3},16),createVNode(unref(O),{as:"style",nonce:unref(td)},{default:withCtx(()=>[createTextVNode(" /* Hide scrollbars cross-browser and enable momentum scroll for touch devices */ [data-radix-select-viewport] { scrollbar-width:none; -ms-overflow-style: none; -webkit-overflow-scrolling: touch; } [data-radix-select-viewport]::-webkit-scrollbar { display: none; } ")]),_:1},8,["nonce"])],64))}}),$s=defineComponent({__name:"SelectScrollButtonImpl",emits:["autoScroll"],setup(Ra,{emit:qa}){const ed=qa,{injectCollection:td}=Me(),rd=td(),sd=Ct(aa),od=ref$1(null);function ld(){od.value!==null&&(window.clearInterval(od.value),od.value=null)}watchEffect(()=>{const _d=rd.value.find(Ed=>Ed===document.activeElement);_d==null||_d.scrollIntoView({block:"nearest"})});function cd(){od.value===null&&(od.value=window.setInterval(()=>{ed("autoScroll")},50))}function ud(){var _d;(_d=sd.onItemLeave)==null||_d.call(sd),od.value===null&&(od.value=window.setInterval(()=>{ed("autoScroll")},50))}return onBeforeUnmount(()=>ld()),(_d,Ed)=>{var Ad;return openBlock(),createBlock(unref(O),mergeProps({"aria-hidden":"true",style:{flexShrink:0}},(Ad=_d.$parent)==null?void 0:Ad.$props,{onPointerdown:cd,onPointermove:ud,onPointerleave:Ed[0]||(Ed[0]=()=>{ld()})}),{default:withCtx(()=>[renderSlot(_d.$slots,"default")]),_:3},16)}}}),jy=defineComponent({__name:"SelectScrollUpButton",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ct(aa),ed=qa.position==="item-aligned"?$o():void 0,{forwardRef:td,currentElement:rd}=T(),sd=ref$1(!1);return watchEffect(od=>{var ld,cd;if((ld=qa.viewport)!=null&&ld.value&&(cd=qa.isPositioned)!=null&&cd.value){let ud=function(){sd.value=_d.scrollTop>0};const _d=qa.viewport.value;ud(),_d.addEventListener("scroll",ud),od(()=>_d.removeEventListener("scroll",ud))}}),watch(rd,()=>{rd.value&&(ed==null||ed.onScrollButtonChange(rd.value))}),(od,ld)=>sd.value?(openBlock(),createBlock($s,{key:0,ref:unref(td),onAutoScroll:ld[0]||(ld[0]=()=>{const{viewport:cd,selectedItem:ud}=unref(qa);cd!=null&&cd.value&&ud!=null&&ud.value&&(cd.value.scrollTop=cd.value.scrollTop-ud.value.offsetHeight)})},{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},512)):createCommentVNode("",!0)}}),Uy=defineComponent({__name:"SelectScrollDownButton",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ct(aa),ed=qa.position==="item-aligned"?$o():void 0,{forwardRef:td,currentElement:rd}=T(),sd=ref$1(!1);return watchEffect(od=>{var ld,cd;if((ld=qa.viewport)!=null&&ld.value&&(cd=qa.isPositioned)!=null&&cd.value){let ud=function(){const Ed=_d.scrollHeight-_d.clientHeight;sd.value=Math.ceil(_d.scrollTop)_d.removeEventListener("scroll",ud))}}),watch(rd,()=>{rd.value&&(ed==null||ed.onScrollButtonChange(rd.value))}),(od,ld)=>sd.value?(openBlock(),createBlock($s,{key:0,ref:unref(td),onAutoScroll:ld[0]||(ld[0]=()=>{const{viewport:cd,selectedItem:ud}=unref(qa);cd!=null&&cd.value&&ud!=null&&ud.value&&(cd.value.scrollTop=cd.value.scrollTop+ud.value.offsetHeight)})},{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},512)):createCommentVNode("",!0)}}),Gy=defineComponent({__name:"SelectValue",props:{placeholder:{default:""},asChild:{type:Boolean},as:{default:"span"}},setup(Ra){const{forwardRef:qa,currentElement:ed}=T(),td=bt(),rd=useSlots();return onBeforeMount(()=>{var sd;const od=!!Ua((sd=rd==null?void 0:rd.default)==null?void 0:sd.call(rd)).length;td.onValueElementHasChildrenChange(od)}),onMounted(()=>{td.valueElement=ed}),(sd,od)=>(openBlock(),createBlock(unref(O),{ref:unref(qa),as:sd.as,"as-child":sd.asChild,style:{pointerEvents:"none"}},{default:withCtx(()=>{var ld;return[unref(Ps)((ld=unref(td).modelValue)==null?void 0:ld.value)?(openBlock(),createElementBlock(Fragment,{key:0},[createTextVNode(toDisplayString(sd.placeholder),1)],64)):renderSlot(sd.$slots,"default",{key:1})]}),_:3},8,["as","as-child"]))}}),qy=defineComponent({__name:"SelectIcon",props:{asChild:{type:Boolean},as:{default:"span"}},setup(Ra){return(qa,ed)=>(openBlock(),createBlock(unref(O),{"aria-hidden":"true",as:qa.as,"as-child":qa.asChild},{default:withCtx(()=>[renderSlot(qa.$slots,"default",{},()=>[createTextVNode("▼")])]),_:3},8,["as","as-child"]))}});function vf(){if(typeof matchMedia=="function")return matchMedia("(pointer:coarse)").matches?"coarse":"fine"}vf();function r$1(Ra){var qa,ed,td="";if(typeof Ra=="string"||typeof Ra=="number")td+=Ra;else if(typeof Ra=="object")if(Array.isArray(Ra))for(qa=0;qatypeof Ra=="boolean"?"".concat(Ra):Ra===0?"0":Ra,cx=clsx$1,cva=(Ra,qa)=>ed=>{var td;if((qa==null?void 0:qa.variants)==null)return cx(Ra,ed==null?void 0:ed.class,ed==null?void 0:ed.className);const{variants:rd,defaultVariants:sd}=qa,od=Object.keys(rd).map(ud=>{const _d=ed==null?void 0:ed[ud],Ed=sd==null?void 0:sd[ud];if(_d===null)return null;const Ad=falsyToString(_d)||falsyToString(Ed);return rd[ud][Ad]}),ld=ed&&Object.entries(ed).reduce((ud,_d)=>{let[Ed,Ad]=_d;return Ad===void 0||(ud[Ed]=Ad),ud},{}),cd=qa==null||(td=qa.compoundVariants)===null||td===void 0?void 0:td.reduce((ud,_d)=>{let{class:Ed,className:Ad,...Td}=_d;return Object.entries(Td).every(Nd=>{let[Rd,Bd]=Nd;return Array.isArray(Bd)?Bd.includes({...sd,...ld}[Rd]):{...sd,...ld}[Rd]===Bd})?[...ud,Ed,Ad]:ud},[]);return cx(Ra,od,cd,ed==null?void 0:ed.class,ed==null?void 0:ed.className)},buttonVariants=cva("inline-flex items-center justify-center whitespace-nowrap rounded-md text-sm font-medium ring-offset-background transition-colors focus-visible:outline-none focus-visible:ring-2 focus-visible:ring-ring focus-visible:ring-offset-2 disabled:pointer-events-none disabled:opacity-50",{variants:{variant:{default:"bg-primary text-primary-foreground hover:bg-primary/90",destructive:"bg-destructive text-destructive-foreground hover:bg-destructive/90",outline:"border border-input bg-background hover:bg-accent hover:text-accent-foreground",secondary:"bg-secondary text-secondary-foreground hover:bg-secondary/80",ghost:"hover:bg-accent hover:text-accent-foreground",link:"text-primary underline-offset-4 hover:underline"},size:{default:"h-10 px-4 py-2",xs:"h-7 rounded px-2",sm:"h-9 rounded-md px-3",lg:"h-11 rounded-md px-8",icon:"h-10 w-10"}},defaultVariants:{variant:"default",size:"default"}});function r(Ra){var qa,ed,td="";if(typeof Ra=="string"||typeof Ra=="number")td+=Ra;else if(typeof Ra=="object")if(Array.isArray(Ra)){var rd=Ra.length;for(qa=0;qa{const qa=createClassMap(Ra),{conflictingClassGroups:ed,conflictingClassGroupModifiers:td}=Ra;return{getClassGroupId:od=>{const ld=od.split(CLASS_PART_SEPARATOR);return ld[0]===""&&ld.length!==1&&ld.shift(),getGroupRecursive(ld,qa)||getGroupIdForArbitraryProperty(od)},getConflictingClassGroupIds:(od,ld)=>{const cd=ed[od]||[];return ld&&td[od]?[...cd,...td[od]]:cd}}},getGroupRecursive=(Ra,qa)=>{var od;if(Ra.length===0)return qa.classGroupId;const ed=Ra[0],td=qa.nextPart.get(ed),rd=td?getGroupRecursive(Ra.slice(1),td):void 0;if(rd)return rd;if(qa.validators.length===0)return;const sd=Ra.join(CLASS_PART_SEPARATOR);return(od=qa.validators.find(({validator:ld})=>ld(sd)))==null?void 0:od.classGroupId},arbitraryPropertyRegex=/^\[(.+)\]$/,getGroupIdForArbitraryProperty=Ra=>{if(arbitraryPropertyRegex.test(Ra)){const qa=arbitraryPropertyRegex.exec(Ra)[1],ed=qa==null?void 0:qa.substring(0,qa.indexOf(":"));if(ed)return"arbitrary.."+ed}},createClassMap=Ra=>{const{theme:qa,prefix:ed}=Ra,td={nextPart:new Map,validators:[]};return getPrefixedClassGroupEntries(Object.entries(Ra.classGroups),ed).forEach(([sd,od])=>{processClassesRecursively(od,td,sd,qa)}),td},processClassesRecursively=(Ra,qa,ed,td)=>{Ra.forEach(rd=>{if(typeof rd=="string"){const sd=rd===""?qa:getPart(qa,rd);sd.classGroupId=ed;return}if(typeof rd=="function"){if(isThemeGetter(rd)){processClassesRecursively(rd(td),qa,ed,td);return}qa.validators.push({validator:rd,classGroupId:ed});return}Object.entries(rd).forEach(([sd,od])=>{processClassesRecursively(od,getPart(qa,sd),ed,td)})})},getPart=(Ra,qa)=>{let ed=Ra;return qa.split(CLASS_PART_SEPARATOR).forEach(td=>{ed.nextPart.has(td)||ed.nextPart.set(td,{nextPart:new Map,validators:[]}),ed=ed.nextPart.get(td)}),ed},isThemeGetter=Ra=>Ra.isThemeGetter,getPrefixedClassGroupEntries=(Ra,qa)=>qa?Ra.map(([ed,td])=>{const rd=td.map(sd=>typeof sd=="string"?qa+sd:typeof sd=="object"?Object.fromEntries(Object.entries(sd).map(([od,ld])=>[qa+od,ld])):sd);return[ed,rd]}):Ra,createLruCache=Ra=>{if(Ra<1)return{get:()=>{},set:()=>{}};let qa=0,ed=new Map,td=new Map;const rd=(sd,od)=>{ed.set(sd,od),qa++,qa>Ra&&(qa=0,td=ed,ed=new Map)};return{get(sd){let od=ed.get(sd);if(od!==void 0)return od;if((od=td.get(sd))!==void 0)return rd(sd,od),od},set(sd,od){ed.has(sd)?ed.set(sd,od):rd(sd,od)}}},IMPORTANT_MODIFIER="!",createParseClassName=Ra=>{const{separator:qa,experimentalParseClassName:ed}=Ra,td=qa.length===1,rd=qa[0],sd=qa.length,od=ld=>{const cd=[];let ud=0,_d=0,Ed;for(let Bd=0;Bd_d?Ed-_d:void 0;return{modifiers:cd,hasImportantModifier:Td,baseClassName:Nd,maybePostfixModifierPosition:Rd}};return ed?ld=>ed({className:ld,parseClassName:od}):od},sortModifiers=Ra=>{if(Ra.length<=1)return Ra;const qa=[];let ed=[];return Ra.forEach(td=>{td[0]==="["?(qa.push(...ed.sort(),td),ed=[]):ed.push(td)}),qa.push(...ed.sort()),qa},createConfigUtils=Ra=>({cache:createLruCache(Ra.cacheSize),parseClassName:createParseClassName(Ra),...createClassGroupUtils(Ra)}),SPLIT_CLASSES_REGEX=/\s+/,mergeClassList=(Ra,qa)=>{const{parseClassName:ed,getClassGroupId:td,getConflictingClassGroupIds:rd}=qa,sd=[],od=Ra.trim().split(SPLIT_CLASSES_REGEX);let ld="";for(let cd=od.length-1;cd>=0;cd-=1){const ud=od[cd],{modifiers:_d,hasImportantModifier:Ed,baseClassName:Ad,maybePostfixModifierPosition:Td}=ed(ud);let Nd=!!Td,Rd=td(Nd?Ad.substring(0,Td):Ad);if(!Rd){if(!Nd){ld=ud+(ld.length>0?" "+ld:ld);continue}if(Rd=td(Ad),!Rd){ld=ud+(ld.length>0?" "+ld:ld);continue}Nd=!1}const Bd=sortModifiers(_d).join(":"),kd=Ed?Bd+IMPORTANT_MODIFIER:Bd,Od=kd+Rd;if(sd.includes(Od))continue;sd.push(Od);const Md=rd(Rd,Nd);for(let Pd=0;Pd0?" "+ld:ld)}return ld};function twJoin(){let Ra=0,qa,ed,td="";for(;Ra{if(typeof Ra=="string")return Ra;let qa,ed="";for(let td=0;tdEd(_d),Ra());return ed=createConfigUtils(ud),td=ed.cache.get,rd=ed.cache.set,sd=ld,ld(cd)}function ld(cd){const ud=td(cd);if(ud)return ud;const _d=mergeClassList(cd,ed);return rd(cd,_d),_d}return function(){return sd(twJoin.apply(null,arguments))}}const fromTheme=Ra=>{const qa=ed=>ed[Ra]||[];return qa.isThemeGetter=!0,qa},arbitraryValueRegex=/^\[(?:([a-z-]+):)?(.+)\]$/i,fractionRegex=/^\d+\/\d+$/,stringLengths=new Set(["px","full","screen"]),tshirtUnitRegex=/^(\d+(\.\d+)?)?(xs|sm|md|lg|xl)$/,lengthUnitRegex=/\d+(%|px|r?em|[sdl]?v([hwib]|min|max)|pt|pc|in|cm|mm|cap|ch|ex|r?lh|cq(w|h|i|b|min|max))|\b(calc|min|max|clamp)\(.+\)|^0$/,colorFunctionRegex=/^(rgba?|hsla?|hwb|(ok)?(lab|lch))\(.+\)$/,shadowRegex=/^(inset_)?-?((\d+)?\.?(\d+)[a-z]+|0)_-?((\d+)?\.?(\d+)[a-z]+|0)/,imageRegex=/^(url|image|image-set|cross-fade|element|(repeating-)?(linear|radial|conic)-gradient)\(.+\)$/,isLength=Ra=>isNumber(Ra)||stringLengths.has(Ra)||fractionRegex.test(Ra),isArbitraryLength=Ra=>getIsArbitraryValue(Ra,"length",isLengthOnly),isNumber=Ra=>!!Ra&&!Number.isNaN(Number(Ra)),isArbitraryNumber=Ra=>getIsArbitraryValue(Ra,"number",isNumber),isInteger=Ra=>!!Ra&&Number.isInteger(Number(Ra)),isPercent=Ra=>Ra.endsWith("%")&&isNumber(Ra.slice(0,-1)),isArbitraryValue=Ra=>arbitraryValueRegex.test(Ra),isTshirtSize=Ra=>tshirtUnitRegex.test(Ra),sizeLabels=new Set(["length","size","percentage"]),isArbitrarySize=Ra=>getIsArbitraryValue(Ra,sizeLabels,isNever),isArbitraryPosition=Ra=>getIsArbitraryValue(Ra,"position",isNever),imageLabels=new Set(["image","url"]),isArbitraryImage=Ra=>getIsArbitraryValue(Ra,imageLabels,isImage),isArbitraryShadow=Ra=>getIsArbitraryValue(Ra,"",isShadow),isAny=()=>!0,getIsArbitraryValue=(Ra,qa,ed)=>{const td=arbitraryValueRegex.exec(Ra);return td?td[1]?typeof qa=="string"?td[1]===qa:qa.has(td[1]):ed(td[2]):!1},isLengthOnly=Ra=>lengthUnitRegex.test(Ra)&&!colorFunctionRegex.test(Ra),isNever=()=>!1,isShadow=Ra=>shadowRegex.test(Ra),isImage=Ra=>imageRegex.test(Ra),getDefaultConfig=()=>{const Ra=fromTheme("colors"),qa=fromTheme("spacing"),ed=fromTheme("blur"),td=fromTheme("brightness"),rd=fromTheme("borderColor"),sd=fromTheme("borderRadius"),od=fromTheme("borderSpacing"),ld=fromTheme("borderWidth"),cd=fromTheme("contrast"),ud=fromTheme("grayscale"),_d=fromTheme("hueRotate"),Ed=fromTheme("invert"),Ad=fromTheme("gap"),Td=fromTheme("gradientColorStops"),Nd=fromTheme("gradientColorStopPositions"),Rd=fromTheme("inset"),Bd=fromTheme("margin"),kd=fromTheme("opacity"),Od=fromTheme("padding"),Md=fromTheme("saturate"),Pd=fromTheme("scale"),Fd=fromTheme("sepia"),Ud=fromTheme("skew"),Gd=fromTheme("space"),Qd=fromTheme("translate"),Vd=()=>["auto","contain","none"],zd=()=>["auto","hidden","clip","visible","scroll"],Xd=()=>["auto",isArbitraryValue,qa],df=()=>[isArbitraryValue,qa],mf=()=>["",isLength,isArbitraryLength],_f=()=>["auto",isNumber,isArbitraryValue],hf=()=>["bottom","center","left","left-bottom","left-top","right","right-bottom","right-top","top"],gf=()=>["solid","dashed","dotted","double","none"],yf=()=>["normal","multiply","screen","overlay","darken","lighten","color-dodge","color-burn","hard-light","soft-light","difference","exclusion","hue","saturation","color","luminosity"],Nf=()=>["start","end","center","between","around","evenly","stretch"],Pf=()=>["","0",isArbitraryValue],Yf=()=>["auto","avoid","all","avoid-page","page","left","right","column"],Uf=()=>[isNumber,isArbitraryValue];return{cacheSize:500,separator:":",theme:{colors:[isAny],spacing:[isLength,isArbitraryLength],blur:["none","",isTshirtSize,isArbitraryValue],brightness:Uf(),borderColor:[Ra],borderRadius:["none","","full",isTshirtSize,isArbitraryValue],borderSpacing:df(),borderWidth:mf(),contrast:Uf(),grayscale:Pf(),hueRotate:Uf(),invert:Pf(),gap:df(),gradientColorStops:[Ra],gradientColorStopPositions:[isPercent,isArbitraryLength],inset:Xd(),margin:Xd(),opacity:Uf(),padding:df(),saturate:Uf(),scale:Uf(),sepia:Pf(),skew:Uf(),space:df(),translate:df()},classGroups:{aspect:[{aspect:["auto","square","video",isArbitraryValue]}],container:["container"],columns:[{columns:[isTshirtSize]}],"break-after":[{"break-after":Yf()}],"break-before":[{"break-before":Yf()}],"break-inside":[{"break-inside":["auto","avoid","avoid-page","avoid-column"]}],"box-decoration":[{"box-decoration":["slice","clone"]}],box:[{box:["border","content"]}],display:["block","inline-block","inline","flex","inline-flex","table","inline-table","table-caption","table-cell","table-column","table-column-group","table-footer-group","table-header-group","table-row-group","table-row","flow-root","grid","inline-grid","contents","list-item","hidden"],float:[{float:["right","left","none","start","end"]}],clear:[{clear:["left","right","both","none","start","end"]}],isolation:["isolate","isolation-auto"],"object-fit":[{object:["contain","cover","fill","none","scale-down"]}],"object-position":[{object:[...hf(),isArbitraryValue]}],overflow:[{overflow:zd()}],"overflow-x":[{"overflow-x":zd()}],"overflow-y":[{"overflow-y":zd()}],overscroll:[{overscroll:Vd()}],"overscroll-x":[{"overscroll-x":Vd()}],"overscroll-y":[{"overscroll-y":Vd()}],position:["static","fixed","absolute","relative","sticky"],inset:[{inset:[Rd]}],"inset-x":[{"inset-x":[Rd]}],"inset-y":[{"inset-y":[Rd]}],start:[{start:[Rd]}],end:[{end:[Rd]}],top:[{top:[Rd]}],right:[{right:[Rd]}],bottom:[{bottom:[Rd]}],left:[{left:[Rd]}],visibility:["visible","invisible","collapse"],z:[{z:["auto",isInteger,isArbitraryValue]}],basis:[{basis:Xd()}],"flex-direction":[{flex:["row","row-reverse","col","col-reverse"]}],"flex-wrap":[{flex:["wrap","wrap-reverse","nowrap"]}],flex:[{flex:["1","auto","initial","none",isArbitraryValue]}],grow:[{grow:Pf()}],shrink:[{shrink:Pf()}],order:[{order:["first","last","none",isInteger,isArbitraryValue]}],"grid-cols":[{"grid-cols":[isAny]}],"col-start-end":[{col:["auto",{span:["full",isInteger,isArbitraryValue]},isArbitraryValue]}],"col-start":[{"col-start":_f()}],"col-end":[{"col-end":_f()}],"grid-rows":[{"grid-rows":[isAny]}],"row-start-end":[{row:["auto",{span:[isInteger,isArbitraryValue]},isArbitraryValue]}],"row-start":[{"row-start":_f()}],"row-end":[{"row-end":_f()}],"grid-flow":[{"grid-flow":["row","col","dense","row-dense","col-dense"]}],"auto-cols":[{"auto-cols":["auto","min","max","fr",isArbitraryValue]}],"auto-rows":[{"auto-rows":["auto","min","max","fr",isArbitraryValue]}],gap:[{gap:[Ad]}],"gap-x":[{"gap-x":[Ad]}],"gap-y":[{"gap-y":[Ad]}],"justify-content":[{justify:["normal",...Nf()]}],"justify-items":[{"justify-items":["start","end","center","stretch"]}],"justify-self":[{"justify-self":["auto","start","end","center","stretch"]}],"align-content":[{content:["normal",...Nf(),"baseline"]}],"align-items":[{items:["start","end","center","baseline","stretch"]}],"align-self":[{self:["auto","start","end","center","stretch","baseline"]}],"place-content":[{"place-content":[...Nf(),"baseline"]}],"place-items":[{"place-items":["start","end","center","baseline","stretch"]}],"place-self":[{"place-self":["auto","start","end","center","stretch"]}],p:[{p:[Od]}],px:[{px:[Od]}],py:[{py:[Od]}],ps:[{ps:[Od]}],pe:[{pe:[Od]}],pt:[{pt:[Od]}],pr:[{pr:[Od]}],pb:[{pb:[Od]}],pl:[{pl:[Od]}],m:[{m:[Bd]}],mx:[{mx:[Bd]}],my:[{my:[Bd]}],ms:[{ms:[Bd]}],me:[{me:[Bd]}],mt:[{mt:[Bd]}],mr:[{mr:[Bd]}],mb:[{mb:[Bd]}],ml:[{ml:[Bd]}],"space-x":[{"space-x":[Gd]}],"space-x-reverse":["space-x-reverse"],"space-y":[{"space-y":[Gd]}],"space-y-reverse":["space-y-reverse"],w:[{w:["auto","min","max","fit","svw","lvw","dvw",isArbitraryValue,qa]}],"min-w":[{"min-w":[isArbitraryValue,qa,"min","max","fit"]}],"max-w":[{"max-w":[isArbitraryValue,qa,"none","full","min","max","fit","prose",{screen:[isTshirtSize]},isTshirtSize]}],h:[{h:[isArbitraryValue,qa,"auto","min","max","fit","svh","lvh","dvh"]}],"min-h":[{"min-h":[isArbitraryValue,qa,"min","max","fit","svh","lvh","dvh"]}],"max-h":[{"max-h":[isArbitraryValue,qa,"min","max","fit","svh","lvh","dvh"]}],size:[{size:[isArbitraryValue,qa,"auto","min","max","fit"]}],"font-size":[{text:["base",isTshirtSize,isArbitraryLength]}],"font-smoothing":["antialiased","subpixel-antialiased"],"font-style":["italic","not-italic"],"font-weight":[{font:["thin","extralight","light","normal","medium","semibold","bold","extrabold","black",isArbitraryNumber]}],"font-family":[{font:[isAny]}],"fvn-normal":["normal-nums"],"fvn-ordinal":["ordinal"],"fvn-slashed-zero":["slashed-zero"],"fvn-figure":["lining-nums","oldstyle-nums"],"fvn-spacing":["proportional-nums","tabular-nums"],"fvn-fraction":["diagonal-fractions","stacked-fractons"],tracking:[{tracking:["tighter","tight","normal","wide","wider","widest",isArbitraryValue]}],"line-clamp":[{"line-clamp":["none",isNumber,isArbitraryNumber]}],leading:[{leading:["none","tight","snug","normal","relaxed","loose",isLength,isArbitraryValue]}],"list-image":[{"list-image":["none",isArbitraryValue]}],"list-style-type":[{list:["none","disc","decimal",isArbitraryValue]}],"list-style-position":[{list:["inside","outside"]}],"placeholder-color":[{placeholder:[Ra]}],"placeholder-opacity":[{"placeholder-opacity":[kd]}],"text-alignment":[{text:["left","center","right","justify","start","end"]}],"text-color":[{text:[Ra]}],"text-opacity":[{"text-opacity":[kd]}],"text-decoration":["underline","overline","line-through","no-underline"],"text-decoration-style":[{decoration:[...gf(),"wavy"]}],"text-decoration-thickness":[{decoration:["auto","from-font",isLength,isArbitraryLength]}],"underline-offset":[{"underline-offset":["auto",isLength,isArbitraryValue]}],"text-decoration-color":[{decoration:[Ra]}],"text-transform":["uppercase","lowercase","capitalize","normal-case"],"text-overflow":["truncate","text-ellipsis","text-clip"],"text-wrap":[{text:["wrap","nowrap","balance","pretty"]}],indent:[{indent:df()}],"vertical-align":[{align:["baseline","top","middle","bottom","text-top","text-bottom","sub","super",isArbitraryValue]}],whitespace:[{whitespace:["normal","nowrap","pre","pre-line","pre-wrap","break-spaces"]}],break:[{break:["normal","words","all","keep"]}],hyphens:[{hyphens:["none","manual","auto"]}],content:[{content:["none",isArbitraryValue]}],"bg-attachment":[{bg:["fixed","local","scroll"]}],"bg-clip":[{"bg-clip":["border","padding","content","text"]}],"bg-opacity":[{"bg-opacity":[kd]}],"bg-origin":[{"bg-origin":["border","padding","content"]}],"bg-position":[{bg:[...hf(),isArbitraryPosition]}],"bg-repeat":[{bg:["no-repeat",{repeat:["","x","y","round","space"]}]}],"bg-size":[{bg:["auto","cover","contain",isArbitrarySize]}],"bg-image":[{bg:["none",{"gradient-to":["t","tr","r","br","b","bl","l","tl"]},isArbitraryImage]}],"bg-color":[{bg:[Ra]}],"gradient-from-pos":[{from:[Nd]}],"gradient-via-pos":[{via:[Nd]}],"gradient-to-pos":[{to:[Nd]}],"gradient-from":[{from:[Td]}],"gradient-via":[{via:[Td]}],"gradient-to":[{to:[Td]}],rounded:[{rounded:[sd]}],"rounded-s":[{"rounded-s":[sd]}],"rounded-e":[{"rounded-e":[sd]}],"rounded-t":[{"rounded-t":[sd]}],"rounded-r":[{"rounded-r":[sd]}],"rounded-b":[{"rounded-b":[sd]}],"rounded-l":[{"rounded-l":[sd]}],"rounded-ss":[{"rounded-ss":[sd]}],"rounded-se":[{"rounded-se":[sd]}],"rounded-ee":[{"rounded-ee":[sd]}],"rounded-es":[{"rounded-es":[sd]}],"rounded-tl":[{"rounded-tl":[sd]}],"rounded-tr":[{"rounded-tr":[sd]}],"rounded-br":[{"rounded-br":[sd]}],"rounded-bl":[{"rounded-bl":[sd]}],"border-w":[{border:[ld]}],"border-w-x":[{"border-x":[ld]}],"border-w-y":[{"border-y":[ld]}],"border-w-s":[{"border-s":[ld]}],"border-w-e":[{"border-e":[ld]}],"border-w-t":[{"border-t":[ld]}],"border-w-r":[{"border-r":[ld]}],"border-w-b":[{"border-b":[ld]}],"border-w-l":[{"border-l":[ld]}],"border-opacity":[{"border-opacity":[kd]}],"border-style":[{border:[...gf(),"hidden"]}],"divide-x":[{"divide-x":[ld]}],"divide-x-reverse":["divide-x-reverse"],"divide-y":[{"divide-y":[ld]}],"divide-y-reverse":["divide-y-reverse"],"divide-opacity":[{"divide-opacity":[kd]}],"divide-style":[{divide:gf()}],"border-color":[{border:[rd]}],"border-color-x":[{"border-x":[rd]}],"border-color-y":[{"border-y":[rd]}],"border-color-t":[{"border-t":[rd]}],"border-color-r":[{"border-r":[rd]}],"border-color-b":[{"border-b":[rd]}],"border-color-l":[{"border-l":[rd]}],"divide-color":[{divide:[rd]}],"outline-style":[{outline:["",...gf()]}],"outline-offset":[{"outline-offset":[isLength,isArbitraryValue]}],"outline-w":[{outline:[isLength,isArbitraryLength]}],"outline-color":[{outline:[Ra]}],"ring-w":[{ring:mf()}],"ring-w-inset":["ring-inset"],"ring-color":[{ring:[Ra]}],"ring-opacity":[{"ring-opacity":[kd]}],"ring-offset-w":[{"ring-offset":[isLength,isArbitraryLength]}],"ring-offset-color":[{"ring-offset":[Ra]}],shadow:[{shadow:["","inner","none",isTshirtSize,isArbitraryShadow]}],"shadow-color":[{shadow:[isAny]}],opacity:[{opacity:[kd]}],"mix-blend":[{"mix-blend":[...yf(),"plus-lighter","plus-darker"]}],"bg-blend":[{"bg-blend":yf()}],filter:[{filter:["","none"]}],blur:[{blur:[ed]}],brightness:[{brightness:[td]}],contrast:[{contrast:[cd]}],"drop-shadow":[{"drop-shadow":["","none",isTshirtSize,isArbitraryValue]}],grayscale:[{grayscale:[ud]}],"hue-rotate":[{"hue-rotate":[_d]}],invert:[{invert:[Ed]}],saturate:[{saturate:[Md]}],sepia:[{sepia:[Fd]}],"backdrop-filter":[{"backdrop-filter":["","none"]}],"backdrop-blur":[{"backdrop-blur":[ed]}],"backdrop-brightness":[{"backdrop-brightness":[td]}],"backdrop-contrast":[{"backdrop-contrast":[cd]}],"backdrop-grayscale":[{"backdrop-grayscale":[ud]}],"backdrop-hue-rotate":[{"backdrop-hue-rotate":[_d]}],"backdrop-invert":[{"backdrop-invert":[Ed]}],"backdrop-opacity":[{"backdrop-opacity":[kd]}],"backdrop-saturate":[{"backdrop-saturate":[Md]}],"backdrop-sepia":[{"backdrop-sepia":[Fd]}],"border-collapse":[{border:["collapse","separate"]}],"border-spacing":[{"border-spacing":[od]}],"border-spacing-x":[{"border-spacing-x":[od]}],"border-spacing-y":[{"border-spacing-y":[od]}],"table-layout":[{table:["auto","fixed"]}],caption:[{caption:["top","bottom"]}],transition:[{transition:["none","all","","colors","opacity","shadow","transform",isArbitraryValue]}],duration:[{duration:Uf()}],ease:[{ease:["linear","in","out","in-out",isArbitraryValue]}],delay:[{delay:Uf()}],animate:[{animate:["none","spin","ping","pulse","bounce",isArbitraryValue]}],transform:[{transform:["","gpu","none"]}],scale:[{scale:[Pd]}],"scale-x":[{"scale-x":[Pd]}],"scale-y":[{"scale-y":[Pd]}],rotate:[{rotate:[isInteger,isArbitraryValue]}],"translate-x":[{"translate-x":[Qd]}],"translate-y":[{"translate-y":[Qd]}],"skew-x":[{"skew-x":[Ud]}],"skew-y":[{"skew-y":[Ud]}],"transform-origin":[{origin:["center","top","top-right","right","bottom-right","bottom","bottom-left","left","top-left",isArbitraryValue]}],accent:[{accent:["auto",Ra]}],appearance:[{appearance:["none","auto"]}],cursor:[{cursor:["auto","default","pointer","wait","text","move","help","not-allowed","none","context-menu","progress","cell","crosshair","vertical-text","alias","copy","no-drop","grab","grabbing","all-scroll","col-resize","row-resize","n-resize","e-resize","s-resize","w-resize","ne-resize","nw-resize","se-resize","sw-resize","ew-resize","ns-resize","nesw-resize","nwse-resize","zoom-in","zoom-out",isArbitraryValue]}],"caret-color":[{caret:[Ra]}],"pointer-events":[{"pointer-events":["none","auto"]}],resize:[{resize:["none","y","x",""]}],"scroll-behavior":[{scroll:["auto","smooth"]}],"scroll-m":[{"scroll-m":df()}],"scroll-mx":[{"scroll-mx":df()}],"scroll-my":[{"scroll-my":df()}],"scroll-ms":[{"scroll-ms":df()}],"scroll-me":[{"scroll-me":df()}],"scroll-mt":[{"scroll-mt":df()}],"scroll-mr":[{"scroll-mr":df()}],"scroll-mb":[{"scroll-mb":df()}],"scroll-ml":[{"scroll-ml":df()}],"scroll-p":[{"scroll-p":df()}],"scroll-px":[{"scroll-px":df()}],"scroll-py":[{"scroll-py":df()}],"scroll-ps":[{"scroll-ps":df()}],"scroll-pe":[{"scroll-pe":df()}],"scroll-pt":[{"scroll-pt":df()}],"scroll-pr":[{"scroll-pr":df()}],"scroll-pb":[{"scroll-pb":df()}],"scroll-pl":[{"scroll-pl":df()}],"snap-align":[{snap:["start","end","center","align-none"]}],"snap-stop":[{snap:["normal","always"]}],"snap-type":[{snap:["none","x","y","both"]}],"snap-strictness":[{snap:["mandatory","proximity"]}],touch:[{touch:["auto","none","manipulation"]}],"touch-x":[{"touch-pan":["x","left","right"]}],"touch-y":[{"touch-pan":["y","up","down"]}],"touch-pz":["touch-pinch-zoom"],select:[{select:["none","text","all","auto"]}],"will-change":[{"will-change":["auto","scroll","contents","transform",isArbitraryValue]}],fill:[{fill:[Ra,"none"]}],"stroke-w":[{stroke:[isLength,isArbitraryLength,isArbitraryNumber]}],stroke:[{stroke:[Ra,"none"]}],sr:["sr-only","not-sr-only"],"forced-color-adjust":[{"forced-color-adjust":["auto","none"]}]},conflictingClassGroups:{overflow:["overflow-x","overflow-y"],overscroll:["overscroll-x","overscroll-y"],inset:["inset-x","inset-y","start","end","top","right","bottom","left"],"inset-x":["right","left"],"inset-y":["top","bottom"],flex:["basis","grow","shrink"],gap:["gap-x","gap-y"],p:["px","py","ps","pe","pt","pr","pb","pl"],px:["pr","pl"],py:["pt","pb"],m:["mx","my","ms","me","mt","mr","mb","ml"],mx:["mr","ml"],my:["mt","mb"],size:["w","h"],"font-size":["leading"],"fvn-normal":["fvn-ordinal","fvn-slashed-zero","fvn-figure","fvn-spacing","fvn-fraction"],"fvn-ordinal":["fvn-normal"],"fvn-slashed-zero":["fvn-normal"],"fvn-figure":["fvn-normal"],"fvn-spacing":["fvn-normal"],"fvn-fraction":["fvn-normal"],"line-clamp":["display","overflow"],rounded:["rounded-s","rounded-e","rounded-t","rounded-r","rounded-b","rounded-l","rounded-ss","rounded-se","rounded-ee","rounded-es","rounded-tl","rounded-tr","rounded-br","rounded-bl"],"rounded-s":["rounded-ss","rounded-es"],"rounded-e":["rounded-se","rounded-ee"],"rounded-t":["rounded-tl","rounded-tr"],"rounded-r":["rounded-tr","rounded-br"],"rounded-b":["rounded-br","rounded-bl"],"rounded-l":["rounded-tl","rounded-bl"],"border-spacing":["border-spacing-x","border-spacing-y"],"border-w":["border-w-s","border-w-e","border-w-t","border-w-r","border-w-b","border-w-l"],"border-w-x":["border-w-r","border-w-l"],"border-w-y":["border-w-t","border-w-b"],"border-color":["border-color-t","border-color-r","border-color-b","border-color-l"],"border-color-x":["border-color-r","border-color-l"],"border-color-y":["border-color-t","border-color-b"],"scroll-m":["scroll-mx","scroll-my","scroll-ms","scroll-me","scroll-mt","scroll-mr","scroll-mb","scroll-ml"],"scroll-mx":["scroll-mr","scroll-ml"],"scroll-my":["scroll-mt","scroll-mb"],"scroll-p":["scroll-px","scroll-py","scroll-ps","scroll-pe","scroll-pt","scroll-pr","scroll-pb","scroll-pl"],"scroll-px":["scroll-pr","scroll-pl"],"scroll-py":["scroll-pt","scroll-pb"],touch:["touch-x","touch-y","touch-pz"],"touch-x":["touch"],"touch-y":["touch"],"touch-pz":["touch"]},conflictingClassGroupModifiers:{"font-size":["leading"]}}},twMerge=createTailwindMerge(getDefaultConfig);function cn(...Ra){return twMerge(clsx(Ra))}const _sfc_main$N=defineComponent({__name:"Button",props:{variant:{},size:{},class:{},asChild:{type:Boolean},as:{default:"button"}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(O),{as:ed.as,"as-child":ed.asChild,class:normalizeClass(unref(cn)(unref(buttonVariants)({variant:ed.variant,size:ed.size}),qa.class))},{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},8,["as","as-child","class"]))}}),_sfc_main$M=defineComponent({__name:"Dialog",props:{open:{type:Boolean},defaultOpen:{type:Boolean},modal:{type:Boolean}},emits:["update:open"],setup(Ra,{emit:qa}){const rd=xe(Ra,qa);return(sd,od)=>(openBlock(),createBlock(unref(Gi),normalizeProps(guardReactiveProps(unref(rd))),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16))}}),_sfc_main$L=defineComponent({__name:"DialogHeader",props:{class:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createElementBlock("div",{class:normalizeClass(unref(cn)("flex flex-col gap-y-1.5 text-center sm:text-left",qa.class))},[renderSlot(ed.$slots,"default")],2))}}),_sfc_main$K=defineComponent({__name:"DialogTitle",props:{asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:rd,...sd}=qa;return sd}),td=It(ed);return(rd,sd)=>(openBlock(),createBlock(unref(bu),mergeProps(unref(td),{class:unref(cn)("text-lg font-semibold leading-none tracking-tight",qa.class)}),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16,["class"]))}}),_sfc_main$J=defineComponent({__name:"DialogContent",props:{forceMount:{type:Boolean},trapFocus:{type:Boolean},disableOutsidePointerEvents:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=computed(()=>{const{class:od,...ld}=ed;return ld}),sd=xe(rd,td);return(od,ld)=>(openBlock(),createBlock(unref(wv),null,{default:withCtx(()=>[createVNode(unref(gu),{class:"data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 fixed inset-0 z-50 bg-black/80"}),createVNode(unref(hu),mergeProps(unref(sd),{class:unref(cn)("fixed left-1/2 top-1/2 z-50 grid w-full max-w-lg -translate-x-1/2 -translate-y-1/2 gap-4 border bg-background p-6 shadow-lg duration-200 data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[state=closed]:slide-out-to-left-1/2 data-[state=closed]:slide-out-to-top-[48%] data-[state=open]:slide-in-from-left-1/2 data-[state=open]:slide-in-from-top-[48%] sm:rounded-lg",ed.class)}),{default:withCtx(()=>[renderSlot(od.$slots,"default"),createVNode(unref(Hl),{class:"data-[state=open]:bg-accent ring-offset-background data-[state=open]:text-muted-foreground focus:ring-ring absolute right-4 top-4 rounded-sm opacity-70 transition-opacity disabled:pointer-events-none hover:opacity-100 focus:outline-none focus:ring-2 focus:ring-offset-2"},{default:withCtx(()=>[createVNode(unref(X),{class:"h-4 w-4"}),ld[0]||(ld[0]=createBaseVNode("span",{class:"sr-only"},"Close",-1))]),_:1})]),_:3},16,["class"])]),_:3}))}}),_sfc_main$I=defineComponent({__name:"DialogFooter",props:{class:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createElementBlock("div",{class:normalizeClass(unref(cn)("flex flex-col-reverse sm:flex-row sm:justify-end sm:gap-x-2",qa.class))},[renderSlot(ed.$slots,"default")],2))}}),_sfc_main$H=defineComponent({__name:"PostInfo",setup(Ra){const qa=useStore(),{output:ed}=storeToRefs(qa),td=ref$1(!1),rd=ref$1({title:"",desc:"",thumb:"",content:"",auto:{}});function sd(){var ud;let cd={};try{cd={thumb:(ud=document.querySelector("#output img"))==null?void 0:ud.src,title:[1,2,3,4,5,6].map(_d=>document.querySelector(`#output h${_d}`)).filter(_d=>_d)[0].textContent,desc:document.querySelector("#output p").textContent,content:ed.value}}catch(_d){console.log("error",_d)}rd.value={...cd,auto:cd},td.value=!0}function od(){td.value=!1,window.syncPost({thumb:rd.value.thumb||rd.value.auto.thumb,title:rd.value.title||rd.value.auto.title,desc:rd.value.desc||rd.value.auto.desc,content:rd.value.content||rd.value.auto.content})}function ld(cd){cd||(td.value=!1)}return(cd,ud)=>{const _d=_sfc_main$N,Ed=ElAlert,Ad=ElInput,Td=ElFormItem,Nd=ElForm;return openBlock(),createElementBlock(Fragment,null,[createVNode(_d,{variant:"outline",onClick:sd},{default:withCtx(()=>ud[4]||(ud[4]=[createTextVNode(" 发布 ")])),_:1}),createVNode(unref(_sfc_main$M),{open:td.value,"onUpdate:open":ld},{default:withCtx(()=>[createVNode(unref(_sfc_main$J),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$L),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$K),null,{default:withCtx(()=>ud[5]||(ud[5]=[createTextVNode("发布")])),_:1})]),_:1}),createVNode(Ed,{class:"mb-4",title:"注:此功能由第三方浏览器插件支持,本平台不保证安全性。",type:"info","show-icon":""}),createVNode(Nd,{class:"postInfo","label-width":"50",model:rd.value},{default:withCtx(()=>[createVNode(Td,{label:"封面"},{default:withCtx(()=>[createVNode(Ad,{modelValue:rd.value.thumb,"onUpdate:modelValue":ud[0]||(ud[0]=Rd=>rd.value.thumb=Rd),placeholder:"自动提取第一张图"},null,8,["modelValue"])]),_:1}),createVNode(Td,{label:"标题"},{default:withCtx(()=>[createVNode(Ad,{modelValue:rd.value.title,"onUpdate:modelValue":ud[1]||(ud[1]=Rd=>rd.value.title=Rd),placeholder:"自动提取第一个标题"},null,8,["modelValue"])]),_:1}),createVNode(Td,{label:"描述"},{default:withCtx(()=>[createVNode(Ad,{modelValue:rd.value.desc,"onUpdate:modelValue":ud[2]||(ud[2]=Rd=>rd.value.desc=Rd),type:"textarea",rows:4,placeholder:"自动提取第一个段落"},null,8,["modelValue"])]),_:1})]),_:1},8,["model"]),createVNode(unref(_sfc_main$I),null,{default:withCtx(()=>[createVNode(_d,{variant:"outline",onClick:ud[3]||(ud[3]=Rd=>td.value=!1)},{default:withCtx(()=>ud[6]||(ud[6]=[createTextVNode(" 取 消 ")])),_:1}),createVNode(_d,{onClick:od},{default:withCtx(()=>ud[7]||(ud[7]=[createTextVNode(" 确 定 ")])),_:1})]),_:1})]),_:1})]),_:1},8,["open"])],64)}}}),_sfc_main$G=defineComponent({__name:"MenubarMenu",props:{value:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(Fh),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),_sfc_main$F=defineComponent({__name:"MenubarContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},side:{},sideOffset:{default:8},align:{default:"start"},alignOffset:{default:-4},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:rd,...sd}=qa;return sd}),td=It(ed);return(rd,sd)=>(openBlock(),createBlock(unref(Lh),null,{default:withCtx(()=>[createVNode(unref(zh),mergeProps(unref(td),{class:unref(cn)("z-50 min-w-48 overflow-hidden rounded-md border bg-popover p-1 text-popover-foreground shadow-md data-[state=open]:animate-in data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[side=bottom]:slide-in-from-top-2 data-[side=left]:slide-in-from-right-2 data-[side=right]:slide-in-from-left-2 data-[side=top]:slide-in-from-bottom-2",qa.class)}),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16,["class"])]),_:3}))}}),_sfc_main$E=defineComponent({__name:"MenubarSeparator",props:{asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:rd,...sd}=qa;return sd}),td=It(ed);return(rd,sd)=>(openBlock(),createBlock(unref(jh),mergeProps({class:unref(cn)("-mx-1 my-1 h-px bg-muted",qa.class)},unref(td)),null,16,["class"]))}}),_sfc_main$D=defineComponent({__name:"MenubarItem",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{},class:{},inset:{type:Boolean}},emits:["select"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=computed(()=>{const{class:od,...ld}=ed;return ld}),sd=xe(rd,td);return(od,ld)=>(openBlock(),createBlock(unref(Hh),mergeProps(unref(sd),{class:unref(cn)("relative flex cursor-default select-none items-center rounded-sm px-2 py-1.5 text-sm outline-none focus:bg-accent focus:text-accent-foreground data-[disabled]:pointer-events-none data-[disabled]:opacity-50",od.inset&&"pl-8",ed.class)}),{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},16,["class"]))}}),_sfc_main$C=defineComponent({__name:"MenubarTrigger",props:{disabled:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:rd,...sd}=qa;return sd}),td=It(ed);return(rd,sd)=>(openBlock(),createBlock(unref(Nh),mergeProps(unref(td),{class:unref(cn)("flex cursor-default select-none items-center rounded-sm px-3 py-1.5 text-sm font-medium outline-none hover:bg-accent focus:bg-accent focus:text-accent-foreground data-[state=open]:bg-accent data-[state=open]:text-accent-foreground",qa.class)}),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16,["class"]))}}),_sfc_main$B=defineComponent({__name:"FileDropdown",setup(Ra){const qa=useStore(),{isDark:ed,isEditOnLeft:td}=storeToRefs(qa),{toggleDark:rd,toggleEditOnLeft:sd,exportEditorContent2HTML:od,exportEditorContent2MD:ld,importMarkdownContent:cd}=qa;return(ud,_d)=>{const Ed=_sfc_main$C,Ad=upload_default,Td=ElIcon,Nd=_sfc_main$D,Rd=download_default,Bd=document_default,kd=_sfc_main$E,Od=check_default,Md=_sfc_main$F,Pd=_sfc_main$G;return openBlock(),createBlock(Pd,null,{default:withCtx(()=>[createVNode(Ed,null,{default:withCtx(()=>_d[5]||(_d[5]=[createTextVNode(" 文件 ")])),_:1}),createVNode(Md,{align:"start"},{default:withCtx(()=>[createVNode(Nd,{onClick:_d[0]||(_d[0]=Fd=>unref(cd)())},{default:withCtx(()=>[createVNode(Td,{class:"mr-2 h-4 w-4"},{default:withCtx(()=>[createVNode(Ad)]),_:1}),_d[6]||(_d[6]=createTextVNode(" 导入 .md "))]),_:1}),createVNode(Nd,{onClick:_d[1]||(_d[1]=Fd=>unref(ld)())},{default:withCtx(()=>[createVNode(Td,{class:"mr-2 h-4 w-4"},{default:withCtx(()=>[createVNode(Rd)]),_:1}),_d[7]||(_d[7]=createTextVNode(" 导出 .md "))]),_:1}),createVNode(Nd,{onClick:_d[2]||(_d[2]=Fd=>unref(od)())},{default:withCtx(()=>[createVNode(Td,{class:"mr-2 h-4 w-4"},{default:withCtx(()=>[createVNode(Bd)]),_:1}),_d[8]||(_d[8]=createTextVNode(" 导出 .html "))]),_:1}),createVNode(kd),createVNode(Nd,{onClick:_d[3]||(_d[3]=Fd=>unref(rd)())},{default:withCtx(()=>[createVNode(Td,{class:normalizeClass(["mr-2 h-4 w-4",{"opacity-0":!unref(ed)}])},{default:withCtx(()=>[createVNode(Od)]),_:1},8,["class"]),_d[9]||(_d[9]=createTextVNode(" 深色模式 "))]),_:1}),createVNode(kd),createVNode(Nd,{onClick:_d[4]||(_d[4]=Fd=>unref(sd)())},{default:withCtx(()=>[createVNode(Td,{class:normalizeClass(["mr-2 h-4 w-4",{"opacity-0":!unref(td)}])},{default:withCtx(()=>[createVNode(Od)]),_:1},8,["class"]),_d[10]||(_d[10]=createTextVNode(" 左侧编辑 "))]),_:1})]),_:1})]),_:1})}}}),_sfc_main$A=defineComponent({__name:"AboutDialog",props:{visible:{type:Boolean,default:!1}},emits:["close"],setup(Ra,{emit:qa}){const ed=Ra,td=qa;function rd(ld){ld||td("close")}const sd=[{label:"GitHub 仓库",url:"https://github.com/doocs/md"},{label:"Gitee 仓库",url:"https://gitee.com/doocs/md"},{label:"GitCode 仓库",url:"https://gitcode.com/doocs/md"}];function od(ld){window.open(ld,"_blank")}return(ld,cd)=>{const ud=_sfc_main$N;return openBlock(),createBlock(unref(_sfc_main$M),{open:ed.visible,"onUpdate:open":rd},{default:withCtx(()=>[createVNode(unref(_sfc_main$J),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$L),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$K),null,{default:withCtx(()=>cd[0]||(cd[0]=[createTextVNode("关于")])),_:1})]),_:1}),cd[1]||(cd[1]=createBaseVNode("div",{class:"text-center"},[createBaseVNode("h3",null,"一款高度简洁的微信 Markdown 编辑器"),createBaseVNode("p",null,"扫码关注公众号 Doocs,原创技术文章第一时间推送!"),createBaseVNode("img",{class:"mx-auto my-5",src:"https://cdn-doocs.oss-cn-shenzhen.aliyuncs.com/gh/doocs/md/images/1648303220922-7e14aefa-816e-44c1-8604-ade709ca1c69.png",alt:"Doocs Markdown 编辑器",style:{width:"40%"}})],-1)),createVNode(unref(_sfc_main$I),{class:"sm:justify-evenly"},{default:withCtx(()=>[(openBlock(),createElementBlock(Fragment,null,renderList(sd,_d=>createVNode(ud,{key:_d.url,onClick:Ed=>od(_d.url)},{default:withCtx(()=>[createTextVNode(toDisplayString(_d.label),1)]),_:2},1032,["onClick"])),64))]),_:1})]),_:1})]),_:1},8,["open"])}}}),_sfc_main$z=defineComponent({__name:"HelpDropdown",setup(Ra){const qa=ref$1(!1);return(ed,td)=>{const rd=_sfc_main$C,sd=ElIcon,od=_sfc_main$D,ld=_sfc_main$F,cd=_sfc_main$G;return openBlock(),createElementBlock(Fragment,null,[createVNode(cd,null,{default:withCtx(()=>[createVNode(rd,null,{default:withCtx(()=>td[2]||(td[2]=[createTextVNode(" 帮助 ")])),_:1}),createVNode(ld,{align:"start"},{default:withCtx(()=>[createVNode(od,{onClick:td[0]||(td[0]=ud=>qa.value=!0)},{default:withCtx(()=>[createVNode(sd,{class:"mr-2 h-4 w-4"}),td[3]||(td[3]=createBaseVNode("span",null,"关于",-1))]),_:1})]),_:1})]),_:1}),createVNode(_sfc_main$A,{visible:qa.value,onClose:td[1]||(td[1]=ud=>qa.value=!1)},null,8,["visible"])],64)}}}),_sfc_main$y=defineComponent({__name:"DropdownMenuShortcut",props:{class:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createElementBlock("span",{class:normalizeClass(unref(cn)("ml-auto text-xs tracking-widest opacity-60",qa.class))},[renderSlot(ed.$slots,"default")],2))}}),_sfc_main$x=defineComponent({__name:"Menubar",props:{modelValue:{},defaultValue:{},dir:{},loop:{type:Boolean},class:{}},emits:["update:modelValue"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=computed(()=>{const{class:od,...ld}=ed;return ld}),sd=xe(rd,td);return(od,ld)=>(openBlock(),createBlock(unref(Vh),mergeProps(unref(sd),{class:unref(cn)("flex h-10 items-center gap-x-1 rounded-md border bg-background p-1",ed.class)}),{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},16,["class"]))}}),_sfc_main$w=defineComponent({__name:"MenubarSub",props:{defaultOpen:{type:Boolean},open:{type:Boolean}},emits:["update:open"],setup(Ra,{emit:qa}){const rd=xe(Ra,qa);return(sd,od)=>(openBlock(),createBlock(unref(Zh),normalizeProps(guardReactiveProps(unref(rd))),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16))}}),_sfc_main$v=defineComponent({__name:"MenubarSubContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},sideOffset:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=computed(()=>{const{class:od,...ld}=ed;return ld}),sd=xe(rd,td);return(od,ld)=>(openBlock(),createBlock(unref(Lh),null,{default:withCtx(()=>[createVNode(unref(Jh),mergeProps(unref(sd),{class:unref(cn)("z-50 min-w-32 overflow-hidden rounded-md border bg-popover p-1 text-popover-foreground data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[side=bottom]:slide-in-from-top-2 data-[side=left]:slide-in-from-right-2 data-[side=right]:slide-in-from-left-2 data-[side=top]:slide-in-from-bottom-2",ed.class)}),{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},16,["class"])]),_:3}))}}),_sfc_main$u=defineComponent({__name:"MenubarSubTrigger",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{},class:{},inset:{type:Boolean}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:rd,...sd}=qa;return sd}),td=It(ed);return(rd,sd)=>(openBlock(),createBlock(unref(Qh),mergeProps(unref(td),{class:unref(cn)("flex cursor-default select-none items-center rounded-sm px-2 py-1.5 text-sm outline-none focus:bg-accent focus:text-accent-foreground data-[state=open]:bg-accent data-[state=open]:text-accent-foreground",rd.inset&&"pl-8",qa.class)}),{default:withCtx(()=>[renderSlot(rd.$slots,"default"),createVNode(unref(ChevronRight),{class:"ml-auto h-4 w-4"})]),_:3},16,["class"]))}}),_sfc_main$t=defineComponent({__name:"MenubarShortcut",props:{class:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createElementBlock("span",{class:normalizeClass(unref(cn)("ml-auto text-xs tracking-widest text-muted-foreground",qa.class))},[renderSlot(ed.$slots,"default")],2))}}),_sfc_main$s=defineComponent({__name:"StyleOptionMenu",props:{title:{},options:{},current:{},change:{type:Function}},setup(Ra){const qa=Ra;function ed(td,rd){switch(td){case"字体":return{fontFamily:rd};case"字号":return{fontSize:rd};case"主题色":return{color:rd};default:return{}}}return(td,rd)=>{const sd=ElIcon,od=check_default,ld=_sfc_main$y;return openBlock(),createBlock(unref(_sfc_main$w),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$u),null,{default:withCtx(()=>[createVNode(sd,{class:"mr-2 h-4 w-4"}),createBaseVNode("span",null,toDisplayString(qa.title),1)]),_:1}),createVNode(unref(_sfc_main$v),{class:"max-h-56 overflow-auto"},{default:withCtx(()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(td.options,({label:cd,value:ud,desc:_d})=>(openBlock(),createBlock(unref(_sfc_main$D),{key:ud,label:cd,"model-value":ud,class:"w-50",onClick:Ed=>td.change(ud)},{default:withCtx(()=>[createVNode(sd,{class:"mr-2 h-4 w-4",style:normalizeStyle({opacity:+(td.current===ud)})},{default:withCtx(()=>[createVNode(od)]),_:2},1032,["style"]),createTextVNode(" "+toDisplayString(cd)+" ",1),createVNode(ld,{style:normalizeStyle(ed(td.title,ud))},{default:withCtx(()=>[createTextVNode(toDisplayString(_d),1)]),_:2},1032,["style"])]),_:2},1032,["label","model-value","onClick"]))),128))]),_:1})]),_:1})}}}),_sfc_main$r=defineComponent({__name:"HoverCard",props:{defaultOpen:{type:Boolean},open:{type:Boolean},openDelay:{},closeDelay:{}},emits:["update:open"],setup(Ra,{emit:qa}){const rd=xe(Ra,qa);return(sd,od)=>(openBlock(),createBlock(unref(xh),normalizeProps(guardReactiveProps(unref(rd))),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16))}}),_sfc_main$q=defineComponent({__name:"HoverCardTrigger",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(Sh),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),_sfc_main$p=defineComponent({__name:"HoverCardContent",props:{forceMount:{type:Boolean},side:{},sideOffset:{default:4},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:rd,...sd}=qa;return sd}),td=It(ed);return(rd,sd)=>(openBlock(),createBlock(unref(Eh),null,{default:withCtx(()=>[createVNode(unref(Ph),mergeProps(unref(td),{class:unref(cn)("z-50 w-64 rounded-md border bg-popover p-4 text-popover-foreground shadow-md outline-none data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[side=bottom]:slide-in-from-top-2 data-[side=left]:slide-in-from-right-2 data-[side=right]:slide-in-from-left-2 data-[side=top]:slide-in-from-bottom-2",qa.class)}),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16,["class"])]),_:3}))}}),_sfc_main$o=defineComponent({__name:"StyleDropdown",setup(Ra){const qa=useStore(),{toggleShowCssEditor:ed}=useDisplayStore(),{theme:td,fontFamily:rd,fontSize:sd,primaryColor:od,codeBlockTheme:ld,legend:cd,isMacCodeBlock:ud,cssEditor:_d}=storeToRefs(qa),{resetStyleConfirm:Ed,themeChanged:Ad,fontChanged:Td,sizeChanged:Nd,colorChanged:Rd,codeBlockThemeChanged:Bd,legendChanged:kd,macCodeBlockChanged:Od}=qa,Md=ref$1(null);function Pd(){var Ud;(Ud=Md.value)==null||Ud.show()}function Fd(){ed(),nextTick$1(()=>{_d.value||_d.value.refresh()}),setTimeout(()=>{_d.value.refresh()},50)}return(Ud,Gd)=>{const Qd=_sfc_main$C,Vd=_sfc_main$E,zd=ElIcon,Xd=ElColorPicker,df=_sfc_main$D,mf=check_default,_f=_sfc_main$F,hf=_sfc_main$G;return openBlock(),createBlock(hf,null,{default:withCtx(()=>[createVNode(Qd,null,{default:withCtx(()=>Gd[1]||(Gd[1]=[createTextVNode(" 样式 ")])),_:1}),createVNode(_f,{class:"w-56",align:"start"},{default:withCtx(()=>[createVNode(_sfc_main$s,{title:"主题",options:unref(themeOptions),current:unref(td),change:unref(Ad)},null,8,["options","current","change"]),createVNode(Vd),createVNode(_sfc_main$s,{title:"字体",options:unref(fontFamilyOptions),current:unref(rd),change:unref(Td)},null,8,["options","current","change"]),createVNode(_sfc_main$s,{title:"字号",options:unref(fontSizeOptions),current:unref(sd),change:unref(Nd)},null,8,["options","current","change"]),createVNode(_sfc_main$s,{title:"主题色",options:unref(colorOptions),current:unref(od),change:unref(Rd)},null,8,["options","current","change"]),createVNode(_sfc_main$s,{title:"代码块主题",options:unref(codeBlockThemeOptions),current:unref(ld),change:unref(Bd)},null,8,["options","current","change"]),createVNode(_sfc_main$s,{title:"图注格式",options:unref(legendOptions),current:unref(cd),change:unref(kd)},null,8,["options","current","change"]),createVNode(Vd),createVNode(df,{onClick:withModifiers(Pd,["self","prevent"])},{default:withCtx(()=>[createVNode(unref(_sfc_main$r),{"open-delay":100},{default:withCtx(()=>[createVNode(unref(_sfc_main$q),{class:"w-full flex"},{default:withCtx(()=>[createVNode(zd,{class:"mr-2 h-4 w-4"}),Gd[2]||(Gd[2]=createTextVNode(" 自定义主题色 "))]),_:1}),createVNode(unref(_sfc_main$p),{side:"right",class:"w-min"},{default:withCtx(()=>[createVNode(Xd,{ref_key:"colorPicker",ref:Md,modelValue:unref(od),"onUpdate:modelValue":Gd[0]||(Gd[0]=gf=>isRef(od)?od.value=gf:null),teleported:!1,"show-alpha":"",class:"ml-auto",style:{height:"2em"},onChange:unref(Rd),onClick:Pd},null,8,["modelValue","onChange"])]),_:1})]),_:1})]),_:1}),createVNode(df,{onClick:Fd},{default:withCtx(()=>[createVNode(zd,{class:"mr-2 h-4 w-4"}),Gd[3]||(Gd[3]=createTextVNode(" 自定义 CSS "))]),_:1}),createVNode(Vd),createVNode(df,{onClick:unref(Od)},{default:withCtx(()=>[createVNode(zd,{class:normalizeClass(["mr-2 h-4 w-4",{"opacity-0":!unref(ud)}])},{default:withCtx(()=>[createVNode(mf)]),_:1},8,["class"]),Gd[4]||(Gd[4]=createTextVNode(" Mac 代码块 "))]),_:1},8,["onClick"]),createVNode(Vd),createVNode(df,{divided:"",onClick:unref(Ed)},{default:withCtx(()=>[createVNode(zd,{class:"mr-2 h-4 w-4"}),Gd[5]||(Gd[5]=createTextVNode(" 重置 "))]),_:1},8,["onClick"])]),_:1})]),_:1})}}}),_sfc_main$n=defineComponent({__name:"EditDropdown",setup(Ra){const{toggleShowInsertFormDialog:qa,toggleShowUploadImgDialog:ed}=useDisplayStore();return(td,rd)=>(openBlock(),createBlock(unref(_sfc_main$G),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$C),null,{default:withCtx(()=>rd[2]||(rd[2]=[createTextVNode(" 编辑 ")])),_:1}),createVNode(unref(_sfc_main$F),{align:"start"},{default:withCtx(()=>[createVNode(unref(_sfc_main$D),{onClick:rd[0]||(rd[0]=sd=>unref(ed)())},{default:withCtx(()=>[createVNode(unref(CloudUpload),{class:"mr-2 h-4 w-4"}),rd[3]||(rd[3]=createTextVNode(" 上传图片 "))]),_:1}),createVNode(unref(_sfc_main$D),{onClick:rd[1]||(rd[1]=sd=>unref(qa)())},{default:withCtx(()=>[createVNode(unref(Table),{class:"mr-2 h-4 w-4"}),rd[4]||(rd[4]=createTextVNode(" 插入表格 "))]),_:1})]),_:1})]),_:1}))}}),_sfc_main$m=defineComponent({__name:"Select",props:{open:{type:Boolean},defaultOpen:{type:Boolean},defaultValue:{},modelValue:{},dir:{},name:{},autocomplete:{},disabled:{type:Boolean},required:{type:Boolean}},emits:["update:modelValue","update:open"],setup(Ra,{emit:qa}){const rd=xe(Ra,qa);return(sd,od)=>(openBlock(),createBlock(unref(Ay),normalizeProps(guardReactiveProps(unref(rd))),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16))}}),_sfc_main$l=defineComponent({__name:"SelectValue",props:{placeholder:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(Gy),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),_sfc_main$k=defineComponent({__name:"SelectTrigger",props:{disabled:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:rd,...sd}=qa;return sd}),td=It(ed);return(rd,sd)=>(openBlock(),createBlock(unref(Oy),mergeProps(unref(td),{class:unref(cn)("flex h-10 w-full items-center justify-between rounded-md border border-input bg-background px-3 py-2 text-sm ring-offset-background placeholder:text-muted-foreground focus:outline-none focus:ring-2 focus:ring-ring focus:ring-offset-2 disabled:cursor-not-allowed disabled:opacity-50 [&>span]:truncate text-start",qa.class)}),{default:withCtx(()=>[renderSlot(rd.$slots,"default"),createVNode(unref(qy),{"as-child":""},{default:withCtx(()=>[createVNode(unref(ChevronDown),{class:"h-4 w-4 shrink-0 opacity-50"})]),_:1})]),_:3},16,["class"]))}}),_sfc_main$j=defineComponent({inheritAttrs:!1,__name:"SelectContent",props:{forceMount:{type:Boolean},position:{default:"popper"},bodyLock:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},emits:["closeAutoFocus","escapeKeyDown","pointerDownOutside"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=computed(()=>{const{class:od,...ld}=ed;return ld}),sd=xe(rd,td);return(od,ld)=>(openBlock(),createBlock(unref(ky),null,{default:withCtx(()=>[createVNode(unref(My),mergeProps({...unref(sd),...od.$attrs},{class:unref(cn)("relative z-50 max-h-96 min-w-32 overflow-hidden rounded-md border bg-popover text-popover-foreground shadow-md data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[side=bottom]:slide-in-from-top-2 data-[side=left]:slide-in-from-right-2 data-[side=right]:slide-in-from-left-2 data-[side=top]:slide-in-from-bottom-2",od.position==="popper"&&"data-[side=bottom]:translate-y-1 data-[side=left]:-translate-x-1 data-[side=right]:translate-x-1 data-[side=top]:-translate-y-1",ed.class)}),{default:withCtx(()=>[createVNode(unref(_sfc_main$h)),createVNode(unref(Wy),{class:normalizeClass(unref(cn)("p-1",od.position==="popper"&&"h-[--radix-select-trigger-height] w-full min-w-[--radix-select-trigger-width]"))},{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},8,["class"]),createVNode(unref(_sfc_main$g))]),_:3},16,["class"])]),_:3}))}}),_hoisted_1$4={class:"absolute left-2 h-3.5 w-3.5 flex items-center justify-center"},_sfc_main$i=defineComponent({__name:"SelectItem",props:{value:{},disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:rd,...sd}=qa;return sd}),td=It(ed);return(rd,sd)=>(openBlock(),createBlock(unref(Ny),mergeProps(unref(td),{class:unref(cn)("relative flex w-full cursor-default select-none items-center rounded-sm py-1.5 pl-8 pr-2 text-sm outline-none focus:bg-accent focus:text-accent-foreground data-[disabled]:pointer-events-none data-[disabled]:opacity-50",qa.class)}),{default:withCtx(()=>[createBaseVNode("span",_hoisted_1$4,[createVNode(unref(Ly),null,{default:withCtx(()=>[createVNode(unref(Check),{class:"h-4 w-4"})]),_:1})]),createVNode(unref(Hy),null,{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3})]),_:3},16,["class"]))}}),_sfc_main$h=defineComponent({__name:"SelectScrollUpButton",props:{asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:rd,...sd}=qa;return sd}),td=It(ed);return(rd,sd)=>(openBlock(),createBlock(unref(jy),mergeProps(unref(td),{class:unref(cn)("flex cursor-default items-center justify-center py-1",qa.class)}),{default:withCtx(()=>[renderSlot(rd.$slots,"default",{},()=>[createVNode(unref(ChevronUp),{class:"h-4 w-4"})])]),_:3},16,["class"]))}}),_sfc_main$g=defineComponent({__name:"SelectScrollDownButton",props:{asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:rd,...sd}=qa;return sd}),td=It(ed);return(rd,sd)=>(openBlock(),createBlock(unref(Uy),mergeProps(unref(td),{class:unref(cn)("flex cursor-default items-center justify-center py-1",qa.class)}),{default:withCtx(()=>[renderSlot(rd.$slots,"default",{},()=>[createVNode(unref(ChevronDown),{class:"h-4 w-4"})])]),_:3},16,["class"]))}}),_sfc_main$f=defineComponent({__name:"Popover",props:{defaultOpen:{type:Boolean},open:{type:Boolean},modal:{type:Boolean}},emits:["update:open"],setup(Ra,{emit:qa}){const rd=xe(Ra,qa);return(sd,od)=>(openBlock(),createBlock(unref(fs),normalizeProps(guardReactiveProps(unref(rd))),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16))}}),_sfc_main$e=defineComponent({__name:"PopoverTrigger",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createBlock(unref(vs),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),_sfc_main$d=defineComponent({inheritAttrs:!1,__name:"PopoverContent",props:{forceMount:{type:Boolean},trapFocus:{type:Boolean},side:{},sideOffset:{default:4},align:{default:"center"},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},disableOutsidePointerEvents:{type:Boolean},class:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=computed(()=>{const{class:od,...ld}=ed;return ld}),sd=xe(rd,td);return(od,ld)=>(openBlock(),createBlock(unref(ms),null,{default:withCtx(()=>[createVNode(unref(ys),mergeProps({...unref(sd),...od.$attrs},{class:unref(cn)("z-50 w-72 rounded-md border bg-popover p-4 text-popover-foreground shadow-md outline-none data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[side=bottom]:slide-in-from-top-2 data-[side=left]:slide-in-from-right-2 data-[side=right]:slide-in-from-left-2 data-[side=top]:slide-in-from-bottom-2",ed.class)}),{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},16,["class"])]),_:3}))}}),_hoisted_1$3={class:"header-container h-15 flex items-center px-5"},_hoisted_2$1={class:"space-y-4"},_hoisted_3$1={class:"space-y-2"},_hoisted_4$1={class:"grid grid-cols-3 justify-items-center gap-2"},_hoisted_5={class:"space-y-2"},_hoisted_6={class:"grid grid-cols-3 justify-items-center gap-2"},_hoisted_7={class:"space-y-2"},_hoisted_8={class:"grid grid-cols-5 justify-items-center gap-2"},_hoisted_9={class:"space-y-2"},_hoisted_10={class:"grid grid-cols-3 justify-items-center gap-2"},_hoisted_11={class:"space-y-2"},_hoisted_12={class:"space-y-2"},_hoisted_13={class:"space-y-2"},_hoisted_14={class:"grid grid-cols-3 justify-items-center gap-2"},_hoisted_15={class:"space-y-2"},_hoisted_16={class:"grid grid-cols-5 justify-items-center gap-2"},_hoisted_17={class:"space-y-2"},_hoisted_18={class:"grid grid-cols-5 justify-items-center gap-2"},_hoisted_19={class:"space-y-2"},_hoisted_20={class:"grid grid-cols-5 justify-items-center gap-2"},_hoisted_21={class:"space-y-2"},_hoisted_22={class:"grid grid-cols-5 justify-items-center gap-2"},_sfc_main$c=defineComponent({__name:"index",emits:["addFormat","formatContent","startCopy","endCopy"],setup(Ra,{emit:qa}){const ed=qa,td=[{label:"加粗",kbd:[ctrlSign,"B"],emitArgs:["addFormat",`${ctrlKey}-B`]},{label:"斜体",kbd:[ctrlSign,"I"],emitArgs:["addFormat",`${ctrlKey}-I`]},{label:"删除线",kbd:[ctrlSign,"D"],emitArgs:["addFormat",`${ctrlKey}-D`]},{label:"超链接",kbd:[ctrlSign,"K"],emitArgs:["addFormat",`${ctrlKey}-K`]},{label:"行内代码",kbd:[ctrlSign,"E"],emitArgs:["addFormat",`${ctrlKey}-E`]},{label:"格式化",kbd:[altSign,shiftSign,"F"],emitArgs:["formatContent"]}],rd=useStore(),{isDark:sd,isCiteStatus:od,output:ld,primaryColor:cd}=storeToRefs(rd),{toggleDark:ud,editorRefresh:_d,citeStatusChanged:Ed}=rd;function Ad(){ed("startCopy"),setTimeout(()=>{function Td(Rd){const Bd=document.createElement("div");return Bd.innerHTML=Rd,Bd.querySelectorAll("li > ul, li > ol").forEach(Od=>{Od.parentElement.insertAdjacentElement("afterend",Od)}),Bd.innerHTML}const Nd=sd.value;Nd&&ud(),nextTick$1(()=>{solveWeChatImage();const Rd=document.getElementById("output");Rd.innerHTML=mergeCss(Rd.innerHTML),Rd.innerHTML=Td(Rd.innerHTML),Rd.innerHTML=Rd.innerHTML.replace(/top:(.*?)em/g,"transform: translateY($1em)").replaceAll("var(--el-text-color-regular)","#3f3f3f").replaceAll("var(--md-primary-color)",cd.value).replaceAll(/--md-primary-color:.+?;/g,""),Rd.focus(),window.getSelection().removeAllRanges();const Bd=document.createRange();Bd.setStartBefore(Rd.firstChild),Bd.setEndAfter(Rd.lastChild),window.getSelection().addRange(Bd),document.execCommand("copy"),window.getSelection().removeAllRanges(),Rd.innerHTML=ld.value,Nd&&nextTick$1(()=>ud()),ElNotification({showClose:!0,message:"已复制渲染后的文章到剪贴板,可直接到公众号后台粘贴",offset:80,duration:1600,type:"success"}),_d(),ed("endCopy")})},350)}return(Td,Nd)=>{const Rd=ElIcon,Bd=check_default,kd=ElColorPicker;return openBlock(),createElementBlock("header",_hoisted_1$3,[createVNode(unref(_sfc_main$x),{class:"menubar mr-auto"},{default:withCtx(()=>[createVNode(_sfc_main$B),createVNode(unref(_sfc_main$G),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$C),null,{default:withCtx(()=>Nd[11]||(Nd[11]=[createTextVNode(" 格式 ")])),_:1}),createVNode(unref(_sfc_main$F),{class:"w-60",align:"start"},{default:withCtx(()=>[(openBlock(),createElementBlock(Fragment,null,renderList(td,({label:Od,kbd:Md,emitArgs:Pd})=>createVNode(unref(_sfc_main$D),{key:Od,onClick:Fd=>Pd[0]==="addFormat"?Td.$emit(Pd[0],Pd[1]):Td.$emit(Pd[0])},{default:withCtx(()=>[createVNode(Rd,{class:"mr-2 h-4 w-4"}),createTextVNode(" "+toDisplayString(Od)+" ",1),createVNode(unref(_sfc_main$t),null,{default:withCtx(()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(Md,Fd=>(openBlock(),createElementBlock("kbd",{key:Fd,class:"mx-1 bg-gray-2 dark:bg-stone-9"},toDisplayString(Fd),1))),128))]),_:2},1024)]),_:2},1032,["onClick"])),64)),createVNode(unref(_sfc_main$E)),createVNode(unref(_sfc_main$D),{onClick:Nd[0]||(Nd[0]=Od=>unref(Ed)())},{default:withCtx(()=>[createVNode(Rd,{class:normalizeClass(["mr-2 h-4 w-4",{"opacity-0":!unref(od)}])},{default:withCtx(()=>[createVNode(Bd)]),_:1},8,["class"]),Nd[12]||(Nd[12]=createTextVNode(" 微信外链转底部引用 "))]),_:1})]),_:1})]),_:1}),createVNode(_sfc_main$n),createVNode(_sfc_main$o),createVNode(_sfc_main$z)]),_:1}),createVNode(unref(_sfc_main$f),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$e),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$N),{variant:"outline"},{default:withCtx(()=>[createVNode(unref(Paintbrush),{class:"h-4 w-4"})]),_:1})]),_:1}),createVNode(unref(_sfc_main$d),{class:"h-100 w-100 overflow-auto px-6",align:"end"},{default:withCtx(()=>[createBaseVNode("div",_hoisted_2$1,[createBaseVNode("div",_hoisted_3$1,[Nd[13]||(Nd[13]=createBaseVNode("h2",null,"主题",-1)),createBaseVNode("div",_hoisted_4$1,[(openBlock(!0),createElementBlock(Fragment,null,renderList(unref(themeOptions),({label:Od,value:Md})=>(openBlock(),createBlock(unref(_sfc_main$N),{key:Md,class:normalizeClass(["w-full",{"border-black dark:border-white":unref(rd).theme===Md}]),variant:"outline",onClick:Pd=>unref(rd).themeChanged(Md)},{default:withCtx(()=>[createTextVNode(toDisplayString(Od),1)]),_:2},1032,["class","onClick"]))),128))])]),createBaseVNode("div",_hoisted_5,[Nd[14]||(Nd[14]=createBaseVNode("h2",null,"字体",-1)),createBaseVNode("div",_hoisted_6,[(openBlock(!0),createElementBlock(Fragment,null,renderList(unref(fontFamilyOptions),({label:Od,value:Md})=>(openBlock(),createBlock(unref(_sfc_main$N),{key:Md,variant:"outline",class:normalizeClass(["w-full",{"border-black dark:border-white":unref(rd).fontFamily===Md}]),onClick:Pd=>unref(rd).fontChanged(Md)},{default:withCtx(()=>[createTextVNode(toDisplayString(Od),1)]),_:2},1032,["class","onClick"]))),128))])]),createBaseVNode("div",_hoisted_7,[Nd[15]||(Nd[15]=createBaseVNode("h2",null,"字号",-1)),createBaseVNode("div",_hoisted_8,[(openBlock(!0),createElementBlock(Fragment,null,renderList(unref(fontSizeOptions),({value:Od,desc:Md})=>(openBlock(),createBlock(unref(_sfc_main$N),{key:Od,variant:"outline",class:normalizeClass(["w-full",{"border-black dark:border-white":unref(rd).fontSize===Od}]),onClick:Pd=>unref(rd).sizeChanged(Od)},{default:withCtx(()=>[createTextVNode(toDisplayString(Md),1)]),_:2},1032,["class","onClick"]))),128))])]),createBaseVNode("div",_hoisted_9,[Nd[16]||(Nd[16]=createBaseVNode("h2",null,"主题色",-1)),createBaseVNode("div",_hoisted_10,[(openBlock(!0),createElementBlock(Fragment,null,renderList(unref(colorOptions),({label:Od,value:Md})=>(openBlock(),createBlock(unref(_sfc_main$N),{key:Md,class:normalizeClass(["w-full",{"border-black dark:border-white":unref(rd).primaryColor===Md}]),variant:"outline",onClick:Pd=>unref(rd).colorChanged(Md)},{default:withCtx(()=>[createBaseVNode("span",{class:"mr-2 inline-block h-4 w-4 rounded-full",style:normalizeStyle({background:Md})},null,4),createTextVNode(" "+toDisplayString(Od),1)]),_:2},1032,["class","onClick"]))),128))])]),createBaseVNode("div",_hoisted_11,[Nd[17]||(Nd[17]=createBaseVNode("h2",null,"自定义主题色",-1)),createBaseVNode("div",null,[createVNode(kd,{modelValue:unref(cd),"onUpdate:modelValue":Nd[1]||(Nd[1]=Od=>isRef(cd)?cd.value=Od:null),teleported:!1,"show-alpha":"",onChange:unref(rd).colorChanged},null,8,["modelValue","onChange"])])]),createBaseVNode("div",_hoisted_12,[Nd[18]||(Nd[18]=createBaseVNode("h2",null,"代码块主题",-1)),createBaseVNode("div",null,[createVNode(unref(_sfc_main$m),{modelValue:unref(rd).codeBlockTheme,"onUpdate:modelValue":[Nd[2]||(Nd[2]=Od=>unref(rd).codeBlockTheme=Od),unref(rd).codeBlockThemeChanged]},{default:withCtx(()=>[createVNode(unref(_sfc_main$k),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$l),{placeholder:"Select a fruit"})]),_:1}),createVNode(unref(_sfc_main$j),null,{default:withCtx(()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(unref(codeBlockThemeOptions),({label:Od,value:Md})=>(openBlock(),createBlock(unref(_sfc_main$i),{key:Od,value:Md},{default:withCtx(()=>[createTextVNode(toDisplayString(Od),1)]),_:2},1032,["value"]))),128))]),_:1})]),_:1},8,["modelValue","onUpdate:modelValue"])])]),createBaseVNode("div",_hoisted_13,[Nd[19]||(Nd[19]=createBaseVNode("h2",null,"图注格式",-1)),createBaseVNode("div",_hoisted_14,[(openBlock(!0),createElementBlock(Fragment,null,renderList(unref(legendOptions),({label:Od,value:Md})=>(openBlock(),createBlock(unref(_sfc_main$N),{key:Md,class:normalizeClass(["w-full",{"border-black dark:border-white":unref(rd).legend===Md}]),variant:"outline",onClick:Pd=>unref(rd).legendChanged(Md)},{default:withCtx(()=>[createTextVNode(toDisplayString(Od),1)]),_:2},1032,["class","onClick"]))),128))])]),createBaseVNode("div",_hoisted_15,[Nd[22]||(Nd[22]=createBaseVNode("h2",null,"Mac 代码块",-1)),createBaseVNode("div",_hoisted_16,[createVNode(unref(_sfc_main$N),{class:normalizeClass(["w-full",{"border-black dark:border-white":unref(rd).isMacCodeBlock}]),variant:"outline",onClick:Nd[3]||(Nd[3]=Od=>!unref(rd).isMacCodeBlock&&unref(rd).macCodeBlockChanged())},{default:withCtx(()=>Nd[20]||(Nd[20]=[createTextVNode(" 开启 ")])),_:1},8,["class"]),createVNode(unref(_sfc_main$N),{class:normalizeClass(["w-full",{"border-black dark:border-white":!unref(rd).isMacCodeBlock}]),variant:"outline",onClick:Nd[4]||(Nd[4]=Od=>unref(rd).isMacCodeBlock&&unref(rd).macCodeBlockChanged())},{default:withCtx(()=>Nd[21]||(Nd[21]=[createTextVNode(" 关闭 ")])),_:1},8,["class"])])]),createBaseVNode("div",_hoisted_17,[Nd[25]||(Nd[25]=createBaseVNode("h2",null,"微信外链转底部引用",-1)),createBaseVNode("div",_hoisted_18,[createVNode(unref(_sfc_main$N),{class:normalizeClass(["w-full",{"border-black dark:border-white":unref(rd).isCiteStatus}]),variant:"outline",onClick:Nd[5]||(Nd[5]=Od=>!unref(rd).isCiteStatus&&unref(rd).citeStatusChanged())},{default:withCtx(()=>Nd[23]||(Nd[23]=[createTextVNode(" 开启 ")])),_:1},8,["class"]),createVNode(unref(_sfc_main$N),{class:normalizeClass(["w-full",{"border-black dark:border-white":!unref(rd).isCiteStatus}]),variant:"outline",onClick:Nd[6]||(Nd[6]=Od=>unref(rd).isCiteStatus&&unref(rd).citeStatusChanged())},{default:withCtx(()=>Nd[24]||(Nd[24]=[createTextVNode(" 关闭 ")])),_:1},8,["class"])])]),createBaseVNode("div",_hoisted_19,[Nd[28]||(Nd[28]=createBaseVNode("h2",null,"编辑区位置",-1)),createBaseVNode("div",_hoisted_20,[createVNode(unref(_sfc_main$N),{class:normalizeClass(["w-full",{"border-black dark:border-white":unref(rd).isEditOnLeft}]),variant:"outline",onClick:Nd[7]||(Nd[7]=Od=>!unref(rd).isEditOnLeft&&unref(rd).toggleEditOnLeft())},{default:withCtx(()=>Nd[26]||(Nd[26]=[createTextVNode(" 左侧 ")])),_:1},8,["class"]),createVNode(unref(_sfc_main$N),{class:normalizeClass(["w-full",{"border-black dark:border-white":!unref(rd).isEditOnLeft}]),variant:"outline",onClick:Nd[8]||(Nd[8]=Od=>unref(rd).isEditOnLeft&&unref(rd).toggleEditOnLeft())},{default:withCtx(()=>Nd[27]||(Nd[27]=[createTextVNode(" 右侧 ")])),_:1},8,["class"])])]),createBaseVNode("div",_hoisted_21,[Nd[29]||(Nd[29]=createBaseVNode("h2",null,"模式",-1)),createBaseVNode("div",_hoisted_22,[createVNode(unref(_sfc_main$N),{class:normalizeClass(["w-full",{"border-black dark:border-white":!unref(sd)}]),variant:"outline",onClick:Nd[9]||(Nd[9]=Od=>unref(rd).toggleDark(!1))},{default:withCtx(()=>[createVNode(unref(Sun),{class:"h-4 w-4"})]),_:1},8,["class"]),createVNode(unref(_sfc_main$N),{class:normalizeClass(["w-full",{"border-black dark:border-white":unref(sd)}]),variant:"outline",onClick:Nd[10]||(Nd[10]=Od=>unref(rd).toggleDark(!0))},{default:withCtx(()=>[createVNode(unref(Moon),{class:"h-4 w-4"})]),_:1},8,["class"])])])])]),_:1})]),_:1}),createVNode(unref(_sfc_main$N),{variant:"outline",class:"mx-2",onClick:Ad},{default:withCtx(()=>Nd[30]||(Nd[30]=[createTextVNode(" 复制 ")])),_:1}),createVNode(_sfc_main$H)])}}}),_export_sfc=(Ra,qa)=>{const ed=Ra.__vccOpts||Ra;for(const[td,rd]of qa)ed[td]=rd;return ed},EditorHeader=_export_sfc(_sfc_main$c,[["__scopeId","data-v-16536db2"]]),_hoisted_1$2={style:{"border-collapse":"collapse"},class:"input-table"},_sfc_main$b=defineComponent({__name:"InsertFormDialog",setup(Ra){const qa=useStore(),ed=useDisplayStore(),{toggleShowInsertFormDialog:td}=ed,rd=ref$1(3),sd=ref$1(3),od=ref$1({});function ld(){rd.value=3,sd.value=3,od.value={}}function cd(){const _d=createTable({rows:rd.value,cols:sd.value,data:od.value});toRaw(qa.editor).replaceSelection(` ${_d} `,"end"),ld(),td()}function ud(_d){_d||td(!1)}return(_d,Ed)=>{const Ad=ElInputNumber,Td=ElCol,Nd=ElRow,Rd=ElInput,Bd=_sfc_main$N;return openBlock(),createBlock(unref(_sfc_main$M),{open:unref(ed).isShowInsertFormDialog,"onUpdate:open":ud},{default:withCtx(()=>[createVNode(unref(_sfc_main$J),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$L),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$K),null,{default:withCtx(()=>Ed[3]||(Ed[3]=[createTextVNode("插入表格")])),_:1})]),_:1}),createVNode(Nd,{class:"tb-options",type:"flex",align:"middle",gutter:10},{default:withCtx(()=>[createVNode(Td,{span:12},{default:withCtx(()=>[Ed[4]||(Ed[4]=createTextVNode(" 行数: ")),createVNode(Ad,{modelValue:rd.value,"onUpdate:modelValue":Ed[0]||(Ed[0]=kd=>rd.value=kd),"controls-position":"right",min:1,max:100,size:"small"},null,8,["modelValue"])]),_:1}),createVNode(Td,{span:12},{default:withCtx(()=>[Ed[5]||(Ed[5]=createTextVNode(" 列数: ")),createVNode(Ad,{modelValue:sd.value,"onUpdate:modelValue":Ed[1]||(Ed[1]=kd=>sd.value=kd),"controls-position":"right",min:1,max:100,size:"small"},null,8,["modelValue"])]),_:1})]),_:1}),createBaseVNode("table",_hoisted_1$2,[(openBlock(!0),createElementBlock(Fragment,null,renderList(rd.value+1,kd=>(openBlock(),createElementBlock("tr",{key:kd,class:normalizeClass({"head-style":kd===1})},[(openBlock(!0),createElementBlock(Fragment,null,renderList(sd.value,Od=>(openBlock(),createElementBlock("td",{key:Od},[createVNode(Rd,{modelValue:od.value[`k_${kd-1}_${Od-1}`],"onUpdate:modelValue":Md=>od.value[`k_${kd-1}_${Od-1}`]=Md,align:"center",placeholder:kd===1?"表头":""},null,8,["modelValue","onUpdate:modelValue","placeholder"])]))),128))],2))),128))]),createVNode(unref(_sfc_main$I),null,{default:withCtx(()=>[createVNode(Bd,{variant:"outline",onClick:Ed[2]||(Ed[2]=kd=>unref(td)(!1))},{default:withCtx(()=>Ed[6]||(Ed[6]=[createTextVNode(" 取 消 ")])),_:1}),createVNode(Bd,{onClick:cd},{default:withCtx(()=>Ed[7]||(Ed[7]=[createTextVNode(" 确 定 ")])),_:1})]),_:1})]),_:1})]),_:1},8,["open"])}}}),InsertFormDialog=_export_sfc(_sfc_main$b,[["__scopeId","data-v-d9ac73e2"]]),_sfc_main$a=defineComponent({__name:"UploadImgDialog",emits:["uploadImage"],setup(Ra,{emit:qa}){const ed=qa,td=useDisplayStore(),rd=ref$1({repo:"",branch:"",accessToken:""}),sd=ref$1({accessKeyId:"",accessKeySecret:"",bucket:"",region:"",path:"",cdnHost:"",useSSL:!0}),od=ref$1({secretId:"",secretKey:"",bucket:"",region:"",path:"",cdnHost:""}),ld=ref$1({accessKey:"",secretKey:"",bucket:"",domain:"",region:"",path:""}),cd=ref$1({endpoint:"",port:"",useSSL:!0,bucket:"",accessKey:"",secretKey:""}),ud=ref$1({code:localStorage.getItem("formCustomConfig")||removeLeft(` const {file, util, okCb, errCb} = CUSTOM_ARG const param = new FormData() param.append('file', file) util.axios.post('${window.location.origin}/upload', param, { headers: { 'Content-Type': 'multipart/form-data' } }).then(res => { okCb(res.url) }).catch(err => { errCb(err) }) `).trim(),editor:null}),_d=[{value:"default",label:"默认"},{value:"github",label:"GitHub"},{value:"aliOSS",label:"阿里云"},{value:"txCOS",label:"腾讯云"},{value:"qiniu",label:"七牛云"},{value:"minio",label:"MinIO"},{value:"formCustom",label:"自定义代码"}],Ed=ref$1("default"),Ad=ref$1(null),Td=ref$1("upload");watch(Td,async Gd=>{Gd==="formCustom"&&nextTick$1(()=>{var Vd;const Qd=Ad.value.$el.querySelector("textarea");(Vd=ud.value).editor||(Vd.editor=CodeMirror.fromTextArea(Qd,{mode:"javascript"}))})},{immediate:!0}),onBeforeMount(()=>{localStorage.getItem("githubConfig")&&(rd.value=JSON.parse(localStorage.getItem("githubConfig"))),localStorage.getItem("aliOSSConfig")&&(sd.value=JSON.parse(localStorage.getItem("aliOSSConfig"))),localStorage.getItem("txCOSConfig")&&(od.value=JSON.parse(localStorage.getItem("txCOSConfig"))),localStorage.getItem("qiniuConfig")&&(ld.value=JSON.parse(localStorage.getItem("qiniuConfig"))),localStorage.getItem("minioConfig")&&(cd.value=JSON.parse(localStorage.getItem("minioConfig"))),localStorage.getItem("imgHost")&&(Ed.value=localStorage.getItem("imgHost"))});function Nd(){localStorage.setItem("imgHost",Ed.value),ElMessage.success("已成功切换图床")}function Rd(){if(!(rd.value.repo&&rd.value.accessToken)){const Gd=rd.value.repo?"token":"GitHub 仓库";ElMessage.error(`参数「${Gd}」不能为空`);return}localStorage.setItem("githubConfig",JSON.stringify(rd.value)),ElMessage.success("保存成功")}function Bd(){if(!(sd.value.accessKeyId&&sd.value.accessKeySecret&&sd.value.bucket&&sd.value.region)){ElMessage.error("阿里云 OSS 参数配置不全");return}localStorage.setItem("aliOSSConfig",JSON.stringify(sd.value)),ElMessage.success("保存成功")}function kd(){if(!(cd.value.endpoint&&cd.value.bucket&&cd.value.accessKey&&cd.value.secretKey)){ElMessage.error("MinIO 参数配置不全");return}localStorage.setItem("minioConfig",JSON.stringify(cd.value)),ElMessage.success("保存成功")}function Od(){if(!(od.value.secretId&&od.value.secretKey&&od.value.bucket&&od.value.region)){ElMessage.error("腾讯云 COS 参数配置不全");return}localStorage.setItem("txCOSConfig",JSON.stringify(od.value)),ElMessage.success("保存成功")}function Md(){if(!(ld.value.accessKey&&ld.value.secretKey&&ld.value.bucket&&ld.value.domain)){ElMessage.error("七牛云 Kodo 参数配置不全");return}localStorage.setItem("qiniuConfig",JSON.stringify(ld.value)),ElMessage.success("保存成功")}function Pd(){const Gd=ud.value.editor.getValue();localStorage.setItem("formCustomConfig",Gd),ElMessage.success("保存成功")}function Fd(Gd){const Qd=checkImage(Gd);if(!Qd.ok)return ElMessage.error(Qd.msg),!1;let Vd=localStorage.getItem("imgHost");Vd=Vd||"default",localStorage.setItem("imgHost",Vd);const zd=localStorage.getItem(`${Vd}Config`);return Vd==="default"||zd?!0:(ElMessage.error(`请先配置 ${Vd} 图床参数`),!1)}function Ud(Gd){ed("uploadImage",Gd.file)}return(Gd,Qd)=>{const Vd=ElOption,zd=ElSelect,Xd=ElIcon,df=ElUpload,mf=ElTabPane,_f=ElInput,hf=ElFormItem,gf=ElLink,yf=ElButton,Nf=ElForm,Pf=ElSwitch,Yf=ElTabs;return openBlock(),createBlock(unref(_sfc_main$M),{open:unref(td).isShowUploadImgDialog,"onUpdate:open":Qd[31]||(Qd[31]=Uf=>unref(td).isShowUploadImgDialog=Uf)},{default:withCtx(()=>[createVNode(unref(_sfc_main$J),{class:"max-w-max"},{default:withCtx(()=>[createVNode(unref(_sfc_main$L),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$K),null,{default:withCtx(()=>Qd[32]||(Qd[32]=[createTextVNode("本地上传")])),_:1})]),_:1}),createVNode(Yf,{modelValue:Td.value,"onUpdate:modelValue":Qd[30]||(Qd[30]=Uf=>Td.value=Uf)},{default:withCtx(()=>[createVNode(mf,{class:"upload-panel",label:"选择上传",name:"upload"},{default:withCtx(()=>[createVNode(zd,{modelValue:Ed.value,"onUpdate:modelValue":Qd[0]||(Qd[0]=Uf=>Ed.value=Uf),placeholder:"请选择",size:"small",onChange:Nd},{default:withCtx(()=>[(openBlock(),createElementBlock(Fragment,null,renderList(_d,Uf=>createVNode(Vd,{key:Uf.value,label:Uf.label,value:Uf.value},null,8,["label","value"])),64))]),_:1},8,["modelValue"]),createVNode(df,{drag:"",multiple:"",action:"",headers:{"Content-Type":"multipart/form-data"},"show-file-list":!1,accept:".jpg, .jpeg, .png, .gif",name:"file","before-upload":Fd,"http-request":Ud},{default:withCtx(()=>[createVNode(Xd,{class:"el-icon--upload"},{default:withCtx(()=>[createVNode(unref(upload_filled_default))]),_:1}),Qd[33]||(Qd[33]=createBaseVNode("div",{class:"el-upload__text"},[createTextVNode(" 将图片拖到此处,或 "),createBaseVNode("em",null,"点击上传")],-1))]),_:1})]),_:1}),createVNode(mf,{class:"github-panel",label:"GitHub 图床",name:"github"},{default:withCtx(()=>[createVNode(Nf,{class:"setting-form",model:rd.value,"label-position":"right","label-width":"150px"},{default:withCtx(()=>[createVNode(hf,{label:"GitHub 仓库",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:rd.value.repo,"onUpdate:modelValue":Qd[1]||(Qd[1]=Uf=>rd.value.repo=Uf),modelModifiers:{trim:!0},placeholder:"如:github.com/yanglbme/resource"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"分支"},{default:withCtx(()=>[createVNode(_f,{modelValue:rd.value.branch,"onUpdate:modelValue":Qd[2]||(Qd[2]=Uf=>rd.value.branch=Uf),modelModifiers:{trim:!0},placeholder:"如:release,可不填,默认 master"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"Token",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:rd.value.accessToken,"onUpdate:modelValue":Qd[3]||(Qd[3]=Uf=>rd.value.accessToken=Uf),modelModifiers:{trim:!0},"show-password":"",placeholder:"如:cc1d0c1426d0fd0902bd2d7184b14da61b8abc46"},null,8,["modelValue"]),createVNode(gf,{type:"primary",href:"https://docs.github.com/en/github/authenticating-to-github/creating-a-personal-access-token",target:"_blank"},{default:withCtx(()=>Qd[34]||(Qd[34]=[createTextVNode(" 如何获取 GitHub Token? ")])),_:1})]),_:1}),createVNode(hf,null,{default:withCtx(()=>[createVNode(yf,{type:"primary",onClick:Rd},{default:withCtx(()=>Qd[35]||(Qd[35]=[createTextVNode(" 保存配置 ")])),_:1})]),_:1})]),_:1},8,["model"])]),_:1}),createVNode(mf,{class:"github-panel",label:"阿里云 OSS",name:"aliOSS"},{default:withCtx(()=>[createVNode(Nf,{class:"setting-form",model:sd.value,"label-position":"right","label-width":"150px"},{default:withCtx(()=>[createVNode(hf,{label:"AccessKey ID",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:sd.value.accessKeyId,"onUpdate:modelValue":Qd[4]||(Qd[4]=Uf=>sd.value.accessKeyId=Uf),modelModifiers:{trim:!0},placeholder:"如:LTAI4GdoocsmdoxUf13ylbaNHk"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"AccessKey Secret",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:sd.value.accessKeySecret,"onUpdate:modelValue":Qd[5]||(Qd[5]=Uf=>sd.value.accessKeySecret=Uf),modelModifiers:{trim:!0},"show-password":"",placeholder:"如:cc1d0c142doocs0902bd2d7md4b14da6ylbabc46"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"Bucket",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:sd.value.bucket,"onUpdate:modelValue":Qd[6]||(Qd[6]=Uf=>sd.value.bucket=Uf),modelModifiers:{trim:!0},placeholder:"如:doocs"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"Bucket 所在区域",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:sd.value.region,"onUpdate:modelValue":Qd[7]||(Qd[7]=Uf=>sd.value.region=Uf),modelModifiers:{trim:!0},placeholder:"如:oss-cn-shenzhen"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"UseSSL",required:!0},{default:withCtx(()=>[createVNode(Pf,{modelValue:sd.value.useSSL,"onUpdate:modelValue":Qd[8]||(Qd[8]=Uf=>sd.value.useSSL=Uf),"active-text":"是","inactive-text":"否"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"自定义 CDN 域名",required:!1},{default:withCtx(()=>[createVNode(_f,{modelValue:sd.value.cdnHost,"onUpdate:modelValue":Qd[9]||(Qd[9]=Uf=>sd.value.cdnHost=Uf),modelModifiers:{trim:!0},placeholder:"如:https://imagecdn.alidaodao.com,可不填"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"存储路径"},{default:withCtx(()=>[createVNode(_f,{modelValue:sd.value.path,"onUpdate:modelValue":Qd[10]||(Qd[10]=Uf=>sd.value.path=Uf),modelModifiers:{trim:!0},placeholder:"如:img,可不填,默认为根目录"},null,8,["modelValue"]),createVNode(gf,{type:"primary",href:"https://help.aliyun.com/document_detail/31883.html",target:"_blank"},{default:withCtx(()=>Qd[36]||(Qd[36]=[createTextVNode(" 如何使用阿里云 OSS? ")])),_:1})]),_:1}),createVNode(hf,null,{default:withCtx(()=>[createVNode(yf,{type:"primary",onClick:Bd},{default:withCtx(()=>Qd[37]||(Qd[37]=[createTextVNode(" 保存配置 ")])),_:1})]),_:1})]),_:1},8,["model"])]),_:1}),createVNode(mf,{class:"github-panel",label:"腾讯云 COS",name:"txCOS"},{default:withCtx(()=>[createVNode(Nf,{class:"setting-form",model:od.value,"label-position":"right","label-width":"150px"},{default:withCtx(()=>[createVNode(hf,{label:"SecretId",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:od.value.secretId,"onUpdate:modelValue":Qd[11]||(Qd[11]=Uf=>od.value.secretId=Uf),modelModifiers:{trim:!0},placeholder:"如:AKIDnQp1w3DOOCSs8F5MDp9tdoocsmdUPonW3"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"SecretKey",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:od.value.secretKey,"onUpdate:modelValue":Qd[12]||(Qd[12]=Uf=>od.value.secretKey=Uf),modelModifiers:{trim:!0},"show-password":"",placeholder:"如:ukLmdtEJ9271f3DOocsMDsCXdS3YlbW0"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"Bucket",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:od.value.bucket,"onUpdate:modelValue":Qd[13]||(Qd[13]=Uf=>od.value.bucket=Uf),modelModifiers:{trim:!0},placeholder:"如:doocs-3212520134"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"Bucket 所在区域",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:od.value.region,"onUpdate:modelValue":Qd[14]||(Qd[14]=Uf=>od.value.region=Uf),modelModifiers:{trim:!0},placeholder:"如:ap-guangzhou"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"自定义 CDN 域名",required:!1},{default:withCtx(()=>[createVNode(_f,{modelValue:od.value.cdnHost,"onUpdate:modelValue":Qd[15]||(Qd[15]=Uf=>od.value.cdnHost=Uf),modelModifiers:{trim:!0},placeholder:"如:https://imagecdn.alidaodao.com,可不填"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"存储路径"},{default:withCtx(()=>[createVNode(_f,{modelValue:od.value.path,"onUpdate:modelValue":Qd[16]||(Qd[16]=Uf=>od.value.path=Uf),modelModifiers:{trim:!0},placeholder:"如:img,可不填,默认根目录"},null,8,["modelValue"]),createVNode(gf,{type:"primary",href:"https://cloud.tencent.com/document/product/436/38484",target:"_blank"},{default:withCtx(()=>Qd[38]||(Qd[38]=[createTextVNode(" 如何使用腾讯云 COS? ")])),_:1})]),_:1}),createVNode(hf,null,{default:withCtx(()=>[createVNode(yf,{type:"primary",onClick:Od},{default:withCtx(()=>Qd[39]||(Qd[39]=[createTextVNode(" 保存配置 ")])),_:1})]),_:1})]),_:1},8,["model"])]),_:1}),createVNode(mf,{class:"github-panel",label:"七牛云 Kodo",name:"qiniu"},{default:withCtx(()=>[createVNode(Nf,{class:"setting-form",model:ld.value,"label-position":"right","label-width":"150px"},{default:withCtx(()=>[createVNode(hf,{label:"AccessKey",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:ld.value.accessKey,"onUpdate:modelValue":Qd[17]||(Qd[17]=Uf=>ld.value.accessKey=Uf),modelModifiers:{trim:!0},placeholder:"如:6DD3VaLJ_SQgOdoocsyTV_YWaDmdnL2n8EGx7kG"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"SecretKey",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:ld.value.secretKey,"onUpdate:modelValue":Qd[18]||(Qd[18]=Uf=>ld.value.secretKey=Uf),modelModifiers:{trim:!0},"show-password":"",placeholder:"如:qgZa5qrvDOOcsmdKStD1oCjZ9nB7MDvJUs_34SIm"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"Bucket",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:ld.value.bucket,"onUpdate:modelValue":Qd[19]||(Qd[19]=Uf=>ld.value.bucket=Uf),modelModifiers:{trim:!0},placeholder:"如:md"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"Bucket 对应域名",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:ld.value.domain,"onUpdate:modelValue":Qd[20]||(Qd[20]=Uf=>ld.value.domain=Uf),modelModifiers:{trim:!0},placeholder:"如:https://images.123ylb.cn"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"存储区域",required:!1},{default:withCtx(()=>[createVNode(_f,{modelValue:ld.value.region,"onUpdate:modelValue":Qd[21]||(Qd[21]=Uf=>ld.value.region=Uf),modelModifiers:{trim:!0},placeholder:"如:z2,可不填"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"存储路径",required:!1},{default:withCtx(()=>[createVNode(_f,{modelValue:ld.value.path,"onUpdate:modelValue":Qd[22]||(Qd[22]=Uf=>ld.value.path=Uf),modelModifiers:{trim:!0},placeholder:"如:img,可不填,默认为根目录"},null,8,["modelValue"]),createVNode(gf,{type:"primary",href:"https://developer.qiniu.com/kodo",target:"_blank"},{default:withCtx(()=>Qd[40]||(Qd[40]=[createTextVNode(" 如何使用七牛云 Kodo? ")])),_:1})]),_:1}),createVNode(hf,null,{default:withCtx(()=>[createVNode(yf,{type:"primary",onClick:Md},{default:withCtx(()=>Qd[41]||(Qd[41]=[createTextVNode(" 保存配置 ")])),_:1})]),_:1})]),_:1},8,["model"])]),_:1}),createVNode(mf,{class:"github-panel",label:"MinIO",name:"minio"},{default:withCtx(()=>[createVNode(Nf,{class:"setting-form",model:cd.value,"label-position":"right","label-width":"150px"},{default:withCtx(()=>[createVNode(hf,{label:"Endpoint",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:cd.value.endpoint,"onUpdate:modelValue":Qd[23]||(Qd[23]=Uf=>cd.value.endpoint=Uf),modelModifiers:{trim:!0},placeholder:"如:play.min.io"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"Port",required:!1},{default:withCtx(()=>[createVNode(_f,{modelValue:cd.value.port,"onUpdate:modelValue":Qd[24]||(Qd[24]=Uf=>cd.value.port=Uf),modelModifiers:{trim:!0},type:"number",placeholder:"如:9000,可不填,http 默认为 80,https 默认为 443"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"UseSSL",required:!0},{default:withCtx(()=>[createVNode(Pf,{modelValue:cd.value.useSSL,"onUpdate:modelValue":Qd[25]||(Qd[25]=Uf=>cd.value.useSSL=Uf),"active-text":"是","inactive-text":"否"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"Bucket",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:cd.value.bucket,"onUpdate:modelValue":Qd[26]||(Qd[26]=Uf=>cd.value.bucket=Uf),modelModifiers:{trim:!0},placeholder:"如:doocs"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"AccessKey",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:cd.value.accessKey,"onUpdate:modelValue":Qd[27]||(Qd[27]=Uf=>cd.value.accessKey=Uf),modelModifiers:{trim:!0},placeholder:"如:zhangsan"},null,8,["modelValue"])]),_:1}),createVNode(hf,{label:"SecretKey",required:!0},{default:withCtx(()=>[createVNode(_f,{modelValue:cd.value.secretKey,"onUpdate:modelValue":Qd[28]||(Qd[28]=Uf=>cd.value.secretKey=Uf),modelModifiers:{trim:!0},placeholder:"如:asdasdasd"},null,8,["modelValue"]),createVNode(gf,{type:"primary",href:"http://docs.minio.org.cn/docs/master/minio-client-complete-guide",target:"_blank"},{default:withCtx(()=>Qd[42]||(Qd[42]=[createTextVNode(" 如何使用 MinIO? ")])),_:1})]),_:1}),createVNode(hf,null,{default:withCtx(()=>[createVNode(yf,{type:"primary",onClick:kd},{default:withCtx(()=>Qd[43]||(Qd[43]=[createTextVNode(" 保存配置 ")])),_:1})]),_:1})]),_:1},8,["model"])]),_:1}),createVNode(mf,{class:"github-panel formCustom",label:"自定义代码",name:"formCustom"},{default:withCtx(()=>[createVNode(Nf,{class:"setting-form",model:ud.value,"label-position":"right"},{default:withCtx(()=>[createVNode(hf,{label:"",required:!0},{default:withCtx(()=>[createVNode(_f,{ref_key:"formCustomElInput",ref:Ad,modelValue:ud.value.code,"onUpdate:modelValue":Qd[29]||(Qd[29]=Uf=>ud.value.code=Uf),class:"formCustomElInput",type:"textarea",resize:"none",placeholder:"Your custom code here."},null,8,["modelValue"]),createVNode(gf,{type:"primary",href:"https://github.com/doocs/md#自定义上传逻辑",target:"_blank"},{default:withCtx(()=>Qd[44]||(Qd[44]=[createTextVNode(" 参数详情? ")])),_:1})]),_:1}),createVNode(hf,null,{default:withCtx(()=>[createVNode(yf,{type:"primary",onClick:Pd},{default:withCtx(()=>Qd[45]||(Qd[45]=[createTextVNode(" 保存配置 ")])),_:1})]),_:1})]),_:1},8,["model"])]),_:1})]),_:1},8,["modelValue"])]),_:1})]),_:1},8,["open"])}}}),UploadImgDialog=_export_sfc(_sfc_main$a,[["__scopeId","data-v-0ddac312"]]),_sfc_main$9=defineComponent({__name:"CssEditor",setup(Ra){const qa=useStore(),ed=useDisplayStore();function td(){ElMessageBox.prompt("请输入新的方案名称","编辑方案名称",{confirmButtonText:"确认",cancelButtonText:"取消",inputValue:qa.cssContentConfig.active,inputErrorMessage:"不能与现有方案重名",inputValidator:qa.validatorTabName}).then(({value:sd})=>{if(!`${sd}`.trim()){ElMessage.error("修改失败,方案名不可为空");return}qa.renameTab(sd),ElMessage.success("修改成功~")})}function rd(sd,od){if(od==="add")ElMessageBox.prompt("请输入方案名称","新建自定义 CSS",{confirmButtonText:"确认",cancelButtonText:"取消",inputValue:`方案${qa.cssContentConfig.tabs.length+1}`,inputErrorMessage:"不能与现有方案重名",inputValidator:qa.validatorTabName}).then(({value:ld})=>{if(!`${ld}`.trim()){ElMessage.error("新建失败,方案名不可为空");return}qa.addCssContentTab(ld),ElMessage.success("新建成功~")});else if(od==="remove"){const ld=qa.cssContentConfig.tabs;if(ld.length===1){ElMessage.warning("至少保留一个方案");return}let cd=qa.cssContentConfig.active;cd===sd&&ld.forEach((ud,_d)=>{if(ud.name===sd){const Ed=ld[_d+1]||ld[_d-1];Ed&&(cd=Ed.name)}}),qa.tabChanged(cd),qa.cssContentConfig.tabs=ld.filter(ud=>ud.name!==sd)}}return(sd,od)=>{const ld=edit_pen_default,cd=ElIcon,ud=ElTabPane,_d=ElTabs,Ed=ElCol;return openBlock(),createBlock(Transition$1,{"enter-active-class":"bounceInRight"},{default:withCtx(()=>[withDirectives(createVNode(Ed,{span:8,class:"cssEditor-wrapper order-1 h-full flex flex-col border-l-1"},{default:withCtx(()=>[createVNode(_d,{modelValue:unref(qa).cssContentConfig.active,"onUpdate:modelValue":od[1]||(od[1]=Ad=>unref(qa).cssContentConfig.active=Ad),type:"border-card",stretch:"",editable:"",onEdit:rd,onTabChange:unref(qa).tabChanged},{default:withCtx(()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(unref(qa).cssContentConfig.tabs,Ad=>(openBlock(),createBlock(ud,{key:Ad.name,name:Ad.name},{label:withCtx(()=>[createTextVNode(toDisplayString(Ad.title)+" ",1),unref(qa).cssContentConfig.active===Ad.name?(openBlock(),createBlock(cd,{key:0,class:"ml-1",onClick:od[0]||(od[0]=Td=>td())},{default:withCtx(()=>[createVNode(ld)]),_:1})):createCommentVNode("",!0)]),_:2},1032,["name"]))),128))]),_:1},8,["modelValue","onTabChange"]),od[2]||(od[2]=createBaseVNode("textarea",{id:"cssEditor",type:"textarea",placeholder:"Your custom css here."},null,-1))]),_:1},512),[[vShow,unref(ed).isShowCssEditor]])]),_:1})}}}),CssEditor=_export_sfc(_sfc_main$9,[["__scopeId","data-v-428603ab"]]),_hoisted_1$1={key:0,class:"loading"},_sfc_main$8=defineComponent({__name:"RunLoading",setup(Ra){const qa=ref$1(!0);return onMounted(()=>{setTimeout(()=>{qa.value=!1},100)}),(ed,td)=>(openBlock(),createBlock(Transition$1,{name:"fade"},{default:withCtx(()=>[qa.value?(openBlock(),createElementBlock("div",_hoisted_1$1,td[0]||(td[0]=[createBaseVNode("strong",null,"致力于让 Markdown 编辑更简单",-1)]))):createCommentVNode("",!0)]),_:1}))}}),RunLoading=_export_sfc(_sfc_main$8,[["__scopeId","data-v-740616c8"]]),_sfc_main$7=defineComponent({__name:"ContextMenu",props:{dir:{},modal:{type:Boolean}},emits:["update:open"],setup(Ra,{emit:qa}){const rd=xe(Ra,qa);return(sd,od)=>(openBlock(),createBlock(unref(Jv),normalizeProps(guardReactiveProps(unref(rd))),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16))}}),_sfc_main$6=defineComponent({__name:"ContextMenuTrigger",props:{disabled:{type:Boolean},asChild:{type:Boolean},as:{}},setup(Ra){const ed=It(Ra);return(td,rd)=>(openBlock(),createBlock(unref(Qv),normalizeProps(guardReactiveProps(unref(ed))),{default:withCtx(()=>[renderSlot(td.$slots,"default")]),_:3},16))}}),_sfc_main$5=defineComponent({__name:"ContextMenuContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},sticky:{},hideWhenDetached:{type:Boolean},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","closeAutoFocus"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=computed(()=>{const{class:od,...ld}=ed;return ld}),sd=xe(rd,td);return(od,ld)=>(openBlock(),createBlock(unref(em),null,{default:withCtx(()=>[createVNode(unref(tm),mergeProps(unref(sd),{class:unref(cn)("z-50 min-w-32 overflow-hidden rounded-md border bg-popover p-1 text-popover-foreground shadow-md animate-in fade-in-80 data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[side=bottom]:slide-in-from-top-2 data-[side=left]:slide-in-from-right-2 data-[side=right]:slide-in-from-left-2 data-[side=top]:slide-in-from-bottom-2",ed.class)}),{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},16,["class"])]),_:3}))}}),_sfc_main$4=defineComponent({__name:"ContextMenuItem",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{},class:{},inset:{type:Boolean}},emits:["select"],setup(Ra,{emit:qa}){const ed=Ra,td=qa,rd=computed(()=>{const{class:od,...ld}=ed;return ld}),sd=xe(rd,td);return(od,ld)=>(openBlock(),createBlock(unref(nm),mergeProps(unref(sd),{class:unref(cn)("relative flex cursor-default select-none items-center rounded-sm px-2 py-1.5 text-sm outline-none focus:bg-accent focus:text-accent-foreground data-[disabled]:pointer-events-none data-[disabled]:opacity-50",od.inset&&"pl-8",ed.class)}),{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},16,["class"]))}}),_sfc_main$3=defineComponent({__name:"ContextMenuShortcut",props:{class:{}},setup(Ra){const qa=Ra;return(ed,td)=>(openBlock(),createElementBlock("span",{class:normalizeClass(unref(cn)("ml-auto text-xs tracking-widest text-muted-foreground",qa.class))},[renderSlot(ed.$slots,"default")],2))}}),_sfc_main$2=defineComponent({__name:"ContextMenuSeparator",props:{asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,ed=computed(()=>{const{class:td,...rd}=qa;return rd});return(td,rd)=>(openBlock(),createBlock(unref(lm),mergeProps(ed.value,{class:unref(cn)("-mx-1 my-1 h-px bg-border",qa.class)}),null,16,["class"]))}}),_hoisted_1={class:"container-main flex-1"},_hoisted_2={class:"preview border shadow-xl"},_hoisted_3=["innerHTML"],_hoisted_4={key:0,class:"loading-mask"},_sfc_main$1=defineComponent({__name:"CodemirrorEditor",setup(Ra){const qa=useStore(),ed=useDisplayStore(),{isDark:td,output:rd,editor:sd,editorContent:od}=storeToRefs(qa),{isShowCssEditor:ld}=storeToRefs(ed),{editorRefresh:cd,exportEditorContent2HTML:ud,exportEditorContent2MD:_d,formatContent:Ed,importMarkdownContent:Ad,resetStyleConfirm:Td}=qa,{toggleShowInsertFormDialog:Nd,toggleShowUploadImgDialog:Rd}=ed,Bd=ref$1(!1),kd=ref$1(),Od=ref$1(null);function Md(){const Nf=Uf=>{let Lf,xf;clearTimeout(kd.value),Uf==="preview"?(Lf=Od.value.$el,xf=document.querySelector(".CodeMirror-scroll"),sd.value.off("scroll",Pf),kd.value=setTimeout(()=>{sd.value.on("scroll",Pf)},300)):(Lf=document.querySelector(".CodeMirror-scroll"),xf=Od.value.$el,xf.removeEventListener("scroll",Yf,!1),kd.value=setTimeout(()=>{xf.addEventListener("scroll",Yf,!1)},300));const Jf=Lf.scrollTop/(Lf.scrollHeight-Lf.offsetHeight)*(xf.scrollHeight-xf.offsetHeight);xf.scrollTo(0,Jf)};function Pf(){Nf("editor")}function Yf(){Nf("preview")}Od.value.$el.addEventListener("scroll",Yf,!1),sd.value.on("scroll",Pf)}onMounted(()=>{setTimeout(()=>{Md()},300)});function Pd(){cd()}const Fd=ref$1(!1),Ud=ref$1(!1);function Gd(){Ud.value=!0,Fd.value=!0}function Qd(){Fd.value=!1,setTimeout(()=>{Ud.value=!1},800)}function Vd(Nf){const Pf=checkImage(Nf);if(!Pf.ok)return ElMessage.error(Pf.msg),!1;const Yf=localStorage.getItem("imgHost")||"default";localStorage.setItem("imgHost",Yf);const Uf=localStorage.getItem(`${Yf}Config`);return Yf==="default"||Uf?!0:(ElMessage.error(`请先配置 ${Yf} 图床参数`),!1)}function zd(Nf){if(!Nf){ElMessage.error("上传图片未知异常");return}Rd(!1);const Pf=sd.value.getCursor(),Yf=`![](${Nf})`;toRaw(qa.editor).replaceSelection(` ${Yf} `,Pf),ElMessage.success("图片上传成功")}function Xd(Nf,Pf){Bd.value=!0,toBase64(Nf).then(Yf=>fileApi.fileUpload(Yf,Nf)).then(Yf=>{Pf?Pf(Yf):zd(Yf)}).catch(Yf=>{ElMessage.error(Yf.message)}).finally(()=>{Bd.value=!1})}const df=ref$1();watch(td,()=>{var Pf,Yf;const Nf=td.value?"darcula":"xq-light";(Yf=(Pf=toRaw(sd.value))==null?void 0:Pf.setOption)==null||Yf.call(Pf,"theme",Nf)});function mf(){const Nf=document.querySelector("#editor");Nf.value||(Nf.value=od.value),sd.value=CodeMirror.fromTextArea(Nf,{mode:"text/x-markdown",theme:td.value?"darcula":"xq-light",lineNumbers:!1,lineWrapping:!0,styleActiveLine:!0,autoCloseBrackets:!0,extraKeys:{[`${shiftKey}-${altKey}-F`]:function(Yf){formatDoc(Yf.getValue()).then(Uf=>{Yf.setValue(Uf)})},[`${ctrlKey}-B`]:function(Yf){const Uf=Yf.getSelection();Yf.replaceSelection(`**${Uf}**`)},[`${ctrlKey}-I`]:function(Yf){const Uf=Yf.getSelection();Yf.replaceSelection(`*${Uf}*`)},[`${ctrlKey}-D`]:function(Yf){const Uf=Yf.getSelection();Yf.replaceSelection(`~~${Uf}~~`)},[`${ctrlKey}-K`]:function(Yf){const Uf=Yf.getSelection();Yf.replaceSelection(`[${Uf}]()`)},[`${ctrlKey}-E`]:function(Yf){const Uf=Yf.getSelection();Yf.replaceSelection(`\`${Uf}\``)},[`${ctrlKey}-L`]:function(Yf){const Uf=Yf.getSelection();Yf.replaceSelection(`\`${Uf}\``)}}}),sd.value.on("change",Pf=>{clearTimeout(df.value),df.value=setTimeout(()=>{Pd(),od.value=Pf.getValue()},300)}),sd.value.on("paste",(Pf,Yf)=>{if(!(!(Yf.clipboardData&&Yf.clipboardData.items)||Bd.value))for(let Uf=0,Lf=Yf.clipboardData.items.length;Uf{const wf=[...Lf.str.matchAll(/!\[(.*?)\]\((.*?)\)/g)||[]].filter(Ef=>Ef),Jf=Lf.path.match(/.+?\//)[0];(await Promise.all(wf.map(Ef=>new Promise(bf=>{let[,,Bf]=Ef;Bf=Bf.replace(/^.\//,"");const{file:Kf}=xf.find(nh=>nh.path===`${Jf}${Bf}`)||{};Xd(Kf,nh=>{bf({matchStr:Bf,url:nh})})})))).forEach(Ef=>{Lf.str=Lf.str.replace(`](./${Ef.matchStr})`,`](${Ef.url})`).replace(`](${Ef.matchStr})`,`](${Ef.url})`)}),sd.value.setValue(Lf.str)};Nf.ondragover=Lf=>Lf.preventDefault(),Nf.ondrop=async Lf=>{Lf.preventDefault();for(const xf of Lf.dataTransfer.items)xf.getAsFileSystemHandle().then(async wf=>{if(wf.kind==="directory"){const Jf=await Uf(wf),Qf=await Yf({list:Jf});Pf({md:Qf,list:Jf})}else{const Jf=await wf.getFile();console.log("file",Jf)}})};async function Yf({list:Lf}){return new Promise(xf=>{const{path:wf,file:Jf}=Lf.find(Ef=>Ef.path.match(/\.md$/)),Qf=new FileReader;Qf.readAsText(Jf,"UTF-8"),Qf.onload=Ef=>{xf({str:Ef.target.result,file:Jf,path:wf})}})}async function Uf(Lf){const xf=[];let wf="";try{const Jf=[Lf];for(const Qf of Jf){wf+=`${Qf.name}/`;for await(const[,Ef]of Qf)Ef.kind==="file"?xf.push({path:wf+Ef.name,file:await Ef.getFile()}):(xf.push({path:`${wf+Ef.name}/`}),Jf.push(Ef))}}catch(Jf){console.error(Jf)}return xf}}return onMounted(()=>{mf(),Pd(),yf()}),(Nf,Pf)=>{const Yf=ElRow;return openBlock(),createElementBlock("div",{ref_key:"container",ref:_f,class:"container flex flex-col"},[createVNode(EditorHeader,{onAddFormat:hf,onFormatContent:unref(Ed),onStartCopy:Gd,onEndCopy:Qd},null,8,["onFormatContent"]),createBaseVNode("main",_hoisted_1,[createVNode(Yf,{class:"container-main-section h-full border-1"},{default:withCtx(()=>[createVNode(unref(ElCol),{ref_key:"codeMirrorWrapper",ref:gf,span:unref(ld)?8:12,class:normalizeClass(["codeMirror-wrapper border-r-1",{"order-1":!unref(qa).isEditOnLeft}])},{default:withCtx(()=>[createVNode(unref(_sfc_main$7),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$6),null,{default:withCtx(()=>Pf[7]||(Pf[7]=[createBaseVNode("textarea",{id:"editor",type:"textarea",placeholder:"Your markdown text here."},null,-1)])),_:1}),createVNode(unref(_sfc_main$5),{class:"w-64"},{default:withCtx(()=>[createVNode(unref(_sfc_main$4),{inset:"",onClick:Pf[0]||(Pf[0]=Uf=>unref(Rd)())},{default:withCtx(()=>Pf[8]||(Pf[8]=[createTextVNode(" 上传图片 ")])),_:1}),createVNode(unref(_sfc_main$4),{inset:"",onClick:Pf[1]||(Pf[1]=Uf=>unref(Nd)())},{default:withCtx(()=>Pf[9]||(Pf[9]=[createTextVNode(" 插入表格 ")])),_:1}),createVNode(unref(_sfc_main$4),{inset:"",onClick:Pf[2]||(Pf[2]=Uf=>unref(Td)())},{default:withCtx(()=>Pf[10]||(Pf[10]=[createTextVNode(" 恢复默认样式 ")])),_:1}),createVNode(unref(_sfc_main$2)),createVNode(unref(_sfc_main$4),{inset:"",onClick:Pf[3]||(Pf[3]=Uf=>unref(Ad)())},{default:withCtx(()=>Pf[11]||(Pf[11]=[createTextVNode(" 导入 .md 文档 ")])),_:1}),createVNode(unref(_sfc_main$4),{inset:"",onClick:Pf[4]||(Pf[4]=Uf=>unref(_d)())},{default:withCtx(()=>Pf[12]||(Pf[12]=[createTextVNode(" 导出 .md 文档 ")])),_:1}),createVNode(unref(_sfc_main$4),{inset:"",onClick:Pf[5]||(Pf[5]=Uf=>unref(ud)())},{default:withCtx(()=>Pf[13]||(Pf[13]=[createTextVNode(" 导出 .html ")])),_:1}),createVNode(unref(_sfc_main$4),{inset:"",onClick:Pf[6]||(Pf[6]=Uf=>unref(Ed)())},{default:withCtx(()=>[Pf[14]||(Pf[14]=createTextVNode(" 格式化 ")),createVNode(unref(_sfc_main$3),null,{default:withCtx(()=>[createTextVNode(toDisplayString(unref(altSign))+" + "+toDisplayString(unref(shiftSign))+" + F",1)]),_:1})]),_:1})]),_:1})]),_:1})]),_:1},8,["span","class"]),createVNode(unref(ElCol),{id:"preview",ref_key:"preview",ref:Od,span:unref(ld)?8:12,class:"preview-wrapper p-5"},{default:withCtx(()=>[createBaseVNode("div",{id:"output-wrapper",class:normalizeClass({output_night:!Fd.value})},[createBaseVNode("div",_hoisted_2,[createBaseVNode("section",{id:"output",innerHTML:unref(rd)},null,8,_hoisted_3),Ud.value?(openBlock(),createElementBlock("div",_hoisted_4,Pf[15]||(Pf[15]=[createBaseVNode("div",{class:"loading-mask-box"},[createBaseVNode("div",{class:"loading__img"}),createBaseVNode("span",null,"正在生成")],-1)]))):createCommentVNode("",!0)])],2)]),_:1},8,["span"]),createVNode(CssEditor)]),_:1})]),createVNode(UploadImgDialog,{onUploadImage:Xd}),createVNode(InsertFormDialog),createVNode(RunLoading)],512)}}}),CodemirrorEditor=_export_sfc(_sfc_main$1,[["__scopeId","data-v-a8207a6e"]]),_sfc_main=defineComponent({__name:"App",setup(Ra){return(qa,ed)=>(openBlock(),createBlock(CodemirrorEditor))}});var css={exports:{}};(function(Ra,qa){(function(ed){ed(requireCodemirror())})(function(ed){ed.defineMode("css",function(zd,Xd){var df=Xd.inline;Xd.propertyKeywords||(Xd=ed.resolveMode("text/css"));var mf=zd.indentUnit,_f=Xd.tokenHooks,hf=Xd.documentTypes||{},gf=Xd.mediaTypes||{},yf=Xd.mediaFeatures||{},Nf=Xd.mediaValueKeywords||{},Pf=Xd.propertyKeywords||{},Yf=Xd.nonStandardPropertyKeywords||{},Uf=Xd.fontProperties||{},Lf=Xd.counterDescriptors||{},xf=Xd.colorKeywords||{},wf=Xd.valueKeywords||{},Jf=Xd.allowNested,Qf=Xd.lineComment,Ef=Xd.supportsAtComponent===!0,bf=zd.highlightNonStandardPropertyKeywords!==!1,Bf,Kf;function nh(oh,Ih){return Bf=Ih,oh}function zf(oh,Ih){var _h=oh.next();if(_f[_h]){var Dh=_f[_h](oh,Ih);if(Dh!==!1)return Dh}if(_h=="@")return oh.eatWhile(/[\w\\\-]/),nh("def",oh.current());if(_h=="="||(_h=="~"||_h=="|")&&oh.eat("="))return nh(null,"compare");if(_h=='"'||_h=="'")return Ih.tokenize=$f(_h),Ih.tokenize(oh,Ih);if(_h=="#")return oh.eatWhile(/[\w\\\-]/),nh("atom","hash");if(_h=="!")return oh.match(/^\s*\w*/),nh("keyword","important");if(/\d/.test(_h)||_h=="."&&oh.eat(/\d/))return oh.eatWhile(/[\w.%]/),nh("number","unit");if(_h==="-"){if(/[\d.]/.test(oh.peek()))return oh.eatWhile(/[\w.%]/),nh("number","unit");if(oh.match(/^-[\w\\\-]*/))return oh.eatWhile(/[\w\\\-]/),oh.match(/^\s*:/,!1)?nh("variable-2","variable-definition"):nh("variable-2","variable");if(oh.match(/^\w+-/))return nh("meta","meta")}else return/[,+>*\/]/.test(_h)?nh(null,"select-op"):_h=="."&&oh.match(/^-?[_a-z][_a-z0-9-]*/i)?nh("qualifier","qualifier"):/[:;{}\[\]\(\)]/.test(_h)?nh(null,_h):oh.match(/^[\w-.]+(?=\()/)?(/^(url(-prefix)?|domain|regexp)$/i.test(oh.current())&&(Ih.tokenize=th),nh("variable callee","variable")):/[\w\\\-]/.test(_h)?(oh.eatWhile(/[\w\\\-]/),nh("property","word")):nh(null,null)}function $f(oh){return function(Ih,_h){for(var Dh=!1,Xh;(Xh=Ih.next())!=null;){if(Xh==oh&&!Dh){oh==")"&&Ih.backUp(1);break}Dh=!Dh&&Xh=="\\"}return(Xh==oh||!Dh&&oh!=")")&&(_h.tokenize=null),nh("string","string")}}function th(oh,Ih){return oh.next(),oh.match(/^\s*[\"\')]/,!1)?Ih.tokenize=null:Ih.tokenize=$f(")"),nh(null,"(")}function hh(oh,Ih,_h){this.type=oh,this.indent=Ih,this.prev=_h}function Xf(oh,Ih,_h,Dh){return oh.context=new hh(_h,Ih.indentation()+(Dh===!1?0:mf),oh.context),_h}function Df(oh){return oh.context.prev&&(oh.context=oh.context.prev),oh.context.type}function Of(oh,Ih,_h){return bh[_h.context.type](oh,Ih,_h)}function Vf(oh,Ih,_h,Dh){for(var Xh=Dh||1;Xh>0;Xh--)_h.context=_h.context.prev;return Of(oh,Ih,_h)}function dh(oh){var Ih=oh.current().toLowerCase();wf.hasOwnProperty(Ih)?Kf="atom":xf.hasOwnProperty(Ih)?Kf="keyword":Kf="variable"}var bh={};return bh.top=function(oh,Ih,_h){if(oh=="{")return Xf(_h,Ih,"block");if(oh=="}"&&_h.context.prev)return Df(_h);if(Ef&&/@component/i.test(oh))return Xf(_h,Ih,"atComponentBlock");if(/^@(-moz-)?document$/i.test(oh))return Xf(_h,Ih,"documentTypes");if(/^@(media|supports|(-moz-)?document|import)$/i.test(oh))return Xf(_h,Ih,"atBlock");if(/^@(font-face|counter-style)/i.test(oh))return _h.stateArg=oh,"restricted_atBlock_before";if(/^@(-(moz|ms|o|webkit)-)?keyframes$/i.test(oh))return"keyframes";if(oh&&oh.charAt(0)=="@")return Xf(_h,Ih,"at");if(oh=="hash")Kf="builtin";else if(oh=="word")Kf="tag";else{if(oh=="variable-definition")return"maybeprop";if(oh=="interpolation")return Xf(_h,Ih,"interpolation");if(oh==":")return"pseudo";if(Jf&&oh=="(")return Xf(_h,Ih,"parens")}return _h.context.type},bh.block=function(oh,Ih,_h){if(oh=="word"){var Dh=Ih.current().toLowerCase();return Pf.hasOwnProperty(Dh)?(Kf="property","maybeprop"):Yf.hasOwnProperty(Dh)?(Kf=bf?"string-2":"property","maybeprop"):Jf?(Kf=Ih.match(/^\s*:(?:\s|$)/,!1)?"property":"tag","block"):(Kf+=" error","maybeprop")}else return oh=="meta"?"block":!Jf&&(oh=="hash"||oh=="qualifier")?(Kf="error","block"):bh.top(oh,Ih,_h)},bh.maybeprop=function(oh,Ih,_h){return oh==":"?Xf(_h,Ih,"prop"):Of(oh,Ih,_h)},bh.prop=function(oh,Ih,_h){if(oh==";")return Df(_h);if(oh=="{"&&Jf)return Xf(_h,Ih,"propBlock");if(oh=="}"||oh=="{")return Vf(oh,Ih,_h);if(oh=="(")return Xf(_h,Ih,"parens");if(oh=="hash"&&!/^#([0-9a-fA-F]{3,4}|[0-9a-fA-F]{6}|[0-9a-fA-F]{8})$/.test(Ih.current()))Kf+=" error";else if(oh=="word")dh(Ih);else if(oh=="interpolation")return Xf(_h,Ih,"interpolation");return"prop"},bh.propBlock=function(oh,Ih,_h){return oh=="}"?Df(_h):oh=="word"?(Kf="property","maybeprop"):_h.context.type},bh.parens=function(oh,Ih,_h){return oh=="{"||oh=="}"?Vf(oh,Ih,_h):oh==")"?Df(_h):oh=="("?Xf(_h,Ih,"parens"):oh=="interpolation"?Xf(_h,Ih,"interpolation"):(oh=="word"&&dh(Ih),"parens")},bh.pseudo=function(oh,Ih,_h){return oh=="meta"?"pseudo":oh=="word"?(Kf="variable-3",_h.context.type):Of(oh,Ih,_h)},bh.documentTypes=function(oh,Ih,_h){return oh=="word"&&hf.hasOwnProperty(Ih.current())?(Kf="tag",_h.context.type):bh.atBlock(oh,Ih,_h)},bh.atBlock=function(oh,Ih,_h){if(oh=="(")return Xf(_h,Ih,"atBlock_parens");if(oh=="}"||oh==";")return Vf(oh,Ih,_h);if(oh=="{")return Df(_h)&&Xf(_h,Ih,Jf?"block":"top");if(oh=="interpolation")return Xf(_h,Ih,"interpolation");if(oh=="word"){var Dh=Ih.current().toLowerCase();Dh=="only"||Dh=="not"||Dh=="and"||Dh=="or"?Kf="keyword":gf.hasOwnProperty(Dh)?Kf="attribute":yf.hasOwnProperty(Dh)?Kf="property":Nf.hasOwnProperty(Dh)?Kf="keyword":Pf.hasOwnProperty(Dh)?Kf="property":Yf.hasOwnProperty(Dh)?Kf=bf?"string-2":"property":wf.hasOwnProperty(Dh)?Kf="atom":xf.hasOwnProperty(Dh)?Kf="keyword":Kf="error"}return _h.context.type},bh.atComponentBlock=function(oh,Ih,_h){return oh=="}"?Vf(oh,Ih,_h):oh=="{"?Df(_h)&&Xf(_h,Ih,Jf?"block":"top",!1):(oh=="word"&&(Kf="error"),_h.context.type)},bh.atBlock_parens=function(oh,Ih,_h){return oh==")"?Df(_h):oh=="{"||oh=="}"?Vf(oh,Ih,_h,2):bh.atBlock(oh,Ih,_h)},bh.restricted_atBlock_before=function(oh,Ih,_h){return oh=="{"?Xf(_h,Ih,"restricted_atBlock"):oh=="word"&&_h.stateArg=="@counter-style"?(Kf="variable","restricted_atBlock_before"):Of(oh,Ih,_h)},bh.restricted_atBlock=function(oh,Ih,_h){return oh=="}"?(_h.stateArg=null,Df(_h)):oh=="word"?(_h.stateArg=="@font-face"&&!Uf.hasOwnProperty(Ih.current().toLowerCase())||_h.stateArg=="@counter-style"&&!Lf.hasOwnProperty(Ih.current().toLowerCase())?Kf="error":Kf="property","maybeprop"):"restricted_atBlock"},bh.keyframes=function(oh,Ih,_h){return oh=="word"?(Kf="variable","keyframes"):oh=="{"?Xf(_h,Ih,"top"):Of(oh,Ih,_h)},bh.at=function(oh,Ih,_h){return oh==";"?Df(_h):oh=="{"||oh=="}"?Vf(oh,Ih,_h):(oh=="word"?Kf="tag":oh=="hash"&&(Kf="builtin"),"at")},bh.interpolation=function(oh,Ih,_h){return oh=="}"?Df(_h):oh=="{"||oh==";"?Vf(oh,Ih,_h):(oh=="word"?Kf="variable":oh!="variable"&&oh!="("&&oh!=")"&&(Kf="error"),"interpolation")},{startState:function(oh){return{tokenize:null,state:df?"block":"top",stateArg:null,context:new hh(df?"block":"top",oh||0,null)}},token:function(oh,Ih){if(!Ih.tokenize&&oh.eatSpace())return null;var _h=(Ih.tokenize||zf)(oh,Ih);return _h&&typeof _h=="object"&&(Bf=_h[1],_h=_h[0]),Kf=_h,Bf!="comment"&&(Ih.state=bh[Ih.state](Bf,oh,Ih)),Kf},indent:function(oh,Ih){var _h=oh.context,Dh=Ih&&Ih.charAt(0),Xh=_h.indent;return _h.type=="prop"&&(Dh=="}"||Dh==")")&&(_h=_h.prev),_h.prev&&(Dh=="}"&&(_h.type=="block"||_h.type=="top"||_h.type=="interpolation"||_h.type=="restricted_atBlock")?(_h=_h.prev,Xh=_h.indent):(Dh==")"&&(_h.type=="parens"||_h.type=="atBlock_parens")||Dh=="{"&&(_h.type=="at"||_h.type=="atBlock"))&&(Xh=Math.max(0,_h.indent-mf))),Xh},electricChars:"}",blockCommentStart:"/*",blockCommentEnd:"*/",blockCommentContinue:" * ",lineComment:Qf,fold:"brace"}});function td(zd){for(var Xd={},df=0;df")):null:hf.match("--")?yf(Bd("comment","-->")):hf.match("DOCTYPE",!0,!0)?(hf.eatWhile(/[\w\._\-]/),yf(kd(1))):null:hf.eat("?")?(hf.eatWhile(/[\w\._\-]/),gf.tokenize=Bd("meta","?>"),"meta"):(Ed=hf.eat("/")?"closeTag":"openTag",gf.tokenize=Nd,"tag bracket");if(Nf=="&"){var Pf;return hf.eat("#")?hf.eat("x")?Pf=hf.eatWhile(/[a-fA-F\d]/)&&hf.eat(";"):Pf=hf.eatWhile(/[\d]/)&&hf.eat(";"):Pf=hf.eatWhile(/[\w\.\-:]/)&&hf.eat(";"),Pf?"atom":"error"}else return hf.eatWhile(/[^&<]/),null}Td.isInText=!0;function Nd(hf,gf){var yf=hf.next();if(yf==">"||yf=="/"&&hf.eat(">"))return gf.tokenize=Td,Ed=yf==">"?"endTag":"selfcloseTag","tag bracket";if(yf=="=")return Ed="equals",null;if(yf=="<"){gf.tokenize=Td,gf.state=Ud,gf.tagName=gf.tagStart=null;var Nf=gf.tokenize(hf,gf);return Nf?Nf+" tag error":"tag error"}else return/[\'\"]/.test(yf)?(gf.tokenize=Rd(yf),gf.stringStartCol=hf.column(),gf.tokenize(hf,gf)):(hf.match(/^[^\s\u00a0=<>\"\']*[^\s\u00a0=<>\"\'\/]/),"word")}function Rd(hf){var gf=function(yf,Nf){for(;!yf.eol();)if(yf.next()==hf){Nf.tokenize=Nd;break}return"string"};return gf.isInAttribute=!0,gf}function Bd(hf,gf){return function(yf,Nf){for(;!yf.eol();){if(yf.match(gf)){Nf.tokenize=Td;break}yf.next()}return hf}}function kd(hf){return function(gf,yf){for(var Nf;(Nf=gf.next())!=null;){if(Nf=="<")return yf.tokenize=kd(hf+1),yf.tokenize(gf,yf);if(Nf==">")if(hf==1){yf.tokenize=Td;break}else return yf.tokenize=kd(hf-1),yf.tokenize(gf,yf)}return"meta"}}function Od(hf){return hf&&hf.toLowerCase()}function Md(hf,gf,yf){this.prev=hf.context,this.tagName=gf||"",this.indent=hf.indented,this.startOfLine=yf,(cd.doNotIndent.hasOwnProperty(gf)||hf.context&&hf.context.noIndent)&&(this.noIndent=!0)}function Pd(hf){hf.context&&(hf.context=hf.context.prev)}function Fd(hf,gf){for(var yf;;){if(!hf.context||(yf=hf.context.tagName,!cd.contextGrabbers.hasOwnProperty(Od(yf))||!cd.contextGrabbers[Od(yf)].hasOwnProperty(Od(gf))))return;Pd(hf)}}function Ud(hf,gf,yf){return hf=="openTag"?(yf.tagStart=gf.column(),Gd):hf=="closeTag"?Qd:Ud}function Gd(hf,gf,yf){return hf=="word"?(yf.tagName=gf.current(),Ad="tag",Xd):cd.allowMissingTagName&&hf=="endTag"?(Ad="tag bracket",Xd(hf,gf,yf)):(Ad="error",Gd)}function Qd(hf,gf,yf){if(hf=="word"){var Nf=gf.current();return yf.context&&yf.context.tagName!=Nf&&cd.implicitlyClosed.hasOwnProperty(Od(yf.context.tagName))&&Pd(yf),yf.context&&yf.context.tagName==Nf||cd.matchClosing===!1?(Ad="tag",Vd):(Ad="tag error",zd)}else return cd.allowMissingTagName&&hf=="endTag"?(Ad="tag bracket",Vd(hf,gf,yf)):(Ad="error",zd)}function Vd(hf,gf,yf){return hf!="endTag"?(Ad="error",Vd):(Pd(yf),Ud)}function zd(hf,gf,yf){return Ad="error",Vd(hf,gf,yf)}function Xd(hf,gf,yf){if(hf=="word")return Ad="attribute",df;if(hf=="endTag"||hf=="selfcloseTag"){var Nf=yf.tagName,Pf=yf.tagStart;return yf.tagName=yf.tagStart=null,hf=="selfcloseTag"||cd.autoSelfClosers.hasOwnProperty(Od(Nf))?Fd(yf,Nf):(Fd(yf,Nf),yf.context=new Md(yf,Nf,Pf==yf.indented)),Ud}return Ad="error",Xd}function df(hf,gf,yf){return hf=="equals"?mf:(cd.allowMissing||(Ad="error"),Xd(hf,gf,yf))}function mf(hf,gf,yf){return hf=="string"?_f:hf=="word"&&cd.allowUnquoted?(Ad="string",Xd):(Ad="error",Xd(hf,gf,yf))}function _f(hf,gf,yf){return hf=="string"?_f:Xd(hf,gf,yf)}return{startState:function(hf){var gf={tokenize:Td,state:Ud,indented:hf||0,tagName:null,tagStart:null,context:null};return hf!=null&&(gf.baseIndent=hf),gf},token:function(hf,gf){if(!gf.tagName&&hf.sol()&&(gf.indented=hf.indentation()),hf.eatSpace())return null;Ed=null;var yf=gf.tokenize(hf,gf);return(yf||Ed)&&yf!="comment"&&(Ad=null,gf.state=gf.state(Ed||yf,hf,gf),Ad&&(yf=Ad=="error"?yf+" error":Ad)),yf},indent:function(hf,gf,yf){var Nf=hf.context;if(hf.tokenize.isInAttribute)return hf.tagStart==hf.indented?hf.stringStartCol+1:hf.indented+ld;if(Nf&&Nf.noIndent)return ed.Pass;if(hf.tokenize!=Nd&&hf.tokenize!=Td)return yf?yf.match(/^(\s*)/)[0].length:0;if(hf.tagName)return cd.multilineTagIndentPastTag!==!1?hf.tagStart+hf.tagName.length+2:hf.tagStart+ld*(cd.multilineTagIndentFactor||1);if(cd.alignCDATA&&/$/,blockCommentStart:"",configuration:cd.htmlMode?"html":"xml",helperType:cd.htmlMode?"html":"xml",skipAttribute:function(hf){hf.state==mf&&(hf.state=Xd)},xmlCurrentTag:function(hf){return hf.tagName?{name:hf.tagName,close:hf.type=="closeTag"}:null},xmlCurrentContext:function(hf){for(var gf=[],yf=hf.context;yf;yf=yf.prev)gf.push(yf.tagName);return gf.reverse()}}}),ed.defineMIME("text/xml","xml"),ed.defineMIME("application/xml","xml"),ed.mimeModes.hasOwnProperty("text/html")||ed.defineMIME("text/html",{name:"xml",htmlMode:!0})})}()),xml.exports}var meta={exports:{}},hasRequiredMeta;function requireMeta(){return hasRequiredMeta||(hasRequiredMeta=1,function(Ra,qa){(function(ed){ed(requireCodemirror())})(function(ed){ed.modeInfo=[{name:"APL",mime:"text/apl",mode:"apl",ext:["dyalog","apl"]},{name:"PGP",mimes:["application/pgp","application/pgp-encrypted","application/pgp-keys","application/pgp-signature"],mode:"asciiarmor",ext:["asc","pgp","sig"]},{name:"ASN.1",mime:"text/x-ttcn-asn",mode:"asn.1",ext:["asn","asn1"]},{name:"Asterisk",mime:"text/x-asterisk",mode:"asterisk",file:/^extensions\.conf$/i},{name:"Brainfuck",mime:"text/x-brainfuck",mode:"brainfuck",ext:["b","bf"]},{name:"C",mime:"text/x-csrc",mode:"clike",ext:["c","h","ino"]},{name:"C++",mime:"text/x-c++src",mode:"clike",ext:["cpp","c++","cc","cxx","hpp","h++","hh","hxx"],alias:["cpp"]},{name:"Cobol",mime:"text/x-cobol",mode:"cobol",ext:["cob","cpy","cbl"]},{name:"C#",mime:"text/x-csharp",mode:"clike",ext:["cs"],alias:["csharp","cs"]},{name:"Clojure",mime:"text/x-clojure",mode:"clojure",ext:["clj","cljc","cljx"]},{name:"ClojureScript",mime:"text/x-clojurescript",mode:"clojure",ext:["cljs"]},{name:"Closure Stylesheets (GSS)",mime:"text/x-gss",mode:"css",ext:["gss"]},{name:"CMake",mime:"text/x-cmake",mode:"cmake",ext:["cmake","cmake.in"],file:/^CMakeLists\.txt$/},{name:"CoffeeScript",mimes:["application/vnd.coffeescript","text/coffeescript","text/x-coffeescript"],mode:"coffeescript",ext:["coffee"],alias:["coffee","coffee-script"]},{name:"Common Lisp",mime:"text/x-common-lisp",mode:"commonlisp",ext:["cl","lisp","el"],alias:["lisp"]},{name:"Cypher",mime:"application/x-cypher-query",mode:"cypher",ext:["cyp","cypher"]},{name:"Cython",mime:"text/x-cython",mode:"python",ext:["pyx","pxd","pxi"]},{name:"Crystal",mime:"text/x-crystal",mode:"crystal",ext:["cr"]},{name:"CSS",mime:"text/css",mode:"css",ext:["css"]},{name:"CQL",mime:"text/x-cassandra",mode:"sql",ext:["cql"]},{name:"D",mime:"text/x-d",mode:"d",ext:["d"]},{name:"Dart",mimes:["application/dart","text/x-dart"],mode:"dart",ext:["dart"]},{name:"diff",mime:"text/x-diff",mode:"diff",ext:["diff","patch"]},{name:"Django",mime:"text/x-django",mode:"django"},{name:"Dockerfile",mime:"text/x-dockerfile",mode:"dockerfile",file:/^Dockerfile$/},{name:"DTD",mime:"application/xml-dtd",mode:"dtd",ext:["dtd"]},{name:"Dylan",mime:"text/x-dylan",mode:"dylan",ext:["dylan","dyl","intr"]},{name:"EBNF",mime:"text/x-ebnf",mode:"ebnf"},{name:"ECL",mime:"text/x-ecl",mode:"ecl",ext:["ecl"]},{name:"edn",mime:"application/edn",mode:"clojure",ext:["edn"]},{name:"Eiffel",mime:"text/x-eiffel",mode:"eiffel",ext:["e"]},{name:"Elm",mime:"text/x-elm",mode:"elm",ext:["elm"]},{name:"Embedded JavaScript",mime:"application/x-ejs",mode:"htmlembedded",ext:["ejs"]},{name:"Embedded Ruby",mime:"application/x-erb",mode:"htmlembedded",ext:["erb"]},{name:"Erlang",mime:"text/x-erlang",mode:"erlang",ext:["erl"]},{name:"Esper",mime:"text/x-esper",mode:"sql"},{name:"Factor",mime:"text/x-factor",mode:"factor",ext:["factor"]},{name:"FCL",mime:"text/x-fcl",mode:"fcl"},{name:"Forth",mime:"text/x-forth",mode:"forth",ext:["forth","fth","4th"]},{name:"Fortran",mime:"text/x-fortran",mode:"fortran",ext:["f","for","f77","f90","f95"]},{name:"F#",mime:"text/x-fsharp",mode:"mllike",ext:["fs"],alias:["fsharp"]},{name:"Gas",mime:"text/x-gas",mode:"gas",ext:["s"]},{name:"Gherkin",mime:"text/x-feature",mode:"gherkin",ext:["feature"]},{name:"GitHub Flavored Markdown",mime:"text/x-gfm",mode:"gfm",file:/^(readme|contributing|history)\.md$/i},{name:"Go",mime:"text/x-go",mode:"go",ext:["go"]},{name:"Groovy",mime:"text/x-groovy",mode:"groovy",ext:["groovy","gradle"],file:/^Jenkinsfile$/},{name:"HAML",mime:"text/x-haml",mode:"haml",ext:["haml"]},{name:"Haskell",mime:"text/x-haskell",mode:"haskell",ext:["hs"]},{name:"Haskell (Literate)",mime:"text/x-literate-haskell",mode:"haskell-literate",ext:["lhs"]},{name:"Haxe",mime:"text/x-haxe",mode:"haxe",ext:["hx"]},{name:"HXML",mime:"text/x-hxml",mode:"haxe",ext:["hxml"]},{name:"ASP.NET",mime:"application/x-aspx",mode:"htmlembedded",ext:["aspx"],alias:["asp","aspx"]},{name:"HTML",mime:"text/html",mode:"htmlmixed",ext:["html","htm","handlebars","hbs"],alias:["xhtml"]},{name:"HTTP",mime:"message/http",mode:"http"},{name:"IDL",mime:"text/x-idl",mode:"idl",ext:["pro"]},{name:"Pug",mime:"text/x-pug",mode:"pug",ext:["jade","pug"],alias:["jade"]},{name:"Java",mime:"text/x-java",mode:"clike",ext:["java"]},{name:"Java Server Pages",mime:"application/x-jsp",mode:"htmlembedded",ext:["jsp"],alias:["jsp"]},{name:"JavaScript",mimes:["text/javascript","text/ecmascript","application/javascript","application/x-javascript","application/ecmascript"],mode:"javascript",ext:["js"],alias:["ecmascript","js","node"]},{name:"JSON",mimes:["application/json","application/x-json"],mode:"javascript",ext:["json","map"],alias:["json5"]},{name:"JSON-LD",mime:"application/ld+json",mode:"javascript",ext:["jsonld"],alias:["jsonld"]},{name:"JSX",mime:"text/jsx",mode:"jsx",ext:["jsx"]},{name:"Jinja2",mime:"text/jinja2",mode:"jinja2",ext:["j2","jinja","jinja2"]},{name:"Julia",mime:"text/x-julia",mode:"julia",ext:["jl"],alias:["jl"]},{name:"Kotlin",mime:"text/x-kotlin",mode:"clike",ext:["kt"]},{name:"LESS",mime:"text/x-less",mode:"css",ext:["less"]},{name:"LiveScript",mime:"text/x-livescript",mode:"livescript",ext:["ls"],alias:["ls"]},{name:"Lua",mime:"text/x-lua",mode:"lua",ext:["lua"]},{name:"Markdown",mime:"text/x-markdown",mode:"markdown",ext:["markdown","md","mkd"]},{name:"mIRC",mime:"text/mirc",mode:"mirc"},{name:"MariaDB SQL",mime:"text/x-mariadb",mode:"sql"},{name:"Mathematica",mime:"text/x-mathematica",mode:"mathematica",ext:["m","nb","wl","wls"]},{name:"Modelica",mime:"text/x-modelica",mode:"modelica",ext:["mo"]},{name:"MUMPS",mime:"text/x-mumps",mode:"mumps",ext:["mps"]},{name:"MS SQL",mime:"text/x-mssql",mode:"sql"},{name:"mbox",mime:"application/mbox",mode:"mbox",ext:["mbox"]},{name:"MySQL",mime:"text/x-mysql",mode:"sql"},{name:"Nginx",mime:"text/x-nginx-conf",mode:"nginx",file:/nginx.*\.conf$/i},{name:"NSIS",mime:"text/x-nsis",mode:"nsis",ext:["nsh","nsi"]},{name:"NTriples",mimes:["application/n-triples","application/n-quads","text/n-triples"],mode:"ntriples",ext:["nt","nq"]},{name:"Objective-C",mime:"text/x-objectivec",mode:"clike",ext:["m"],alias:["objective-c","objc"]},{name:"Objective-C++",mime:"text/x-objectivec++",mode:"clike",ext:["mm"],alias:["objective-c++","objc++"]},{name:"OCaml",mime:"text/x-ocaml",mode:"mllike",ext:["ml","mli","mll","mly"]},{name:"Octave",mime:"text/x-octave",mode:"octave",ext:["m"]},{name:"Oz",mime:"text/x-oz",mode:"oz",ext:["oz"]},{name:"Pascal",mime:"text/x-pascal",mode:"pascal",ext:["p","pas"]},{name:"PEG.js",mime:"null",mode:"pegjs",ext:["jsonld"]},{name:"Perl",mime:"text/x-perl",mode:"perl",ext:["pl","pm"]},{name:"PHP",mimes:["text/x-php","application/x-httpd-php","application/x-httpd-php-open"],mode:"php",ext:["php","php3","php4","php5","php7","phtml"]},{name:"Pig",mime:"text/x-pig",mode:"pig",ext:["pig"]},{name:"Plain Text",mime:"text/plain",mode:"null",ext:["txt","text","conf","def","list","log"]},{name:"PLSQL",mime:"text/x-plsql",mode:"sql",ext:["pls"]},{name:"PostgreSQL",mime:"text/x-pgsql",mode:"sql"},{name:"PowerShell",mime:"application/x-powershell",mode:"powershell",ext:["ps1","psd1","psm1"]},{name:"Properties files",mime:"text/x-properties",mode:"properties",ext:["properties","ini","in"],alias:["ini","properties"]},{name:"ProtoBuf",mime:"text/x-protobuf",mode:"protobuf",ext:["proto"]},{name:"Python",mime:"text/x-python",mode:"python",ext:["BUILD","bzl","py","pyw"],file:/^(BUCK|BUILD)$/},{name:"Puppet",mime:"text/x-puppet",mode:"puppet",ext:["pp"]},{name:"Q",mime:"text/x-q",mode:"q",ext:["q"]},{name:"R",mime:"text/x-rsrc",mode:"r",ext:["r","R"],alias:["rscript"]},{name:"reStructuredText",mime:"text/x-rst",mode:"rst",ext:["rst"],alias:["rst"]},{name:"RPM Changes",mime:"text/x-rpm-changes",mode:"rpm"},{name:"RPM Spec",mime:"text/x-rpm-spec",mode:"rpm",ext:["spec"]},{name:"Ruby",mime:"text/x-ruby",mode:"ruby",ext:["rb"],alias:["jruby","macruby","rake","rb","rbx"]},{name:"Rust",mime:"text/x-rustsrc",mode:"rust",ext:["rs"]},{name:"SAS",mime:"text/x-sas",mode:"sas",ext:["sas"]},{name:"Sass",mime:"text/x-sass",mode:"sass",ext:["sass"]},{name:"Scala",mime:"text/x-scala",mode:"clike",ext:["scala"]},{name:"Scheme",mime:"text/x-scheme",mode:"scheme",ext:["scm","ss"]},{name:"SCSS",mime:"text/x-scss",mode:"css",ext:["scss"]},{name:"Shell",mimes:["text/x-sh","application/x-sh"],mode:"shell",ext:["sh","ksh","bash"],alias:["bash","sh","zsh"],file:/^PKGBUILD$/},{name:"Sieve",mime:"application/sieve",mode:"sieve",ext:["siv","sieve"]},{name:"Slim",mimes:["text/x-slim","application/x-slim"],mode:"slim",ext:["slim"]},{name:"Smalltalk",mime:"text/x-stsrc",mode:"smalltalk",ext:["st"]},{name:"Smarty",mime:"text/x-smarty",mode:"smarty",ext:["tpl"]},{name:"Solr",mime:"text/x-solr",mode:"solr"},{name:"SML",mime:"text/x-sml",mode:"mllike",ext:["sml","sig","fun","smackspec"]},{name:"Soy",mime:"text/x-soy",mode:"soy",ext:["soy"],alias:["closure template"]},{name:"SPARQL",mime:"application/sparql-query",mode:"sparql",ext:["rq","sparql"],alias:["sparul"]},{name:"Spreadsheet",mime:"text/x-spreadsheet",mode:"spreadsheet",alias:["excel","formula"]},{name:"SQL",mime:"text/x-sql",mode:"sql",ext:["sql"]},{name:"SQLite",mime:"text/x-sqlite",mode:"sql"},{name:"Squirrel",mime:"text/x-squirrel",mode:"clike",ext:["nut"]},{name:"Stylus",mime:"text/x-styl",mode:"stylus",ext:["styl"]},{name:"Swift",mime:"text/x-swift",mode:"swift",ext:["swift"]},{name:"sTeX",mime:"text/x-stex",mode:"stex"},{name:"LaTeX",mime:"text/x-latex",mode:"stex",ext:["text","ltx","tex"],alias:["tex"]},{name:"SystemVerilog",mime:"text/x-systemverilog",mode:"verilog",ext:["v","sv","svh"]},{name:"Tcl",mime:"text/x-tcl",mode:"tcl",ext:["tcl"]},{name:"Textile",mime:"text/x-textile",mode:"textile",ext:["textile"]},{name:"TiddlyWiki",mime:"text/x-tiddlywiki",mode:"tiddlywiki"},{name:"Tiki wiki",mime:"text/tiki",mode:"tiki"},{name:"TOML",mime:"text/x-toml",mode:"toml",ext:["toml"]},{name:"Tornado",mime:"text/x-tornado",mode:"tornado"},{name:"troff",mime:"text/troff",mode:"troff",ext:["1","2","3","4","5","6","7","8","9"]},{name:"TTCN",mime:"text/x-ttcn",mode:"ttcn",ext:["ttcn","ttcn3","ttcnpp"]},{name:"TTCN_CFG",mime:"text/x-ttcn-cfg",mode:"ttcn-cfg",ext:["cfg"]},{name:"Turtle",mime:"text/turtle",mode:"turtle",ext:["ttl"]},{name:"TypeScript",mime:"application/typescript",mode:"javascript",ext:["ts"],alias:["ts"]},{name:"TypeScript-JSX",mime:"text/typescript-jsx",mode:"jsx",ext:["tsx"],alias:["tsx"]},{name:"Twig",mime:"text/x-twig",mode:"twig"},{name:"Web IDL",mime:"text/x-webidl",mode:"webidl",ext:["webidl"]},{name:"VB.NET",mime:"text/x-vb",mode:"vb",ext:["vb"]},{name:"VBScript",mime:"text/vbscript",mode:"vbscript",ext:["vbs"]},{name:"Velocity",mime:"text/velocity",mode:"velocity",ext:["vtl"]},{name:"Verilog",mime:"text/x-verilog",mode:"verilog",ext:["v"]},{name:"VHDL",mime:"text/x-vhdl",mode:"vhdl",ext:["vhd","vhdl"]},{name:"Vue.js Component",mimes:["script/x-vue","text/x-vue"],mode:"vue",ext:["vue"]},{name:"XML",mimes:["application/xml","text/xml"],mode:"xml",ext:["xml","xsl","xsd","svg"],alias:["rss","wsdl","xsd"]},{name:"XQuery",mime:"application/xquery",mode:"xquery",ext:["xy","xquery"]},{name:"Yacas",mime:"text/x-yacas",mode:"yacas",ext:["ys"]},{name:"YAML",mimes:["text/x-yaml","text/yaml"],mode:"yaml",ext:["yaml","yml"],alias:["yml"]},{name:"Z80",mime:"text/x-z80",mode:"z80",ext:["z80"]},{name:"mscgen",mime:"text/x-mscgen",mode:"mscgen",ext:["mscgen","mscin","msc"]},{name:"xu",mime:"text/x-xu",mode:"mscgen",ext:["xu"]},{name:"msgenny",mime:"text/x-msgenny",mode:"mscgen",ext:["msgenny"]},{name:"WebAssembly",mime:"text/webassembly",mode:"wast",ext:["wat","wast"]}];for(var td=0;td-1&&sd.substring(cd+1,sd.length);if(ud)return ed.findModeByExtension(ud)},ed.findModeByName=function(sd){sd=sd.toLowerCase();for(var od=0;od` "'(~:]+/,Bd=/^(~~~+|```+)[ \t]*([\w\/+#-]*)[^\n`]*$/,kd=/^\s*\[[^\]]+?\]:.*$/,Od=/[!"#$%&'()*+,\-.\/:;<=>?@\[\\\]^_`{|}~\xA1\xA7\xAB\xB6\xB7\xBB\xBF\u037E\u0387\u055A-\u055F\u0589\u058A\u05BE\u05C0\u05C3\u05C6\u05F3\u05F4\u0609\u060A\u060C\u060D\u061B\u061E\u061F\u066A-\u066D\u06D4\u0700-\u070D\u07F7-\u07F9\u0830-\u083E\u085E\u0964\u0965\u0970\u0AF0\u0DF4\u0E4F\u0E5A\u0E5B\u0F04-\u0F12\u0F14\u0F3A-\u0F3D\u0F85\u0FD0-\u0FD4\u0FD9\u0FDA\u104A-\u104F\u10FB\u1360-\u1368\u1400\u166D\u166E\u169B\u169C\u16EB-\u16ED\u1735\u1736\u17D4-\u17D6\u17D8-\u17DA\u1800-\u180A\u1944\u1945\u1A1E\u1A1F\u1AA0-\u1AA6\u1AA8-\u1AAD\u1B5A-\u1B60\u1BFC-\u1BFF\u1C3B-\u1C3F\u1C7E\u1C7F\u1CC0-\u1CC7\u1CD3\u2010-\u2027\u2030-\u2043\u2045-\u2051\u2053-\u205E\u207D\u207E\u208D\u208E\u2308-\u230B\u2329\u232A\u2768-\u2775\u27C5\u27C6\u27E6-\u27EF\u2983-\u2998\u29D8-\u29DB\u29FC\u29FD\u2CF9-\u2CFC\u2CFE\u2CFF\u2D70\u2E00-\u2E2E\u2E30-\u2E42\u3001-\u3003\u3008-\u3011\u3014-\u301F\u3030\u303D\u30A0\u30FB\uA4FE\uA4FF\uA60D-\uA60F\uA673\uA67E\uA6F2-\uA6F7\uA874-\uA877\uA8CE\uA8CF\uA8F8-\uA8FA\uA8FC\uA92E\uA92F\uA95F\uA9C1-\uA9CD\uA9DE\uA9DF\uAA5C-\uAA5F\uAADE\uAADF\uAAF0\uAAF1\uABEB\uFD3E\uFD3F\uFE10-\uFE19\uFE30-\uFE52\uFE54-\uFE61\uFE63\uFE68\uFE6A\uFE6B\uFF01-\uFF03\uFF05-\uFF0A\uFF0C-\uFF0F\uFF1A\uFF1B\uFF1F\uFF20\uFF3B-\uFF3D\uFF3F\uFF5B\uFF5D\uFF5F-\uFF65]|\uD800[\uDD00-\uDD02\uDF9F\uDFD0]|\uD801\uDD6F|\uD802[\uDC57\uDD1F\uDD3F\uDE50-\uDE58\uDE7F\uDEF0-\uDEF6\uDF39-\uDF3F\uDF99-\uDF9C]|\uD804[\uDC47-\uDC4D\uDCBB\uDCBC\uDCBE-\uDCC1\uDD40-\uDD43\uDD74\uDD75\uDDC5-\uDDC9\uDDCD\uDDDB\uDDDD-\uDDDF\uDE38-\uDE3D\uDEA9]|\uD805[\uDCC6\uDDC1-\uDDD7\uDE41-\uDE43\uDF3C-\uDF3E]|\uD809[\uDC70-\uDC74]|\uD81A[\uDE6E\uDE6F\uDEF5\uDF37-\uDF3B\uDF44]|\uD82F\uDC9F|\uD836[\uDE87-\uDE8B]/,Md=" ";function Pd(Lf,xf,wf){return xf.f=xf.inline=wf,wf(Lf,xf)}function Fd(Lf,xf,wf){return xf.f=xf.block=wf,wf(Lf,xf)}function Ud(Lf){return!Lf||!/\S/.test(Lf.string)}function Gd(Lf){if(Lf.linkTitle=!1,Lf.linkHref=!1,Lf.linkText=!1,Lf.em=!1,Lf.strong=!1,Lf.strikethrough=!1,Lf.quote=0,Lf.indentedCode=!1,Lf.f==Vd){var xf=od;if(!xf){var wf=ed.innerMode(sd,Lf.htmlState);xf=wf.mode.name=="xml"&&wf.state.tagStart===null&&!wf.state.context&&wf.state.tokenize.isInText}xf&&(Lf.f=mf,Lf.block=Qd,Lf.htmlState=null)}return Lf.trailingSpace=0,Lf.trailingSpaceNewLine=!1,Lf.prevLine=Lf.thisLine,Lf.thisLine={stream:null},null}function Qd(Lf,xf){var wf=Lf.column()===xf.indentation,Jf=Ud(xf.prevLine.stream),Qf=xf.indentedCode,Ef=xf.prevLine.hr,bf=xf.list!==!1,Bf=(xf.listStack[xf.listStack.length-1]||0)+3;xf.indentedCode=!1;var Kf=xf.indentation;if(xf.indentationDiff===null&&(xf.indentationDiff=xf.indentation,bf)){for(xf.list=null;Kf=4&&(Qf||xf.prevLine.fencedCodeEnd||xf.prevLine.header||Jf))return Lf.skipToEnd(),xf.indentedCode=!0,cd.code;if(Lf.eatSpace())return null;if(wf&&xf.indentation<=Bf&&($f=Lf.match(Td))&&$f[1].length<=6)return xf.quote=0,xf.header=$f[1].length,xf.thisLine.header=!0,rd.highlightFormatting&&(xf.formatting="header"),xf.f=xf.inline,Xd(xf);if(xf.indentation<=Bf&&Lf.eat(">"))return xf.quote=wf?1:xf.quote+1,rd.highlightFormatting&&(xf.formatting="quote"),Lf.eatSpace(),Xd(xf);if(!zf&&!xf.setext&&wf&&xf.indentation<=Bf&&($f=Lf.match(Ed))){var th=$f[1]?"ol":"ul";return xf.indentation=Kf+Lf.current().length,xf.list=!0,xf.quote=0,xf.listStack.push(xf.indentation),xf.em=!1,xf.strong=!1,xf.code=!1,xf.strikethrough=!1,rd.taskLists&&Lf.match(Ad,!1)&&(xf.taskList=!0),xf.f=xf.inline,rd.highlightFormatting&&(xf.formatting=["list","list-"+th]),Xd(xf)}else{if(wf&&xf.indentation<=Bf&&($f=Lf.match(Bd,!0)))return xf.quote=0,xf.fencedEndRE=new RegExp($f[1]+"+ *$"),xf.localMode=rd.fencedCodeBlockHighlighting&&ld($f[2]||rd.fencedCodeBlockDefaultMode),xf.localMode&&(xf.localState=ed.startState(xf.localMode)),xf.f=xf.block=zd,rd.highlightFormatting&&(xf.formatting="code-block"),xf.code=-1,Xd(xf);if(xf.setext||(!nh||!bf)&&!xf.quote&&xf.list===!1&&!xf.code&&!zf&&!kd.test(Lf.string)&&($f=Lf.lookAhead(1))&&($f=$f.match(Nd)))return xf.setext?(xf.header=xf.setext,xf.setext=0,Lf.skipToEnd(),rd.highlightFormatting&&(xf.formatting="header")):(xf.header=$f[0].charAt(0)=="="?1:2,xf.setext=xf.header),xf.thisLine.header=!0,xf.f=xf.inline,Xd(xf);if(zf)return Lf.skipToEnd(),xf.hr=!0,xf.thisLine.hr=!0,cd.hr;if(Lf.peek()==="[")return Pd(Lf,xf,Nf)}return Pd(Lf,xf,xf.inline)}function Vd(Lf,xf){var wf=sd.token(Lf,xf.htmlState);if(!od){var Jf=ed.innerMode(sd,xf.htmlState);(Jf.mode.name=="xml"&&Jf.state.tagStart===null&&!Jf.state.context&&Jf.state.tokenize.isInText||xf.md_inside&&Lf.current().indexOf(">")>-1)&&(xf.f=mf,xf.block=Qd,xf.htmlState=null)}return wf}function zd(Lf,xf){var wf=xf.listStack[xf.listStack.length-1]||0,Jf=xf.indentation=Lf.quote?xf.push(cd.formatting+"-"+Lf.formatting[wf]+"-"+Lf.quote):xf.push("error"))}if(Lf.taskOpen)return xf.push("meta"),xf.length?xf.join(" "):null;if(Lf.taskClosed)return xf.push("property"),xf.length?xf.join(" "):null;if(Lf.linkHref?xf.push(cd.linkHref,"url"):(Lf.strong&&xf.push(cd.strong),Lf.em&&xf.push(cd.em),Lf.strikethrough&&xf.push(cd.strikethrough),Lf.emoji&&xf.push(cd.emoji),Lf.linkText&&xf.push(cd.linkText),Lf.code&&xf.push(cd.code),Lf.image&&xf.push(cd.image),Lf.imageAltText&&xf.push(cd.imageAltText,"link"),Lf.imageMarker&&xf.push(cd.imageMarker)),Lf.header&&xf.push(cd.header,cd.header+"-"+Lf.header),Lf.quote&&(xf.push(cd.quote),!rd.maxBlockquoteDepth||rd.maxBlockquoteDepth>=Lf.quote?xf.push(cd.quote+"-"+Lf.quote):xf.push(cd.quote+"-"+rd.maxBlockquoteDepth)),Lf.list!==!1){var Jf=(Lf.listStack.length-1)%3;Jf?Jf===1?xf.push(cd.list2):xf.push(cd.list3):xf.push(cd.list1)}return Lf.trailingSpaceNewLine?xf.push("trailing-space-new-line"):Lf.trailingSpace&&xf.push("trailing-space-"+(Lf.trailingSpace%2?"a":"b")),xf.length?xf.join(" "):null}function df(Lf,xf){if(Lf.match(Rd,!0))return Xd(xf)}function mf(Lf,xf){var wf=xf.text(Lf,xf);if(typeof wf<"u")return wf;if(xf.list)return xf.list=null,Xd(xf);if(xf.taskList){var Jf=Lf.match(Ad,!0)[1]===" ";return Jf?xf.taskOpen=!0:xf.taskClosed=!0,rd.highlightFormatting&&(xf.formatting="task"),xf.taskList=!1,Xd(xf)}if(xf.taskOpen=!1,xf.taskClosed=!1,xf.header&&Lf.match(/^#+$/,!0))return rd.highlightFormatting&&(xf.formatting="header"),Xd(xf);var Qf=Lf.next();if(xf.linkTitle){xf.linkTitle=!1;var Ef=Qf;Qf==="("&&(Ef=")"),Ef=(Ef+"").replace(/([.?*+^\[\]\\(){}|-])/g,"\\$1");var bf="^\\s*(?:[^"+Ef+"\\\\]+|\\\\\\\\|\\\\.)"+Ef;if(Lf.match(new RegExp(bf),!0))return cd.linkHref}if(Qf==="`"){var Bf=xf.formatting;rd.highlightFormatting&&(xf.formatting="code"),Lf.eatWhile("`");var Kf=Lf.current().length;if(xf.code==0&&(!xf.quote||Kf==1))return xf.code=Kf,Xd(xf);if(Kf==xf.code){var nh=Xd(xf);return xf.code=0,nh}else return xf.formatting=Bf,Xd(xf)}else if(xf.code)return Xd(xf);if(Qf==="\\"&&(Lf.next(),rd.highlightFormatting)){var zf=Xd(xf),$f=cd.formatting+"-escape";return zf?zf+" "+$f:$f}if(Qf==="!"&&Lf.match(/\[[^\]]*\] ?(?:\(|\[)/,!1))return xf.imageMarker=!0,xf.image=!0,rd.highlightFormatting&&(xf.formatting="image"),Xd(xf);if(Qf==="["&&xf.imageMarker&&Lf.match(/[^\]]*\](\(.*?\)| ?\[.*?\])/,!1))return xf.imageMarker=!1,xf.imageAltText=!0,rd.highlightFormatting&&(xf.formatting="image"),Xd(xf);if(Qf==="]"&&xf.imageAltText){rd.highlightFormatting&&(xf.formatting="image");var zf=Xd(xf);return xf.imageAltText=!1,xf.image=!1,xf.inline=xf.f=hf,zf}if(Qf==="["&&!xf.image)return xf.linkText&&Lf.match(/^.*?\]/)||(xf.linkText=!0,rd.highlightFormatting&&(xf.formatting="link")),Xd(xf);if(Qf==="]"&&xf.linkText){rd.highlightFormatting&&(xf.formatting="link");var zf=Xd(xf);return xf.linkText=!1,xf.inline=xf.f=Lf.match(/\(.*?\)| ?\[.*?\]/,!1)?hf:mf,zf}if(Qf==="<"&&Lf.match(/^(https?|ftps?):\/\/(?:[^\\>]|\\.)+>/,!1)){xf.f=xf.inline=_f,rd.highlightFormatting&&(xf.formatting="link");var zf=Xd(xf);return zf?zf+=" ":zf="",zf+cd.linkInline}if(Qf==="<"&&Lf.match(/^[^> \\]+@(?:[^\\>]|\\.)+>/,!1)){xf.f=xf.inline=_f,rd.highlightFormatting&&(xf.formatting="link");var zf=Xd(xf);return zf?zf+=" ":zf="",zf+cd.linkEmail}if(rd.xml&&Qf==="<"&&Lf.match(/^(!--|\?|!\[CDATA\[|[a-z][a-z0-9-]*(?:\s+[a-z_:.\-]+(?:\s*=\s*[^>]+)?)*\s*(?:>|$))/i,!1)){var th=Lf.string.indexOf(">",Lf.pos);if(th!=-1){var hh=Lf.string.substring(Lf.start,th);/markdown\s*=\s*('|"){0,1}1('|"){0,1}/.test(hh)&&(xf.md_inside=!0)}return Lf.backUp(1),xf.htmlState=ed.startState(sd),Fd(Lf,xf,Vd)}if(rd.xml&&Qf==="<"&&Lf.match(/^\/\w*?>/))return xf.md_inside=!1,"tag";if(Qf==="*"||Qf==="_"){for(var Xf=1,Df=Lf.pos==1?" ":Lf.string.charAt(Lf.pos-2);Xf<3&&Lf.eat(Qf);)Xf++;var Of=Lf.peek()||" ",Vf=!/\s/.test(Of)&&(!Od.test(Of)||/\s/.test(Df)||Od.test(Df)),dh=!/\s/.test(Df)&&(!Od.test(Df)||/\s/.test(Of)||Od.test(Of)),bh=null,oh=null;if(Xf%2&&(!xf.em&&Vf&&(Qf==="*"||!dh||Od.test(Df))?bh=!0:xf.em==Qf&&dh&&(Qf==="*"||!Vf||Od.test(Of))&&(bh=!1)),Xf>1&&(!xf.strong&&Vf&&(Qf==="*"||!dh||Od.test(Df))?oh=!0:xf.strong==Qf&&dh&&(Qf==="*"||!Vf||Od.test(Of))&&(oh=!1)),oh!=null||bh!=null){rd.highlightFormatting&&(xf.formatting=bh==null?"strong":oh==null?"em":"strong em"),bh===!0&&(xf.em=Qf),oh===!0&&(xf.strong=Qf);var nh=Xd(xf);return bh===!1&&(xf.em=!1),oh===!1&&(xf.strong=!1),nh}}else if(Qf===" "&&(Lf.eat("*")||Lf.eat("_"))){if(Lf.peek()===" ")return Xd(xf);Lf.backUp(1)}if(rd.strikethrough){if(Qf==="~"&&Lf.eatWhile(Qf)){if(xf.strikethrough){rd.highlightFormatting&&(xf.formatting="strikethrough");var nh=Xd(xf);return xf.strikethrough=!1,nh}else if(Lf.match(/^[^\s]/,!1))return xf.strikethrough=!0,rd.highlightFormatting&&(xf.formatting="strikethrough"),Xd(xf)}else if(Qf===" "&&Lf.match("~~",!0)){if(Lf.peek()===" ")return Xd(xf);Lf.backUp(2)}}if(rd.emoji&&Qf===":"&&Lf.match(/^(?:[a-z_\d+][a-z_\d+-]*|\-[a-z_\d+][a-z_\d+-]*):/)){xf.emoji=!0,rd.highlightFormatting&&(xf.formatting="emoji");var Ih=Xd(xf);return xf.emoji=!1,Ih}return Qf===" "&&(Lf.match(/^ +$/,!1)?xf.trailingSpace++:xf.trailingSpace&&(xf.trailingSpaceNewLine=!0)),Xd(xf)}function _f(Lf,xf){var wf=Lf.next();if(wf===">"){xf.f=xf.inline=mf,rd.highlightFormatting&&(xf.formatting="link");var Jf=Xd(xf);return Jf?Jf+=" ":Jf="",Jf+cd.linkInline}return Lf.match(/^[^>]+/,!0),cd.linkInline}function hf(Lf,xf){if(Lf.eatSpace())return null;var wf=Lf.next();return wf==="("||wf==="["?(xf.f=xf.inline=yf(wf==="("?")":"]"),rd.highlightFormatting&&(xf.formatting="link-string"),xf.linkHref=!0,Xd(xf)):"error"}var gf={")":/^(?:[^\\\(\)]|\\.|\((?:[^\\\(\)]|\\.)*\))*?(?=\))/,"]":/^(?:[^\\\[\]]|\\.|\[(?:[^\\\[\]]|\\.)*\])*?(?=\])/};function yf(Lf){return function(xf,wf){var Jf=xf.next();if(Jf===Lf){wf.f=wf.inline=mf,rd.highlightFormatting&&(wf.formatting="link-string");var Qf=Xd(wf);return wf.linkHref=!1,Qf}return xf.match(gf[Lf]),wf.linkHref=!0,Xd(wf)}}function Nf(Lf,xf){return Lf.match(/^([^\]\\]|\\.)*\]:/,!1)?(xf.f=Pf,Lf.next(),rd.highlightFormatting&&(xf.formatting="link"),xf.linkText=!0,Xd(xf)):Pd(Lf,xf,mf)}function Pf(Lf,xf){if(Lf.match("]:",!0)){xf.f=xf.inline=Yf,rd.highlightFormatting&&(xf.formatting="link");var wf=Xd(xf);return xf.linkText=!1,wf}return Lf.match(/^([^\]\\]|\\.)+/,!0),cd.linkText}function Yf(Lf,xf){return Lf.eatSpace()?null:(Lf.match(/^[^\s]+/,!0),Lf.peek()===void 0?xf.linkTitle=!0:Lf.match(/^(?:\s+(?:"(?:[^"\\]|\\.)+"|'(?:[^'\\]|\\.)+'|\((?:[^)\\]|\\.)+\)))?/,!0),xf.f=xf.inline=mf,cd.linkHref+" url")}var Uf={startState:function(){return{f:Qd,prevLine:{stream:null},thisLine:{stream:null},block:Qd,htmlState:null,indentation:0,inline:mf,text:df,formatting:!1,linkText:!1,linkHref:!1,linkTitle:!1,code:0,em:!1,strong:!1,header:0,setext:0,hr:!1,taskList:!1,list:!1,listStack:[],quote:0,trailingSpace:0,trailingSpaceNewLine:!1,strikethrough:!1,emoji:!1,fencedEndRE:null}},copyState:function(Lf){return{f:Lf.f,prevLine:Lf.prevLine,thisLine:Lf.thisLine,block:Lf.block,htmlState:Lf.htmlState&&ed.copyState(sd,Lf.htmlState),indentation:Lf.indentation,localMode:Lf.localMode,localState:Lf.localMode?ed.copyState(Lf.localMode,Lf.localState):null,inline:Lf.inline,text:Lf.text,formatting:!1,linkText:Lf.linkText,linkTitle:Lf.linkTitle,linkHref:Lf.linkHref,code:Lf.code,em:Lf.em,strong:Lf.strong,strikethrough:Lf.strikethrough,emoji:Lf.emoji,header:Lf.header,setext:Lf.setext,hr:Lf.hr,taskList:Lf.taskList,list:Lf.list,listStack:Lf.listStack.slice(0),quote:Lf.quote,indentedCode:Lf.indentedCode,trailingSpace:Lf.trailingSpace,trailingSpaceNewLine:Lf.trailingSpaceNewLine,md_inside:Lf.md_inside,fencedEndRE:Lf.fencedEndRE}},token:function(Lf,xf){if(xf.formatting=!1,Lf!=xf.thisLine.stream){if(xf.header=0,xf.hr=!1,Lf.match(/^\s*$/,!0))return Gd(xf),null;if(xf.prevLine=xf.thisLine,xf.thisLine={stream:Lf},xf.taskList=!1,xf.trailingSpace=0,xf.trailingSpaceNewLine=!1,!xf.localState&&(xf.f=xf.block,xf.f!=Vd)){var wf=Lf.match(/^\s*/,!0)[0].replace(/\t/g,Md).length;if(xf.indentation=wf,xf.indentationDiff=null,wf>0)return null}}return xf.f(Lf,xf)},innerMode:function(Lf){return Lf.block==Vd?{state:Lf.htmlState,mode:sd}:Lf.localState?{state:Lf.localState,mode:Lf.localMode}:{state:Lf,mode:Uf}},indent:function(Lf,xf,wf){return Lf.block==Vd&&sd.indent?sd.indent(Lf.htmlState,xf,wf):Lf.localState&&Lf.localMode.indent?Lf.localMode.indent(Lf.localState,xf,wf):ed.Pass},blankLine:Gd,getType:Xd,blockCommentStart:"",closeBrackets:"()[]{}''\"\"``",fold:"markdown"};return Uf},"xml"),ed.defineMIME("text/markdown","markdown"),ed.defineMIME("text/x-markdown","markdown")})})();(function(Ra,qa){(function(ed){ed(requireCodemirror())})(function(ed){var td={pairs:`()[]{}''""`,closeBefore:`)]}'":;>`,triples:"",explode:"[]{}"},rd=ed.Pos;ed.defineOption("autoCloseBrackets",!1,function(kd,Od,Md){Md&&Md!=ed.Init&&(kd.removeKeyMap(od),kd.state.closeBrackets=null),Od&&(ld(sd(Od,"pairs")),kd.state.closeBrackets=Od,kd.addKeyMap(od))});function sd(kd,Od){return Od=="pairs"&&typeof kd=="string"?kd:typeof kd=="object"&&kd[Od]!=null?kd[Od]:td[Od]}var od={Backspace:_d,Enter:Ed};function ld(kd){for(var Od=0;Od=0;Fd--){var Gd=Pd[Fd].head;kd.replaceRange("",rd(Gd.line,Gd.ch-1),rd(Gd.line,Gd.ch+1),"+delete")}}function Ed(kd){var Od=ud(kd),Md=Od&&sd(Od,"explode");if(!Md||kd.getOption("disableInput"))return ed.Pass;for(var Pd=kd.listSelections(),Fd=0;Fd0?{line:Gd.head.line,ch:Gd.head.ch+Od}:{line:Gd.head.line-1};Md.push({anchor:Qd,head:Qd})}kd.setSelections(Md,Fd)}function Td(kd){var Od=ed.cmpPos(kd.anchor,kd.head)>0;return{anchor:new rd(kd.anchor.line,kd.anchor.ch+(Od?-1:1)),head:new rd(kd.head.line,kd.head.ch+(Od?1:-1))}}function Nd(kd,Od){var Md=ud(kd);if(!Md||kd.getOption("disableInput"))return ed.Pass;var Pd=sd(Md,"pairs"),Fd=Pd.indexOf(Od);if(Fd==-1)return ed.Pass;for(var Ud=sd(Md,"closeBefore"),Gd=sd(Md,"triples"),Qd=Pd.charAt(Fd+1)==Od,Vd=kd.listSelections(),zd=Fd%2==0,Xd,df=0;df=0&&kd.getRange(_f,rd(_f.line,_f.ch+3))==Od+Od+Od?hf="skipThree":hf="skip";else if(Qd&&_f.ch>1&&Gd.indexOf(Od)>=0&&kd.getRange(rd(_f.line,_f.ch-2),_f)==Od+Od){if(_f.ch>2&&/\bstring/.test(kd.getTokenTypeAt(rd(_f.line,_f.ch-2))))return ed.Pass;hf="addFour"}else if(Qd){var yf=_f.ch==0?" ":kd.getRange(rd(_f.line,_f.ch-1),_f);if(!ed.isWordChar(gf)&&yf!=Od&&!ed.isWordChar(yf))hf="both";else return ed.Pass}else if(zd&&(gf.length===0||/\s/.test(gf)||Ud.indexOf(gf)>-1))hf="both";else return ed.Pass;if(!Xd)Xd=hf;else if(Xd!=hf)return ed.Pass}var Nf=Fd%2?Pd.charAt(Fd-1):Od,Pf=Fd%2?Od:Pd.charAt(Fd+1);kd.operation(function(){if(Xd=="skip")Ad(kd,1);else if(Xd=="skipThree")Ad(kd,3);else if(Xd=="surround"){for(var Yf=kd.getSelections(),Uf=0;Uf",")":"(<","[":"]>","]":"[<","{":"}>","}":"{<","<":">>",">":"<<"};function od(Ad){return Ad&&Ad.bracketRegex||/[(){}[\]]/}function ld(Ad,Td,Nd){var Rd=Ad.getLineHandle(Td.line),Bd=Td.ch-1,kd=Nd&&Nd.afterCursor;kd==null&&(kd=/(^| )cm-fat-cursor($| )/.test(Ad.getWrapperElement().className));var Od=od(Nd),Md=!kd&&Bd>=0&&Od.test(Rd.text.charAt(Bd))&&sd[Rd.text.charAt(Bd)]||Od.test(Rd.text.charAt(Bd+1))&&sd[Rd.text.charAt(++Bd)];if(!Md)return null;var Pd=Md.charAt(1)==">"?1:-1;if(Nd&&Nd.strict&&Pd>0!=(Bd==Td.ch))return null;var Fd=Ad.getTokenTypeAt(rd(Td.line,Bd+1)),Ud=cd(Ad,rd(Td.line,Bd+(Pd>0?1:0)),Pd,Fd,Nd);return Ud==null?null:{from:rd(Td.line,Bd),to:Ud&&Ud.pos,match:Ud&&Ud.ch==Md.charAt(0),forward:Pd>0}}function cd(Ad,Td,Nd,Rd,Bd){for(var kd=Bd&&Bd.maxScanLineLength||1e4,Od=Bd&&Bd.maxScanLines||1e3,Md=[],Pd=od(Bd),Fd=Nd>0?Math.min(Td.line+Od,Ad.lastLine()+1):Math.max(Ad.firstLine()-1,Td.line-Od),Ud=Td.line;Ud!=Fd;Ud+=Nd){var Gd=Ad.getLine(Ud);if(Gd){var Qd=Nd>0?0:Gd.length-1,Vd=Nd>0?Gd.length:-1;if(!(Gd.length>kd))for(Ud==Td.line&&(Qd=Td.ch-(Nd<0?1:0));Qd!=Vd;Qd+=Nd){var zd=Gd.charAt(Qd);if(Pd.test(zd)&&(Rd===void 0||(Ad.getTokenTypeAt(rd(Ud,Qd+1))||"")==(Rd||""))){var Xd=sd[zd];if(Xd&&Xd.charAt(1)==">"==Nd>0)Md.push(zd);else if(Md.length)Md.pop();else return{pos:rd(Ud,Qd),ch:zd}}}}}return Ud-Nd==(Nd>0?Ad.lastLine():Ad.firstLine())?!1:null}function ud(Ad,Td,Nd){for(var Rd=Ad.state.matchBrackets.maxHighlightLineLength||1e3,Bd=Nd&&Nd.highlightNonMatching,kd=[],Od=Ad.listSelections(),Md=0;Md1)){if(this.somethingSelected()){if(!kd.hint.supportsSelection)return;for(var Md=0;MdGd.clientHeight+1:!1,Bf;setTimeout(function(){Bf=Pd.getScrollInfo()});var Kf=Ef.bottom-Qf;if(Kf>0){var nh=Ef.bottom-Ef.top,zf=Ef.top-(hf.bottom-hf.top)-2;Qf-Ef.topzf&&(Gd.style.height=(nh=zf)+"px"),Gd.style.top=(yf=hf.top-nh)+Yf+"px",Nf=!1):Gd.style.height=Qf-Ef.top-2+"px"}var $f=Ef.right-Jf;if(bf&&($f+=Pd.display.nativeBarWidth),$f>0&&(Ef.right-Ef.left>Jf&&(Gd.style.width=Jf-5+"px",$f-=Ef.right-Ef.left-Jf),Gd.style.left=(gf=Math.max(hf.left-$f-Pf,0))+"px"),bf)for(var th=Gd.firstChild;th;th=th.nextSibling)th.style.paddingRight=Pd.display.nativeBarWidth+"px";if(Pd.addKeyMap(this.keyMap=_d(kd,{moveFocus:function(Df,Of){Md.changeActive(Md.selectedHint+Df,Of)},setFocus:function(Df){Md.changeActive(Df)},menuSize:function(){return Md.screenAmount()},length:Vd.length,close:function(){kd.close()},pick:function(){Md.pick()},data:Od})),kd.options.closeOnUnfocus){var hh;Pd.on("blur",this.onBlur=function(){hh=setTimeout(function(){kd.close()},100)}),Pd.on("focus",this.onFocus=function(){clearTimeout(hh)})}Pd.on("scroll",this.onScroll=function(){var Df=Pd.getScrollInfo(),Of=Pd.getWrapperElement().getBoundingClientRect();Bf||(Bf=Pd.getScrollInfo());var Vf=yf+Bf.top-Df.top,dh=Vf-(Ud.pageYOffset||(Fd.documentElement||Fd.body).scrollTop);if(Nf||(dh+=Gd.offsetHeight),dh<=Of.top||dh>=Of.bottom)return kd.close();Gd.style.top=Vf+"px",Gd.style.left=gf+Bf.left-Df.left+"px"}),ed.on(Gd,"dblclick",function(Df){var Of=Ed(Gd,Df.target||Df.srcElement);Of&&Of.hintId!=null&&(Md.changeActive(Of.hintId),Md.pick())}),ed.on(Gd,"click",function(Df){var Of=Ed(Gd,Df.target||Df.srcElement);Of&&Of.hintId!=null&&(Md.changeActive(Of.hintId),kd.options.completeOnSingleClick&&Md.pick())}),ed.on(Gd,"mousedown",function(){setTimeout(function(){Pd.focus()},20)});var Xf=this.getSelectedHintRange();return(Xf.from!==0||Xf.to!==0)&&this.scrollToActive(),ed.signal(Od,"select",Vd[this.selectedHint],Gd.childNodes[this.selectedHint]),!0}Ad.prototype={close:function(){if(this.completion.widget==this){this.completion.widget=null,this.hints.parentNode&&this.hints.parentNode.removeChild(this.hints),this.completion.cm.removeKeyMap(this.keyMap);var kd=this.completion.cm.getInputField();kd.removeAttribute("aria-activedescendant"),kd.removeAttribute("aria-owns");var Od=this.completion.cm;this.completion.options.closeOnUnfocus&&(Od.off("blur",this.onBlur),Od.off("focus",this.onFocus)),Od.off("scroll",this.onScroll)}},disable:function(){this.completion.cm.removeKeyMap(this.keyMap);var kd=this;this.keyMap={Enter:function(){kd.picked=!0}},this.completion.cm.addKeyMap(this.keyMap)},pick:function(){this.completion.pick(this.data,this.selectedHint)},changeActive:function(kd,Od){if(kd>=this.data.list.length?kd=Od?this.data.list.length-1:0:kd<0&&(kd=Od?0:this.data.list.length-1),this.selectedHint!=kd){var Md=this.hints.childNodes[this.selectedHint];Md&&(Md.className=Md.className.replace(" "+rd,""),Md.removeAttribute("aria-selected")),Md=this.hints.childNodes[this.selectedHint=kd],Md.className+=" "+rd,Md.setAttribute("aria-selected","true"),this.completion.cm.getInputField().setAttribute("aria-activedescendant",Md.id),this.scrollToActive(),ed.signal(this.data,"select",this.data.list[this.selectedHint],Md)}},scrollToActive:function(){var kd=this.getSelectedHintRange(),Od=this.hints.childNodes[kd.from],Md=this.hints.childNodes[kd.to],Pd=this.hints.firstChild;Od.offsetTopthis.hints.scrollTop+this.hints.clientHeight&&(this.hints.scrollTop=Md.offsetTop+Md.offsetHeight-this.hints.clientHeight+Pd.offsetTop)},screenAmount:function(){return Math.floor(this.hints.clientHeight/this.hints.firstChild.offsetHeight)||1},getSelectedHintRange:function(){var kd=this.completion.options.scrollMargin||0;return{from:Math.max(0,this.selectedHint-kd),to:Math.min(this.data.list.length-1,this.selectedHint+kd)}}};function Td(kd,Od){if(!kd.somethingSelected())return Od;for(var Md=[],Pd=0;Pd0?Gd(df):zd(Xd+1)})}zd(0)};return Fd.async=!0,Fd.supportsSelection=!0,Fd}else return(Pd=kd.getHelper(kd.getCursor(),"hintWords"))?function(Ud){return ed.hint.fromList(Ud,{words:Pd})}:ed.hint.anyword?function(Ud,Gd){return ed.hint.anyword(Ud,Gd)}:function(){}}ed.registerHelper("hint","auto",{resolve:Rd}),ed.registerHelper("hint","fromList",function(kd,Od){var Md=kd.getCursor(),Pd=kd.getTokenAt(Md),Fd,Ud=ed.Pos(Md.line,Pd.start),Gd=Md;Pd.start,]/,closeOnPick:!0,closeOnUnfocus:!0,updateOnCursorActivity:!0,completeOnSingleClick:!0,container:null,customKeys:null,extraKeys:null,paddingForScrollbar:!0,moveOnOverlap:!0};ed.defineOption("hintOptions",null)})})();(function(Ra,qa){(function(ed){ed(requireCodemirror(),cssExports)})(function(ed){var td={active:1,after:1,before:1,checked:1,default:1,disabled:1,empty:1,enabled:1,"first-child":1,"first-letter":1,"first-line":1,"first-of-type":1,focus:1,hover:1,"in-range":1,indeterminate:1,invalid:1,lang:1,"last-child":1,"last-of-type":1,link:1,not:1,"nth-child":1,"nth-last-child":1,"nth-last-of-type":1,"nth-of-type":1,"only-of-type":1,"only-child":1,optional:1,"out-of-range":1,placeholder:1,"read-only":1,"read-write":1,required:1,root:1,selection:1,target:1,valid:1,visited:1};ed.registerHelper("hint","css",function(rd){var sd=rd.getCursor(),od=rd.getTokenAt(sd),ld=ed.innerMode(rd.getMode(),od.state);if(ld.mode.name!="css")return;if(od.type=="keyword"&&"!important".indexOf(od.string)==0)return{list:["!important"],from:ed.Pos(sd.line,od.start),to:ed.Pos(sd.line,od.end)};var cd=od.start,ud=sd.ch,_d=od.string.slice(0,ud-cd);/[^\w$_-]/.test(_d)&&(_d="",cd=ud=sd.ch);var Ed=ed.resolveMode("text/css"),Ad=[];function Td(Rd){for(var Bd in Rd)(!_d||Bd.lastIndexOf(_d,0)==0)&&Ad.push(Bd)}var Nd=ld.state.state;if(Nd=="pseudo"||od.type=="variable-3"?Td(td):Nd=="block"||Nd=="maybeprop"?Td(Ed.propertyKeywords):Nd=="prop"||Nd=="parens"||Nd=="at"||Nd=="params"?(Td(Ed.valueKeywords),Td(Ed.colorKeywords)):(Nd=="media"||Nd=="media_parens")&&(Td(Ed.mediaTypes),Td(Ed.mediaFeatures)),Ad.length)return{list:Ad,from:ed.Pos(sd.line,cd),to:ed.Pos(sd.line,ud)}})})})();const app=createApp(_sfc_main);app.use(createPinia());app.use(ElementPlus);app.mount("#app");export{isDark as $,cleanAndMerge as A,getConfig as B,random as C,setupGraphViewbox2 as D,selectSvgElement as E,version as F,getThemeVariables3 as G,line$1 as H,hasKatex as I,calculateMathMLDimensions as J,renderKatex as K,parseFontSize as L,curveBasis as M,parseGenericTypes as N,setupGraphViewbox as O,getStylesFromArray as P,interpolateToCurve as Q,curveLinear as R,getSubGraphTitleMargins as S,evaluate as T,createText as U,generateId as V,lineBreakRegex as W,__export as X,commonDb_exports as Y,ZERO_WIDTH_SPACE as Z,__name as _,assignWithDepth_default as a,arrayEach as a$,lighten as a0,darken as a1,withPath as a2,halfPi as a3,epsilon$2 as a4,tau$2 as a5,cos as a6,sin as a7,min as a8,abs$1 as a9,isUndefined$2 as aA,baseRest as aB,baseFlatten as aC,isArrayLikeObject as aD,constant$4 as aE,isFunction$2 as aF,keys as aG,isEmpty$1 as aH,computeDimensionOfText as aI,array as aJ,commonjsGlobal as aK,getDefaultExportFromCjs as aL,unknownIcon as aM,registerIconPacks as aN,getIconSVG as aO,toNumber$2 as aP,isIterateeCall as aQ,keysIn as aR,eq as aS,isArrayLike$1 as aT,baseIteratee as aU,baseFindIndex as aV,isArray$1 as aW,arrayMap as aX,isSymbol as aY,identity$1 as aZ,baseFor as a_,pi$7 as aa,sqrt as ab,atan2 as ac,constant as ad,asin as ae,acos as af,max as ag,clone$1 as ah,Utils as ai,Color$1 as aj,getLineFunctionsWithOffset as ak,replaceIconSubstring as al,decodeEntities as am,global$1 as an,markers_default as ao,clear3 as ap,clear2 as aq,clear as ar,updateNodeBounds as as,setNodeElem as at,insertNode as au,positionNode as av,insertCluster as aw,insertEdge as ax,positionEdgeLabel as ay,insertEdgeLabel as az,getAccDescription as b,arrayFilter as b0,hasPath as b1,Set$1 as b2,setToArray as b3,SetCache as b4,cacheHas as b5,define as b6,extend$1 as b7,Rgb as b8,Color as b9,arraySome as bA,isObject$4 as bB,__vitePreload as bC,rgbConvert as ba,nogamma as bb,hue as bc,dayjs2 as bd,constant$1 as be,interpolateNumber as bf,color as bg,interpolateRgb as bh,interpolateString as bi,baseAssignValue as bj,baseGet as bk,baseUnary as bl,toString$3 as bm,assignValue as bn,flatten as bo,pick as bp,cloneDeep$1 as bq,merge$3 as br,createAssigner as bs,isPrototype as bt,copyObject as bu,isObjectLike$1 as bv,baseGetTag as bw,nodeUtil as bx,getAllKeysIn as by,basePickBy as bz,setAccDescription as c,getConfig2 as d,common_default as e,calculateTextHeight as f,getAccTitle as g,calculateTextWidth as h,sanitizeText as i,select as j,configureSvgSize as k,log as l,sanitizeUrl_1 as m,setConfig2 as n,defaultConfig2 as o,setDiagramTitle as p,getDiagramTitle as q,clear$1 as r,setAccTitle as s,getEdgeId as t,utils_default as u,getRegisteredLayoutAlgorithm as v,wrapLabel as w,render$1 as x,rgba$1 as y,defaultConfig_default as z};