mirror of
https://github.com/doocs/md.git
synced 2024-11-24 19:10:34 +08:00
1286 lines
5.7 MiB
1286 lines
5.7 MiB
const __vite__mapDeps=(i,m=__vite__mapDeps,d=(m.f||(m.f=["assets/dagre-7LQXDS3O-DORQVOSZ.js","assets/graph-1A6XYfAz.js","assets/_baseUniq-RzqZZu6B.js","assets/layout-D9SNenqG.js","assets/min-DkbL3Cvc.js","assets/json-ajIu7FlK.js","assets/c4Diagram-THADGKDP-CfIZSgIu.js","assets/chunk-XVOYOM2C-CQW5tndo.js","assets/flowDiagram-SWJZLURC-hWY_REtH.js","assets/chunk-WZBIATSK-BiWzrX4-.js","assets/chunk-GWHMDDHB-DhSdLDMh.js","assets/channel-BvKsW_5-.js","assets/erDiagram-62CBQV5Y-CgIRmPj8.js","assets/gitGraphDiagram-UL5UFKDR-DWm04Q5V.js","assets/chunk-OQCM5LHU-CsBVhaUq.js","assets/chunk-2RYQ3QTB-C5POGxtv.js","assets/gitGraph-YCYPL57B-djhKSMLV.js","assets/ganttDiagram-ASEIQ4P5-IwXtKdaQ.js","assets/linear-D3KZc_6Q.js","assets/init-Gi6I4Gst.js","assets/infoDiagram-OZ7PC5JD-Cii3boyj.js","assets/pieDiagram-OZX6XH5M-D2sIxKc8.js","assets/arc--36MDV7K.js","assets/ordinal-Cboi1Yqb.js","assets/quadrantDiagram-VG34DGKC-G17LuvyQ.js","assets/xychartDiagram-TMT7NLBN-D6F1d3Va.js","assets/requirementDiagram-FPZB6IJI-Dejrnr2y.js","assets/sequenceDiagram-K7U7U2PU-DjjBps8t.js","assets/classDiagram-4BHYIK4I-YoLqn6hW.js","assets/chunk-BAVOGKFW-DQIrLvqj.js","assets/classDiagram-v2-SPY6V32O-G6l4OOVJ.js","assets/chunk-MKAIFKW5-BLJeFbzd.js","assets/stateDiagram-Q3B52UYC-BLADczIv.js","assets/chunk-W3SRJFPQ-CuZpmw-P.js","assets/stateDiagram-v2-YJVOV2SD-7I-3kSNK.js","assets/journeyDiagram-G5LC7W2K-D8EbDIyw.js","assets/timeline-definition-KYQAIZUX-DcrsDA5H.js","assets/mindmap-definition-26FWEE7H-oVOW70jC.js","assets/cytoscape.esm-D4GKE1yY.js","assets/sankeyDiagram-KMMQDL5K-Pr9Ub_Dl.js","assets/diagram-QS5GVLUX-B91t7pHc.js","assets/blockDiagram-SLEYNUNN-DeODnIVX.js","assets/architectureDiagram-WQGLKCSC-DywGTiBo.js"])))=>i.map(i=>d[i]);
|
||
var ow=Object.defineProperty;var KT=Ra=>{throw TypeError(Ra)};var lw=(Ra,qa,Ja)=>qa in Ra?ow(Ra,qa,{enumerable:!0,configurable:!0,writable:!0,value:Ja}):Ra[qa]=Ja;var n1=(Ra,qa,Ja)=>lw(Ra,typeof qa!="symbol"?qa+"":qa,Ja),cw=(Ra,qa,Ja)=>qa.has(Ra)||KT("Cannot "+Ja);var XT=(Ra,qa,Ja)=>qa.has(Ra)?KT("Cannot add the same private member more than once"):qa instanceof WeakSet?qa.add(Ra):qa.set(Ra,Ja);var _E=(Ra,qa,Ja)=>(cw(Ra,qa,"access private method"),Ja);(function(){const qa=document.createElement("link").relList;if(qa&&qa.supports&&qa.supports("modulepreload"))return;for(const td of document.querySelectorAll('link[rel="modulepreload"]'))ed(td);new MutationObserver(td=>{for(const rd of td)if(rd.type==="childList")for(const sd of rd.addedNodes)sd.tagName==="LINK"&&sd.rel==="modulepreload"&&ed(sd)}).observe(document,{childList:!0,subtree:!0});function Ja(td){const rd={};return td.integrity&&(rd.integrity=td.integrity),td.referrerPolicy&&(rd.referrerPolicy=td.referrerPolicy),td.crossOrigin==="use-credentials"?rd.credentials="include":td.crossOrigin==="anonymous"?rd.credentials="omit":rd.credentials="same-origin",rd}function ed(td){if(td.ep)return;td.ep=!0;const rd=Ja(td);fetch(td.href,rd)}})();const global$1=globalThis||void 0||self;/**
|
||
* @vue/shared v3.4.38
|
||
* (c) 2018-present Yuxi (Evan) You and Vue contributors
|
||
* @license MIT
|
||
**//*! #__NO_SIDE_EFFECTS__ */function makeMap(Ra,qa){const Ja=new Set(Ra.split(","));return ed=>Ja.has(ed)}const EMPTY_OBJ={},EMPTY_ARR=[],NOOP=()=>{},NO=()=>!1,isOn=Ra=>Ra.charCodeAt(0)===111&&Ra.charCodeAt(1)===110&&(Ra.charCodeAt(2)>122||Ra.charCodeAt(2)<97),isModelListener=Ra=>Ra.startsWith("onUpdate:"),extend$3=Object.assign,remove$1=(Ra,qa)=>{const Ja=Ra.indexOf(qa);Ja>-1&&Ra.splice(Ja,1)},hasOwnProperty$i=Object.prototype.hasOwnProperty,hasOwn$1=(Ra,qa)=>hasOwnProperty$i.call(Ra,qa),isArray$2=Array.isArray,isMap$1=Ra=>toTypeString(Ra)==="[object Map]",isSet$1=Ra=>toTypeString(Ra)==="[object Set]",isFunction$4=Ra=>typeof Ra=="function",isString$3=Ra=>typeof Ra=="string",isSymbol$1=Ra=>typeof Ra=="symbol",isObject$5=Ra=>Ra!==null&&typeof Ra=="object",isPromise=Ra=>(isObject$5(Ra)||isFunction$4(Ra))&&isFunction$4(Ra.then)&&isFunction$4(Ra.catch),objectToString$1=Object.prototype.toString,toTypeString=Ra=>objectToString$1.call(Ra),toRawType=Ra=>toTypeString(Ra).slice(8,-1),isPlainObject$3=Ra=>toTypeString(Ra)==="[object Object]",isIntegerKey=Ra=>isString$3(Ra)&&Ra!=="NaN"&&Ra[0]!=="-"&&""+parseInt(Ra,10)===Ra,isReservedProp=makeMap(",key,ref,ref_for,ref_key,onVnodeBeforeMount,onVnodeMounted,onVnodeBeforeUpdate,onVnodeUpdated,onVnodeBeforeUnmount,onVnodeUnmounted"),cacheStringFunction=Ra=>{const qa=Object.create(null);return Ja=>qa[Ja]||(qa[Ja]=Ra(Ja))},camelizeRE=/-(\w)/g,camelize=cacheStringFunction(Ra=>Ra.replace(camelizeRE,(qa,Ja)=>Ja?Ja.toUpperCase():"")),hyphenateRE=/\B([A-Z])/g,hyphenate$1=cacheStringFunction(Ra=>Ra.replace(hyphenateRE,"-$1").toLowerCase()),capitalize$1=cacheStringFunction(Ra=>Ra.charAt(0).toUpperCase()+Ra.slice(1)),toHandlerKey=cacheStringFunction(Ra=>Ra?`on${capitalize$1(Ra)}`:""),hasChanged=(Ra,qa)=>!Object.is(Ra,qa),invokeArrayFns=(Ra,...qa)=>{for(let Ja=0;Ja<Ra.length;Ja++)Ra[Ja](...qa)},def$2=(Ra,qa,Ja,ed=!1)=>{Object.defineProperty(Ra,qa,{configurable:!0,enumerable:!1,writable:ed,value:Ja})},looseToNumber=Ra=>{const qa=parseFloat(Ra);return isNaN(qa)?Ra:qa},toNumber$3=Ra=>{const qa=isString$3(Ra)?Number(Ra):NaN;return isNaN(qa)?Ra:qa};let _globalThis;const getGlobalThis=()=>_globalThis||(_globalThis=typeof globalThis<"u"?globalThis:typeof self<"u"?self:typeof window<"u"?window:typeof global$1<"u"?global$1:{});function normalizeStyle(Ra){if(isArray$2(Ra)){const qa={};for(let Ja=0;Ja<Ra.length;Ja++){const ed=Ra[Ja],td=isString$3(ed)?parseStringStyle(ed):normalizeStyle(ed);if(td)for(const rd in td)qa[rd]=td[rd]}return qa}else if(isString$3(Ra)||isObject$5(Ra))return Ra}const listDelimiterRE=/;(?![^(]*\))/g,propertyDelimiterRE=/:([^]+)/,styleCommentRE=/\/\*[^]*?\*\//g;function parseStringStyle(Ra){const qa={};return Ra.replace(styleCommentRE,"").split(listDelimiterRE).forEach(Ja=>{if(Ja){const ed=Ja.split(propertyDelimiterRE);ed.length>1&&(qa[ed[0].trim()]=ed[1].trim())}}),qa}function normalizeClass(Ra){let qa="";if(isString$3(Ra))qa=Ra;else if(isArray$2(Ra))for(let Ja=0;Ja<Ra.length;Ja++){const ed=normalizeClass(Ra[Ja]);ed&&(qa+=ed+" ")}else if(isObject$5(Ra))for(const Ja in Ra)Ra[Ja]&&(qa+=Ja+" ");return qa.trim()}function normalizeProps(Ra){if(!Ra)return null;let{class:qa,style:Ja}=Ra;return qa&&!isString$3(qa)&&(Ra.class=normalizeClass(qa)),Ja&&(Ra.style=normalizeStyle(Ja)),Ra}const specialBooleanAttrs="itemscope,allowfullscreen,formnovalidate,ismap,nomodule,novalidate,readonly",isSpecialBooleanAttr=makeMap(specialBooleanAttrs);function includeBooleanAttr(Ra){return!!Ra||Ra===""}const isRef$1=Ra=>!!(Ra&&Ra.__v_isRef===!0),toDisplayString=Ra=>isString$3(Ra)?Ra:Ra==null?"":isArray$2(Ra)||isObject$5(Ra)&&(Ra.toString===objectToString$1||!isFunction$4(Ra.toString))?isRef$1(Ra)?toDisplayString(Ra.value):JSON.stringify(Ra,replacer$1,2):String(Ra),replacer$1=(Ra,qa)=>isRef$1(qa)?replacer$1(Ra,qa.value):isMap$1(qa)?{[`Map(${qa.size})`]:[...qa.entries()].reduce((Ja,[ed,td],rd)=>(Ja[stringifySymbol(ed,rd)+" =>"]=td,Ja),{})}:isSet$1(qa)?{[`Set(${qa.size})`]:[...qa.values()].map(Ja=>stringifySymbol(Ja))}:isSymbol$1(qa)?stringifySymbol(qa):isObject$5(qa)&&!isArray$2(qa)&&!isPlainObject$3(qa)?String(qa):qa,stringifySymbol=(Ra,qa="")=>{var Ja;return isSymbol$1(Ra)?`Symbol(${(Ja=Ra.description)!=null?Ja:qa})`:Ra};/**
|
||
* @vue/reactivity v3.4.38
|
||
* (c) 2018-present Yuxi (Evan) You and Vue contributors
|
||
* @license MIT
|
||
**/let activeEffectScope;class EffectScope{constructor(qa=!1){this.detached=qa,this._active=!0,this.effects=[],this.cleanups=[],this.parent=activeEffectScope,!qa&&activeEffectScope&&(this.index=(activeEffectScope.scopes||(activeEffectScope.scopes=[])).push(this)-1)}get active(){return this._active}run(qa){if(this._active){const Ja=activeEffectScope;try{return activeEffectScope=this,qa()}finally{activeEffectScope=Ja}}}on(){activeEffectScope=this}off(){activeEffectScope=this.parent}stop(qa){if(this._active){let Ja,ed;for(Ja=0,ed=this.effects.length;Ja<ed;Ja++)this.effects[Ja].stop();for(Ja=0,ed=this.cleanups.length;Ja<ed;Ja++)this.cleanups[Ja]();if(this.scopes)for(Ja=0,ed=this.scopes.length;Ja<ed;Ja++)this.scopes[Ja].stop(!0);if(!this.detached&&this.parent&&!qa){const td=this.parent.scopes.pop();td&&td!==this&&(this.parent.scopes[this.index]=td,td.index=this.index)}this.parent=void 0,this._active=!1}}}function effectScope(Ra){return new EffectScope(Ra)}function recordEffectScope(Ra,qa=activeEffectScope){qa&&qa.active&&qa.effects.push(Ra)}function getCurrentScope(){return activeEffectScope}function onScopeDispose(Ra){activeEffectScope&&activeEffectScope.cleanups.push(Ra)}let activeEffect;class ReactiveEffect{constructor(qa,Ja,ed,td){this.fn=qa,this.trigger=Ja,this.scheduler=ed,this.active=!0,this.deps=[],this._dirtyLevel=4,this._trackId=0,this._runnings=0,this._shouldSchedule=!1,this._depsLength=0,recordEffectScope(this,td)}get dirty(){if(this._dirtyLevel===2||this._dirtyLevel===3){this._dirtyLevel=1,pauseTracking();for(let qa=0;qa<this._depsLength;qa++){const Ja=this.deps[qa];if(Ja.computed&&(triggerComputed(Ja.computed),this._dirtyLevel>=4))break}this._dirtyLevel===1&&(this._dirtyLevel=0),resetTracking()}return this._dirtyLevel>=4}set dirty(qa){this._dirtyLevel=qa?4:0}run(){if(this._dirtyLevel=0,!this.active)return this.fn();let qa=shouldTrack,Ja=activeEffect;try{return shouldTrack=!0,activeEffect=this,this._runnings++,preCleanupEffect(this),this.fn()}finally{postCleanupEffect(this),this._runnings--,activeEffect=Ja,shouldTrack=qa}}stop(){this.active&&(preCleanupEffect(this),postCleanupEffect(this),this.onStop&&this.onStop(),this.active=!1)}}function triggerComputed(Ra){return Ra.value}function preCleanupEffect(Ra){Ra._trackId++,Ra._depsLength=0}function postCleanupEffect(Ra){if(Ra.deps.length>Ra._depsLength){for(let qa=Ra._depsLength;qa<Ra.deps.length;qa++)cleanupDepEffect(Ra.deps[qa],Ra);Ra.deps.length=Ra._depsLength}}function cleanupDepEffect(Ra,qa){const Ja=Ra.get(qa);Ja!==void 0&&qa._trackId!==Ja&&(Ra.delete(qa),Ra.size===0&&Ra.cleanup())}let shouldTrack=!0,pauseScheduleStack=0;const trackStack=[];function pauseTracking(){trackStack.push(shouldTrack),shouldTrack=!1}function resetTracking(){const Ra=trackStack.pop();shouldTrack=Ra===void 0?!0:Ra}function pauseScheduling(){pauseScheduleStack++}function resetScheduling(){for(pauseScheduleStack--;!pauseScheduleStack&&queueEffectSchedulers.length;)queueEffectSchedulers.shift()()}function trackEffect(Ra,qa,Ja){if(qa.get(Ra)!==Ra._trackId){qa.set(Ra,Ra._trackId);const ed=Ra.deps[Ra._depsLength];ed!==qa?(ed&&cleanupDepEffect(ed,Ra),Ra.deps[Ra._depsLength++]=qa):Ra._depsLength++}}const queueEffectSchedulers=[];function triggerEffects(Ra,qa,Ja){pauseScheduling();for(const ed of Ra.keys()){let td;ed._dirtyLevel<qa&&(td??(td=Ra.get(ed)===ed._trackId))&&(ed._shouldSchedule||(ed._shouldSchedule=ed._dirtyLevel===0),ed._dirtyLevel=qa),ed._shouldSchedule&&(td??(td=Ra.get(ed)===ed._trackId))&&(ed.trigger(),(!ed._runnings||ed.allowRecurse)&&ed._dirtyLevel!==2&&(ed._shouldSchedule=!1,ed.scheduler&&queueEffectSchedulers.push(ed.scheduler)))}resetScheduling()}const createDep=(Ra,qa)=>{const Ja=new Map;return Ja.cleanup=Ra,Ja.computed=qa,Ja},targetMap=new WeakMap,ITERATE_KEY=Symbol(""),MAP_KEY_ITERATE_KEY=Symbol("");function track(Ra,qa,Ja){if(shouldTrack&&activeEffect){let ed=targetMap.get(Ra);ed||targetMap.set(Ra,ed=new Map);let td=ed.get(Ja);td||ed.set(Ja,td=createDep(()=>ed.delete(Ja))),trackEffect(activeEffect,td)}}function trigger(Ra,qa,Ja,ed,td,rd){const sd=targetMap.get(Ra);if(!sd)return;let od=[];if(qa==="clear")od=[...sd.values()];else if(Ja==="length"&&isArray$2(Ra)){const ld=Number(ed);sd.forEach((cd,ud)=>{(ud==="length"||!isSymbol$1(ud)&&ud>=ld)&&od.push(cd)})}else switch(Ja!==void 0&&od.push(sd.get(Ja)),qa){case"add":isArray$2(Ra)?isIntegerKey(Ja)&&od.push(sd.get("length")):(od.push(sd.get(ITERATE_KEY)),isMap$1(Ra)&&od.push(sd.get(MAP_KEY_ITERATE_KEY)));break;case"delete":isArray$2(Ra)||(od.push(sd.get(ITERATE_KEY)),isMap$1(Ra)&&od.push(sd.get(MAP_KEY_ITERATE_KEY)));break;case"set":isMap$1(Ra)&&od.push(sd.get(ITERATE_KEY));break}pauseScheduling();for(const ld of od)ld&&triggerEffects(ld,4);resetScheduling()}function getDepFromReactive(Ra,qa){const Ja=targetMap.get(Ra);return Ja&&Ja.get(qa)}const isNonTrackableKeys=makeMap("__proto__,__v_isRef,__isVue"),builtInSymbols=new Set(Object.getOwnPropertyNames(Symbol).filter(Ra=>Ra!=="arguments"&&Ra!=="caller").map(Ra=>Symbol[Ra]).filter(isSymbol$1)),arrayInstrumentations=createArrayInstrumentations();function createArrayInstrumentations(){const Ra={};return["includes","indexOf","lastIndexOf"].forEach(qa=>{Ra[qa]=function(...Ja){const ed=toRaw(this);for(let rd=0,sd=this.length;rd<sd;rd++)track(ed,"get",rd+"");const td=ed[qa](...Ja);return td===-1||td===!1?ed[qa](...Ja.map(toRaw)):td}}),["push","pop","shift","unshift","splice"].forEach(qa=>{Ra[qa]=function(...Ja){pauseTracking(),pauseScheduling();const ed=toRaw(this)[qa].apply(this,Ja);return resetScheduling(),resetTracking(),ed}}),Ra}function hasOwnProperty$h(Ra){isSymbol$1(Ra)||(Ra=String(Ra));const qa=toRaw(this);return track(qa,"has",Ra),qa.hasOwnProperty(Ra)}class BaseReactiveHandler{constructor(qa=!1,Ja=!1){this._isReadonly=qa,this._isShallow=Ja}get(qa,Ja,ed){const td=this._isReadonly,rd=this._isShallow;if(Ja==="__v_isReactive")return!td;if(Ja==="__v_isReadonly")return td;if(Ja==="__v_isShallow")return rd;if(Ja==="__v_raw")return ed===(td?rd?shallowReadonlyMap:readonlyMap:rd?shallowReactiveMap:reactiveMap).get(qa)||Object.getPrototypeOf(qa)===Object.getPrototypeOf(ed)?qa:void 0;const sd=isArray$2(qa);if(!td){if(sd&&hasOwn$1(arrayInstrumentations,Ja))return Reflect.get(arrayInstrumentations,Ja,ed);if(Ja==="hasOwnProperty")return hasOwnProperty$h}const od=Reflect.get(qa,Ja,ed);return(isSymbol$1(Ja)?builtInSymbols.has(Ja):isNonTrackableKeys(Ja))||(td||track(qa,"get",Ja),rd)?od:isRef(od)?sd&&isIntegerKey(Ja)?od:od.value:isObject$5(od)?td?readonly(od):reactive(od):od}}class MutableReactiveHandler extends BaseReactiveHandler{constructor(qa=!1){super(!1,qa)}set(qa,Ja,ed,td){let rd=qa[Ja];if(!this._isShallow){const ld=isReadonly(rd);if(!isShallow(ed)&&!isReadonly(ed)&&(rd=toRaw(rd),ed=toRaw(ed)),!isArray$2(qa)&&isRef(rd)&&!isRef(ed))return ld?!1:(rd.value=ed,!0)}const sd=isArray$2(qa)&&isIntegerKey(Ja)?Number(Ja)<qa.length:hasOwn$1(qa,Ja),od=Reflect.set(qa,Ja,ed,td);return qa===toRaw(td)&&(sd?hasChanged(ed,rd)&&trigger(qa,"set",Ja,ed):trigger(qa,"add",Ja,ed)),od}deleteProperty(qa,Ja){const ed=hasOwn$1(qa,Ja);qa[Ja];const td=Reflect.deleteProperty(qa,Ja);return td&&ed&&trigger(qa,"delete",Ja,void 0),td}has(qa,Ja){const ed=Reflect.has(qa,Ja);return(!isSymbol$1(Ja)||!builtInSymbols.has(Ja))&&track(qa,"has",Ja),ed}ownKeys(qa){return track(qa,"iterate",isArray$2(qa)?"length":ITERATE_KEY),Reflect.ownKeys(qa)}}class ReadonlyReactiveHandler extends BaseReactiveHandler{constructor(qa=!1){super(!0,qa)}set(qa,Ja){return!0}deleteProperty(qa,Ja){return!0}}const mutableHandlers=new MutableReactiveHandler,readonlyHandlers=new ReadonlyReactiveHandler,shallowReactiveHandlers=new MutableReactiveHandler(!0),shallowReadonlyHandlers=new ReadonlyReactiveHandler(!0),toShallow=Ra=>Ra,getProto$2=Ra=>Reflect.getPrototypeOf(Ra);function get$3(Ra,qa,Ja=!1,ed=!1){Ra=Ra.__v_raw;const td=toRaw(Ra),rd=toRaw(qa);Ja||(hasChanged(qa,rd)&&track(td,"get",qa),track(td,"get",rd));const{has:sd}=getProto$2(td),od=ed?toShallow:Ja?toReadonly:toReactive;if(sd.call(td,qa))return od(Ra.get(qa));if(sd.call(td,rd))return od(Ra.get(rd));Ra!==td&&Ra.get(qa)}function has(Ra,qa=!1){const Ja=this.__v_raw,ed=toRaw(Ja),td=toRaw(Ra);return qa||(hasChanged(Ra,td)&&track(ed,"has",Ra),track(ed,"has",td)),Ra===td?Ja.has(Ra):Ja.has(Ra)||Ja.has(td)}function size$2(Ra,qa=!1){return Ra=Ra.__v_raw,!qa&&track(toRaw(Ra),"iterate",ITERATE_KEY),Reflect.get(Ra,"size",Ra)}function add(Ra,qa=!1){!qa&&!isShallow(Ra)&&!isReadonly(Ra)&&(Ra=toRaw(Ra));const Ja=toRaw(this);return getProto$2(Ja).has.call(Ja,Ra)||(Ja.add(Ra),trigger(Ja,"add",Ra,Ra)),this}function set$4(Ra,qa,Ja=!1){!Ja&&!isShallow(qa)&&!isReadonly(qa)&&(qa=toRaw(qa));const ed=toRaw(this),{has:td,get:rd}=getProto$2(ed);let sd=td.call(ed,Ra);sd||(Ra=toRaw(Ra),sd=td.call(ed,Ra));const od=rd.call(ed,Ra);return ed.set(Ra,qa),sd?hasChanged(qa,od)&&trigger(ed,"set",Ra,qa):trigger(ed,"add",Ra,qa),this}function deleteEntry(Ra){const qa=toRaw(this),{has:Ja,get:ed}=getProto$2(qa);let td=Ja.call(qa,Ra);td||(Ra=toRaw(Ra),td=Ja.call(qa,Ra)),ed&&ed.call(qa,Ra);const rd=qa.delete(Ra);return td&&trigger(qa,"delete",Ra,void 0),rd}function clear$2(){const Ra=toRaw(this),qa=Ra.size!==0,Ja=Ra.clear();return qa&&trigger(Ra,"clear",void 0,void 0),Ja}function createForEach(Ra,qa){return function(ed,td){const rd=this,sd=rd.__v_raw,od=toRaw(sd),ld=qa?toShallow:Ra?toReadonly:toReactive;return!Ra&&track(od,"iterate",ITERATE_KEY),sd.forEach((cd,ud)=>ed.call(td,ld(cd),ld(ud),rd))}}function createIterableMethod(Ra,qa,Ja){return function(...ed){const td=this.__v_raw,rd=toRaw(td),sd=isMap$1(rd),od=Ra==="entries"||Ra===Symbol.iterator&&sd,ld=Ra==="keys"&&sd,cd=td[Ra](...ed),ud=Ja?toShallow:qa?toReadonly:toReactive;return!qa&&track(rd,"iterate",ld?MAP_KEY_ITERATE_KEY:ITERATE_KEY),{next(){const{value:_d,done:yd}=cd.next();return yd?{value:_d,done:yd}:{value:od?[ud(_d[0]),ud(_d[1])]:ud(_d),done:yd}},[Symbol.iterator](){return this}}}}function createReadonlyMethod(Ra){return function(...qa){return Ra==="delete"?!1:Ra==="clear"?void 0:this}}function createInstrumentations(){const Ra={get(rd){return get$3(this,rd)},get size(){return size$2(this)},has,add,set:set$4,delete:deleteEntry,clear:clear$2,forEach:createForEach(!1,!1)},qa={get(rd){return get$3(this,rd,!1,!0)},get size(){return size$2(this)},has,add(rd){return add.call(this,rd,!0)},set(rd,sd){return set$4.call(this,rd,sd,!0)},delete:deleteEntry,clear:clear$2,forEach:createForEach(!1,!0)},Ja={get(rd){return get$3(this,rd,!0)},get size(){return size$2(this,!0)},has(rd){return has.call(this,rd,!0)},add:createReadonlyMethod("add"),set:createReadonlyMethod("set"),delete:createReadonlyMethod("delete"),clear:createReadonlyMethod("clear"),forEach:createForEach(!0,!1)},ed={get(rd){return get$3(this,rd,!0,!0)},get size(){return size$2(this,!0)},has(rd){return has.call(this,rd,!0)},add:createReadonlyMethod("add"),set:createReadonlyMethod("set"),delete:createReadonlyMethod("delete"),clear:createReadonlyMethod("clear"),forEach:createForEach(!0,!0)};return["keys","values","entries",Symbol.iterator].forEach(rd=>{Ra[rd]=createIterableMethod(rd,!1,!1),Ja[rd]=createIterableMethod(rd,!0,!1),qa[rd]=createIterableMethod(rd,!1,!0),ed[rd]=createIterableMethod(rd,!0,!0)}),[Ra,Ja,qa,ed]}const[mutableInstrumentations,readonlyInstrumentations,shallowInstrumentations,shallowReadonlyInstrumentations]=createInstrumentations();function createInstrumentationGetter(Ra,qa){const Ja=qa?Ra?shallowReadonlyInstrumentations:shallowInstrumentations:Ra?readonlyInstrumentations:mutableInstrumentations;return(ed,td,rd)=>td==="__v_isReactive"?!Ra:td==="__v_isReadonly"?Ra:td==="__v_raw"?ed:Reflect.get(hasOwn$1(Ja,td)&&td in ed?Ja:ed,td,rd)}const mutableCollectionHandlers={get:createInstrumentationGetter(!1,!1)},shallowCollectionHandlers={get:createInstrumentationGetter(!1,!0)},readonlyCollectionHandlers={get:createInstrumentationGetter(!0,!1)},shallowReadonlyCollectionHandlers={get:createInstrumentationGetter(!0,!0)},reactiveMap=new WeakMap,shallowReactiveMap=new WeakMap,readonlyMap=new WeakMap,shallowReadonlyMap=new WeakMap;function targetTypeMap(Ra){switch(Ra){case"Object":case"Array":return 1;case"Map":case"Set":case"WeakMap":case"WeakSet":return 2;default:return 0}}function getTargetType(Ra){return Ra.__v_skip||!Object.isExtensible(Ra)?0:targetTypeMap(toRawType(Ra))}function reactive(Ra){return isReadonly(Ra)?Ra:createReactiveObject(Ra,!1,mutableHandlers,mutableCollectionHandlers,reactiveMap)}function shallowReactive(Ra){return createReactiveObject(Ra,!1,shallowReactiveHandlers,shallowCollectionHandlers,shallowReactiveMap)}function readonly(Ra){return createReactiveObject(Ra,!0,readonlyHandlers,readonlyCollectionHandlers,readonlyMap)}function shallowReadonly(Ra){return createReactiveObject(Ra,!0,shallowReadonlyHandlers,shallowReadonlyCollectionHandlers,shallowReadonlyMap)}function createReactiveObject(Ra,qa,Ja,ed,td){if(!isObject$5(Ra)||Ra.__v_raw&&!(qa&&Ra.__v_isReactive))return Ra;const rd=td.get(Ra);if(rd)return rd;const sd=getTargetType(Ra);if(sd===0)return Ra;const od=new Proxy(Ra,sd===2?ed:Ja);return td.set(Ra,od),od}function isReactive(Ra){return isReadonly(Ra)?isReactive(Ra.__v_raw):!!(Ra&&Ra.__v_isReactive)}function isReadonly(Ra){return!!(Ra&&Ra.__v_isReadonly)}function isShallow(Ra){return!!(Ra&&Ra.__v_isShallow)}function isProxy(Ra){return Ra?!!Ra.__v_raw:!1}function toRaw(Ra){const qa=Ra&&Ra.__v_raw;return qa?toRaw(qa):Ra}function markRaw(Ra){return Object.isExtensible(Ra)&&def$2(Ra,"__v_skip",!0),Ra}const toReactive=Ra=>isObject$5(Ra)?reactive(Ra):Ra,toReadonly=Ra=>isObject$5(Ra)?readonly(Ra):Ra;class ComputedRefImpl{constructor(qa,Ja,ed,td){this.getter=qa,this._setter=Ja,this.dep=void 0,this.__v_isRef=!0,this.__v_isReadonly=!1,this.effect=new ReactiveEffect(()=>qa(this._value),()=>triggerRefValue(this,this.effect._dirtyLevel===2?2:3)),this.effect.computed=this,this.effect.active=this._cacheable=!td,this.__v_isReadonly=ed}get value(){const qa=toRaw(this);return(!qa._cacheable||qa.effect.dirty)&&hasChanged(qa._value,qa._value=qa.effect.run())&&triggerRefValue(qa,4),trackRefValue(qa),qa.effect._dirtyLevel>=2&&triggerRefValue(qa,2),qa._value}set value(qa){this._setter(qa)}get _dirty(){return this.effect.dirty}set _dirty(qa){this.effect.dirty=qa}}function computed$1(Ra,qa,Ja=!1){let ed,td;const rd=isFunction$4(Ra);return rd?(ed=Ra,td=NOOP):(ed=Ra.get,td=Ra.set),new ComputedRefImpl(ed,td,rd||!td,Ja)}function trackRefValue(Ra){var qa;shouldTrack&&activeEffect&&(Ra=toRaw(Ra),trackEffect(activeEffect,(qa=Ra.dep)!=null?qa:Ra.dep=createDep(()=>Ra.dep=void 0,Ra instanceof ComputedRefImpl?Ra:void 0)))}function triggerRefValue(Ra,qa=4,Ja,ed){Ra=toRaw(Ra);const td=Ra.dep;td&&triggerEffects(td,qa)}function isRef(Ra){return!!(Ra&&Ra.__v_isRef===!0)}function ref$1(Ra){return createRef(Ra,!1)}function shallowRef(Ra){return createRef(Ra,!0)}function createRef(Ra,qa){return isRef(Ra)?Ra:new RefImpl(Ra,qa)}class RefImpl{constructor(qa,Ja){this.__v_isShallow=Ja,this.dep=void 0,this.__v_isRef=!0,this._rawValue=Ja?qa:toRaw(qa),this._value=Ja?qa:toReactive(qa)}get value(){return trackRefValue(this),this._value}set value(qa){const Ja=this.__v_isShallow||isShallow(qa)||isReadonly(qa);qa=Ja?qa:toRaw(qa),hasChanged(qa,this._rawValue)&&(this._rawValue,this._rawValue=qa,this._value=Ja?qa:toReactive(qa),triggerRefValue(this,4))}}function unref(Ra){return isRef(Ra)?Ra.value:Ra}const shallowUnwrapHandlers={get:(Ra,qa,Ja)=>unref(Reflect.get(Ra,qa,Ja)),set:(Ra,qa,Ja,ed)=>{const td=Ra[qa];return isRef(td)&&!isRef(Ja)?(td.value=Ja,!0):Reflect.set(Ra,qa,Ja,ed)}};function proxyRefs(Ra){return isReactive(Ra)?Ra:new Proxy(Ra,shallowUnwrapHandlers)}class CustomRefImpl{constructor(qa){this.dep=void 0,this.__v_isRef=!0;const{get:Ja,set:ed}=qa(()=>trackRefValue(this),()=>triggerRefValue(this));this._get=Ja,this._set=ed}get value(){return this._get()}set value(qa){this._set(qa)}}function customRef(Ra){return new CustomRefImpl(Ra)}function toRefs(Ra){const qa=isArray$2(Ra)?new Array(Ra.length):{};for(const Ja in Ra)qa[Ja]=propertyToRef(Ra,Ja);return qa}class ObjectRefImpl{constructor(qa,Ja,ed){this._object=qa,this._key=Ja,this._defaultValue=ed,this.__v_isRef=!0}get value(){const qa=this._object[this._key];return qa===void 0?this._defaultValue:qa}set value(qa){this._object[this._key]=qa}get dep(){return getDepFromReactive(toRaw(this._object),this._key)}}class GetterRefImpl{constructor(qa){this._getter=qa,this.__v_isRef=!0,this.__v_isReadonly=!0}get value(){return this._getter()}}function toRef$1(Ra,qa,Ja){return isRef(Ra)?Ra:isFunction$4(Ra)?new GetterRefImpl(Ra):isObject$5(Ra)&&arguments.length>1?propertyToRef(Ra,qa,Ja):ref$1(Ra)}function propertyToRef(Ra,qa,Ja){const ed=Ra[qa];return isRef(ed)?ed:new ObjectRefImpl(Ra,qa,Ja)}/**
|
||
* @vue/runtime-core v3.4.38
|
||
* (c) 2018-present Yuxi (Evan) You and Vue contributors
|
||
* @license MIT
|
||
**/const stack=[];let isWarning=!1;function warn$1(Ra,...qa){if(isWarning)return;isWarning=!0,pauseTracking();const Ja=stack.length?stack[stack.length-1].component:null,ed=Ja&&Ja.appContext.config.warnHandler,td=getComponentTrace();if(ed)callWithErrorHandling(ed,Ja,11,[Ra+qa.map(rd=>{var sd,od;return(od=(sd=rd.toString)==null?void 0:sd.call(rd))!=null?od:JSON.stringify(rd)}).join(""),Ja&&Ja.proxy,td.map(({vnode:rd})=>`at <${formatComponentName(Ja,rd.type)}>`).join(`
|
||
`),td]);else{const rd=[`[Vue warn]: ${Ra}`,...qa];td.length&&rd.push(`
|
||
`,...formatTrace(td)),console.warn(...rd)}resetTracking(),isWarning=!1}function getComponentTrace(){let Ra=stack[stack.length-1];if(!Ra)return[];const qa=[];for(;Ra;){const Ja=qa[0];Ja&&Ja.vnode===Ra?Ja.recurseCount++:qa.push({vnode:Ra,recurseCount:0});const ed=Ra.component&&Ra.component.parent;Ra=ed&&ed.vnode}return qa}function formatTrace(Ra){const qa=[];return Ra.forEach((Ja,ed)=>{qa.push(...ed===0?[]:[`
|
||
`],...formatTraceEntry(Ja))}),qa}function formatTraceEntry({vnode:Ra,recurseCount:qa}){const Ja=qa>0?`... (${qa} recursive calls)`:"",ed=Ra.component?Ra.component.parent==null:!1,td=` at <${formatComponentName(Ra.component,Ra.type,ed)}`,rd=">"+Ja;return Ra.props?[td,...formatProps(Ra.props),rd]:[td+rd]}function formatProps(Ra){const qa=[],Ja=Object.keys(Ra);return Ja.slice(0,3).forEach(ed=>{qa.push(...formatProp(ed,Ra[ed]))}),Ja.length>3&&qa.push(" ..."),qa}function formatProp(Ra,qa,Ja){return isString$3(qa)?(qa=JSON.stringify(qa),Ja?qa:[`${Ra}=${qa}`]):typeof qa=="number"||typeof qa=="boolean"||qa==null?Ja?qa:[`${Ra}=${qa}`]:isRef(qa)?(qa=formatProp(Ra,toRaw(qa.value),!0),Ja?qa:[`${Ra}=Ref<`,qa,">"]):isFunction$4(qa)?[`${Ra}=fn${qa.name?`<${qa.name}>`:""}`]:(qa=toRaw(qa),Ja?qa:[`${Ra}=`,qa])}function callWithErrorHandling(Ra,qa,Ja,ed){try{return ed?Ra(...ed):Ra()}catch(td){handleError$1(td,qa,Ja)}}function callWithAsyncErrorHandling(Ra,qa,Ja,ed){if(isFunction$4(Ra)){const td=callWithErrorHandling(Ra,qa,Ja,ed);return td&&isPromise(td)&&td.catch(rd=>{handleError$1(rd,qa,Ja)}),td}if(isArray$2(Ra)){const td=[];for(let rd=0;rd<Ra.length;rd++)td.push(callWithAsyncErrorHandling(Ra[rd],qa,Ja,ed));return td}}function handleError$1(Ra,qa,Ja,ed=!0){const td=qa?qa.vnode:null;if(qa){let rd=qa.parent;const sd=qa.proxy,od=`https://vuejs.org/error-reference/#runtime-${Ja}`;for(;rd;){const cd=rd.ec;if(cd){for(let ud=0;ud<cd.length;ud++)if(cd[ud](Ra,sd,od)===!1)return}rd=rd.parent}const ld=qa.appContext.config.errorHandler;if(ld){pauseTracking(),callWithErrorHandling(ld,null,10,[Ra,sd,od]),resetTracking();return}}logError(Ra,Ja,td,ed)}function logError(Ra,qa,Ja,ed=!0){console.error(Ra)}let isFlushing=!1,isFlushPending=!1;const queue$2=[];let flushIndex=0;const pendingPostFlushCbs=[];let activePostFlushCbs=null,postFlushIndex=0;const resolvedPromise=Promise.resolve();let currentFlushPromise=null;function nextTick$1(Ra){const qa=currentFlushPromise||resolvedPromise;return Ra?qa.then(this?Ra.bind(this):Ra):qa}function findInsertionIndex(Ra){let qa=flushIndex+1,Ja=queue$2.length;for(;qa<Ja;){const ed=qa+Ja>>>1,td=queue$2[ed],rd=getId(td);rd<Ra||rd===Ra&&td.pre?qa=ed+1:Ja=ed}return qa}function queueJob(Ra){(!queue$2.length||!queue$2.includes(Ra,isFlushing&&Ra.allowRecurse?flushIndex+1:flushIndex))&&(Ra.id==null?queue$2.push(Ra):queue$2.splice(findInsertionIndex(Ra.id),0,Ra),queueFlush())}function queueFlush(){!isFlushing&&!isFlushPending&&(isFlushPending=!0,currentFlushPromise=resolvedPromise.then(flushJobs))}function invalidateJob(Ra){const qa=queue$2.indexOf(Ra);qa>flushIndex&&queue$2.splice(qa,1)}function queuePostFlushCb(Ra){isArray$2(Ra)?pendingPostFlushCbs.push(...Ra):(!activePostFlushCbs||!activePostFlushCbs.includes(Ra,Ra.allowRecurse?postFlushIndex+1:postFlushIndex))&&pendingPostFlushCbs.push(Ra),queueFlush()}function flushPreFlushCbs(Ra,qa,Ja=isFlushing?flushIndex+1:0){for(;Ja<queue$2.length;Ja++){const ed=queue$2[Ja];if(ed&&ed.pre){if(Ra&&ed.id!==Ra.uid)continue;queue$2.splice(Ja,1),Ja--,ed()}}}function flushPostFlushCbs(Ra){if(pendingPostFlushCbs.length){const qa=[...new Set(pendingPostFlushCbs)].sort((Ja,ed)=>getId(Ja)-getId(ed));if(pendingPostFlushCbs.length=0,activePostFlushCbs){activePostFlushCbs.push(...qa);return}for(activePostFlushCbs=qa,postFlushIndex=0;postFlushIndex<activePostFlushCbs.length;postFlushIndex++){const Ja=activePostFlushCbs[postFlushIndex];Ja.active!==!1&&Ja()}activePostFlushCbs=null,postFlushIndex=0}}const getId=Ra=>Ra.id==null?1/0:Ra.id,comparator=(Ra,qa)=>{const Ja=getId(Ra)-getId(qa);if(Ja===0){if(Ra.pre&&!qa.pre)return-1;if(qa.pre&&!Ra.pre)return 1}return Ja};function flushJobs(Ra){isFlushPending=!1,isFlushing=!0,queue$2.sort(comparator);try{for(flushIndex=0;flushIndex<queue$2.length;flushIndex++){const qa=queue$2[flushIndex];qa&&qa.active!==!1&&callWithErrorHandling(qa,qa.i,qa.i?15:14)}}finally{flushIndex=0,queue$2.length=0,flushPostFlushCbs(),isFlushing=!1,currentFlushPromise=null,(queue$2.length||pendingPostFlushCbs.length)&&flushJobs()}}let currentRenderingInstance=null,currentScopeId=null;function setCurrentRenderingInstance(Ra){const qa=currentRenderingInstance;return currentRenderingInstance=Ra,currentScopeId=Ra&&Ra.type.__scopeId||null,qa}function pushScopeId(Ra){currentScopeId=Ra}function popScopeId(){currentScopeId=null}function withCtx(Ra,qa=currentRenderingInstance,Ja){if(!qa||Ra._n)return Ra;const ed=(...td)=>{ed._d&&setBlockTracking(-1);const rd=setCurrentRenderingInstance(qa);let sd;try{sd=Ra(...td)}finally{setCurrentRenderingInstance(rd),ed._d&&setBlockTracking(1)}return sd};return ed._n=!0,ed._c=!0,ed._d=!0,ed}function withDirectives(Ra,qa){if(currentRenderingInstance===null)return Ra;const Ja=getComponentPublicInstance(currentRenderingInstance),ed=Ra.dirs||(Ra.dirs=[]);for(let td=0;td<qa.length;td++){let[rd,sd,od,ld=EMPTY_OBJ]=qa[td];rd&&(isFunction$4(rd)&&(rd={mounted:rd,updated:rd}),rd.deep&&traverse(sd),ed.push({dir:rd,instance:Ja,value:sd,oldValue:void 0,arg:od,modifiers:ld}))}return Ra}function invokeDirectiveHook(Ra,qa,Ja,ed){const td=Ra.dirs,rd=qa&&qa.dirs;for(let sd=0;sd<td.length;sd++){const od=td[sd];rd&&(od.oldValue=rd[sd].value);let ld=od.dir[ed];ld&&(pauseTracking(),callWithAsyncErrorHandling(ld,Ja,8,[Ra.el,od,Ra,qa]),resetTracking())}}const leaveCbKey=Symbol("_leaveCb"),enterCbKey$1=Symbol("_enterCb");function useTransitionState(){const Ra={isMounted:!1,isLeaving:!1,isUnmounting:!1,leavingVNodes:new Map};return onMounted(()=>{Ra.isMounted=!0}),onBeforeUnmount(()=>{Ra.isUnmounting=!0}),Ra}const TransitionHookValidator=[Function,Array],BaseTransitionPropsValidators={mode:String,appear:Boolean,persisted:Boolean,onBeforeEnter:TransitionHookValidator,onEnter:TransitionHookValidator,onAfterEnter:TransitionHookValidator,onEnterCancelled:TransitionHookValidator,onBeforeLeave:TransitionHookValidator,onLeave:TransitionHookValidator,onAfterLeave:TransitionHookValidator,onLeaveCancelled:TransitionHookValidator,onBeforeAppear:TransitionHookValidator,onAppear:TransitionHookValidator,onAfterAppear:TransitionHookValidator,onAppearCancelled:TransitionHookValidator},recursiveGetSubtree=Ra=>{const qa=Ra.subTree;return qa.component?recursiveGetSubtree(qa.component):qa},BaseTransitionImpl={name:"BaseTransition",props:BaseTransitionPropsValidators,setup(Ra,{slots:qa}){const Ja=getCurrentInstance(),ed=useTransitionState();return()=>{const td=qa.default&&getTransitionRawChildren(qa.default(),!0);if(!td||!td.length)return;let rd=td[0];if(td.length>1){for(const yd of td)if(yd.type!==Comment){rd=yd;break}}const sd=toRaw(Ra),{mode:od}=sd;if(ed.isLeaving)return emptyPlaceholder(rd);const ld=getKeepAliveChild(rd);if(!ld)return emptyPlaceholder(rd);let cd=resolveTransitionHooks(ld,sd,ed,Ja,yd=>cd=yd);setTransitionHooks(ld,cd);const ud=Ja.subTree,_d=ud&&getKeepAliveChild(ud);if(_d&&_d.type!==Comment&&!isSameVNodeType(ld,_d)&&recursiveGetSubtree(Ja).type!==Comment){const yd=resolveTransitionHooks(_d,sd,ed,Ja);if(setTransitionHooks(_d,yd),od==="out-in"&&ld.type!==Comment)return ed.isLeaving=!0,yd.afterLeave=()=>{ed.isLeaving=!1,Ja.update.active!==!1&&(Ja.effect.dirty=!0,Ja.update())},emptyPlaceholder(rd);od==="in-out"&&ld.type!==Comment&&(yd.delayLeave=(gd,Ed,Td)=>{const kd=getLeavingNodesForType(ed,_d);kd[String(_d.key)]=_d,gd[leaveCbKey]=()=>{Ed(),gd[leaveCbKey]=void 0,delete cd.delayedLeave},cd.delayedLeave=Td})}return rd}}},BaseTransition=BaseTransitionImpl;function getLeavingNodesForType(Ra,qa){const{leavingVNodes:Ja}=Ra;let ed=Ja.get(qa.type);return ed||(ed=Object.create(null),Ja.set(qa.type,ed)),ed}function resolveTransitionHooks(Ra,qa,Ja,ed,td){const{appear:rd,mode:sd,persisted:od=!1,onBeforeEnter:ld,onEnter:cd,onAfterEnter:ud,onEnterCancelled:_d,onBeforeLeave:yd,onLeave:gd,onAfterLeave:Ed,onLeaveCancelled:Td,onBeforeAppear:kd,onAppear:Rd,onAfterAppear:Nd,onAppearCancelled:Id}=qa,Md=String(Ra.key),Ld=getLeavingNodesForType(Ja,Ra),Pd=(Ud,Hd)=>{Ud&&callWithAsyncErrorHandling(Ud,ed,9,Hd)},qd=(Ud,Hd)=>{const Vd=Hd[1];Pd(Ud,Hd),isArray$2(Ud)?Ud.every(Jd=>Jd.length<=1)&&Vd():Ud.length<=1&&Vd()},Yd={mode:sd,persisted:od,beforeEnter(Ud){let Hd=ld;if(!Ja.isMounted)if(rd)Hd=kd||ld;else return;Ud[leaveCbKey]&&Ud[leaveCbKey](!0);const Vd=Ld[Md];Vd&&isSameVNodeType(Ra,Vd)&&Vd.el[leaveCbKey]&&Vd.el[leaveCbKey](),Pd(Hd,[Ud])},enter(Ud){let Hd=cd,Vd=ud,Jd=_d;if(!Ja.isMounted)if(rd)Hd=Rd||cd,Vd=Nd||ud,Jd=Id||_d;else return;let Zd=!1;const pf=Ud[enterCbKey$1]=Xd=>{Zd||(Zd=!0,Xd?Pd(Jd,[Ud]):Pd(Vd,[Ud]),Yd.delayedLeave&&Yd.delayedLeave(),Ud[enterCbKey$1]=void 0)};Hd?qd(Hd,[Ud,pf]):pf()},leave(Ud,Hd){const Vd=String(Ra.key);if(Ud[enterCbKey$1]&&Ud[enterCbKey$1](!0),Ja.isUnmounting)return Hd();Pd(yd,[Ud]);let Jd=!1;const Zd=Ud[leaveCbKey]=pf=>{Jd||(Jd=!0,Hd(),pf?Pd(Td,[Ud]):Pd(Ed,[Ud]),Ud[leaveCbKey]=void 0,Ld[Vd]===Ra&&delete Ld[Vd])};Ld[Vd]=Ra,gd?qd(gd,[Ud,Zd]):Zd()},clone(Ud){const Hd=resolveTransitionHooks(Ud,qa,Ja,ed,td);return td&&td(Hd),Hd}};return Yd}function emptyPlaceholder(Ra){if(isKeepAlive(Ra))return Ra=cloneVNode(Ra),Ra.children=null,Ra}function getKeepAliveChild(Ra){if(!isKeepAlive(Ra))return Ra;const{shapeFlag:qa,children:Ja}=Ra;if(Ja){if(qa&16)return Ja[0];if(qa&32&&isFunction$4(Ja.default))return Ja.default()}}function setTransitionHooks(Ra,qa){Ra.shapeFlag&6&&Ra.component?setTransitionHooks(Ra.component.subTree,qa):Ra.shapeFlag&128?(Ra.ssContent.transition=qa.clone(Ra.ssContent),Ra.ssFallback.transition=qa.clone(Ra.ssFallback)):Ra.transition=qa}function getTransitionRawChildren(Ra,qa=!1,Ja){let ed=[],td=0;for(let rd=0;rd<Ra.length;rd++){let sd=Ra[rd];const od=Ja==null?sd.key:String(Ja)+String(sd.key!=null?sd.key:rd);sd.type===Fragment?(sd.patchFlag&128&&td++,ed=ed.concat(getTransitionRawChildren(sd.children,qa,od))):(qa||sd.type!==Comment)&&ed.push(od!=null?cloneVNode(sd,{key:od}):sd)}if(td>1)for(let rd=0;rd<ed.length;rd++)ed[rd].patchFlag=-2;return ed}/*! #__NO_SIDE_EFFECTS__ */function defineComponent(Ra,qa){return isFunction$4(Ra)?extend$3({name:Ra.name},qa,{setup:Ra}):Ra}const isAsyncWrapper=Ra=>!!Ra.type.__asyncLoader,isKeepAlive=Ra=>Ra.type.__isKeepAlive;function onActivated(Ra,qa){registerKeepAliveHook(Ra,"a",qa)}function onDeactivated(Ra,qa){registerKeepAliveHook(Ra,"da",qa)}function registerKeepAliveHook(Ra,qa,Ja=currentInstance){const ed=Ra.__wdc||(Ra.__wdc=()=>{let td=Ja;for(;td;){if(td.isDeactivated)return;td=td.parent}return Ra()});if(injectHook(qa,ed,Ja),Ja){let td=Ja.parent;for(;td&&td.parent;)isKeepAlive(td.parent.vnode)&&injectToKeepAliveRoot(ed,qa,Ja,td),td=td.parent}}function injectToKeepAliveRoot(Ra,qa,Ja,ed){const td=injectHook(qa,Ra,ed,!0);onUnmounted(()=>{remove$1(ed[qa],td)},Ja)}function injectHook(Ra,qa,Ja=currentInstance,ed=!1){if(Ja){const td=Ja[Ra]||(Ja[Ra]=[]),rd=qa.__weh||(qa.__weh=(...sd)=>{pauseTracking();const od=setCurrentInstance(Ja),ld=callWithAsyncErrorHandling(qa,Ja,Ra,sd);return od(),resetTracking(),ld});return ed?td.unshift(rd):td.push(rd),rd}}const createHook=Ra=>(qa,Ja=currentInstance)=>{(!isInSSRComponentSetup||Ra==="sp")&&injectHook(Ra,(...ed)=>qa(...ed),Ja)},onBeforeMount=createHook("bm"),onMounted=createHook("m"),onBeforeUpdate=createHook("bu"),onUpdated=createHook("u"),onBeforeUnmount=createHook("bum"),onUnmounted=createHook("um"),onServerPrefetch=createHook("sp"),onRenderTriggered=createHook("rtg"),onRenderTracked=createHook("rtc");function onErrorCaptured(Ra,qa=currentInstance){injectHook("ec",Ra,qa)}const COMPONENTS="components",DIRECTIVES="directives";function resolveComponent(Ra,qa){return resolveAsset(COMPONENTS,Ra,!0,qa)||Ra}const NULL_DYNAMIC_COMPONENT=Symbol.for("v-ndc");function resolveDynamicComponent(Ra){return isString$3(Ra)?resolveAsset(COMPONENTS,Ra,!1)||Ra:Ra||NULL_DYNAMIC_COMPONENT}function resolveDirective(Ra){return resolveAsset(DIRECTIVES,Ra)}function resolveAsset(Ra,qa,Ja=!0,ed=!1){const td=currentRenderingInstance||currentInstance;if(td){const rd=td.type;if(Ra===COMPONENTS){const od=getComponentName(rd,!1);if(od&&(od===qa||od===camelize(qa)||od===capitalize$1(camelize(qa))))return rd}const sd=resolve$1(td[Ra]||rd[Ra],qa)||resolve$1(td.appContext[Ra],qa);return!sd&&ed?rd:sd}}function resolve$1(Ra,qa){return Ra&&(Ra[qa]||Ra[camelize(qa)]||Ra[capitalize$1(camelize(qa))])}function renderList(Ra,qa,Ja,ed){let td;const rd=Ja;if(isArray$2(Ra)||isString$3(Ra)){td=new Array(Ra.length);for(let sd=0,od=Ra.length;sd<od;sd++)td[sd]=qa(Ra[sd],sd,void 0,rd)}else if(typeof Ra=="number"){td=new Array(Ra);for(let sd=0;sd<Ra;sd++)td[sd]=qa(sd+1,sd,void 0,rd)}else if(isObject$5(Ra))if(Ra[Symbol.iterator])td=Array.from(Ra,(sd,od)=>qa(sd,od,void 0,rd));else{const sd=Object.keys(Ra);td=new Array(sd.length);for(let od=0,ld=sd.length;od<ld;od++){const cd=sd[od];td[od]=qa(Ra[cd],cd,od,rd)}}else td=[];return td}function createSlots(Ra,qa){for(let Ja=0;Ja<qa.length;Ja++){const ed=qa[Ja];if(isArray$2(ed))for(let td=0;td<ed.length;td++)Ra[ed[td].name]=ed[td].fn;else ed&&(Ra[ed.name]=ed.key?(...td)=>{const rd=ed.fn(...td);return rd&&(rd.key=ed.key),rd}:ed.fn)}return Ra}function renderSlot(Ra,qa,Ja={},ed,td){if(currentRenderingInstance.isCE||currentRenderingInstance.parent&&isAsyncWrapper(currentRenderingInstance.parent)&¤tRenderingInstance.parent.isCE)return qa!=="default"&&(Ja.name=qa),createVNode("slot",Ja,ed&&ed());let rd=Ra[qa];rd&&rd._c&&(rd._d=!1),openBlock();const sd=rd&&ensureValidVNode(rd(Ja)),od=createBlock(Fragment,{key:(Ja.key||sd&&sd.key||`_${qa}`)+(!sd&&ed?"_fb":"")},sd||(ed?ed():[]),sd&&Ra._===1?64:-2);return od.scopeId&&(od.slotScopeIds=[od.scopeId+"-s"]),rd&&rd._c&&(rd._d=!0),od}function ensureValidVNode(Ra){return Ra.some(qa=>isVNode(qa)?!(qa.type===Comment||qa.type===Fragment&&!ensureValidVNode(qa.children)):!0)?Ra:null}const getPublicInstance=Ra=>Ra?isStatefulComponent(Ra)?getComponentPublicInstance(Ra):getPublicInstance(Ra.parent):null,publicPropertiesMap=extend$3(Object.create(null),{$:Ra=>Ra,$el:Ra=>Ra.vnode.el,$data:Ra=>Ra.data,$props:Ra=>Ra.props,$attrs:Ra=>Ra.attrs,$slots:Ra=>Ra.slots,$refs:Ra=>Ra.refs,$parent:Ra=>getPublicInstance(Ra.parent),$root:Ra=>getPublicInstance(Ra.root),$emit:Ra=>Ra.emit,$options:Ra=>resolveMergedOptions(Ra),$forceUpdate:Ra=>Ra.f||(Ra.f=()=>{Ra.effect.dirty=!0,queueJob(Ra.update)}),$nextTick:Ra=>Ra.n||(Ra.n=nextTick$1.bind(Ra.proxy)),$watch:Ra=>instanceWatch.bind(Ra)}),hasSetupBinding=(Ra,qa)=>Ra!==EMPTY_OBJ&&!Ra.__isScriptSetup&&hasOwn$1(Ra,qa),PublicInstanceProxyHandlers={get({_:Ra},qa){if(qa==="__v_skip")return!0;const{ctx:Ja,setupState:ed,data:td,props:rd,accessCache:sd,type:od,appContext:ld}=Ra;let cd;if(qa[0]!=="$"){const gd=sd[qa];if(gd!==void 0)switch(gd){case 1:return ed[qa];case 2:return td[qa];case 4:return Ja[qa];case 3:return rd[qa]}else{if(hasSetupBinding(ed,qa))return sd[qa]=1,ed[qa];if(td!==EMPTY_OBJ&&hasOwn$1(td,qa))return sd[qa]=2,td[qa];if((cd=Ra.propsOptions[0])&&hasOwn$1(cd,qa))return sd[qa]=3,rd[qa];if(Ja!==EMPTY_OBJ&&hasOwn$1(Ja,qa))return sd[qa]=4,Ja[qa];shouldCacheAccess&&(sd[qa]=0)}}const ud=publicPropertiesMap[qa];let _d,yd;if(ud)return qa==="$attrs"&&track(Ra.attrs,"get",""),ud(Ra);if((_d=od.__cssModules)&&(_d=_d[qa]))return _d;if(Ja!==EMPTY_OBJ&&hasOwn$1(Ja,qa))return sd[qa]=4,Ja[qa];if(yd=ld.config.globalProperties,hasOwn$1(yd,qa))return yd[qa]},set({_:Ra},qa,Ja){const{data:ed,setupState:td,ctx:rd}=Ra;return hasSetupBinding(td,qa)?(td[qa]=Ja,!0):ed!==EMPTY_OBJ&&hasOwn$1(ed,qa)?(ed[qa]=Ja,!0):hasOwn$1(Ra.props,qa)||qa[0]==="$"&&qa.slice(1)in Ra?!1:(rd[qa]=Ja,!0)},has({_:{data:Ra,setupState:qa,accessCache:Ja,ctx:ed,appContext:td,propsOptions:rd}},sd){let od;return!!Ja[sd]||Ra!==EMPTY_OBJ&&hasOwn$1(Ra,sd)||hasSetupBinding(qa,sd)||(od=rd[0])&&hasOwn$1(od,sd)||hasOwn$1(ed,sd)||hasOwn$1(publicPropertiesMap,sd)||hasOwn$1(td.config.globalProperties,sd)},defineProperty(Ra,qa,Ja){return Ja.get!=null?Ra._.accessCache[qa]=0:hasOwn$1(Ja,"value")&&this.set(Ra,qa,Ja.value,null),Reflect.defineProperty(Ra,qa,Ja)}};function useSlots(){return getContext().slots}function useAttrs$1(){return getContext().attrs}function getContext(){const Ra=getCurrentInstance();return Ra.setupContext||(Ra.setupContext=createSetupContext(Ra))}function normalizePropsOrEmits(Ra){return isArray$2(Ra)?Ra.reduce((qa,Ja)=>(qa[Ja]=null,qa),{}):Ra}function mergeDefaults(Ra,qa){const Ja=normalizePropsOrEmits(Ra);for(const ed in qa){if(ed.startsWith("__skip"))continue;let td=Ja[ed];td?isArray$2(td)||isFunction$4(td)?td=Ja[ed]={type:td,default:qa[ed]}:td.default=qa[ed]:td===null&&(td=Ja[ed]={default:qa[ed]}),td&&qa[`__skip_${ed}`]&&(td.skipFactory=!0)}return Ja}let shouldCacheAccess=!0;function applyOptions(Ra){const qa=resolveMergedOptions(Ra),Ja=Ra.proxy,ed=Ra.ctx;shouldCacheAccess=!1,qa.beforeCreate&&callHook$1(qa.beforeCreate,Ra,"bc");const{data:td,computed:rd,methods:sd,watch:od,provide:ld,inject:cd,created:ud,beforeMount:_d,mounted:yd,beforeUpdate:gd,updated:Ed,activated:Td,deactivated:kd,beforeDestroy:Rd,beforeUnmount:Nd,destroyed:Id,unmounted:Md,render:Ld,renderTracked:Pd,renderTriggered:qd,errorCaptured:Yd,serverPrefetch:Ud,expose:Hd,inheritAttrs:Vd,components:Jd,directives:Zd,filters:pf}=qa;if(cd&&resolveInjections(cd,ed,null),sd)for(const _f in sd){const xf=sd[_f];isFunction$4(xf)&&(ed[_f]=xf.bind(Ja))}if(td){const _f=td.call(Ja,Ja);isObject$5(_f)&&(Ra.data=reactive(_f))}if(shouldCacheAccess=!0,rd)for(const _f in rd){const xf=rd[_f],Lf=isFunction$4(xf)?xf.bind(Ja,Ja):isFunction$4(xf.get)?xf.get.bind(Ja,Ja):NOOP,Wf=!isFunction$4(xf)&&isFunction$4(xf.set)?xf.set.bind(Ja):NOOP,Yf=computed({get:Lf,set:Wf});Object.defineProperty(ed,_f,{enumerable:!0,configurable:!0,get:()=>Yf.value,set:If=>Yf.value=If})}if(od)for(const _f in od)createWatcher(od[_f],ed,Ja,_f);if(ld){const _f=isFunction$4(ld)?ld.call(Ja):ld;Reflect.ownKeys(_f).forEach(xf=>{provide(xf,_f[xf])})}ud&&callHook$1(ud,Ra,"c");function hf(_f,xf){isArray$2(xf)?xf.forEach(Lf=>_f(Lf.bind(Ja))):xf&&_f(xf.bind(Ja))}if(hf(onBeforeMount,_d),hf(onMounted,yd),hf(onBeforeUpdate,gd),hf(onUpdated,Ed),hf(onActivated,Td),hf(onDeactivated,kd),hf(onErrorCaptured,Yd),hf(onRenderTracked,Pd),hf(onRenderTriggered,qd),hf(onBeforeUnmount,Nd),hf(onUnmounted,Md),hf(onServerPrefetch,Ud),isArray$2(Hd))if(Hd.length){const _f=Ra.exposed||(Ra.exposed={});Hd.forEach(xf=>{Object.defineProperty(_f,xf,{get:()=>Ja[xf],set:Lf=>Ja[xf]=Lf})})}else Ra.exposed||(Ra.exposed={});Ld&&Ra.render===NOOP&&(Ra.render=Ld),Vd!=null&&(Ra.inheritAttrs=Vd),Jd&&(Ra.components=Jd),Zd&&(Ra.directives=Zd)}function resolveInjections(Ra,qa,Ja=NOOP){isArray$2(Ra)&&(Ra=normalizeInject(Ra));for(const ed in Ra){const td=Ra[ed];let rd;isObject$5(td)?"default"in td?rd=inject(td.from||ed,td.default,!0):rd=inject(td.from||ed):rd=inject(td),isRef(rd)?Object.defineProperty(qa,ed,{enumerable:!0,configurable:!0,get:()=>rd.value,set:sd=>rd.value=sd}):qa[ed]=rd}}function callHook$1(Ra,qa,Ja){callWithAsyncErrorHandling(isArray$2(Ra)?Ra.map(ed=>ed.bind(qa.proxy)):Ra.bind(qa.proxy),qa,Ja)}function createWatcher(Ra,qa,Ja,ed){const td=ed.includes(".")?createPathGetter(Ja,ed):()=>Ja[ed];if(isString$3(Ra)){const rd=qa[Ra];isFunction$4(rd)&&watch(td,rd)}else if(isFunction$4(Ra))watch(td,Ra.bind(Ja));else if(isObject$5(Ra))if(isArray$2(Ra))Ra.forEach(rd=>createWatcher(rd,qa,Ja,ed));else{const rd=isFunction$4(Ra.handler)?Ra.handler.bind(Ja):qa[Ra.handler];isFunction$4(rd)&&watch(td,rd,Ra)}}function resolveMergedOptions(Ra){const qa=Ra.type,{mixins:Ja,extends:ed}=qa,{mixins:td,optionsCache:rd,config:{optionMergeStrategies:sd}}=Ra.appContext,od=rd.get(qa);let ld;return od?ld=od:!td.length&&!Ja&&!ed?ld=qa:(ld={},td.length&&td.forEach(cd=>mergeOptions$1(ld,cd,sd,!0)),mergeOptions$1(ld,qa,sd)),isObject$5(qa)&&rd.set(qa,ld),ld}function mergeOptions$1(Ra,qa,Ja,ed=!1){const{mixins:td,extends:rd}=qa;rd&&mergeOptions$1(Ra,rd,Ja,!0),td&&td.forEach(sd=>mergeOptions$1(Ra,sd,Ja,!0));for(const sd in qa)if(!(ed&&sd==="expose")){const od=internalOptionMergeStrats[sd]||Ja&&Ja[sd];Ra[sd]=od?od(Ra[sd],qa[sd]):qa[sd]}return Ra}const internalOptionMergeStrats={data:mergeDataFn,props:mergeEmitsOrPropsOptions,emits:mergeEmitsOrPropsOptions,methods:mergeObjectOptions,computed:mergeObjectOptions,beforeCreate:mergeAsArray,created:mergeAsArray,beforeMount:mergeAsArray,mounted:mergeAsArray,beforeUpdate:mergeAsArray,updated:mergeAsArray,beforeDestroy:mergeAsArray,beforeUnmount:mergeAsArray,destroyed:mergeAsArray,unmounted:mergeAsArray,activated:mergeAsArray,deactivated:mergeAsArray,errorCaptured:mergeAsArray,serverPrefetch:mergeAsArray,components:mergeObjectOptions,directives:mergeObjectOptions,watch:mergeWatchOptions,provide:mergeDataFn,inject:mergeInject};function mergeDataFn(Ra,qa){return qa?Ra?function(){return extend$3(isFunction$4(Ra)?Ra.call(this,this):Ra,isFunction$4(qa)?qa.call(this,this):qa)}:qa:Ra}function mergeInject(Ra,qa){return mergeObjectOptions(normalizeInject(Ra),normalizeInject(qa))}function normalizeInject(Ra){if(isArray$2(Ra)){const qa={};for(let Ja=0;Ja<Ra.length;Ja++)qa[Ra[Ja]]=Ra[Ja];return qa}return Ra}function mergeAsArray(Ra,qa){return Ra?[...new Set([].concat(Ra,qa))]:qa}function mergeObjectOptions(Ra,qa){return Ra?extend$3(Object.create(null),Ra,qa):qa}function mergeEmitsOrPropsOptions(Ra,qa){return Ra?isArray$2(Ra)&&isArray$2(qa)?[...new Set([...Ra,...qa])]:extend$3(Object.create(null),normalizePropsOrEmits(Ra),normalizePropsOrEmits(qa??{})):qa}function mergeWatchOptions(Ra,qa){if(!Ra)return qa;if(!qa)return Ra;const Ja=extend$3(Object.create(null),Ra);for(const ed in qa)Ja[ed]=mergeAsArray(Ra[ed],qa[ed]);return Ja}function createAppContext(){return{app:null,config:{isNativeTag:NO,performance:!1,globalProperties:{},optionMergeStrategies:{},errorHandler:void 0,warnHandler:void 0,compilerOptions:{}},mixins:[],components:{},directives:{},provides:Object.create(null),optionsCache:new WeakMap,propsCache:new WeakMap,emitsCache:new WeakMap}}let uid$1=0;function createAppAPI(Ra,qa){return function(ed,td=null){isFunction$4(ed)||(ed=extend$3({},ed)),td!=null&&!isObject$5(td)&&(td=null);const rd=createAppContext(),sd=new WeakSet;let od=!1;const ld=rd.app={_uid:uid$1++,_component:ed,_props:td,_container:null,_context:rd,_instance:null,version:version$2,get config(){return rd.config},set config(cd){},use(cd,...ud){return sd.has(cd)||(cd&&isFunction$4(cd.install)?(sd.add(cd),cd.install(ld,...ud)):isFunction$4(cd)&&(sd.add(cd),cd(ld,...ud))),ld},mixin(cd){return rd.mixins.includes(cd)||rd.mixins.push(cd),ld},component(cd,ud){return ud?(rd.components[cd]=ud,ld):rd.components[cd]},directive(cd,ud){return ud?(rd.directives[cd]=ud,ld):rd.directives[cd]},mount(cd,ud,_d){if(!od){const yd=createVNode(ed,td);return yd.appContext=rd,_d===!0?_d="svg":_d===!1&&(_d=void 0),ud&&qa?qa(yd,cd):Ra(yd,cd,_d),od=!0,ld._container=cd,cd.__vue_app__=ld,getComponentPublicInstance(yd.component)}},unmount(){od&&(Ra(null,ld._container),delete ld._container.__vue_app__)},provide(cd,ud){return rd.provides[cd]=ud,ld},runWithContext(cd){const ud=currentApp;currentApp=ld;try{return cd()}finally{currentApp=ud}}};return ld}}let currentApp=null;function provide(Ra,qa){if(currentInstance){let Ja=currentInstance.provides;const ed=currentInstance.parent&¤tInstance.parent.provides;ed===Ja&&(Ja=currentInstance.provides=Object.create(ed)),Ja[Ra]=qa}}function inject(Ra,qa,Ja=!1){const ed=currentInstance||currentRenderingInstance;if(ed||currentApp){const td=currentApp?currentApp._context.provides:ed?ed.parent==null?ed.vnode.appContext&&ed.vnode.appContext.provides:ed.parent.provides:void 0;if(td&&Ra in td)return td[Ra];if(arguments.length>1)return Ja&&isFunction$4(qa)?qa.call(ed&&ed.proxy):qa}}function hasInjectionContext(){return!!(currentInstance||currentRenderingInstance||currentApp)}const internalObjectProto={},createInternalObject=()=>Object.create(internalObjectProto),isInternalObject=Ra=>Object.getPrototypeOf(Ra)===internalObjectProto;function initProps(Ra,qa,Ja,ed=!1){const td={},rd=createInternalObject();Ra.propsDefaults=Object.create(null),setFullProps(Ra,qa,td,rd);for(const sd in Ra.propsOptions[0])sd in td||(td[sd]=void 0);Ja?Ra.props=ed?td:shallowReactive(td):Ra.type.props?Ra.props=td:Ra.props=rd,Ra.attrs=rd}function updateProps(Ra,qa,Ja,ed){const{props:td,attrs:rd,vnode:{patchFlag:sd}}=Ra,od=toRaw(td),[ld]=Ra.propsOptions;let cd=!1;if((ed||sd>0)&&!(sd&16)){if(sd&8){const ud=Ra.vnode.dynamicProps;for(let _d=0;_d<ud.length;_d++){let yd=ud[_d];if(isEmitListener(Ra.emitsOptions,yd))continue;const gd=qa[yd];if(ld)if(hasOwn$1(rd,yd))gd!==rd[yd]&&(rd[yd]=gd,cd=!0);else{const Ed=camelize(yd);td[Ed]=resolvePropValue(ld,od,Ed,gd,Ra,!1)}else gd!==rd[yd]&&(rd[yd]=gd,cd=!0)}}}else{setFullProps(Ra,qa,td,rd)&&(cd=!0);let ud;for(const _d in od)(!qa||!hasOwn$1(qa,_d)&&((ud=hyphenate$1(_d))===_d||!hasOwn$1(qa,ud)))&&(ld?Ja&&(Ja[_d]!==void 0||Ja[ud]!==void 0)&&(td[_d]=resolvePropValue(ld,od,_d,void 0,Ra,!0)):delete td[_d]);if(rd!==od)for(const _d in rd)(!qa||!hasOwn$1(qa,_d))&&(delete rd[_d],cd=!0)}cd&&trigger(Ra.attrs,"set","")}function setFullProps(Ra,qa,Ja,ed){const[td,rd]=Ra.propsOptions;let sd=!1,od;if(qa)for(let ld in qa){if(isReservedProp(ld))continue;const cd=qa[ld];let ud;td&&hasOwn$1(td,ud=camelize(ld))?!rd||!rd.includes(ud)?Ja[ud]=cd:(od||(od={}))[ud]=cd:isEmitListener(Ra.emitsOptions,ld)||(!(ld in ed)||cd!==ed[ld])&&(ed[ld]=cd,sd=!0)}if(rd){const ld=toRaw(Ja),cd=od||EMPTY_OBJ;for(let ud=0;ud<rd.length;ud++){const _d=rd[ud];Ja[_d]=resolvePropValue(td,ld,_d,cd[_d],Ra,!hasOwn$1(cd,_d))}}return sd}function resolvePropValue(Ra,qa,Ja,ed,td,rd){const sd=Ra[Ja];if(sd!=null){const od=hasOwn$1(sd,"default");if(od&&ed===void 0){const ld=sd.default;if(sd.type!==Function&&!sd.skipFactory&&isFunction$4(ld)){const{propsDefaults:cd}=td;if(Ja in cd)ed=cd[Ja];else{const ud=setCurrentInstance(td);ed=cd[Ja]=ld.call(null,qa),ud()}}else ed=ld}sd[0]&&(rd&&!od?ed=!1:sd[1]&&(ed===""||ed===hyphenate$1(Ja))&&(ed=!0))}return ed}const mixinPropsCache=new WeakMap;function normalizePropsOptions(Ra,qa,Ja=!1){const ed=Ja?mixinPropsCache:qa.propsCache,td=ed.get(Ra);if(td)return td;const rd=Ra.props,sd={},od=[];let ld=!1;if(!isFunction$4(Ra)){const ud=_d=>{ld=!0;const[yd,gd]=normalizePropsOptions(_d,qa,!0);extend$3(sd,yd),gd&&od.push(...gd)};!Ja&&qa.mixins.length&&qa.mixins.forEach(ud),Ra.extends&&ud(Ra.extends),Ra.mixins&&Ra.mixins.forEach(ud)}if(!rd&&!ld)return isObject$5(Ra)&&ed.set(Ra,EMPTY_ARR),EMPTY_ARR;if(isArray$2(rd))for(let ud=0;ud<rd.length;ud++){const _d=camelize(rd[ud]);validatePropName(_d)&&(sd[_d]=EMPTY_OBJ)}else if(rd)for(const ud in rd){const _d=camelize(ud);if(validatePropName(_d)){const yd=rd[ud],gd=sd[_d]=isArray$2(yd)||isFunction$4(yd)?{type:yd}:extend$3({},yd),Ed=gd.type;let Td=!1,kd=!0;if(isArray$2(Ed))for(let Rd=0;Rd<Ed.length;++Rd){const Nd=Ed[Rd],Id=isFunction$4(Nd)&&Nd.name;if(Id==="Boolean"){Td=!0;break}else Id==="String"&&(kd=!1)}else Td=isFunction$4(Ed)&&Ed.name==="Boolean";gd[0]=Td,gd[1]=kd,(Td||hasOwn$1(gd,"default"))&&od.push(_d)}}const cd=[sd,od];return isObject$5(Ra)&&ed.set(Ra,cd),cd}function validatePropName(Ra){return Ra[0]!=="$"&&!isReservedProp(Ra)}const isInternalKey=Ra=>Ra[0]==="_"||Ra==="$stable",normalizeSlotValue=Ra=>isArray$2(Ra)?Ra.map(normalizeVNode):[normalizeVNode(Ra)],normalizeSlot=(Ra,qa,Ja)=>{if(qa._n)return qa;const ed=withCtx((...td)=>normalizeSlotValue(qa(...td)),Ja);return ed._c=!1,ed},normalizeObjectSlots=(Ra,qa,Ja)=>{const ed=Ra._ctx;for(const td in Ra){if(isInternalKey(td))continue;const rd=Ra[td];if(isFunction$4(rd))qa[td]=normalizeSlot(td,rd,ed);else if(rd!=null){const sd=normalizeSlotValue(rd);qa[td]=()=>sd}}},normalizeVNodeSlots=(Ra,qa)=>{const Ja=normalizeSlotValue(qa);Ra.slots.default=()=>Ja},assignSlots=(Ra,qa,Ja)=>{for(const ed in qa)(Ja||ed!=="_")&&(Ra[ed]=qa[ed])},initSlots=(Ra,qa,Ja)=>{const ed=Ra.slots=createInternalObject();if(Ra.vnode.shapeFlag&32){const td=qa._;td?(assignSlots(ed,qa,Ja),Ja&&def$2(ed,"_",td,!0)):normalizeObjectSlots(qa,ed)}else qa&&normalizeVNodeSlots(Ra,qa)},updateSlots=(Ra,qa,Ja)=>{const{vnode:ed,slots:td}=Ra;let rd=!0,sd=EMPTY_OBJ;if(ed.shapeFlag&32){const od=qa._;od?Ja&&od===1?rd=!1:assignSlots(td,qa,Ja):(rd=!qa.$stable,normalizeObjectSlots(qa,td)),sd=qa}else qa&&(normalizeVNodeSlots(Ra,qa),sd={default:1});if(rd)for(const od in td)!isInternalKey(od)&&sd[od]==null&&delete td[od]};function setRef(Ra,qa,Ja,ed,td=!1){if(isArray$2(Ra)){Ra.forEach((yd,gd)=>setRef(yd,qa&&(isArray$2(qa)?qa[gd]:qa),Ja,ed,td));return}if(isAsyncWrapper(ed)&&!td)return;const rd=ed.shapeFlag&4?getComponentPublicInstance(ed.component):ed.el,sd=td?null:rd,{i:od,r:ld}=Ra,cd=qa&&qa.r,ud=od.refs===EMPTY_OBJ?od.refs={}:od.refs,_d=od.setupState;if(cd!=null&&cd!==ld&&(isString$3(cd)?(ud[cd]=null,hasOwn$1(_d,cd)&&(_d[cd]=null)):isRef(cd)&&(cd.value=null)),isFunction$4(ld))callWithErrorHandling(ld,od,12,[sd,ud]);else{const yd=isString$3(ld),gd=isRef(ld);if(yd||gd){const Ed=()=>{if(Ra.f){const Td=yd?hasOwn$1(_d,ld)?_d[ld]:ud[ld]:ld.value;td?isArray$2(Td)&&remove$1(Td,rd):isArray$2(Td)?Td.includes(rd)||Td.push(rd):yd?(ud[ld]=[rd],hasOwn$1(_d,ld)&&(_d[ld]=ud[ld])):(ld.value=[rd],Ra.k&&(ud[Ra.k]=ld.value))}else yd?(ud[ld]=sd,hasOwn$1(_d,ld)&&(_d[ld]=sd)):gd&&(ld.value=sd,Ra.k&&(ud[Ra.k]=sd))};sd?(Ed.id=-1,queuePostRenderEffect(Ed,Ja)):Ed()}}}const TeleportEndKey=Symbol("_vte"),isTeleport=Ra=>Ra.__isTeleport,isTeleportDisabled=Ra=>Ra&&(Ra.disabled||Ra.disabled===""),isTargetSVG=Ra=>typeof SVGElement<"u"&&Ra instanceof SVGElement,isTargetMathML=Ra=>typeof MathMLElement=="function"&&Ra instanceof MathMLElement,resolveTarget=(Ra,qa)=>{const Ja=Ra&&Ra.to;return isString$3(Ja)?qa?qa(Ja):null:Ja},TeleportImpl={name:"Teleport",__isTeleport:!0,process(Ra,qa,Ja,ed,td,rd,sd,od,ld,cd){const{mc:ud,pc:_d,pbc:yd,o:{insert:gd,querySelector:Ed,createText:Td,createComment:kd}}=cd,Rd=isTeleportDisabled(qa.props);let{shapeFlag:Nd,children:Id,dynamicChildren:Md}=qa;if(Ra==null){const Ld=qa.el=Td(""),Pd=qa.anchor=Td("");gd(Ld,Ja,ed),gd(Pd,Ja,ed);const qd=qa.target=resolveTarget(qa.props,Ed),Yd=prepareAnchor(qd,qa,Td,gd);qd&&(sd==="svg"||isTargetSVG(qd)?sd="svg":(sd==="mathml"||isTargetMathML(qd))&&(sd="mathml"));const Ud=(Hd,Vd)=>{Nd&16&&ud(Id,Hd,Vd,td,rd,sd,od,ld)};Rd?Ud(Ja,Pd):qd&&Ud(qd,Yd)}else{qa.el=Ra.el,qa.targetStart=Ra.targetStart;const Ld=qa.anchor=Ra.anchor,Pd=qa.target=Ra.target,qd=qa.targetAnchor=Ra.targetAnchor,Yd=isTeleportDisabled(Ra.props),Ud=Yd?Ja:Pd,Hd=Yd?Ld:qd;if(sd==="svg"||isTargetSVG(Pd)?sd="svg":(sd==="mathml"||isTargetMathML(Pd))&&(sd="mathml"),Md?(yd(Ra.dynamicChildren,Md,Ud,td,rd,sd,od),traverseStaticChildren(Ra,qa,!0)):ld||_d(Ra,qa,Ud,Hd,td,rd,sd,od,!1),Rd)Yd?qa.props&&Ra.props&&qa.props.to!==Ra.props.to&&(qa.props.to=Ra.props.to):moveTeleport(qa,Ja,Ld,cd,1);else if((qa.props&&qa.props.to)!==(Ra.props&&Ra.props.to)){const Vd=qa.target=resolveTarget(qa.props,Ed);Vd&&moveTeleport(qa,Vd,null,cd,0)}else Yd&&moveTeleport(qa,Pd,qd,cd,1)}updateCssVars(qa)},remove(Ra,qa,Ja,{um:ed,o:{remove:td}},rd){const{shapeFlag:sd,children:od,anchor:ld,targetStart:cd,targetAnchor:ud,target:_d,props:yd}=Ra;if(_d&&(td(cd),td(ud)),rd&&td(ld),sd&16){const gd=rd||!isTeleportDisabled(yd);for(let Ed=0;Ed<od.length;Ed++){const Td=od[Ed];ed(Td,qa,Ja,gd,!!Td.dynamicChildren)}}},move:moveTeleport,hydrate:hydrateTeleport};function moveTeleport(Ra,qa,Ja,{o:{insert:ed},m:td},rd=2){rd===0&&ed(Ra.targetAnchor,qa,Ja);const{el:sd,anchor:od,shapeFlag:ld,children:cd,props:ud}=Ra,_d=rd===2;if(_d&&ed(sd,qa,Ja),(!_d||isTeleportDisabled(ud))&&ld&16)for(let yd=0;yd<cd.length;yd++)td(cd[yd],qa,Ja,2);_d&&ed(od,qa,Ja)}function hydrateTeleport(Ra,qa,Ja,ed,td,rd,{o:{nextSibling:sd,parentNode:od,querySelector:ld,insert:cd,createText:ud}},_d){const yd=qa.target=resolveTarget(qa.props,ld);if(yd){const gd=yd._lpa||yd.firstChild;if(qa.shapeFlag&16)if(isTeleportDisabled(qa.props))qa.anchor=_d(sd(Ra),qa,od(Ra),Ja,ed,td,rd),qa.targetStart=gd,qa.targetAnchor=gd&&sd(gd);else{qa.anchor=sd(Ra);let Ed=gd;for(;Ed;){if(Ed&&Ed.nodeType===8){if(Ed.data==="teleport start anchor")qa.targetStart=Ed;else if(Ed.data==="teleport anchor"){qa.targetAnchor=Ed,yd._lpa=qa.targetAnchor&&sd(qa.targetAnchor);break}}Ed=sd(Ed)}qa.targetAnchor||prepareAnchor(yd,qa,ud,cd),_d(gd&&sd(gd),qa,yd,Ja,ed,td,rd)}updateCssVars(qa)}return qa.anchor&&sd(qa.anchor)}const Teleport$1=TeleportImpl;function updateCssVars(Ra){const qa=Ra.ctx;if(qa&&qa.ut){let Ja=Ra.children[0].el;for(;Ja&&Ja!==Ra.targetAnchor;)Ja.nodeType===1&&Ja.setAttribute("data-v-owner",qa.uid),Ja=Ja.nextSibling;qa.ut()}}function prepareAnchor(Ra,qa,Ja,ed){const td=qa.targetStart=Ja(""),rd=qa.targetAnchor=Ja("");return td[TeleportEndKey]=rd,Ra&&(ed(td,Ra),ed(rd,Ra)),rd}const queuePostRenderEffect=queueEffectWithSuspense;function createRenderer$1(Ra){return baseCreateRenderer(Ra)}function baseCreateRenderer(Ra,qa){const Ja=getGlobalThis();Ja.__VUE__=!0;const{insert:ed,remove:td,patchProp:rd,createElement:sd,createText:od,createComment:ld,setText:cd,setElementText:ud,parentNode:_d,nextSibling:yd,setScopeId:gd=NOOP,insertStaticContent:Ed}=Ra,Td=(kf,Jf,Ch,qf=null,Tf=null,Af=null,Pf=void 0,gh=null,Nh=!!Jf.dynamicChildren)=>{if(kf===Jf)return;kf&&!isSameVNodeType(kf,Jf)&&(qf=gf(kf),If(kf,Tf,Af,!0),kf=null),Jf.patchFlag===-2&&(Nh=!1,Jf.dynamicChildren=null);const{type:dh,ref:$h,shapeFlag:Rh}=Jf;switch(dh){case Text:kd(kf,Jf,Ch,qf);break;case Comment:Rd(kf,Jf,Ch,qf);break;case Static:kf==null&&Nd(Jf,Ch,qf,Pf);break;case Fragment:Jd(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh);break;default:Rh&1?Ld(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh):Rh&6?Zd(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh):(Rh&64||Rh&128)&&dh.process(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh,zf)}$h!=null&&Tf&&setRef($h,kf&&kf.ref,Af,Jf||kf,!Jf)},kd=(kf,Jf,Ch,qf)=>{if(kf==null)ed(Jf.el=od(Jf.children),Ch,qf);else{const Tf=Jf.el=kf.el;Jf.children!==kf.children&&cd(Tf,Jf.children)}},Rd=(kf,Jf,Ch,qf)=>{kf==null?ed(Jf.el=ld(Jf.children||""),Ch,qf):Jf.el=kf.el},Nd=(kf,Jf,Ch,qf)=>{[kf.el,kf.anchor]=Ed(kf.children,Jf,Ch,qf,kf.el,kf.anchor)},Id=({el:kf,anchor:Jf},Ch,qf)=>{let Tf;for(;kf&&kf!==Jf;)Tf=yd(kf),ed(kf,Ch,qf),kf=Tf;ed(Jf,Ch,qf)},Md=({el:kf,anchor:Jf})=>{let Ch;for(;kf&&kf!==Jf;)Ch=yd(kf),td(kf),kf=Ch;td(Jf)},Ld=(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh)=>{Jf.type==="svg"?Pf="svg":Jf.type==="math"&&(Pf="mathml"),kf==null?Pd(Jf,Ch,qf,Tf,Af,Pf,gh,Nh):Ud(kf,Jf,Tf,Af,Pf,gh,Nh)},Pd=(kf,Jf,Ch,qf,Tf,Af,Pf,gh)=>{let Nh,dh;const{props:$h,shapeFlag:Rh,transition:jh,dirs:Zh}=kf;if(Nh=kf.el=sd(kf.type,Af,$h&&$h.is,$h),Rh&8?ud(Nh,kf.children):Rh&16&&Yd(kf.children,Nh,null,qf,Tf,resolveChildrenNamespace(kf,Af),Pf,gh),Zh&&invokeDirectiveHook(kf,null,qf,"created"),qd(Nh,kf,kf.scopeId,Pf,qf),$h){for(const sm in $h)sm!=="value"&&!isReservedProp(sm)&&rd(Nh,sm,null,$h[sm],Af,qf);"value"in $h&&rd(Nh,"value",null,$h.value,Af),(dh=$h.onVnodeBeforeMount)&&invokeVNodeHook(dh,qf,kf)}Zh&&invokeDirectiveHook(kf,null,qf,"beforeMount");const Wh=needTransition(Tf,jh);Wh&&jh.beforeEnter(Nh),ed(Nh,Jf,Ch),((dh=$h&&$h.onVnodeMounted)||Wh||Zh)&&queuePostRenderEffect(()=>{dh&&invokeVNodeHook(dh,qf,kf),Wh&&jh.enter(Nh),Zh&&invokeDirectiveHook(kf,null,qf,"mounted")},Tf)},qd=(kf,Jf,Ch,qf,Tf)=>{if(Ch&&gd(kf,Ch),qf)for(let Af=0;Af<qf.length;Af++)gd(kf,qf[Af]);if(Tf){let Af=Tf.subTree;if(Jf===Af){const Pf=Tf.vnode;qd(kf,Pf,Pf.scopeId,Pf.slotScopeIds,Tf.parent)}}},Yd=(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh=0)=>{for(let dh=Nh;dh<kf.length;dh++){const $h=kf[dh]=gh?cloneIfMounted(kf[dh]):normalizeVNode(kf[dh]);Td(null,$h,Jf,Ch,qf,Tf,Af,Pf,gh)}},Ud=(kf,Jf,Ch,qf,Tf,Af,Pf)=>{const gh=Jf.el=kf.el;let{patchFlag:Nh,dynamicChildren:dh,dirs:$h}=Jf;Nh|=kf.patchFlag&16;const Rh=kf.props||EMPTY_OBJ,jh=Jf.props||EMPTY_OBJ;let Zh;if(Ch&&toggleRecurse(Ch,!1),(Zh=jh.onVnodeBeforeUpdate)&&invokeVNodeHook(Zh,Ch,Jf,kf),$h&&invokeDirectiveHook(Jf,kf,Ch,"beforeUpdate"),Ch&&toggleRecurse(Ch,!0),(Rh.innerHTML&&jh.innerHTML==null||Rh.textContent&&jh.textContent==null)&&ud(gh,""),dh?Hd(kf.dynamicChildren,dh,gh,Ch,qf,resolveChildrenNamespace(Jf,Tf),Af):Pf||xf(kf,Jf,gh,null,Ch,qf,resolveChildrenNamespace(Jf,Tf),Af,!1),Nh>0){if(Nh&16)Vd(gh,Rh,jh,Ch,Tf);else if(Nh&2&&Rh.class!==jh.class&&rd(gh,"class",null,jh.class,Tf),Nh&4&&rd(gh,"style",Rh.style,jh.style,Tf),Nh&8){const Wh=Jf.dynamicProps;for(let sm=0;sm<Wh.length;sm++){const fm=Wh[sm],ih=Rh[fm],Rf=jh[fm];(Rf!==ih||fm==="value")&&rd(gh,fm,ih,Rf,Tf,Ch)}}Nh&1&&kf.children!==Jf.children&&ud(gh,Jf.children)}else!Pf&&dh==null&&Vd(gh,Rh,jh,Ch,Tf);((Zh=jh.onVnodeUpdated)||$h)&&queuePostRenderEffect(()=>{Zh&&invokeVNodeHook(Zh,Ch,Jf,kf),$h&&invokeDirectiveHook(Jf,kf,Ch,"updated")},qf)},Hd=(kf,Jf,Ch,qf,Tf,Af,Pf)=>{for(let gh=0;gh<Jf.length;gh++){const Nh=kf[gh],dh=Jf[gh],$h=Nh.el&&(Nh.type===Fragment||!isSameVNodeType(Nh,dh)||Nh.shapeFlag&70)?_d(Nh.el):Ch;Td(Nh,dh,$h,null,qf,Tf,Af,Pf,!0)}},Vd=(kf,Jf,Ch,qf,Tf)=>{if(Jf!==Ch){if(Jf!==EMPTY_OBJ)for(const Af in Jf)!isReservedProp(Af)&&!(Af in Ch)&&rd(kf,Af,Jf[Af],null,Tf,qf);for(const Af in Ch){if(isReservedProp(Af))continue;const Pf=Ch[Af],gh=Jf[Af];Pf!==gh&&Af!=="value"&&rd(kf,Af,gh,Pf,Tf,qf)}"value"in Ch&&rd(kf,"value",Jf.value,Ch.value,Tf)}},Jd=(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh)=>{const dh=Jf.el=kf?kf.el:od(""),$h=Jf.anchor=kf?kf.anchor:od("");let{patchFlag:Rh,dynamicChildren:jh,slotScopeIds:Zh}=Jf;Zh&&(gh=gh?gh.concat(Zh):Zh),kf==null?(ed(dh,Ch,qf),ed($h,Ch,qf),Yd(Jf.children||[],Ch,$h,Tf,Af,Pf,gh,Nh)):Rh>0&&Rh&64&&jh&&kf.dynamicChildren?(Hd(kf.dynamicChildren,jh,Ch,Tf,Af,Pf,gh),(Jf.key!=null||Tf&&Jf===Tf.subTree)&&traverseStaticChildren(kf,Jf,!0)):xf(kf,Jf,Ch,$h,Tf,Af,Pf,gh,Nh)},Zd=(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh)=>{Jf.slotScopeIds=gh,kf==null?Jf.shapeFlag&512?Tf.ctx.activate(Jf,Ch,qf,Pf,Nh):pf(Jf,Ch,qf,Tf,Af,Pf,Nh):Xd(kf,Jf,Nh)},pf=(kf,Jf,Ch,qf,Tf,Af,Pf)=>{const gh=kf.component=createComponentInstance(kf,qf,Tf);if(isKeepAlive(kf)&&(gh.ctx.renderer=zf),setupComponent(gh,!1,Pf),gh.asyncDep){if(Tf&&Tf.registerDep(gh,hf,Pf),!kf.el){const Nh=gh.subTree=createVNode(Comment);Rd(null,Nh,Jf,Ch)}}else hf(gh,kf,Jf,Ch,Tf,Af,Pf)},Xd=(kf,Jf,Ch)=>{const qf=Jf.component=kf.component;if(shouldUpdateComponent(kf,Jf,Ch))if(qf.asyncDep&&!qf.asyncResolved){_f(qf,Jf,Ch);return}else qf.next=Jf,invalidateJob(qf.update),qf.effect.dirty=!0,qf.update();else Jf.el=kf.el,qf.vnode=Jf},hf=(kf,Jf,Ch,qf,Tf,Af,Pf)=>{const gh=()=>{if(kf.isMounted){let{next:$h,bu:Rh,u:jh,parent:Zh,vnode:Wh}=kf;{const Df=locateNonHydratedAsyncRoot(kf);if(Df){$h&&($h.el=Wh.el,_f(kf,$h,Pf)),Df.asyncDep.then(()=>{kf.isUnmounted||gh()});return}}let sm=$h,fm;toggleRecurse(kf,!1),$h?($h.el=Wh.el,_f(kf,$h,Pf)):$h=Wh,Rh&&invokeArrayFns(Rh),(fm=$h.props&&$h.props.onVnodeBeforeUpdate)&&invokeVNodeHook(fm,Zh,$h,Wh),toggleRecurse(kf,!0);const ih=renderComponentRoot(kf),Rf=kf.subTree;kf.subTree=ih,Td(Rf,ih,_d(Rf.el),gf(Rf),kf,Tf,Af),$h.el=ih.el,sm===null&&updateHOCHostEl(kf,ih.el),jh&&queuePostRenderEffect(jh,Tf),(fm=$h.props&&$h.props.onVnodeUpdated)&&queuePostRenderEffect(()=>invokeVNodeHook(fm,Zh,$h,Wh),Tf)}else{let $h;const{el:Rh,props:jh}=Jf,{bm:Zh,m:Wh,parent:sm}=kf,fm=isAsyncWrapper(Jf);if(toggleRecurse(kf,!1),Zh&&invokeArrayFns(Zh),!fm&&($h=jh&&jh.onVnodeBeforeMount)&&invokeVNodeHook($h,sm,Jf),toggleRecurse(kf,!0),Rh&&Vf){const ih=()=>{kf.subTree=renderComponentRoot(kf),Vf(Rh,kf.subTree,kf,Tf,null)};fm?Jf.type.__asyncLoader().then(()=>!kf.isUnmounted&&ih()):ih()}else{const ih=kf.subTree=renderComponentRoot(kf);Td(null,ih,Ch,qf,kf,Tf,Af),Jf.el=ih.el}if(Wh&&queuePostRenderEffect(Wh,Tf),!fm&&($h=jh&&jh.onVnodeMounted)){const ih=Jf;queuePostRenderEffect(()=>invokeVNodeHook($h,sm,ih),Tf)}(Jf.shapeFlag&256||sm&&isAsyncWrapper(sm.vnode)&&sm.vnode.shapeFlag&256)&&kf.a&&queuePostRenderEffect(kf.a,Tf),kf.isMounted=!0,Jf=Ch=qf=null}},Nh=kf.effect=new ReactiveEffect(gh,NOOP,()=>queueJob(dh),kf.scope),dh=kf.update=()=>{Nh.dirty&&Nh.run()};dh.i=kf,dh.id=kf.uid,toggleRecurse(kf,!0),dh()},_f=(kf,Jf,Ch)=>{Jf.component=kf;const qf=kf.vnode.props;kf.vnode=Jf,kf.next=null,updateProps(kf,Jf.props,qf,Ch),updateSlots(kf,Jf.children,Ch),pauseTracking(),flushPreFlushCbs(kf),resetTracking()},xf=(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh=!1)=>{const dh=kf&&kf.children,$h=kf?kf.shapeFlag:0,Rh=Jf.children,{patchFlag:jh,shapeFlag:Zh}=Jf;if(jh>0){if(jh&128){Wf(dh,Rh,Ch,qf,Tf,Af,Pf,gh,Nh);return}else if(jh&256){Lf(dh,Rh,Ch,qf,Tf,Af,Pf,gh,Nh);return}}Zh&8?($h&16&&Gf(dh,Tf,Af),Rh!==dh&&ud(Ch,Rh)):$h&16?Zh&16?Wf(dh,Rh,Ch,qf,Tf,Af,Pf,gh,Nh):Gf(dh,Tf,Af,!0):($h&8&&ud(Ch,""),Zh&16&&Yd(Rh,Ch,qf,Tf,Af,Pf,gh,Nh))},Lf=(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh)=>{kf=kf||EMPTY_ARR,Jf=Jf||EMPTY_ARR;const dh=kf.length,$h=Jf.length,Rh=Math.min(dh,$h);let jh;for(jh=0;jh<Rh;jh++){const Zh=Jf[jh]=Nh?cloneIfMounted(Jf[jh]):normalizeVNode(Jf[jh]);Td(kf[jh],Zh,Ch,null,Tf,Af,Pf,gh,Nh)}dh>$h?Gf(kf,Tf,Af,!0,!1,Rh):Yd(Jf,Ch,qf,Tf,Af,Pf,gh,Nh,Rh)},Wf=(kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh)=>{let dh=0;const $h=Jf.length;let Rh=kf.length-1,jh=$h-1;for(;dh<=Rh&&dh<=jh;){const Zh=kf[dh],Wh=Jf[dh]=Nh?cloneIfMounted(Jf[dh]):normalizeVNode(Jf[dh]);if(isSameVNodeType(Zh,Wh))Td(Zh,Wh,Ch,null,Tf,Af,Pf,gh,Nh);else break;dh++}for(;dh<=Rh&&dh<=jh;){const Zh=kf[Rh],Wh=Jf[jh]=Nh?cloneIfMounted(Jf[jh]):normalizeVNode(Jf[jh]);if(isSameVNodeType(Zh,Wh))Td(Zh,Wh,Ch,null,Tf,Af,Pf,gh,Nh);else break;Rh--,jh--}if(dh>Rh){if(dh<=jh){const Zh=jh+1,Wh=Zh<$h?Jf[Zh].el:qf;for(;dh<=jh;)Td(null,Jf[dh]=Nh?cloneIfMounted(Jf[dh]):normalizeVNode(Jf[dh]),Ch,Wh,Tf,Af,Pf,gh,Nh),dh++}}else if(dh>jh)for(;dh<=Rh;)If(kf[dh],Tf,Af,!0),dh++;else{const Zh=dh,Wh=dh,sm=new Map;for(dh=Wh;dh<=jh;dh++){const Hh=Jf[dh]=Nh?cloneIfMounted(Jf[dh]):normalizeVNode(Jf[dh]);Hh.key!=null&&sm.set(Hh.key,dh)}let fm,ih=0;const Rf=jh-Wh+1;let Df=!1,Zf=0;const bh=new Array(Rf);for(dh=0;dh<Rf;dh++)bh[dh]=0;for(dh=Zh;dh<=Rh;dh++){const Hh=kf[dh];if(ih>=Rf){If(Hh,Tf,Af,!0);continue}let Xh;if(Hh.key!=null)Xh=sm.get(Hh.key);else for(fm=Wh;fm<=jh;fm++)if(bh[fm-Wh]===0&&isSameVNodeType(Hh,Jf[fm])){Xh=fm;break}Xh===void 0?If(Hh,Tf,Af,!0):(bh[Xh-Wh]=dh+1,Xh>=Zf?Zf=Xh:Df=!0,Td(Hh,Jf[Xh],Ch,null,Tf,Af,Pf,gh,Nh),ih++)}const Lh=Df?getSequence(bh):EMPTY_ARR;for(fm=Lh.length-1,dh=Rf-1;dh>=0;dh--){const Hh=Wh+dh,Xh=Jf[Hh],gm=Hh+1<$h?Jf[Hh+1].el:qf;bh[dh]===0?Td(null,Xh,Ch,gm,Tf,Af,Pf,gh,Nh):Df&&(fm<0||dh!==Lh[fm]?Yf(Xh,Ch,gm,2):fm--)}}},Yf=(kf,Jf,Ch,qf,Tf=null)=>{const{el:Af,type:Pf,transition:gh,children:Nh,shapeFlag:dh}=kf;if(dh&6){Yf(kf.component.subTree,Jf,Ch,qf);return}if(dh&128){kf.suspense.move(Jf,Ch,qf);return}if(dh&64){Pf.move(kf,Jf,Ch,zf);return}if(Pf===Fragment){ed(Af,Jf,Ch);for(let Rh=0;Rh<Nh.length;Rh++)Yf(Nh[Rh],Jf,Ch,qf);ed(kf.anchor,Jf,Ch);return}if(Pf===Static){Id(kf,Jf,Ch);return}if(qf!==2&&dh&1&&gh)if(qf===0)gh.beforeEnter(Af),ed(Af,Jf,Ch),queuePostRenderEffect(()=>gh.enter(Af),Tf);else{const{leave:Rh,delayLeave:jh,afterLeave:Zh}=gh,Wh=()=>ed(Af,Jf,Ch),sm=()=>{Rh(Af,()=>{Wh(),Zh&&Zh()})};jh?jh(Af,Wh,sm):sm()}else ed(Af,Jf,Ch)},If=(kf,Jf,Ch,qf=!1,Tf=!1)=>{const{type:Af,props:Pf,ref:gh,children:Nh,dynamicChildren:dh,shapeFlag:$h,patchFlag:Rh,dirs:jh,cacheIndex:Zh}=kf;if(Rh===-2&&(Tf=!1),gh!=null&&setRef(gh,null,Ch,kf,!0),Zh!=null&&(Jf.renderCache[Zh]=void 0),$h&256){Jf.ctx.deactivate(kf);return}const Wh=$h&1&&jh,sm=!isAsyncWrapper(kf);let fm;if(sm&&(fm=Pf&&Pf.onVnodeBeforeUnmount)&&invokeVNodeHook(fm,Jf,kf),$h&6)Kf(kf.component,Ch,qf);else{if($h&128){kf.suspense.unmount(Ch,qf);return}Wh&&invokeDirectiveHook(kf,null,Jf,"beforeUnmount"),$h&64?kf.type.remove(kf,Jf,Ch,zf,qf):dh&&!dh.hasOnce&&(Af!==Fragment||Rh>0&&Rh&64)?Gf(dh,Jf,Ch,!1,!0):(Af===Fragment&&Rh&384||!Tf&&$h&16)&&Gf(Nh,Jf,Ch),qf&&Sf(kf)}(sm&&(fm=Pf&&Pf.onVnodeUnmounted)||Wh)&&queuePostRenderEffect(()=>{fm&&invokeVNodeHook(fm,Jf,kf),Wh&&invokeDirectiveHook(kf,null,Jf,"unmounted")},Ch)},Sf=kf=>{const{type:Jf,el:Ch,anchor:qf,transition:Tf}=kf;if(Jf===Fragment){wf(Ch,qf);return}if(Jf===Static){Md(kf);return}const Af=()=>{td(Ch),Tf&&!Tf.persisted&&Tf.afterLeave&&Tf.afterLeave()};if(kf.shapeFlag&1&&Tf&&!Tf.persisted){const{leave:Pf,delayLeave:gh}=Tf,Nh=()=>Pf(Ch,Af);gh?gh(kf.el,Af,Nh):Nh()}else Af()},wf=(kf,Jf)=>{let Ch;for(;kf!==Jf;)Ch=yd(kf),td(kf),kf=Ch;td(Jf)},Kf=(kf,Jf,Ch)=>{const{bum:qf,scope:Tf,update:Af,subTree:Pf,um:gh,m:Nh,a:dh}=kf;invalidateMount(Nh),invalidateMount(dh),qf&&invokeArrayFns(qf),Tf.stop(),Af&&(Af.active=!1,If(Pf,kf,Jf,Ch)),gh&&queuePostRenderEffect(gh,Jf),queuePostRenderEffect(()=>{kf.isUnmounted=!0},Jf),Jf&&Jf.pendingBranch&&!Jf.isUnmounted&&kf.asyncDep&&!kf.asyncResolved&&kf.suspenseId===Jf.pendingId&&(Jf.deps--,Jf.deps===0&&Jf.resolve())},Gf=(kf,Jf,Ch,qf=!1,Tf=!1,Af=0)=>{for(let Pf=Af;Pf<kf.length;Pf++)If(kf[Pf],Jf,Ch,qf,Tf)},gf=kf=>{if(kf.shapeFlag&6)return gf(kf.component.subTree);if(kf.shapeFlag&128)return kf.suspense.next();const Jf=yd(kf.anchor||kf.el),Ch=Jf&&Jf[TeleportEndKey];return Ch?yd(Ch):Jf};let mf=!1;const $f=(kf,Jf,Ch)=>{kf==null?Jf._vnode&&If(Jf._vnode,null,null,!0):Td(Jf._vnode||null,kf,Jf,null,null,null,Ch),Jf._vnode=kf,mf||(mf=!0,flushPreFlushCbs(),flushPostFlushCbs(),mf=!1)},zf={p:Td,um:If,m:Yf,r:Sf,mt:pf,mc:Yd,pc:xf,pbc:Hd,n:gf,o:Ra};let hh,Vf;return{render:$f,hydrate:hh,createApp:createAppAPI($f,hh)}}function resolveChildrenNamespace({type:Ra,props:qa},Ja){return Ja==="svg"&&Ra==="foreignObject"||Ja==="mathml"&&Ra==="annotation-xml"&&qa&&qa.encoding&&qa.encoding.includes("html")?void 0:Ja}function toggleRecurse({effect:Ra,update:qa},Ja){Ra.allowRecurse=qa.allowRecurse=Ja}function needTransition(Ra,qa){return(!Ra||Ra&&!Ra.pendingBranch)&&qa&&!qa.persisted}function traverseStaticChildren(Ra,qa,Ja=!1){const ed=Ra.children,td=qa.children;if(isArray$2(ed)&&isArray$2(td))for(let rd=0;rd<ed.length;rd++){const sd=ed[rd];let od=td[rd];od.shapeFlag&1&&!od.dynamicChildren&&((od.patchFlag<=0||od.patchFlag===32)&&(od=td[rd]=cloneIfMounted(td[rd]),od.el=sd.el),!Ja&&od.patchFlag!==-2&&traverseStaticChildren(sd,od)),od.type===Text&&(od.el=sd.el)}}function getSequence(Ra){const qa=Ra.slice(),Ja=[0];let ed,td,rd,sd,od;const ld=Ra.length;for(ed=0;ed<ld;ed++){const cd=Ra[ed];if(cd!==0){if(td=Ja[Ja.length-1],Ra[td]<cd){qa[ed]=td,Ja.push(ed);continue}for(rd=0,sd=Ja.length-1;rd<sd;)od=rd+sd>>1,Ra[Ja[od]]<cd?rd=od+1:sd=od;cd<Ra[Ja[rd]]&&(rd>0&&(qa[ed]=Ja[rd-1]),Ja[rd]=ed)}}for(rd=Ja.length,sd=Ja[rd-1];rd-- >0;)Ja[rd]=sd,sd=qa[sd];return Ja}function locateNonHydratedAsyncRoot(Ra){const qa=Ra.subTree.component;if(qa)return qa.asyncDep&&!qa.asyncResolved?qa:locateNonHydratedAsyncRoot(qa)}function invalidateMount(Ra){if(Ra)for(let qa=0;qa<Ra.length;qa++)Ra[qa].active=!1}const ssrContextKey=Symbol.for("v-scx"),useSSRContext=()=>inject(ssrContextKey);function watchEffect(Ra,qa){return doWatch(Ra,null,qa)}function watchPostEffect(Ra,qa){return doWatch(Ra,null,{flush:"post"})}const INITIAL_WATCHER_VALUE={};function watch(Ra,qa,Ja){return doWatch(Ra,qa,Ja)}function doWatch(Ra,qa,{immediate:Ja,deep:ed,flush:td,once:rd,onTrack:sd,onTrigger:od}=EMPTY_OBJ){if(qa&&rd){const Pd=qa;qa=(...qd)=>{Pd(...qd),Ld()}}const ld=currentInstance,cd=Pd=>ed===!0?Pd:traverse(Pd,ed===!1?1:void 0);let ud,_d=!1,yd=!1;if(isRef(Ra)?(ud=()=>Ra.value,_d=isShallow(Ra)):isReactive(Ra)?(ud=()=>cd(Ra),_d=!0):isArray$2(Ra)?(yd=!0,_d=Ra.some(Pd=>isReactive(Pd)||isShallow(Pd)),ud=()=>Ra.map(Pd=>{if(isRef(Pd))return Pd.value;if(isReactive(Pd))return cd(Pd);if(isFunction$4(Pd))return callWithErrorHandling(Pd,ld,2)})):isFunction$4(Ra)?qa?ud=()=>callWithErrorHandling(Ra,ld,2):ud=()=>(gd&&gd(),callWithAsyncErrorHandling(Ra,ld,3,[Ed])):ud=NOOP,qa&&ed){const Pd=ud;ud=()=>traverse(Pd())}let gd,Ed=Pd=>{gd=Id.onStop=()=>{callWithErrorHandling(Pd,ld,4),gd=Id.onStop=void 0}},Td;if(isInSSRComponentSetup)if(Ed=NOOP,qa?Ja&&callWithAsyncErrorHandling(qa,ld,3,[ud(),yd?[]:void 0,Ed]):ud(),td==="sync"){const Pd=useSSRContext();Td=Pd.__watcherHandles||(Pd.__watcherHandles=[])}else return NOOP;let kd=yd?new Array(Ra.length).fill(INITIAL_WATCHER_VALUE):INITIAL_WATCHER_VALUE;const Rd=()=>{if(!(!Id.active||!Id.dirty))if(qa){const Pd=Id.run();(ed||_d||(yd?Pd.some((qd,Yd)=>hasChanged(qd,kd[Yd])):hasChanged(Pd,kd)))&&(gd&&gd(),callWithAsyncErrorHandling(qa,ld,3,[Pd,kd===INITIAL_WATCHER_VALUE?void 0:yd&&kd[0]===INITIAL_WATCHER_VALUE?[]:kd,Ed]),kd=Pd)}else Id.run()};Rd.allowRecurse=!!qa;let Nd;td==="sync"?Nd=Rd:td==="post"?Nd=()=>queuePostRenderEffect(Rd,ld&&ld.suspense):(Rd.pre=!0,ld&&(Rd.id=ld.uid),Nd=()=>queueJob(Rd));const Id=new ReactiveEffect(ud,NOOP,Nd),Md=getCurrentScope(),Ld=()=>{Id.stop(),Md&&remove$1(Md.effects,Id)};return qa?Ja?Rd():kd=Id.run():td==="post"?queuePostRenderEffect(Id.run.bind(Id),ld&&ld.suspense):Id.run(),Td&&Td.push(Ld),Ld}function instanceWatch(Ra,qa,Ja){const ed=this.proxy,td=isString$3(Ra)?Ra.includes(".")?createPathGetter(ed,Ra):()=>ed[Ra]:Ra.bind(ed,ed);let rd;isFunction$4(qa)?rd=qa:(rd=qa.handler,Ja=qa);const sd=setCurrentInstance(this),od=doWatch(td,rd.bind(ed),Ja);return sd(),od}function createPathGetter(Ra,qa){const Ja=qa.split(".");return()=>{let ed=Ra;for(let td=0;td<Ja.length&&ed;td++)ed=ed[Ja[td]];return ed}}function traverse(Ra,qa=1/0,Ja){if(qa<=0||!isObject$5(Ra)||Ra.__v_skip||(Ja=Ja||new Set,Ja.has(Ra)))return Ra;if(Ja.add(Ra),qa--,isRef(Ra))traverse(Ra.value,qa,Ja);else if(isArray$2(Ra))for(let ed=0;ed<Ra.length;ed++)traverse(Ra[ed],qa,Ja);else if(isSet$1(Ra)||isMap$1(Ra))Ra.forEach(ed=>{traverse(ed,qa,Ja)});else if(isPlainObject$3(Ra)){for(const ed in Ra)traverse(Ra[ed],qa,Ja);for(const ed of Object.getOwnPropertySymbols(Ra))Object.prototype.propertyIsEnumerable.call(Ra,ed)&&traverse(Ra[ed],qa,Ja)}return Ra}const getModelModifiers=(Ra,qa)=>qa==="modelValue"||qa==="model-value"?Ra.modelModifiers:Ra[`${qa}Modifiers`]||Ra[`${camelize(qa)}Modifiers`]||Ra[`${hyphenate$1(qa)}Modifiers`];function emit(Ra,qa,...Ja){if(Ra.isUnmounted)return;const ed=Ra.vnode.props||EMPTY_OBJ;let td=Ja;const rd=qa.startsWith("update:"),sd=rd&&getModelModifiers(ed,qa.slice(7));sd&&(sd.trim&&(td=Ja.map(ud=>isString$3(ud)?ud.trim():ud)),sd.number&&(td=Ja.map(looseToNumber)));let od,ld=ed[od=toHandlerKey(qa)]||ed[od=toHandlerKey(camelize(qa))];!ld&&rd&&(ld=ed[od=toHandlerKey(hyphenate$1(qa))]),ld&&callWithAsyncErrorHandling(ld,Ra,6,td);const cd=ed[od+"Once"];if(cd){if(!Ra.emitted)Ra.emitted={};else if(Ra.emitted[od])return;Ra.emitted[od]=!0,callWithAsyncErrorHandling(cd,Ra,6,td)}}function normalizeEmitsOptions(Ra,qa,Ja=!1){const ed=qa.emitsCache,td=ed.get(Ra);if(td!==void 0)return td;const rd=Ra.emits;let sd={},od=!1;if(!isFunction$4(Ra)){const ld=cd=>{const ud=normalizeEmitsOptions(cd,qa,!0);ud&&(od=!0,extend$3(sd,ud))};!Ja&&qa.mixins.length&&qa.mixins.forEach(ld),Ra.extends&&ld(Ra.extends),Ra.mixins&&Ra.mixins.forEach(ld)}return!rd&&!od?(isObject$5(Ra)&&ed.set(Ra,null),null):(isArray$2(rd)?rd.forEach(ld=>sd[ld]=null):extend$3(sd,rd),isObject$5(Ra)&&ed.set(Ra,sd),sd)}function isEmitListener(Ra,qa){return!Ra||!isOn(qa)?!1:(qa=qa.slice(2).replace(/Once$/,""),hasOwn$1(Ra,qa[0].toLowerCase()+qa.slice(1))||hasOwn$1(Ra,hyphenate$1(qa))||hasOwn$1(Ra,qa))}function markAttrsAccessed(){}function renderComponentRoot(Ra){const{type:qa,vnode:Ja,proxy:ed,withProxy:td,propsOptions:[rd],slots:sd,attrs:od,emit:ld,render:cd,renderCache:ud,props:_d,data:yd,setupState:gd,ctx:Ed,inheritAttrs:Td}=Ra,kd=setCurrentRenderingInstance(Ra);let Rd,Nd;try{if(Ja.shapeFlag&4){const Md=td||ed,Ld=Md;Rd=normalizeVNode(cd.call(Ld,Md,ud,_d,gd,yd,Ed)),Nd=od}else{const Md=qa;Rd=normalizeVNode(Md.length>1?Md(_d,{attrs:od,slots:sd,emit:ld}):Md(_d,null)),Nd=qa.props?od:getFunctionalFallthrough(od)}}catch(Md){blockStack.length=0,handleError$1(Md,Ra,1),Rd=createVNode(Comment)}let Id=Rd;if(Nd&&Td!==!1){const Md=Object.keys(Nd),{shapeFlag:Ld}=Id;Md.length&&Ld&7&&(rd&&Md.some(isModelListener)&&(Nd=filterModelListeners(Nd,rd)),Id=cloneVNode(Id,Nd,!1,!0))}return Ja.dirs&&(Id=cloneVNode(Id,null,!1,!0),Id.dirs=Id.dirs?Id.dirs.concat(Ja.dirs):Ja.dirs),Ja.transition&&(Id.transition=Ja.transition),Rd=Id,setCurrentRenderingInstance(kd),Rd}const getFunctionalFallthrough=Ra=>{let qa;for(const Ja in Ra)(Ja==="class"||Ja==="style"||isOn(Ja))&&((qa||(qa={}))[Ja]=Ra[Ja]);return qa},filterModelListeners=(Ra,qa)=>{const Ja={};for(const ed in Ra)(!isModelListener(ed)||!(ed.slice(9)in qa))&&(Ja[ed]=Ra[ed]);return Ja};function shouldUpdateComponent(Ra,qa,Ja){const{props:ed,children:td,component:rd}=Ra,{props:sd,children:od,patchFlag:ld}=qa,cd=rd.emitsOptions;if(qa.dirs||qa.transition)return!0;if(Ja&&ld>=0){if(ld&1024)return!0;if(ld&16)return ed?hasPropsChanged(ed,sd,cd):!!sd;if(ld&8){const ud=qa.dynamicProps;for(let _d=0;_d<ud.length;_d++){const yd=ud[_d];if(sd[yd]!==ed[yd]&&!isEmitListener(cd,yd))return!0}}}else return(td||od)&&(!od||!od.$stable)?!0:ed===sd?!1:ed?sd?hasPropsChanged(ed,sd,cd):!0:!!sd;return!1}function hasPropsChanged(Ra,qa,Ja){const ed=Object.keys(qa);if(ed.length!==Object.keys(Ra).length)return!0;for(let td=0;td<ed.length;td++){const rd=ed[td];if(qa[rd]!==Ra[rd]&&!isEmitListener(Ja,rd))return!0}return!1}function updateHOCHostEl({vnode:Ra,parent:qa},Ja){for(;qa;){const ed=qa.subTree;if(ed.suspense&&ed.suspense.activeBranch===Ra&&(ed.el=Ra.el),ed===Ra)(Ra=qa.vnode).el=Ja,qa=qa.parent;else break}}const isSuspense=Ra=>Ra.__isSuspense;function queueEffectWithSuspense(Ra,qa){qa&&qa.pendingBranch?isArray$2(Ra)?qa.effects.push(...Ra):qa.effects.push(Ra):queuePostFlushCb(Ra)}const Fragment=Symbol.for("v-fgt"),Text=Symbol.for("v-txt"),Comment=Symbol.for("v-cmt"),Static=Symbol.for("v-stc"),blockStack=[];let currentBlock=null;function openBlock(Ra=!1){blockStack.push(currentBlock=Ra?null:[])}function closeBlock(){blockStack.pop(),currentBlock=blockStack[blockStack.length-1]||null}let isBlockTreeEnabled=1;function setBlockTracking(Ra){isBlockTreeEnabled+=Ra,Ra<0&¤tBlock&&(currentBlock.hasOnce=!0)}function setupBlock(Ra){return Ra.dynamicChildren=isBlockTreeEnabled>0?currentBlock||EMPTY_ARR:null,closeBlock(),isBlockTreeEnabled>0&¤tBlock&¤tBlock.push(Ra),Ra}function createElementBlock(Ra,qa,Ja,ed,td,rd){return setupBlock(createBaseVNode(Ra,qa,Ja,ed,td,rd,!0))}function createBlock(Ra,qa,Ja,ed,td){return setupBlock(createVNode(Ra,qa,Ja,ed,td,!0))}function isVNode(Ra){return Ra?Ra.__v_isVNode===!0:!1}function isSameVNodeType(Ra,qa){return Ra.type===qa.type&&Ra.key===qa.key}const normalizeKey=({key:Ra})=>Ra??null,normalizeRef=({ref:Ra,ref_key:qa,ref_for:Ja})=>(typeof Ra=="number"&&(Ra=""+Ra),Ra!=null?isString$3(Ra)||isRef(Ra)||isFunction$4(Ra)?{i:currentRenderingInstance,r:Ra,k:qa,f:!!Ja}:Ra:null);function createBaseVNode(Ra,qa=null,Ja=null,ed=0,td=null,rd=Ra===Fragment?0:1,sd=!1,od=!1){const ld={__v_isVNode:!0,__v_skip:!0,type:Ra,props:qa,key:qa&&normalizeKey(qa),ref:qa&&normalizeRef(qa),scopeId:currentScopeId,slotScopeIds:null,children:Ja,component:null,suspense:null,ssContent:null,ssFallback:null,dirs:null,transition:null,el:null,anchor:null,target:null,targetStart:null,targetAnchor:null,staticCount:0,shapeFlag:rd,patchFlag:ed,dynamicProps:td,dynamicChildren:null,appContext:null,ctx:currentRenderingInstance};return od?(normalizeChildren(ld,Ja),rd&128&&Ra.normalize(ld)):Ja&&(ld.shapeFlag|=isString$3(Ja)?8:16),isBlockTreeEnabled>0&&!sd&¤tBlock&&(ld.patchFlag>0||rd&6)&&ld.patchFlag!==32&¤tBlock.push(ld),ld}const createVNode=_createVNode;function _createVNode(Ra,qa=null,Ja=null,ed=0,td=null,rd=!1){if((!Ra||Ra===NULL_DYNAMIC_COMPONENT)&&(Ra=Comment),isVNode(Ra)){const od=cloneVNode(Ra,qa,!0);return Ja&&normalizeChildren(od,Ja),isBlockTreeEnabled>0&&!rd&¤tBlock&&(od.shapeFlag&6?currentBlock[currentBlock.indexOf(Ra)]=od:currentBlock.push(od)),od.patchFlag=-2,od}if(isClassComponent(Ra)&&(Ra=Ra.__vccOpts),qa){qa=guardReactiveProps(qa);let{class:od,style:ld}=qa;od&&!isString$3(od)&&(qa.class=normalizeClass(od)),isObject$5(ld)&&(isProxy(ld)&&!isArray$2(ld)&&(ld=extend$3({},ld)),qa.style=normalizeStyle(ld))}const sd=isString$3(Ra)?1:isSuspense(Ra)?128:isTeleport(Ra)?64:isObject$5(Ra)?4:isFunction$4(Ra)?2:0;return createBaseVNode(Ra,qa,Ja,ed,td,sd,rd,!0)}function guardReactiveProps(Ra){return Ra?isProxy(Ra)||isInternalObject(Ra)?extend$3({},Ra):Ra:null}function cloneVNode(Ra,qa,Ja=!1,ed=!1){const{props:td,ref:rd,patchFlag:sd,children:od,transition:ld}=Ra,cd=qa?mergeProps(td||{},qa):td,ud={__v_isVNode:!0,__v_skip:!0,type:Ra.type,props:cd,key:cd&&normalizeKey(cd),ref:qa&&qa.ref?Ja&&rd?isArray$2(rd)?rd.concat(normalizeRef(qa)):[rd,normalizeRef(qa)]:normalizeRef(qa):rd,scopeId:Ra.scopeId,slotScopeIds:Ra.slotScopeIds,children:od,target:Ra.target,targetStart:Ra.targetStart,targetAnchor:Ra.targetAnchor,staticCount:Ra.staticCount,shapeFlag:Ra.shapeFlag,patchFlag:qa&&Ra.type!==Fragment?sd===-1?16:sd|16:sd,dynamicProps:Ra.dynamicProps,dynamicChildren:Ra.dynamicChildren,appContext:Ra.appContext,dirs:Ra.dirs,transition:ld,component:Ra.component,suspense:Ra.suspense,ssContent:Ra.ssContent&&cloneVNode(Ra.ssContent),ssFallback:Ra.ssFallback&&cloneVNode(Ra.ssFallback),el:Ra.el,anchor:Ra.anchor,ctx:Ra.ctx,ce:Ra.ce};return ld&&ed&&setTransitionHooks(ud,ld.clone(ud)),ud}function createTextVNode(Ra=" ",qa=0){return createVNode(Text,null,Ra,qa)}function createCommentVNode(Ra="",qa=!1){return qa?(openBlock(),createBlock(Comment,null,Ra)):createVNode(Comment,null,Ra)}function normalizeVNode(Ra){return Ra==null||typeof Ra=="boolean"?createVNode(Comment):isArray$2(Ra)?createVNode(Fragment,null,Ra.slice()):typeof Ra=="object"?cloneIfMounted(Ra):createVNode(Text,null,String(Ra))}function cloneIfMounted(Ra){return Ra.el===null&&Ra.patchFlag!==-1||Ra.memo?Ra:cloneVNode(Ra)}function normalizeChildren(Ra,qa){let Ja=0;const{shapeFlag:ed}=Ra;if(qa==null)qa=null;else if(isArray$2(qa))Ja=16;else if(typeof qa=="object")if(ed&65){const td=qa.default;td&&(td._c&&(td._d=!1),normalizeChildren(Ra,td()),td._c&&(td._d=!0));return}else{Ja=32;const td=qa._;!td&&!isInternalObject(qa)?qa._ctx=currentRenderingInstance:td===3&¤tRenderingInstance&&(currentRenderingInstance.slots._===1?qa._=1:(qa._=2,Ra.patchFlag|=1024))}else isFunction$4(qa)?(qa={default:qa,_ctx:currentRenderingInstance},Ja=32):(qa=String(qa),ed&64?(Ja=16,qa=[createTextVNode(qa)]):Ja=8);Ra.children=qa,Ra.shapeFlag|=Ja}function mergeProps(...Ra){const qa={};for(let Ja=0;Ja<Ra.length;Ja++){const ed=Ra[Ja];for(const td in ed)if(td==="class")qa.class!==ed.class&&(qa.class=normalizeClass([qa.class,ed.class]));else if(td==="style")qa.style=normalizeStyle([qa.style,ed.style]);else if(isOn(td)){const rd=qa[td],sd=ed[td];sd&&rd!==sd&&!(isArray$2(rd)&&rd.includes(sd))&&(qa[td]=rd?[].concat(rd,sd):sd)}else td!==""&&(qa[td]=ed[td])}return qa}function invokeVNodeHook(Ra,qa,Ja,ed=null){callWithAsyncErrorHandling(Ra,qa,7,[Ja,ed])}const emptyAppContext=createAppContext();let uid=0;function createComponentInstance(Ra,qa,Ja){const ed=Ra.type,td=(qa?qa.appContext:Ra.appContext)||emptyAppContext,rd={uid:uid++,vnode:Ra,type:ed,parent:qa,appContext:td,root:null,next:null,subTree:null,effect:null,update:null,scope:new EffectScope(!0),render:null,proxy:null,exposed:null,exposeProxy:null,withProxy:null,provides:qa?qa.provides:Object.create(td.provides),accessCache:null,renderCache:[],components:null,directives:null,propsOptions:normalizePropsOptions(ed,td),emitsOptions:normalizeEmitsOptions(ed,td),emit:null,emitted:null,propsDefaults:EMPTY_OBJ,inheritAttrs:ed.inheritAttrs,ctx:EMPTY_OBJ,data:EMPTY_OBJ,props:EMPTY_OBJ,attrs:EMPTY_OBJ,slots:EMPTY_OBJ,refs:EMPTY_OBJ,setupState:EMPTY_OBJ,setupContext:null,suspense:Ja,suspenseId:Ja?Ja.pendingId:0,asyncDep:null,asyncResolved:!1,isMounted:!1,isUnmounted:!1,isDeactivated:!1,bc:null,c:null,bm:null,m:null,bu:null,u:null,um:null,bum:null,da:null,a:null,rtg:null,rtc:null,ec:null,sp:null};return rd.ctx={_:rd},rd.root=qa?qa.root:rd,rd.emit=emit.bind(null,rd),Ra.ce&&Ra.ce(rd),rd}let currentInstance=null;const getCurrentInstance=()=>currentInstance||currentRenderingInstance;let internalSetCurrentInstance,setInSSRSetupState;{const Ra=getGlobalThis(),qa=(Ja,ed)=>{let td;return(td=Ra[Ja])||(td=Ra[Ja]=[]),td.push(ed),rd=>{td.length>1?td.forEach(sd=>sd(rd)):td[0](rd)}};internalSetCurrentInstance=qa("__VUE_INSTANCE_SETTERS__",Ja=>currentInstance=Ja),setInSSRSetupState=qa("__VUE_SSR_SETTERS__",Ja=>isInSSRComponentSetup=Ja)}const setCurrentInstance=Ra=>{const qa=currentInstance;return internalSetCurrentInstance(Ra),Ra.scope.on(),()=>{Ra.scope.off(),internalSetCurrentInstance(qa)}},unsetCurrentInstance=()=>{currentInstance&¤tInstance.scope.off(),internalSetCurrentInstance(null)};function isStatefulComponent(Ra){return Ra.vnode.shapeFlag&4}let isInSSRComponentSetup=!1;function setupComponent(Ra,qa=!1,Ja=!1){qa&&setInSSRSetupState(qa);const{props:ed,children:td}=Ra.vnode,rd=isStatefulComponent(Ra);initProps(Ra,ed,rd,qa),initSlots(Ra,td,Ja);const sd=rd?setupStatefulComponent(Ra,qa):void 0;return qa&&setInSSRSetupState(!1),sd}function setupStatefulComponent(Ra,qa){const Ja=Ra.type;Ra.accessCache=Object.create(null),Ra.proxy=new Proxy(Ra.ctx,PublicInstanceProxyHandlers);const{setup:ed}=Ja;if(ed){const td=Ra.setupContext=ed.length>1?createSetupContext(Ra):null,rd=setCurrentInstance(Ra);pauseTracking();const sd=callWithErrorHandling(ed,Ra,0,[Ra.props,td]);if(resetTracking(),rd(),isPromise(sd)){if(sd.then(unsetCurrentInstance,unsetCurrentInstance),qa)return sd.then(od=>{handleSetupResult(Ra,od,qa)}).catch(od=>{handleError$1(od,Ra,0)});Ra.asyncDep=sd}else handleSetupResult(Ra,sd,qa)}else finishComponentSetup(Ra,qa)}function handleSetupResult(Ra,qa,Ja){isFunction$4(qa)?Ra.type.__ssrInlineRender?Ra.ssrRender=qa:Ra.render=qa:isObject$5(qa)&&(Ra.setupState=proxyRefs(qa)),finishComponentSetup(Ra,Ja)}let compile$3;function finishComponentSetup(Ra,qa,Ja){const ed=Ra.type;if(!Ra.render){if(!qa&&compile$3&&!ed.render){const td=ed.template||resolveMergedOptions(Ra).template;if(td){const{isCustomElement:rd,compilerOptions:sd}=Ra.appContext.config,{delimiters:od,compilerOptions:ld}=ed,cd=extend$3(extend$3({isCustomElement:rd,delimiters:od},sd),ld);ed.render=compile$3(td,cd)}}Ra.render=ed.render||NOOP}{const td=setCurrentInstance(Ra);pauseTracking();try{applyOptions(Ra)}finally{resetTracking(),td()}}}const attrsProxyHandlers={get(Ra,qa){return track(Ra,"get",""),Ra[qa]}};function createSetupContext(Ra){const qa=Ja=>{Ra.exposed=Ja||{}};return{attrs:new Proxy(Ra.attrs,attrsProxyHandlers),slots:Ra.slots,emit:Ra.emit,expose:qa}}function getComponentPublicInstance(Ra){return Ra.exposed?Ra.exposeProxy||(Ra.exposeProxy=new Proxy(proxyRefs(markRaw(Ra.exposed)),{get(qa,Ja){if(Ja in qa)return qa[Ja];if(Ja in publicPropertiesMap)return publicPropertiesMap[Ja](Ra)},has(qa,Ja){return Ja in qa||Ja in publicPropertiesMap}})):Ra.proxy}const classifyRE=/(?:^|[-_])(\w)/g,classify=Ra=>Ra.replace(classifyRE,qa=>qa.toUpperCase()).replace(/[-_]/g,"");function getComponentName(Ra,qa=!0){return isFunction$4(Ra)?Ra.displayName||Ra.name:Ra.name||qa&&Ra.__name}function formatComponentName(Ra,qa,Ja=!1){let ed=getComponentName(qa);if(!ed&&qa.__file){const td=qa.__file.match(/([^/\\]+)\.\w+$/);td&&(ed=td[1])}if(!ed&&Ra&&Ra.parent){const td=rd=>{for(const sd in rd)if(rd[sd]===qa)return sd};ed=td(Ra.components||Ra.parent.type.components)||td(Ra.appContext.components)}return ed?classify(ed):Ja?"App":"Anonymous"}function isClassComponent(Ra){return isFunction$4(Ra)&&"__vccOpts"in Ra}const computed=(Ra,qa)=>computed$1(Ra,qa,isInSSRComponentSetup);function h$1(Ra,qa,Ja){const ed=arguments.length;return ed===2?isObject$5(qa)&&!isArray$2(qa)?isVNode(qa)?createVNode(Ra,null,[qa]):createVNode(Ra,qa):createVNode(Ra,null,qa):(ed>3?Ja=Array.prototype.slice.call(arguments,2):ed===3&&isVNode(Ja)&&(Ja=[Ja]),createVNode(Ra,qa,Ja))}const version$2="3.4.38",warn$2=NOOP;/**
|
||
* @vue/runtime-dom v3.4.38
|
||
* (c) 2018-present Yuxi (Evan) You and Vue contributors
|
||
* @license MIT
|
||
**/const svgNS="http://www.w3.org/2000/svg",mathmlNS="http://www.w3.org/1998/Math/MathML",doc=typeof document<"u"?document:null,templateContainer=doc&&doc.createElement("template"),nodeOps={insert:(Ra,qa,Ja)=>{qa.insertBefore(Ra,Ja||null)},remove:Ra=>{const qa=Ra.parentNode;qa&&qa.removeChild(Ra)},createElement:(Ra,qa,Ja,ed)=>{const td=qa==="svg"?doc.createElementNS(svgNS,Ra):qa==="mathml"?doc.createElementNS(mathmlNS,Ra):Ja?doc.createElement(Ra,{is:Ja}):doc.createElement(Ra);return Ra==="select"&&ed&&ed.multiple!=null&&td.setAttribute("multiple",ed.multiple),td},createText:Ra=>doc.createTextNode(Ra),createComment:Ra=>doc.createComment(Ra),setText:(Ra,qa)=>{Ra.nodeValue=qa},setElementText:(Ra,qa)=>{Ra.textContent=qa},parentNode:Ra=>Ra.parentNode,nextSibling:Ra=>Ra.nextSibling,querySelector:Ra=>doc.querySelector(Ra),setScopeId(Ra,qa){Ra.setAttribute(qa,"")},insertStaticContent(Ra,qa,Ja,ed,td,rd){const sd=Ja?Ja.previousSibling:qa.lastChild;if(td&&(td===rd||td.nextSibling))for(;qa.insertBefore(td.cloneNode(!0),Ja),!(td===rd||!(td=td.nextSibling)););else{templateContainer.innerHTML=ed==="svg"?`<svg>${Ra}</svg>`:ed==="mathml"?`<math>${Ra}</math>`:Ra;const od=templateContainer.content;if(ed==="svg"||ed==="mathml"){const ld=od.firstChild;for(;ld.firstChild;)od.appendChild(ld.firstChild);od.removeChild(ld)}qa.insertBefore(od,Ja)}return[sd?sd.nextSibling:qa.firstChild,Ja?Ja.previousSibling:qa.lastChild]}},TRANSITION="transition",ANIMATION="animation",vtcKey=Symbol("_vtc"),Transition$1=(Ra,{slots:qa})=>h$1(BaseTransition,resolveTransitionProps(Ra),qa);Transition$1.displayName="Transition";const DOMTransitionPropsValidators={name:String,type:String,css:{type:Boolean,default:!0},duration:[String,Number,Object],enterFromClass:String,enterActiveClass:String,enterToClass:String,appearFromClass:String,appearActiveClass:String,appearToClass:String,leaveFromClass:String,leaveActiveClass:String,leaveToClass:String},TransitionPropsValidators=Transition$1.props=extend$3({},BaseTransitionPropsValidators,DOMTransitionPropsValidators),callHook=(Ra,qa=[])=>{isArray$2(Ra)?Ra.forEach(Ja=>Ja(...qa)):Ra&&Ra(...qa)},hasExplicitCallback=Ra=>Ra?isArray$2(Ra)?Ra.some(qa=>qa.length>1):Ra.length>1:!1;function resolveTransitionProps(Ra){const qa={};for(const Jd in Ra)Jd in DOMTransitionPropsValidators||(qa[Jd]=Ra[Jd]);if(Ra.css===!1)return qa;const{name:Ja="v",type:ed,duration:td,enterFromClass:rd=`${Ja}-enter-from`,enterActiveClass:sd=`${Ja}-enter-active`,enterToClass:od=`${Ja}-enter-to`,appearFromClass:ld=rd,appearActiveClass:cd=sd,appearToClass:ud=od,leaveFromClass:_d=`${Ja}-leave-from`,leaveActiveClass:yd=`${Ja}-leave-active`,leaveToClass:gd=`${Ja}-leave-to`}=Ra,Ed=normalizeDuration(td),Td=Ed&&Ed[0],kd=Ed&&Ed[1],{onBeforeEnter:Rd,onEnter:Nd,onEnterCancelled:Id,onLeave:Md,onLeaveCancelled:Ld,onBeforeAppear:Pd=Rd,onAppear:qd=Nd,onAppearCancelled:Yd=Id}=qa,Ud=(Jd,Zd,pf)=>{removeTransitionClass(Jd,Zd?ud:od),removeTransitionClass(Jd,Zd?cd:sd),pf&&pf()},Hd=(Jd,Zd)=>{Jd._isLeaving=!1,removeTransitionClass(Jd,_d),removeTransitionClass(Jd,gd),removeTransitionClass(Jd,yd),Zd&&Zd()},Vd=Jd=>(Zd,pf)=>{const Xd=Jd?qd:Nd,hf=()=>Ud(Zd,Jd,pf);callHook(Xd,[Zd,hf]),nextFrame(()=>{removeTransitionClass(Zd,Jd?ld:rd),addTransitionClass(Zd,Jd?ud:od),hasExplicitCallback(Xd)||whenTransitionEnds(Zd,ed,Td,hf)})};return extend$3(qa,{onBeforeEnter(Jd){callHook(Rd,[Jd]),addTransitionClass(Jd,rd),addTransitionClass(Jd,sd)},onBeforeAppear(Jd){callHook(Pd,[Jd]),addTransitionClass(Jd,ld),addTransitionClass(Jd,cd)},onEnter:Vd(!1),onAppear:Vd(!0),onLeave(Jd,Zd){Jd._isLeaving=!0;const pf=()=>Hd(Jd,Zd);addTransitionClass(Jd,_d),addTransitionClass(Jd,yd),forceReflow(),nextFrame(()=>{Jd._isLeaving&&(removeTransitionClass(Jd,_d),addTransitionClass(Jd,gd),hasExplicitCallback(Md)||whenTransitionEnds(Jd,ed,kd,pf))}),callHook(Md,[Jd,pf])},onEnterCancelled(Jd){Ud(Jd,!1),callHook(Id,[Jd])},onAppearCancelled(Jd){Ud(Jd,!0),callHook(Yd,[Jd])},onLeaveCancelled(Jd){Hd(Jd),callHook(Ld,[Jd])}})}function normalizeDuration(Ra){if(Ra==null)return null;if(isObject$5(Ra))return[NumberOf(Ra.enter),NumberOf(Ra.leave)];{const qa=NumberOf(Ra);return[qa,qa]}}function NumberOf(Ra){return toNumber$3(Ra)}function addTransitionClass(Ra,qa){qa.split(/\s+/).forEach(Ja=>Ja&&Ra.classList.add(Ja)),(Ra[vtcKey]||(Ra[vtcKey]=new Set)).add(qa)}function removeTransitionClass(Ra,qa){qa.split(/\s+/).forEach(ed=>ed&&Ra.classList.remove(ed));const Ja=Ra[vtcKey];Ja&&(Ja.delete(qa),Ja.size||(Ra[vtcKey]=void 0))}function nextFrame(Ra){requestAnimationFrame(()=>{requestAnimationFrame(Ra)})}let endId=0;function whenTransitionEnds(Ra,qa,Ja,ed){const td=Ra._endId=++endId,rd=()=>{td===Ra._endId&&ed()};if(Ja)return setTimeout(rd,Ja);const{type:sd,timeout:od,propCount:ld}=getTransitionInfo(Ra,qa);if(!sd)return ed();const cd=sd+"end";let ud=0;const _d=()=>{Ra.removeEventListener(cd,yd),rd()},yd=gd=>{gd.target===Ra&&++ud>=ld&&_d()};setTimeout(()=>{ud<ld&&_d()},od+1),Ra.addEventListener(cd,yd)}function getTransitionInfo(Ra,qa){const Ja=window.getComputedStyle(Ra),ed=Ed=>(Ja[Ed]||"").split(", "),td=ed(`${TRANSITION}Delay`),rd=ed(`${TRANSITION}Duration`),sd=getTimeout(td,rd),od=ed(`${ANIMATION}Delay`),ld=ed(`${ANIMATION}Duration`),cd=getTimeout(od,ld);let ud=null,_d=0,yd=0;qa===TRANSITION?sd>0&&(ud=TRANSITION,_d=sd,yd=rd.length):qa===ANIMATION?cd>0&&(ud=ANIMATION,_d=cd,yd=ld.length):(_d=Math.max(sd,cd),ud=_d>0?sd>cd?TRANSITION:ANIMATION:null,yd=ud?ud===TRANSITION?rd.length:ld.length:0);const gd=ud===TRANSITION&&/\b(transform|all)(,|$)/.test(ed(`${TRANSITION}Property`).toString());return{type:ud,timeout:_d,propCount:yd,hasTransform:gd}}function getTimeout(Ra,qa){for(;Ra.length<qa.length;)Ra=Ra.concat(Ra);return Math.max(...qa.map((Ja,ed)=>toMs(Ja)+toMs(Ra[ed])))}function toMs(Ra){return Ra==="auto"?0:Number(Ra.slice(0,-1).replace(",","."))*1e3}function forceReflow(){return document.body.offsetHeight}function patchClass(Ra,qa,Ja){const ed=Ra[vtcKey];ed&&(qa=(qa?[qa,...ed]:[...ed]).join(" ")),qa==null?Ra.removeAttribute("class"):Ja?Ra.setAttribute("class",qa):Ra.className=qa}const vShowOriginalDisplay=Symbol("_vod"),vShowHidden=Symbol("_vsh"),vShow={beforeMount(Ra,{value:qa},{transition:Ja}){Ra[vShowOriginalDisplay]=Ra.style.display==="none"?"":Ra.style.display,Ja&&qa?Ja.beforeEnter(Ra):setDisplay(Ra,qa)},mounted(Ra,{value:qa},{transition:Ja}){Ja&&qa&&Ja.enter(Ra)},updated(Ra,{value:qa,oldValue:Ja},{transition:ed}){!qa!=!Ja&&(ed?qa?(ed.beforeEnter(Ra),setDisplay(Ra,!0),ed.enter(Ra)):ed.leave(Ra,()=>{setDisplay(Ra,!1)}):setDisplay(Ra,qa))},beforeUnmount(Ra,{value:qa}){setDisplay(Ra,qa)}};function setDisplay(Ra,qa){Ra.style.display=qa?Ra[vShowOriginalDisplay]:"none",Ra[vShowHidden]=!qa}const CSS_VAR_TEXT=Symbol(""),displayRE=/(^|;)\s*display\s*:/;function patchStyle(Ra,qa,Ja){const ed=Ra.style,td=isString$3(Ja);let rd=!1;if(Ja&&!td){if(qa)if(isString$3(qa))for(const sd of qa.split(";")){const od=sd.slice(0,sd.indexOf(":")).trim();Ja[od]==null&&setStyle(ed,od,"")}else for(const sd in qa)Ja[sd]==null&&setStyle(ed,sd,"");for(const sd in Ja)sd==="display"&&(rd=!0),setStyle(ed,sd,Ja[sd])}else if(td){if(qa!==Ja){const sd=ed[CSS_VAR_TEXT];sd&&(Ja+=";"+sd),ed.cssText=Ja,rd=displayRE.test(Ja)}}else qa&&Ra.removeAttribute("style");vShowOriginalDisplay in Ra&&(Ra[vShowOriginalDisplay]=rd?ed.display:"",Ra[vShowHidden]&&(ed.display="none"))}const importantRE=/\s*!important$/;function setStyle(Ra,qa,Ja){if(isArray$2(Ja))Ja.forEach(ed=>setStyle(Ra,qa,ed));else if(Ja==null&&(Ja=""),qa.startsWith("--"))Ra.setProperty(qa,Ja);else{const ed=autoPrefix(Ra,qa);importantRE.test(Ja)?Ra.setProperty(hyphenate$1(ed),Ja.replace(importantRE,""),"important"):Ra[ed]=Ja}}const prefixes=["Webkit","Moz","ms"],prefixCache={};function autoPrefix(Ra,qa){const Ja=prefixCache[qa];if(Ja)return Ja;let ed=camelize(qa);if(ed!=="filter"&&ed in Ra)return prefixCache[qa]=ed;ed=capitalize$1(ed);for(let td=0;td<prefixes.length;td++){const rd=prefixes[td]+ed;if(rd in Ra)return prefixCache[qa]=rd}return qa}const xlinkNS="http://www.w3.org/1999/xlink";function patchAttr(Ra,qa,Ja,ed,td,rd=isSpecialBooleanAttr(qa)){ed&&qa.startsWith("xlink:")?Ja==null?Ra.removeAttributeNS(xlinkNS,qa.slice(6,qa.length)):Ra.setAttributeNS(xlinkNS,qa,Ja):Ja==null||rd&&!includeBooleanAttr(Ja)?Ra.removeAttribute(qa):Ra.setAttribute(qa,rd?"":isSymbol$1(Ja)?String(Ja):Ja)}function patchDOMProp(Ra,qa,Ja,ed){if(qa==="innerHTML"||qa==="textContent"){if(Ja==null)return;Ra[qa]=Ja;return}const td=Ra.tagName;if(qa==="value"&&td!=="PROGRESS"&&!td.includes("-")){const sd=td==="OPTION"?Ra.getAttribute("value")||"":Ra.value,od=Ja==null?"":String(Ja);(sd!==od||!("_value"in Ra))&&(Ra.value=od),Ja==null&&Ra.removeAttribute(qa),Ra._value=Ja;return}let rd=!1;if(Ja===""||Ja==null){const sd=typeof Ra[qa];sd==="boolean"?Ja=includeBooleanAttr(Ja):Ja==null&&sd==="string"?(Ja="",rd=!0):sd==="number"&&(Ja=0,rd=!0)}try{Ra[qa]=Ja}catch{}rd&&Ra.removeAttribute(qa)}function addEventListener(Ra,qa,Ja,ed){Ra.addEventListener(qa,Ja,ed)}function removeEventListener(Ra,qa,Ja,ed){Ra.removeEventListener(qa,Ja,ed)}const veiKey=Symbol("_vei");function patchEvent(Ra,qa,Ja,ed,td=null){const rd=Ra[veiKey]||(Ra[veiKey]={}),sd=rd[qa];if(ed&&sd)sd.value=ed;else{const[od,ld]=parseName(qa);if(ed){const cd=rd[qa]=createInvoker(ed,td);addEventListener(Ra,od,cd,ld)}else sd&&(removeEventListener(Ra,od,sd,ld),rd[qa]=void 0)}}const optionsModifierRE=/(?:Once|Passive|Capture)$/;function parseName(Ra){let qa;if(optionsModifierRE.test(Ra)){qa={};let ed;for(;ed=Ra.match(optionsModifierRE);)Ra=Ra.slice(0,Ra.length-ed[0].length),qa[ed[0].toLowerCase()]=!0}return[Ra[2]===":"?Ra.slice(3):hyphenate$1(Ra.slice(2)),qa]}let cachedNow=0;const p$1=Promise.resolve(),getNow=()=>cachedNow||(p$1.then(()=>cachedNow=0),cachedNow=Date.now());function createInvoker(Ra,qa){const Ja=ed=>{if(!ed._vts)ed._vts=Date.now();else if(ed._vts<=Ja.attached)return;callWithAsyncErrorHandling(patchStopImmediatePropagation(ed,Ja.value),qa,5,[ed])};return Ja.value=Ra,Ja.attached=getNow(),Ja}function patchStopImmediatePropagation(Ra,qa){if(isArray$2(qa)){const Ja=Ra.stopImmediatePropagation;return Ra.stopImmediatePropagation=()=>{Ja.call(Ra),Ra._stopped=!0},qa.map(ed=>td=>!td._stopped&&ed&&ed(td))}else return qa}const isNativeOn=Ra=>Ra.charCodeAt(0)===111&&Ra.charCodeAt(1)===110&&Ra.charCodeAt(2)>96&&Ra.charCodeAt(2)<123,patchProp=(Ra,qa,Ja,ed,td,rd)=>{const sd=td==="svg";qa==="class"?patchClass(Ra,ed,sd):qa==="style"?patchStyle(Ra,Ja,ed):isOn(qa)?isModelListener(qa)||patchEvent(Ra,qa,Ja,ed,rd):(qa[0]==="."?(qa=qa.slice(1),!0):qa[0]==="^"?(qa=qa.slice(1),!1):shouldSetAsProp(Ra,qa,ed,sd))?(patchDOMProp(Ra,qa,ed),!Ra.tagName.includes("-")&&(qa==="value"||qa==="checked"||qa==="selected")&&patchAttr(Ra,qa,ed,sd,rd,qa!=="value")):(qa==="true-value"?Ra._trueValue=ed:qa==="false-value"&&(Ra._falseValue=ed),patchAttr(Ra,qa,ed,sd))};function shouldSetAsProp(Ra,qa,Ja,ed){if(ed)return!!(qa==="innerHTML"||qa==="textContent"||qa in Ra&&isNativeOn(qa)&&isFunction$4(Ja));if(qa==="spellcheck"||qa==="draggable"||qa==="translate"||qa==="form"||qa==="list"&&Ra.tagName==="INPUT"||qa==="type"&&Ra.tagName==="TEXTAREA")return!1;if(qa==="width"||qa==="height"){const td=Ra.tagName;if(td==="IMG"||td==="VIDEO"||td==="CANVAS"||td==="SOURCE")return!1}return isNativeOn(qa)&&isString$3(Ja)?!1:qa in Ra}const positionMap=new WeakMap,newPositionMap=new WeakMap,moveCbKey=Symbol("_moveCb"),enterCbKey=Symbol("_enterCb"),TransitionGroupImpl={name:"TransitionGroup",props:extend$3({},TransitionPropsValidators,{tag:String,moveClass:String}),setup(Ra,{slots:qa}){const Ja=getCurrentInstance(),ed=useTransitionState();let td,rd;return onUpdated(()=>{if(!td.length)return;const sd=Ra.moveClass||`${Ra.name||"v"}-move`;if(!hasCSSTransform(td[0].el,Ja.vnode.el,sd))return;td.forEach(callPendingCbs),td.forEach(recordPosition);const od=td.filter(applyTranslation);forceReflow(),od.forEach(ld=>{const cd=ld.el,ud=cd.style;addTransitionClass(cd,sd),ud.transform=ud.webkitTransform=ud.transitionDuration="";const _d=cd[moveCbKey]=yd=>{yd&&yd.target!==cd||(!yd||/transform$/.test(yd.propertyName))&&(cd.removeEventListener("transitionend",_d),cd[moveCbKey]=null,removeTransitionClass(cd,sd))};cd.addEventListener("transitionend",_d)})}),()=>{const sd=toRaw(Ra),od=resolveTransitionProps(sd);let ld=sd.tag||Fragment;if(td=[],rd)for(let cd=0;cd<rd.length;cd++){const ud=rd[cd];ud.el&&ud.el instanceof Element&&(td.push(ud),setTransitionHooks(ud,resolveTransitionHooks(ud,od,ed,Ja)),positionMap.set(ud,ud.el.getBoundingClientRect()))}rd=qa.default?getTransitionRawChildren(qa.default()):[];for(let cd=0;cd<rd.length;cd++){const ud=rd[cd];ud.key!=null&&setTransitionHooks(ud,resolveTransitionHooks(ud,od,ed,Ja))}return createVNode(ld,null,rd)}}},removeMode=Ra=>delete Ra.mode;TransitionGroupImpl.props;const TransitionGroup=TransitionGroupImpl;function callPendingCbs(Ra){const qa=Ra.el;qa[moveCbKey]&&qa[moveCbKey](),qa[enterCbKey]&&qa[enterCbKey]()}function recordPosition(Ra){newPositionMap.set(Ra,Ra.el.getBoundingClientRect())}function applyTranslation(Ra){const qa=positionMap.get(Ra),Ja=newPositionMap.get(Ra),ed=qa.left-Ja.left,td=qa.top-Ja.top;if(ed||td){const rd=Ra.el.style;return rd.transform=rd.webkitTransform=`translate(${ed}px,${td}px)`,rd.transitionDuration="0s",Ra}}function hasCSSTransform(Ra,qa,Ja){const ed=Ra.cloneNode(),td=Ra[vtcKey];td&&td.forEach(od=>{od.split(/\s+/).forEach(ld=>ld&&ed.classList.remove(ld))}),Ja.split(/\s+/).forEach(od=>od&&ed.classList.add(od)),ed.style.display="none";const rd=qa.nodeType===1?qa:qa.parentNode;rd.appendChild(ed);const{hasTransform:sd}=getTransitionInfo(ed);return rd.removeChild(ed),sd}const getModelAssigner=Ra=>{const qa=Ra.props["onUpdate:modelValue"]||!1;return isArray$2(qa)?Ja=>invokeArrayFns(qa,Ja):qa};function onCompositionStart(Ra){Ra.target.composing=!0}function onCompositionEnd(Ra){const qa=Ra.target;qa.composing&&(qa.composing=!1,qa.dispatchEvent(new Event("input")))}const assignKey=Symbol("_assign"),vModelText={created(Ra,{modifiers:{lazy:qa,trim:Ja,number:ed}},td){Ra[assignKey]=getModelAssigner(td);const rd=ed||td.props&&td.props.type==="number";addEventListener(Ra,qa?"change":"input",sd=>{if(sd.target.composing)return;let od=Ra.value;Ja&&(od=od.trim()),rd&&(od=looseToNumber(od)),Ra[assignKey](od)}),Ja&&addEventListener(Ra,"change",()=>{Ra.value=Ra.value.trim()}),qa||(addEventListener(Ra,"compositionstart",onCompositionStart),addEventListener(Ra,"compositionend",onCompositionEnd),addEventListener(Ra,"change",onCompositionEnd))},mounted(Ra,{value:qa}){Ra.value=qa??""},beforeUpdate(Ra,{value:qa,oldValue:Ja,modifiers:{lazy:ed,trim:td,number:rd}},sd){if(Ra[assignKey]=getModelAssigner(sd),Ra.composing)return;const od=(rd||Ra.type==="number")&&!/^0\d/.test(Ra.value)?looseToNumber(Ra.value):Ra.value,ld=qa??"";od!==ld&&(document.activeElement===Ra&&Ra.type!=="range"&&(ed&&qa===Ja||td&&Ra.value.trim()===ld)||(Ra.value=ld))}},systemModifiers=["ctrl","shift","alt","meta"],modifierGuards={stop:Ra=>Ra.stopPropagation(),prevent:Ra=>Ra.preventDefault(),self:Ra=>Ra.target!==Ra.currentTarget,ctrl:Ra=>!Ra.ctrlKey,shift:Ra=>!Ra.shiftKey,alt:Ra=>!Ra.altKey,meta:Ra=>!Ra.metaKey,left:Ra=>"button"in Ra&&Ra.button!==0,middle:Ra=>"button"in Ra&&Ra.button!==1,right:Ra=>"button"in Ra&&Ra.button!==2,exact:(Ra,qa)=>systemModifiers.some(Ja=>Ra[`${Ja}Key`]&&!qa.includes(Ja))},withModifiers=(Ra,qa)=>{const Ja=Ra._withMods||(Ra._withMods={}),ed=qa.join(".");return Ja[ed]||(Ja[ed]=(td,...rd)=>{for(let sd=0;sd<qa.length;sd++){const od=modifierGuards[qa[sd]];if(od&&od(td,qa))return}return Ra(td,...rd)})},keyNames={esc:"escape",space:" ",up:"arrow-up",left:"arrow-left",right:"arrow-right",down:"arrow-down",delete:"backspace"},withKeys=(Ra,qa)=>{const Ja=Ra._withKeys||(Ra._withKeys={}),ed=qa.join(".");return Ja[ed]||(Ja[ed]=td=>{if(!("key"in td))return;const rd=hyphenate$1(td.key);if(qa.some(sd=>sd===rd||keyNames[sd]===rd))return Ra(td)})},rendererOptions=extend$3({patchProp},nodeOps);let renderer$1;function ensureRenderer(){return renderer$1||(renderer$1=createRenderer$1(rendererOptions))}const render$3=(...Ra)=>{ensureRenderer().render(...Ra)},createApp=(...Ra)=>{const qa=ensureRenderer().createApp(...Ra),{mount:Ja}=qa;return qa.mount=ed=>{const td=normalizeContainer(ed);if(!td)return;const rd=qa._component;!isFunction$4(rd)&&!rd.render&&!rd.template&&(rd.template=td.innerHTML),td.innerHTML="";const sd=Ja(td,!1,resolveRootNamespace(td));return td instanceof Element&&(td.removeAttribute("v-cloak"),td.setAttribute("data-v-app","")),sd},qa};function resolveRootNamespace(Ra){if(Ra instanceof SVGElement)return"svg";if(typeof MathMLElement=="function"&&Ra instanceof MathMLElement)return"mathml"}function normalizeContainer(Ra){return isString$3(Ra)?document.querySelector(Ra):Ra}var isVue2=!1;let activePinia;const setActivePinia=Ra=>activePinia=Ra,piniaSymbol=Symbol();function isPlainObject$2(Ra){return Ra&&typeof Ra=="object"&&Object.prototype.toString.call(Ra)==="[object Object]"&&typeof Ra.toJSON!="function"}var MutationType;(function(Ra){Ra.direct="direct",Ra.patchObject="patch object",Ra.patchFunction="patch function"})(MutationType||(MutationType={}));function createPinia(){const Ra=effectScope(!0),qa=Ra.run(()=>ref$1({}));let Ja=[],ed=[];const td=markRaw({install(rd){setActivePinia(td),td._a=rd,rd.provide(piniaSymbol,td),rd.config.globalProperties.$pinia=td,ed.forEach(sd=>Ja.push(sd)),ed=[]},use(rd){return!this._a&&!isVue2?ed.push(rd):Ja.push(rd),this},_p:Ja,_a:null,_e:Ra,_s:new Map,state:qa});return td}const noop$7=()=>{};function addSubscription(Ra,qa,Ja,ed=noop$7){Ra.push(qa);const td=()=>{const rd=Ra.indexOf(qa);rd>-1&&(Ra.splice(rd,1),ed())};return!Ja&&getCurrentScope()&&onScopeDispose(td),td}function triggerSubscriptions(Ra,...qa){Ra.slice().forEach(Ja=>{Ja(...qa)})}const fallbackRunWithContext=Ra=>Ra(),ACTION_MARKER=Symbol(),ACTION_NAME=Symbol();function mergeReactiveObjects(Ra,qa){Ra instanceof Map&&qa instanceof Map?qa.forEach((Ja,ed)=>Ra.set(ed,Ja)):Ra instanceof Set&&qa instanceof Set&&qa.forEach(Ra.add,Ra);for(const Ja in qa){if(!qa.hasOwnProperty(Ja))continue;const ed=qa[Ja],td=Ra[Ja];isPlainObject$2(td)&&isPlainObject$2(ed)&&Ra.hasOwnProperty(Ja)&&!isRef(ed)&&!isReactive(ed)?Ra[Ja]=mergeReactiveObjects(td,ed):Ra[Ja]=ed}return Ra}const skipHydrateSymbol=Symbol();function shouldHydrate(Ra){return!isPlainObject$2(Ra)||!Ra.hasOwnProperty(skipHydrateSymbol)}const{assign}=Object;function isComputed(Ra){return!!(isRef(Ra)&&Ra.effect)}function createOptionsStore(Ra,qa,Ja,ed){const{state:td,actions:rd,getters:sd}=qa,od=Ja.state.value[Ra];let ld;function cd(){od||(Ja.state.value[Ra]=td?td():{});const ud=toRefs(Ja.state.value[Ra]);return assign(ud,rd,Object.keys(sd||{}).reduce((_d,yd)=>(_d[yd]=markRaw(computed(()=>{setActivePinia(Ja);const gd=Ja._s.get(Ra);return sd[yd].call(gd,gd)})),_d),{}))}return ld=createSetupStore(Ra,cd,qa,Ja,ed,!0),ld}function createSetupStore(Ra,qa,Ja={},ed,td,rd){let sd;const od=assign({actions:{}},Ja),ld={deep:!0};let cd,ud,_d=[],yd=[],gd;const Ed=ed.state.value[Ra];!rd&&!Ed&&(ed.state.value[Ra]={}),ref$1({});let Td;function kd(Yd){let Ud;cd=ud=!1,typeof Yd=="function"?(Yd(ed.state.value[Ra]),Ud={type:MutationType.patchFunction,storeId:Ra,events:gd}):(mergeReactiveObjects(ed.state.value[Ra],Yd),Ud={type:MutationType.patchObject,payload:Yd,storeId:Ra,events:gd});const Hd=Td=Symbol();nextTick$1().then(()=>{Td===Hd&&(cd=!0)}),ud=!0,triggerSubscriptions(_d,Ud,ed.state.value[Ra])}const Rd=rd?function(){const{state:Ud}=Ja,Hd=Ud?Ud():{};this.$patch(Vd=>{assign(Vd,Hd)})}:noop$7;function Nd(){sd.stop(),_d=[],yd=[],ed._s.delete(Ra)}const Id=(Yd,Ud="")=>{if(ACTION_MARKER in Yd)return Yd[ACTION_NAME]=Ud,Yd;const Hd=function(){setActivePinia(ed);const Vd=Array.from(arguments),Jd=[],Zd=[];function pf(_f){Jd.push(_f)}function Xd(_f){Zd.push(_f)}triggerSubscriptions(yd,{args:Vd,name:Hd[ACTION_NAME],store:Ld,after:pf,onError:Xd});let hf;try{hf=Yd.apply(this&&this.$id===Ra?this:Ld,Vd)}catch(_f){throw triggerSubscriptions(Zd,_f),_f}return hf instanceof Promise?hf.then(_f=>(triggerSubscriptions(Jd,_f),_f)).catch(_f=>(triggerSubscriptions(Zd,_f),Promise.reject(_f))):(triggerSubscriptions(Jd,hf),hf)};return Hd[ACTION_MARKER]=!0,Hd[ACTION_NAME]=Ud,Hd},Md={_p:ed,$id:Ra,$onAction:addSubscription.bind(null,yd),$patch:kd,$reset:Rd,$subscribe(Yd,Ud={}){const Hd=addSubscription(_d,Yd,Ud.detached,()=>Vd()),Vd=sd.run(()=>watch(()=>ed.state.value[Ra],Jd=>{(Ud.flush==="sync"?ud:cd)&&Yd({storeId:Ra,type:MutationType.direct,events:gd},Jd)},assign({},ld,Ud)));return Hd},$dispose:Nd},Ld=reactive(Md);ed._s.set(Ra,Ld);const qd=(ed._a&&ed._a.runWithContext||fallbackRunWithContext)(()=>ed._e.run(()=>(sd=effectScope()).run(()=>qa({action:Id}))));for(const Yd in qd){const Ud=qd[Yd];if(isRef(Ud)&&!isComputed(Ud)||isReactive(Ud))rd||(Ed&&shouldHydrate(Ud)&&(isRef(Ud)?Ud.value=Ed[Yd]:mergeReactiveObjects(Ud,Ed[Yd])),ed.state.value[Ra][Yd]=Ud);else if(typeof Ud=="function"){const Hd=Id(Ud,Yd);qd[Yd]=Hd,od.actions[Yd]=Ud}}return assign(Ld,qd),assign(toRaw(Ld),qd),Object.defineProperty(Ld,"$state",{get:()=>ed.state.value[Ra],set:Yd=>{kd(Ud=>{assign(Ud,Yd)})}}),ed._p.forEach(Yd=>{assign(Ld,sd.run(()=>Yd({store:Ld,app:ed._a,pinia:ed,options:od})))}),Ed&&rd&&Ja.hydrate&&Ja.hydrate(Ld.$state,Ed),cd=!0,ud=!0,Ld}function defineStore(Ra,qa,Ja){let ed,td;const rd=typeof qa=="function";ed=Ra,td=rd?Ja:qa;function sd(od,ld){const cd=hasInjectionContext();return od=od||(cd?inject(piniaSymbol,null):null),od&&setActivePinia(od),od=activePinia,od._s.has(ed)||(rd?createSetupStore(ed,qa,td,od):createOptionsStore(ed,td,od)),od._s.get(ed)}return sd.$id=ed,sd}function storeToRefs(Ra){{Ra=toRaw(Ra);const qa={};for(const Ja in Ra){const ed=Ra[Ja];(isRef(ed)||isReactive(ed))&&(qa[Ja]=toRef$1(Ra,Ja))}return qa}}function _getDefaults$1(){return{async:!1,breaks:!1,extensions:null,gfm:!0,hooks:null,pedantic:!1,renderer:null,silent:!1,tokenizer:null,walkTokens:null}}let _defaults$1=_getDefaults$1();function changeDefaults$1(Ra){_defaults$1=Ra}const escapeTest$1=/[&<>"']/,escapeReplace$1=new RegExp(escapeTest$1.source,"g"),escapeTestNoEncode$1=/[<>"']|&(?!(#\d{1,7}|#[Xx][a-fA-F0-9]{1,6}|\w+);)/,escapeReplaceNoEncode$1=new RegExp(escapeTestNoEncode$1.source,"g"),escapeReplacements$1={"&":"&","<":"<",">":">",'"':""","'":"'"},getEscapeReplacement$1=Ra=>escapeReplacements$1[Ra];function escape$1$2(Ra,qa){if(qa){if(escapeTest$1.test(Ra))return Ra.replace(escapeReplace$1,getEscapeReplacement$1)}else if(escapeTestNoEncode$1.test(Ra))return Ra.replace(escapeReplaceNoEncode$1,getEscapeReplacement$1);return Ra}const caret$4=/(^|[^\[])\^/g;function edit$1(Ra,qa){let Ja=typeof Ra=="string"?Ra:Ra.source;qa=qa||"";const ed={replace:(td,rd)=>{let sd=typeof rd=="string"?rd:rd.source;return sd=sd.replace(caret$4,"$1"),Ja=Ja.replace(td,sd),ed},getRegex:()=>new RegExp(Ja,qa)};return ed}function cleanUrl$1(Ra){try{Ra=encodeURI(Ra).replace(/%25/g,"%")}catch{return null}return Ra}const noopTest$1={exec:()=>null};function splitCells$1(Ra,qa){const Ja=Ra.replace(/\|/g,(rd,sd,od)=>{let ld=!1,cd=sd;for(;--cd>=0&&od[cd]==="\\";)ld=!ld;return ld?"|":" |"}),ed=Ja.split(/ \|/);let td=0;if(ed[0].trim()||ed.shift(),ed.length>0&&!ed[ed.length-1].trim()&&ed.pop(),qa)if(ed.length>qa)ed.splice(qa);else for(;ed.length<qa;)ed.push("");for(;td<ed.length;td++)ed[td]=ed[td].trim().replace(/\\\|/g,"|");return ed}function rtrim$1(Ra,qa,Ja){const ed=Ra.length;if(ed===0)return"";let td=0;for(;td<ed;){const rd=Ra.charAt(ed-td-1);if(rd===qa&&!Ja)td++;else if(rd!==qa&&Ja)td++;else break}return Ra.slice(0,ed-td)}function findClosingBracket$1(Ra,qa){if(Ra.indexOf(qa[1])===-1)return-1;let Ja=0;for(let ed=0;ed<Ra.length;ed++)if(Ra[ed]==="\\")ed++;else if(Ra[ed]===qa[0])Ja++;else if(Ra[ed]===qa[1]&&(Ja--,Ja<0))return ed;return-1}function outputLink$1(Ra,qa,Ja,ed){const td=qa.href,rd=qa.title?escape$1$2(qa.title):null,sd=Ra[1].replace(/\\([\[\]])/g,"$1");if(Ra[0].charAt(0)!=="!"){ed.state.inLink=!0;const od={type:"link",raw:Ja,href:td,title:rd,text:sd,tokens:ed.inlineTokens(sd)};return ed.state.inLink=!1,od}return{type:"image",raw:Ja,href:td,title:rd,text:escape$1$2(sd)}}function indentCodeCompensation$1(Ra,qa){const Ja=Ra.match(/^(\s+)(?:```)/);if(Ja===null)return qa;const ed=Ja[1];return qa.split(`
|
||
`).map(td=>{const rd=td.match(/^\s+/);if(rd===null)return td;const[sd]=rd;return sd.length>=ed.length?td.slice(ed.length):td}).join(`
|
||
`)}let _Tokenizer$1=class{constructor(qa){n1(this,"options");n1(this,"rules");n1(this,"lexer");this.options=qa||_defaults$1}space(qa){const Ja=this.rules.block.newline.exec(qa);if(Ja&&Ja[0].length>0)return{type:"space",raw:Ja[0]}}code(qa){const Ja=this.rules.block.code.exec(qa);if(Ja){const ed=Ja[0].replace(/^ {1,4}/gm,"");return{type:"code",raw:Ja[0],codeBlockStyle:"indented",text:this.options.pedantic?ed:rtrim$1(ed,`
|
||
`)}}}fences(qa){const Ja=this.rules.block.fences.exec(qa);if(Ja){const ed=Ja[0],td=indentCodeCompensation$1(ed,Ja[3]||"");return{type:"code",raw:ed,lang:Ja[2]?Ja[2].trim().replace(this.rules.inline.anyPunctuation,"$1"):Ja[2],text:td}}}heading(qa){const Ja=this.rules.block.heading.exec(qa);if(Ja){let ed=Ja[2].trim();if(/#$/.test(ed)){const td=rtrim$1(ed,"#");(this.options.pedantic||!td||/ $/.test(td))&&(ed=td.trim())}return{type:"heading",raw:Ja[0],depth:Ja[1].length,text:ed,tokens:this.lexer.inline(ed)}}}hr(qa){const Ja=this.rules.block.hr.exec(qa);if(Ja)return{type:"hr",raw:rtrim$1(Ja[0],`
|
||
`)}}blockquote(qa){const Ja=this.rules.block.blockquote.exec(qa);if(Ja){let ed=rtrim$1(Ja[0],`
|
||
`).split(`
|
||
`),td="",rd="";const sd=[];for(;ed.length>0;){let od=!1;const ld=[];let cd;for(cd=0;cd<ed.length;cd++)if(/^ {0,3}>/.test(ed[cd]))ld.push(ed[cd]),od=!0;else if(!od)ld.push(ed[cd]);else break;ed=ed.slice(cd);const ud=ld.join(`
|
||
`),_d=ud.replace(/\n {0,3}((?:=+|-+) *)(?=\n|$)/g,`
|
||
$1`).replace(/^ {0,3}>[ \t]?/gm,"");td=td?`${td}
|
||
${ud}`:ud,rd=rd?`${rd}
|
||
${_d}`:_d;const yd=this.lexer.state.top;if(this.lexer.state.top=!0,this.lexer.blockTokens(_d,sd,!0),this.lexer.state.top=yd,ed.length===0)break;const gd=sd[sd.length-1];if((gd==null?void 0:gd.type)==="code")break;if((gd==null?void 0:gd.type)==="blockquote"){const Ed=gd,Td=Ed.raw+`
|
||
`+ed.join(`
|
||
`),kd=this.blockquote(Td);sd[sd.length-1]=kd,td=td.substring(0,td.length-Ed.raw.length)+kd.raw,rd=rd.substring(0,rd.length-Ed.text.length)+kd.text;break}else if((gd==null?void 0:gd.type)==="list"){const Ed=gd,Td=Ed.raw+`
|
||
`+ed.join(`
|
||
`),kd=this.list(Td);sd[sd.length-1]=kd,td=td.substring(0,td.length-gd.raw.length)+kd.raw,rd=rd.substring(0,rd.length-Ed.raw.length)+kd.raw,ed=Td.substring(sd[sd.length-1].raw.length).split(`
|
||
`);continue}}return{type:"blockquote",raw:td,tokens:sd,text:rd}}}list(qa){let Ja=this.rules.block.list.exec(qa);if(Ja){let ed=Ja[1].trim();const td=ed.length>1,rd={type:"list",raw:"",ordered:td,start:td?+ed.slice(0,-1):"",loose:!1,items:[]};ed=td?`\\d{1,9}\\${ed.slice(-1)}`:`\\${ed}`,this.options.pedantic&&(ed=td?ed:"[*+-]");const sd=new RegExp(`^( {0,3}${ed})((?:[ ][^\\n]*)?(?:\\n|$))`);let od=!1;for(;qa;){let ld=!1,cd="",ud="";if(!(Ja=sd.exec(qa))||this.rules.block.hr.test(qa))break;cd=Ja[0],qa=qa.substring(cd.length);let _d=Ja[2].split(`
|
||
`,1)[0].replace(/^\t+/,Rd=>" ".repeat(3*Rd.length)),yd=qa.split(`
|
||
`,1)[0],gd=!_d.trim(),Ed=0;if(this.options.pedantic?(Ed=2,ud=_d.trimStart()):gd?Ed=Ja[1].length+1:(Ed=Ja[2].search(/[^ ]/),Ed=Ed>4?1:Ed,ud=_d.slice(Ed),Ed+=Ja[1].length),gd&&/^ *$/.test(yd)&&(cd+=yd+`
|
||
`,qa=qa.substring(yd.length+1),ld=!0),!ld){const Rd=new RegExp(`^ {0,${Math.min(3,Ed-1)}}(?:[*+-]|\\d{1,9}[.)])((?:[ ][^\\n]*)?(?:\\n|$))`),Nd=new RegExp(`^ {0,${Math.min(3,Ed-1)}}((?:- *){3,}|(?:_ *){3,}|(?:\\* *){3,})(?:\\n+|$)`),Id=new RegExp(`^ {0,${Math.min(3,Ed-1)}}(?:\`\`\`|~~~)`),Md=new RegExp(`^ {0,${Math.min(3,Ed-1)}}#`);for(;qa;){const Ld=qa.split(`
|
||
`,1)[0];if(yd=Ld,this.options.pedantic&&(yd=yd.replace(/^ {1,4}(?=( {4})*[^ ])/g," ")),Id.test(yd)||Md.test(yd)||Rd.test(yd)||Nd.test(qa))break;if(yd.search(/[^ ]/)>=Ed||!yd.trim())ud+=`
|
||
`+yd.slice(Ed);else{if(gd||_d.search(/[^ ]/)>=4||Id.test(_d)||Md.test(_d)||Nd.test(_d))break;ud+=`
|
||
`+yd}!gd&&!yd.trim()&&(gd=!0),cd+=Ld+`
|
||
`,qa=qa.substring(Ld.length+1),_d=yd.slice(Ed)}}rd.loose||(od?rd.loose=!0:/\n *\n *$/.test(cd)&&(od=!0));let Td=null,kd;this.options.gfm&&(Td=/^\[[ xX]\] /.exec(ud),Td&&(kd=Td[0]!=="[ ] ",ud=ud.replace(/^\[[ xX]\] +/,""))),rd.items.push({type:"list_item",raw:cd,task:!!Td,checked:kd,loose:!1,text:ud,tokens:[]}),rd.raw+=cd}rd.items[rd.items.length-1].raw=rd.items[rd.items.length-1].raw.trimEnd(),rd.items[rd.items.length-1].text=rd.items[rd.items.length-1].text.trimEnd(),rd.raw=rd.raw.trimEnd();for(let ld=0;ld<rd.items.length;ld++)if(this.lexer.state.top=!1,rd.items[ld].tokens=this.lexer.blockTokens(rd.items[ld].text,[]),!rd.loose){const cd=rd.items[ld].tokens.filter(_d=>_d.type==="space"),ud=cd.length>0&&cd.some(_d=>/\n.*\n/.test(_d.raw));rd.loose=ud}if(rd.loose)for(let ld=0;ld<rd.items.length;ld++)rd.items[ld].loose=!0;return rd}}html(qa){const Ja=this.rules.block.html.exec(qa);if(Ja)return{type:"html",block:!0,raw:Ja[0],pre:Ja[1]==="pre"||Ja[1]==="script"||Ja[1]==="style",text:Ja[0]}}def(qa){const Ja=this.rules.block.def.exec(qa);if(Ja){const ed=Ja[1].toLowerCase().replace(/\s+/g," "),td=Ja[2]?Ja[2].replace(/^<(.*)>$/,"$1").replace(this.rules.inline.anyPunctuation,"$1"):"",rd=Ja[3]?Ja[3].substring(1,Ja[3].length-1).replace(this.rules.inline.anyPunctuation,"$1"):Ja[3];return{type:"def",tag:ed,raw:Ja[0],href:td,title:rd}}}table(qa){const Ja=this.rules.block.table.exec(qa);if(!Ja||!/[:|]/.test(Ja[2]))return;const ed=splitCells$1(Ja[1]),td=Ja[2].replace(/^\||\| *$/g,"").split("|"),rd=Ja[3]&&Ja[3].trim()?Ja[3].replace(/\n[ \t]*$/,"").split(`
|
||
`):[],sd={type:"table",raw:Ja[0],header:[],align:[],rows:[]};if(ed.length===td.length){for(const od of td)/^ *-+: *$/.test(od)?sd.align.push("right"):/^ *:-+: *$/.test(od)?sd.align.push("center"):/^ *:-+ *$/.test(od)?sd.align.push("left"):sd.align.push(null);for(let od=0;od<ed.length;od++)sd.header.push({text:ed[od],tokens:this.lexer.inline(ed[od]),header:!0,align:sd.align[od]});for(const od of rd)sd.rows.push(splitCells$1(od,sd.header.length).map((ld,cd)=>({text:ld,tokens:this.lexer.inline(ld),header:!1,align:sd.align[cd]})));return sd}}lheading(qa){const Ja=this.rules.block.lheading.exec(qa);if(Ja)return{type:"heading",raw:Ja[0],depth:Ja[2].charAt(0)==="="?1:2,text:Ja[1],tokens:this.lexer.inline(Ja[1])}}paragraph(qa){const Ja=this.rules.block.paragraph.exec(qa);if(Ja){const ed=Ja[1].charAt(Ja[1].length-1)===`
|
||
`?Ja[1].slice(0,-1):Ja[1];return{type:"paragraph",raw:Ja[0],text:ed,tokens:this.lexer.inline(ed)}}}text(qa){const Ja=this.rules.block.text.exec(qa);if(Ja)return{type:"text",raw:Ja[0],text:Ja[0],tokens:this.lexer.inline(Ja[0])}}escape(qa){const Ja=this.rules.inline.escape.exec(qa);if(Ja)return{type:"escape",raw:Ja[0],text:escape$1$2(Ja[1])}}tag(qa){const Ja=this.rules.inline.tag.exec(qa);if(Ja)return!this.lexer.state.inLink&&/^<a /i.test(Ja[0])?this.lexer.state.inLink=!0:this.lexer.state.inLink&&/^<\/a>/i.test(Ja[0])&&(this.lexer.state.inLink=!1),!this.lexer.state.inRawBlock&&/^<(pre|code|kbd|script)(\s|>)/i.test(Ja[0])?this.lexer.state.inRawBlock=!0:this.lexer.state.inRawBlock&&/^<\/(pre|code|kbd|script)(\s|>)/i.test(Ja[0])&&(this.lexer.state.inRawBlock=!1),{type:"html",raw:Ja[0],inLink:this.lexer.state.inLink,inRawBlock:this.lexer.state.inRawBlock,block:!1,text:Ja[0]}}link(qa){const Ja=this.rules.inline.link.exec(qa);if(Ja){const ed=Ja[2].trim();if(!this.options.pedantic&&/^</.test(ed)){if(!/>$/.test(ed))return;const sd=rtrim$1(ed.slice(0,-1),"\\");if((ed.length-sd.length)%2===0)return}else{const sd=findClosingBracket$1(Ja[2],"()");if(sd>-1){const ld=(Ja[0].indexOf("!")===0?5:4)+Ja[1].length+sd;Ja[2]=Ja[2].substring(0,sd),Ja[0]=Ja[0].substring(0,ld).trim(),Ja[3]=""}}let td=Ja[2],rd="";if(this.options.pedantic){const sd=/^([^'"]*[^\s])\s+(['"])(.*)\2/.exec(td);sd&&(td=sd[1],rd=sd[3])}else rd=Ja[3]?Ja[3].slice(1,-1):"";return td=td.trim(),/^</.test(td)&&(this.options.pedantic&&!/>$/.test(ed)?td=td.slice(1):td=td.slice(1,-1)),outputLink$1(Ja,{href:td&&td.replace(this.rules.inline.anyPunctuation,"$1"),title:rd&&rd.replace(this.rules.inline.anyPunctuation,"$1")},Ja[0],this.lexer)}}reflink(qa,Ja){let ed;if((ed=this.rules.inline.reflink.exec(qa))||(ed=this.rules.inline.nolink.exec(qa))){const td=(ed[2]||ed[1]).replace(/\s+/g," "),rd=Ja[td.toLowerCase()];if(!rd){const sd=ed[0].charAt(0);return{type:"text",raw:sd,text:sd}}return outputLink$1(ed,rd,ed[0],this.lexer)}}emStrong(qa,Ja,ed=""){let td=this.rules.inline.emStrongLDelim.exec(qa);if(!td||td[3]&&ed.match(/[\p{L}\p{N}]/u))return;if(!(td[1]||td[2]||"")||!ed||this.rules.inline.punctuation.exec(ed)){const sd=[...td[0]].length-1;let od,ld,cd=sd,ud=0;const _d=td[0][0]==="*"?this.rules.inline.emStrongRDelimAst:this.rules.inline.emStrongRDelimUnd;for(_d.lastIndex=0,Ja=Ja.slice(-1*qa.length+sd);(td=_d.exec(Ja))!=null;){if(od=td[1]||td[2]||td[3]||td[4]||td[5]||td[6],!od)continue;if(ld=[...od].length,td[3]||td[4]){cd+=ld;continue}else if((td[5]||td[6])&&sd%3&&!((sd+ld)%3)){ud+=ld;continue}if(cd-=ld,cd>0)continue;ld=Math.min(ld,ld+cd+ud);const yd=[...td[0]][0].length,gd=qa.slice(0,sd+td.index+yd+ld);if(Math.min(sd,ld)%2){const Td=gd.slice(1,-1);return{type:"em",raw:gd,text:Td,tokens:this.lexer.inlineTokens(Td)}}const Ed=gd.slice(2,-2);return{type:"strong",raw:gd,text:Ed,tokens:this.lexer.inlineTokens(Ed)}}}}codespan(qa){const Ja=this.rules.inline.code.exec(qa);if(Ja){let ed=Ja[2].replace(/\n/g," ");const td=/[^ ]/.test(ed),rd=/^ /.test(ed)&&/ $/.test(ed);return td&&rd&&(ed=ed.substring(1,ed.length-1)),ed=escape$1$2(ed,!0),{type:"codespan",raw:Ja[0],text:ed}}}br(qa){const Ja=this.rules.inline.br.exec(qa);if(Ja)return{type:"br",raw:Ja[0]}}del(qa){const Ja=this.rules.inline.del.exec(qa);if(Ja)return{type:"del",raw:Ja[0],text:Ja[2],tokens:this.lexer.inlineTokens(Ja[2])}}autolink(qa){const Ja=this.rules.inline.autolink.exec(qa);if(Ja){let ed,td;return Ja[2]==="@"?(ed=escape$1$2(Ja[1]),td="mailto:"+ed):(ed=escape$1$2(Ja[1]),td=ed),{type:"link",raw:Ja[0],text:ed,href:td,tokens:[{type:"text",raw:ed,text:ed}]}}}url(qa){var ed;let Ja;if(Ja=this.rules.inline.url.exec(qa)){let td,rd;if(Ja[2]==="@")td=escape$1$2(Ja[0]),rd="mailto:"+td;else{let sd;do sd=Ja[0],Ja[0]=((ed=this.rules.inline._backpedal.exec(Ja[0]))==null?void 0:ed[0])??"";while(sd!==Ja[0]);td=escape$1$2(Ja[0]),Ja[1]==="www."?rd="http://"+Ja[0]:rd=Ja[0]}return{type:"link",raw:Ja[0],text:td,href:rd,tokens:[{type:"text",raw:td,text:td}]}}}inlineText(qa){const Ja=this.rules.inline.text.exec(qa);if(Ja){let ed;return this.lexer.state.inRawBlock?ed=Ja[0]:ed=escape$1$2(Ja[0]),{type:"text",raw:Ja[0],text:ed}}}};const newline$1=/^(?: *(?:\n|$))+/,blockCode$1=/^( {4}[^\n]+(?:\n(?: *(?:\n|$))*)?)+/,fences$1=/^ {0,3}(`{3,}(?=[^`\n]*(?:\n|$))|~{3,})([^\n]*)(?:\n|$)(?:|([\s\S]*?)(?:\n|$))(?: {0,3}\1[~`]* *(?=\n|$)|$)/,hr$3=/^ {0,3}((?:-[\t ]*){3,}|(?:_[ \t]*){3,}|(?:\*[ \t]*){3,})(?:\n+|$)/,heading$1=/^ {0,3}(#{1,6})(?=\s|$)(.*)(?:\n+|$)/,bullet$3=/(?:[*+-]|\d{1,9}[.)])/,lheading$1=edit$1(/^(?!bull |blockCode|fences|blockquote|heading|html)((?:.|\n(?!\s*?\n|bull |blockCode|fences|blockquote|heading|html))+?)\n {0,3}(=+|-+) *(?:\n+|$)/).replace(/bull/g,bullet$3).replace(/blockCode/g,/ {4}/).replace(/fences/g,/ {0,3}(?:`{3,}|~{3,})/).replace(/blockquote/g,/ {0,3}>/).replace(/heading/g,/ {0,3}#{1,6}/).replace(/html/g,/ {0,3}<[^\n>]+>\n/).getRegex(),_paragraph$1=/^([^\n]+(?:\n(?!hr|heading|lheading|blockquote|fences|list|html|table| +\n)[^\n]+)*)/,blockText$1=/^[^\n]+/,_blockLabel$1=/(?!\s*\])(?:\\.|[^\[\]\\])+/,def$1=edit$1(/^ {0,3}\[(label)\]: *(?:\n *)?([^<\s][^\s]*|<.*?>)(?:(?: +(?:\n *)?| *\n *)(title))? *(?:\n+|$)/).replace("label",_blockLabel$1).replace("title",/(?:"(?:\\"?|[^"\\])*"|'[^'\n]*(?:\n[^'\n]+)*\n?'|\([^()]*\))/).getRegex(),list$1=edit$1(/^( {0,3}bull)([ \t][^\n]+?)?(?:\n|$)/).replace(/bull/g,bullet$3).getRegex(),_tag$1="address|article|aside|base|basefont|blockquote|body|caption|center|col|colgroup|dd|details|dialog|dir|div|dl|dt|fieldset|figcaption|figure|footer|form|frame|frameset|h[1-6]|head|header|hr|html|iframe|legend|li|link|main|menu|menuitem|meta|nav|noframes|ol|optgroup|option|p|param|search|section|summary|table|tbody|td|tfoot|th|thead|title|tr|track|ul",_comment$1=/<!--(?:-?>|[\s\S]*?(?:-->|$))/,html$3=edit$1("^ {0,3}(?:<(script|pre|style|textarea)[\\s>][\\s\\S]*?(?:</\\1>[^\\n]*\\n+|$)|comment[^\\n]*(\\n+|$)|<\\?[\\s\\S]*?(?:\\?>\\n*|$)|<![A-Z][\\s\\S]*?(?:>\\n*|$)|<!\\[CDATA\\[[\\s\\S]*?(?:\\]\\]>\\n*|$)|</?(tag)(?: +|\\n|/?>)[\\s\\S]*?(?:(?:\\n *)+\\n|$)|<(?!script|pre|style|textarea)([a-z][\\w-]*)(?:attribute)*? */?>(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n *)+\\n|$)|</(?!script|pre|style|textarea)[a-z][\\w-]*\\s*>(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n *)+\\n|$))","i").replace("comment",_comment$1).replace("tag",_tag$1).replace("attribute",/ +[a-zA-Z:_][\w.:-]*(?: *= *"[^"\n]*"| *= *'[^'\n]*'| *= *[^\s"'=<>`]+)?/).getRegex(),paragraph$1=edit$1(_paragraph$1).replace("hr",hr$3).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("|lheading","").replace("|table","").replace("blockquote"," {0,3}>").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html","</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag",_tag$1).getRegex(),blockquote$1=edit$1(/^( {0,3}> ?(paragraph|[^\n]*)(?:\n|$))+/).replace("paragraph",paragraph$1).getRegex(),blockNormal$1={blockquote:blockquote$1,code:blockCode$1,def:def$1,fences:fences$1,heading:heading$1,hr:hr$3,html:html$3,lheading:lheading$1,list:list$1,newline:newline$1,paragraph:paragraph$1,table:noopTest$1,text:blockText$1},gfmTable$1=edit$1("^ *([^\\n ].*)\\n {0,3}((?:\\| *)?:?-+:? *(?:\\| *:?-+:? *)*(?:\\| *)?)(?:\\n((?:(?! *\\n|hr|heading|blockquote|code|fences|list|html).*(?:\\n|$))*)\\n*|$)").replace("hr",hr$3).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("blockquote"," {0,3}>").replace("code"," {4}[^\\n]").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html","</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag",_tag$1).getRegex(),blockGfm$1={...blockNormal$1,table:gfmTable$1,paragraph:edit$1(_paragraph$1).replace("hr",hr$3).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("|lheading","").replace("table",gfmTable$1).replace("blockquote"," {0,3}>").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html","</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag",_tag$1).getRegex()},blockPedantic$1={...blockNormal$1,html:edit$1(`^ *(?:comment *(?:\\n|\\s*$)|<(tag)[\\s\\S]+?</\\1> *(?:\\n{2,}|\\s*$)|<tag(?:"[^"]*"|'[^']*'|\\s[^'"/>\\s]*)*?/?> *(?:\\n{2,}|\\s*$))`).replace("comment",_comment$1).replace(/tag/g,"(?!(?:a|em|strong|small|s|cite|q|dfn|abbr|data|time|code|var|samp|kbd|sub|sup|i|b|u|mark|ruby|rt|rp|bdi|bdo|span|br|wbr|ins|del|img)\\b)\\w+(?!:|[^\\w\\s@]*@)\\b").getRegex(),def:/^ *\[([^\]]+)\]: *<?([^\s>]+)>?(?: +(["(][^\n]+[")]))? *(?:\n+|$)/,heading:/^(#{1,6})(.*)(?:\n+|$)/,fences:noopTest$1,lheading:/^(.+?)\n {0,3}(=+|-+) *(?:\n+|$)/,paragraph:edit$1(_paragraph$1).replace("hr",hr$3).replace("heading",` *#{1,6} *[^
|
||
]`).replace("lheading",lheading$1).replace("|table","").replace("blockquote"," {0,3}>").replace("|fences","").replace("|list","").replace("|html","").replace("|tag","").getRegex()},escape$5=/^\\([!"#$%&'()*+,\-./:;<=>?@\[\]\\^_`{|}~])/,inlineCode$1=/^(`+)([^`]|[^`][\s\S]*?[^`])\1(?!`)/,br$4=/^( {2,}|\\)\n(?!\s*$)/,inlineText$1=/^(`+|[^`])(?:(?= {2,}\n)|[\s\S]*?(?:(?=[\\<!\[`*_]|\b_|$)|[^ ](?= {2,}\n)))/,_punctuation$1="\\p{P}\\p{S}",punctuation$1=edit$1(/^((?![*_])[\spunctuation])/,"u").replace(/punctuation/g,_punctuation$1).getRegex(),blockSkip$1=/\[[^[\]]*?\]\([^\(\)]*?\)|`[^`]*?`|<[^<>]*?>/g,emStrongLDelim$1=edit$1(/^(?:\*+(?:((?!\*)[punct])|[^\s*]))|^_+(?:((?!_)[punct])|([^\s_]))/,"u").replace(/punct/g,_punctuation$1).getRegex(),emStrongRDelimAst$1=edit$1("^[^_*]*?__[^_*]*?\\*[^_*]*?(?=__)|[^*]+(?=[^*])|(?!\\*)[punct](\\*+)(?=[\\s]|$)|[^punct\\s](\\*+)(?!\\*)(?=[punct\\s]|$)|(?!\\*)[punct\\s](\\*+)(?=[^punct\\s])|[\\s](\\*+)(?!\\*)(?=[punct])|(?!\\*)[punct](\\*+)(?!\\*)(?=[punct])|[^punct\\s](\\*+)(?=[^punct\\s])","gu").replace(/punct/g,_punctuation$1).getRegex(),emStrongRDelimUnd$1=edit$1("^[^_*]*?\\*\\*[^_*]*?_[^_*]*?(?=\\*\\*)|[^_]+(?=[^_])|(?!_)[punct](_+)(?=[\\s]|$)|[^punct\\s](_+)(?!_)(?=[punct\\s]|$)|(?!_)[punct\\s](_+)(?=[^punct\\s])|[\\s](_+)(?!_)(?=[punct])|(?!_)[punct](_+)(?!_)(?=[punct])","gu").replace(/punct/g,_punctuation$1).getRegex(),anyPunctuation$1=edit$1(/\\([punct])/,"gu").replace(/punct/g,_punctuation$1).getRegex(),autolink$1=edit$1(/^<(scheme:[^\s\x00-\x1f<>]*|email)>/).replace("scheme",/[a-zA-Z][a-zA-Z0-9+.-]{1,31}/).replace("email",/[a-zA-Z0-9.!#$%&'*+/=?^_`{|}~-]+(@)[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)+(?![-_])/).getRegex(),_inlineComment$1=edit$1(_comment$1).replace("(?:-->|$)","-->").getRegex(),tag$1=edit$1("^comment|^</[a-zA-Z][\\w:-]*\\s*>|^<[a-zA-Z][\\w-]*(?:attribute)*?\\s*/?>|^<\\?[\\s\\S]*?\\?>|^<![a-zA-Z]+\\s[\\s\\S]*?>|^<!\\[CDATA\\[[\\s\\S]*?\\]\\]>").replace("comment",_inlineComment$1).replace("attribute",/\s+[a-zA-Z:_][\w.:-]*(?:\s*=\s*"[^"]*"|\s*=\s*'[^']*'|\s*=\s*[^\s"'=<>`]+)?/).getRegex(),_inlineLabel$1=/(?:\[(?:\\.|[^\[\]\\])*\]|\\.|`[^`]*`|[^\[\]\\`])*?/,link$1=edit$1(/^!?\[(label)\]\(\s*(href)(?:\s+(title))?\s*\)/).replace("label",_inlineLabel$1).replace("href",/<(?:\\.|[^\n<>\\])+>|[^\s\x00-\x1f]*/).replace("title",/"(?:\\"?|[^"\\])*"|'(?:\\'?|[^'\\])*'|\((?:\\\)?|[^)\\])*\)/).getRegex(),reflink$1=edit$1(/^!?\[(label)\]\[(ref)\]/).replace("label",_inlineLabel$1).replace("ref",_blockLabel$1).getRegex(),nolink$1=edit$1(/^!?\[(ref)\](?:\[\])?/).replace("ref",_blockLabel$1).getRegex(),reflinkSearch$1=edit$1("reflink|nolink(?!\\()","g").replace("reflink",reflink$1).replace("nolink",nolink$1).getRegex(),inlineNormal$1={_backpedal:noopTest$1,anyPunctuation:anyPunctuation$1,autolink:autolink$1,blockSkip:blockSkip$1,br:br$4,code:inlineCode$1,del:noopTest$1,emStrongLDelim:emStrongLDelim$1,emStrongRDelimAst:emStrongRDelimAst$1,emStrongRDelimUnd:emStrongRDelimUnd$1,escape:escape$5,link:link$1,nolink:nolink$1,punctuation:punctuation$1,reflink:reflink$1,reflinkSearch:reflinkSearch$1,tag:tag$1,text:inlineText$1,url:noopTest$1},inlinePedantic$1={...inlineNormal$1,link:edit$1(/^!?\[(label)\]\((.*?)\)/).replace("label",_inlineLabel$1).getRegex(),reflink:edit$1(/^!?\[(label)\]\s*\[([^\]]*)\]/).replace("label",_inlineLabel$1).getRegex()},inlineGfm$1={...inlineNormal$1,escape:edit$1(escape$5).replace("])","~|])").getRegex(),url:edit$1(/^((?:ftp|https?):\/\/|www\.)(?:[a-zA-Z0-9\-]+\.?)+[^\s<]*|^email/,"i").replace("email",/[A-Za-z0-9._+-]+(@)[a-zA-Z0-9-_]+(?:\.[a-zA-Z0-9-_]*[a-zA-Z0-9])+(?![-_])/).getRegex(),_backpedal:/(?:[^?!.,:;*_'"~()&]+|\([^)]*\)|&(?![a-zA-Z0-9]+;$)|[?!.,:;*_'"~)]+(?!$))+/,del:/^(~~?)(?=[^\s~])([\s\S]*?[^\s~])\1(?=[^~]|$)/,text:/^([`~]+|[^`~])(?:(?= {2,}\n)|(?=[a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-]+@)|[\s\S]*?(?:(?=[\\<!\[`*~_]|\b_|https?:\/\/|ftp:\/\/|www\.|$)|[^ ](?= {2,}\n)|[^a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-](?=[a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-]+@)))/},inlineBreaks$1={...inlineGfm$1,br:edit$1(br$4).replace("{2,}","*").getRegex(),text:edit$1(inlineGfm$1.text).replace("\\b_","\\b_| {2,}\\n").replace(/\{2,\}/g,"*").getRegex()},block$3={normal:blockNormal$1,gfm:blockGfm$1,pedantic:blockPedantic$1},inline$2={normal:inlineNormal$1,gfm:inlineGfm$1,breaks:inlineBreaks$1,pedantic:inlinePedantic$1};let _Lexer$1=class fT{constructor(qa){n1(this,"tokens");n1(this,"options");n1(this,"state");n1(this,"tokenizer");n1(this,"inlineQueue");this.tokens=[],this.tokens.links=Object.create(null),this.options=qa||_defaults$1,this.options.tokenizer=this.options.tokenizer||new _Tokenizer$1,this.tokenizer=this.options.tokenizer,this.tokenizer.options=this.options,this.tokenizer.lexer=this,this.inlineQueue=[],this.state={inLink:!1,inRawBlock:!1,top:!0};const Ja={block:block$3.normal,inline:inline$2.normal};this.options.pedantic?(Ja.block=block$3.pedantic,Ja.inline=inline$2.pedantic):this.options.gfm&&(Ja.block=block$3.gfm,this.options.breaks?Ja.inline=inline$2.breaks:Ja.inline=inline$2.gfm),this.tokenizer.rules=Ja}static get rules(){return{block:block$3,inline:inline$2}}static lex(qa,Ja){return new fT(Ja).lex(qa)}static lexInline(qa,Ja){return new fT(Ja).inlineTokens(qa)}lex(qa){qa=qa.replace(/\r\n|\r/g,`
|
||
`),this.blockTokens(qa,this.tokens);for(let Ja=0;Ja<this.inlineQueue.length;Ja++){const ed=this.inlineQueue[Ja];this.inlineTokens(ed.src,ed.tokens)}return this.inlineQueue=[],this.tokens}blockTokens(qa,Ja=[],ed=!1){this.options.pedantic?qa=qa.replace(/\t/g," ").replace(/^ +$/gm,""):qa=qa.replace(/^( *)(\t+)/gm,(od,ld,cd)=>ld+" ".repeat(cd.length));let td,rd,sd;for(;qa;)if(!(this.options.extensions&&this.options.extensions.block&&this.options.extensions.block.some(od=>(td=od.call({lexer:this},qa,Ja))?(qa=qa.substring(td.raw.length),Ja.push(td),!0):!1))){if(td=this.tokenizer.space(qa)){qa=qa.substring(td.raw.length),td.raw.length===1&&Ja.length>0?Ja[Ja.length-1].raw+=`
|
||
`:Ja.push(td);continue}if(td=this.tokenizer.code(qa)){qa=qa.substring(td.raw.length),rd=Ja[Ja.length-1],rd&&(rd.type==="paragraph"||rd.type==="text")?(rd.raw+=`
|
||
`+td.raw,rd.text+=`
|
||
`+td.text,this.inlineQueue[this.inlineQueue.length-1].src=rd.text):Ja.push(td);continue}if(td=this.tokenizer.fences(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.heading(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.hr(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.blockquote(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.list(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.html(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.def(qa)){qa=qa.substring(td.raw.length),rd=Ja[Ja.length-1],rd&&(rd.type==="paragraph"||rd.type==="text")?(rd.raw+=`
|
||
`+td.raw,rd.text+=`
|
||
`+td.raw,this.inlineQueue[this.inlineQueue.length-1].src=rd.text):this.tokens.links[td.tag]||(this.tokens.links[td.tag]={href:td.href,title:td.title});continue}if(td=this.tokenizer.table(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.lheading(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(sd=qa,this.options.extensions&&this.options.extensions.startBlock){let od=1/0;const ld=qa.slice(1);let cd;this.options.extensions.startBlock.forEach(ud=>{cd=ud.call({lexer:this},ld),typeof cd=="number"&&cd>=0&&(od=Math.min(od,cd))}),od<1/0&&od>=0&&(sd=qa.substring(0,od+1))}if(this.state.top&&(td=this.tokenizer.paragraph(sd))){rd=Ja[Ja.length-1],ed&&(rd==null?void 0:rd.type)==="paragraph"?(rd.raw+=`
|
||
`+td.raw,rd.text+=`
|
||
`+td.text,this.inlineQueue.pop(),this.inlineQueue[this.inlineQueue.length-1].src=rd.text):Ja.push(td),ed=sd.length!==qa.length,qa=qa.substring(td.raw.length);continue}if(td=this.tokenizer.text(qa)){qa=qa.substring(td.raw.length),rd=Ja[Ja.length-1],rd&&rd.type==="text"?(rd.raw+=`
|
||
`+td.raw,rd.text+=`
|
||
`+td.text,this.inlineQueue.pop(),this.inlineQueue[this.inlineQueue.length-1].src=rd.text):Ja.push(td);continue}if(qa){const od="Infinite loop on byte: "+qa.charCodeAt(0);if(this.options.silent){console.error(od);break}else throw new Error(od)}}return this.state.top=!0,Ja}inline(qa,Ja=[]){return this.inlineQueue.push({src:qa,tokens:Ja}),Ja}inlineTokens(qa,Ja=[]){let ed,td,rd,sd=qa,od,ld,cd;if(this.tokens.links){const ud=Object.keys(this.tokens.links);if(ud.length>0)for(;(od=this.tokenizer.rules.inline.reflinkSearch.exec(sd))!=null;)ud.includes(od[0].slice(od[0].lastIndexOf("[")+1,-1))&&(sd=sd.slice(0,od.index)+"["+"a".repeat(od[0].length-2)+"]"+sd.slice(this.tokenizer.rules.inline.reflinkSearch.lastIndex))}for(;(od=this.tokenizer.rules.inline.blockSkip.exec(sd))!=null;)sd=sd.slice(0,od.index)+"["+"a".repeat(od[0].length-2)+"]"+sd.slice(this.tokenizer.rules.inline.blockSkip.lastIndex);for(;(od=this.tokenizer.rules.inline.anyPunctuation.exec(sd))!=null;)sd=sd.slice(0,od.index)+"++"+sd.slice(this.tokenizer.rules.inline.anyPunctuation.lastIndex);for(;qa;)if(ld||(cd=""),ld=!1,!(this.options.extensions&&this.options.extensions.inline&&this.options.extensions.inline.some(ud=>(ed=ud.call({lexer:this},qa,Ja))?(qa=qa.substring(ed.raw.length),Ja.push(ed),!0):!1))){if(ed=this.tokenizer.escape(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.tag(qa)){qa=qa.substring(ed.raw.length),td=Ja[Ja.length-1],td&&ed.type==="text"&&td.type==="text"?(td.raw+=ed.raw,td.text+=ed.text):Ja.push(ed);continue}if(ed=this.tokenizer.link(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.reflink(qa,this.tokens.links)){qa=qa.substring(ed.raw.length),td=Ja[Ja.length-1],td&&ed.type==="text"&&td.type==="text"?(td.raw+=ed.raw,td.text+=ed.text):Ja.push(ed);continue}if(ed=this.tokenizer.emStrong(qa,sd,cd)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.codespan(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.br(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.del(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.autolink(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(!this.state.inLink&&(ed=this.tokenizer.url(qa))){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(rd=qa,this.options.extensions&&this.options.extensions.startInline){let ud=1/0;const _d=qa.slice(1);let yd;this.options.extensions.startInline.forEach(gd=>{yd=gd.call({lexer:this},_d),typeof yd=="number"&&yd>=0&&(ud=Math.min(ud,yd))}),ud<1/0&&ud>=0&&(rd=qa.substring(0,ud+1))}if(ed=this.tokenizer.inlineText(rd)){qa=qa.substring(ed.raw.length),ed.raw.slice(-1)!=="_"&&(cd=ed.raw.slice(-1)),ld=!0,td=Ja[Ja.length-1],td&&td.type==="text"?(td.raw+=ed.raw,td.text+=ed.text):Ja.push(ed);continue}if(qa){const ud="Infinite loop on byte: "+qa.charCodeAt(0);if(this.options.silent){console.error(ud);break}else throw new Error(ud)}}return Ja}},_Renderer$1=class{constructor(qa){n1(this,"options");n1(this,"parser");this.options=qa||_defaults$1}space(qa){return""}code({text:qa,lang:Ja,escaped:ed}){var sd;const td=(sd=(Ja||"").match(/^\S*/))==null?void 0:sd[0],rd=qa.replace(/\n$/,"")+`
|
||
`;return td?'<pre><code class="language-'+escape$1$2(td)+'">'+(ed?rd:escape$1$2(rd,!0))+`</code></pre>
|
||
`:"<pre><code>"+(ed?rd:escape$1$2(rd,!0))+`</code></pre>
|
||
`}blockquote({tokens:qa}){return`<blockquote>
|
||
${this.parser.parse(qa)}</blockquote>
|
||
`}html({text:qa}){return qa}heading({tokens:qa,depth:Ja}){return`<h${Ja}>${this.parser.parseInline(qa)}</h${Ja}>
|
||
`}hr(qa){return`<hr>
|
||
`}list(qa){const Ja=qa.ordered,ed=qa.start;let td="";for(let od=0;od<qa.items.length;od++){const ld=qa.items[od];td+=this.listitem(ld)}const rd=Ja?"ol":"ul",sd=Ja&&ed!==1?' start="'+ed+'"':"";return"<"+rd+sd+`>
|
||
`+td+"</"+rd+`>
|
||
`}listitem(qa){let Ja="";if(qa.task){const ed=this.checkbox({checked:!!qa.checked});qa.loose?qa.tokens.length>0&&qa.tokens[0].type==="paragraph"?(qa.tokens[0].text=ed+" "+qa.tokens[0].text,qa.tokens[0].tokens&&qa.tokens[0].tokens.length>0&&qa.tokens[0].tokens[0].type==="text"&&(qa.tokens[0].tokens[0].text=ed+" "+qa.tokens[0].tokens[0].text)):qa.tokens.unshift({type:"text",raw:ed+" ",text:ed+" "}):Ja+=ed+" "}return Ja+=this.parser.parse(qa.tokens,!!qa.loose),`<li>${Ja}</li>
|
||
`}checkbox({checked:qa}){return"<input "+(qa?'checked="" ':"")+'disabled="" type="checkbox">'}paragraph({tokens:qa}){return`<p>${this.parser.parseInline(qa)}</p>
|
||
`}table(qa){let Ja="",ed="";for(let rd=0;rd<qa.header.length;rd++)ed+=this.tablecell(qa.header[rd]);Ja+=this.tablerow({text:ed});let td="";for(let rd=0;rd<qa.rows.length;rd++){const sd=qa.rows[rd];ed="";for(let od=0;od<sd.length;od++)ed+=this.tablecell(sd[od]);td+=this.tablerow({text:ed})}return td&&(td=`<tbody>${td}</tbody>`),`<table>
|
||
<thead>
|
||
`+Ja+`</thead>
|
||
`+td+`</table>
|
||
`}tablerow({text:qa}){return`<tr>
|
||
${qa}</tr>
|
||
`}tablecell(qa){const Ja=this.parser.parseInline(qa.tokens),ed=qa.header?"th":"td";return(qa.align?`<${ed} align="${qa.align}">`:`<${ed}>`)+Ja+`</${ed}>
|
||
`}strong({tokens:qa}){return`<strong>${this.parser.parseInline(qa)}</strong>`}em({tokens:qa}){return`<em>${this.parser.parseInline(qa)}</em>`}codespan({text:qa}){return`<code>${qa}</code>`}br(qa){return"<br>"}del({tokens:qa}){return`<del>${this.parser.parseInline(qa)}</del>`}link({href:qa,title:Ja,tokens:ed}){const td=this.parser.parseInline(ed),rd=cleanUrl$1(qa);if(rd===null)return td;qa=rd;let sd='<a href="'+qa+'"';return Ja&&(sd+=' title="'+Ja+'"'),sd+=">"+td+"</a>",sd}image({href:qa,title:Ja,text:ed}){const td=cleanUrl$1(qa);if(td===null)return ed;qa=td;let rd=`<img src="${qa}" alt="${ed}"`;return Ja&&(rd+=` title="${Ja}"`),rd+=">",rd}text(qa){return"tokens"in qa&&qa.tokens?this.parser.parseInline(qa.tokens):qa.text}},_TextRenderer$1=class{strong({text:qa}){return qa}em({text:qa}){return qa}codespan({text:qa}){return qa}del({text:qa}){return qa}html({text:qa}){return qa}text({text:qa}){return qa}link({text:qa}){return""+qa}image({text:qa}){return""+qa}br(){return""}},_Parser$1=class hT{constructor(qa){n1(this,"options");n1(this,"renderer");n1(this,"textRenderer");this.options=qa||_defaults$1,this.options.renderer=this.options.renderer||new _Renderer$1,this.renderer=this.options.renderer,this.renderer.options=this.options,this.renderer.parser=this,this.textRenderer=new _TextRenderer$1}static parse(qa,Ja){return new hT(Ja).parse(qa)}static parseInline(qa,Ja){return new hT(Ja).parseInline(qa)}parse(qa,Ja=!0){let ed="";for(let td=0;td<qa.length;td++){const rd=qa[td];if(this.options.extensions&&this.options.extensions.renderers&&this.options.extensions.renderers[rd.type]){const od=rd,ld=this.options.extensions.renderers[od.type].call({parser:this},od);if(ld!==!1||!["space","hr","heading","code","table","blockquote","list","html","paragraph","text"].includes(od.type)){ed+=ld||"";continue}}const sd=rd;switch(sd.type){case"space":{ed+=this.renderer.space(sd);continue}case"hr":{ed+=this.renderer.hr(sd);continue}case"heading":{ed+=this.renderer.heading(sd);continue}case"code":{ed+=this.renderer.code(sd);continue}case"table":{ed+=this.renderer.table(sd);continue}case"blockquote":{ed+=this.renderer.blockquote(sd);continue}case"list":{ed+=this.renderer.list(sd);continue}case"html":{ed+=this.renderer.html(sd);continue}case"paragraph":{ed+=this.renderer.paragraph(sd);continue}case"text":{let od=sd,ld=this.renderer.text(od);for(;td+1<qa.length&&qa[td+1].type==="text";)od=qa[++td],ld+=`
|
||
`+this.renderer.text(od);Ja?ed+=this.renderer.paragraph({type:"paragraph",raw:ld,text:ld,tokens:[{type:"text",raw:ld,text:ld}]}):ed+=ld;continue}default:{const od='Token with "'+sd.type+'" type was not found.';if(this.options.silent)return console.error(od),"";throw new Error(od)}}}return ed}parseInline(qa,Ja){Ja=Ja||this.renderer;let ed="";for(let td=0;td<qa.length;td++){const rd=qa[td];if(this.options.extensions&&this.options.extensions.renderers&&this.options.extensions.renderers[rd.type]){const od=this.options.extensions.renderers[rd.type].call({parser:this},rd);if(od!==!1||!["escape","html","link","image","strong","em","codespan","br","del","text"].includes(rd.type)){ed+=od||"";continue}}const sd=rd;switch(sd.type){case"escape":{ed+=Ja.text(sd);break}case"html":{ed+=Ja.html(sd);break}case"link":{ed+=Ja.link(sd);break}case"image":{ed+=Ja.image(sd);break}case"strong":{ed+=Ja.strong(sd);break}case"em":{ed+=Ja.em(sd);break}case"codespan":{ed+=Ja.codespan(sd);break}case"br":{ed+=Ja.br(sd);break}case"del":{ed+=Ja.del(sd);break}case"text":{ed+=Ja.text(sd);break}default:{const od='Token with "'+sd.type+'" type was not found.';if(this.options.silent)return console.error(od),"";throw new Error(od)}}}return ed}};var pT;let _Hooks$1=(pT=class{constructor(qa){n1(this,"options");n1(this,"block");this.options=qa||_defaults$1}preprocess(qa){return qa}postprocess(qa){return qa}processAllTokens(qa){return qa}provideLexer(){return this.block?_Lexer$1.lex:_Lexer$1.lexInline}provideParser(){return this.block?_Parser$1.parse:_Parser$1.parseInline}},n1(pT,"passThroughHooks",new Set(["preprocess","postprocess","processAllTokens"])),pT),Marked$1=class{constructor(...qa){n1(this,"defaults",_getDefaults$1());n1(this,"options",this.setOptions);n1(this,"parse",this.parseMarkdown(!0));n1(this,"parseInline",this.parseMarkdown(!1));n1(this,"Parser",_Parser$1);n1(this,"Renderer",_Renderer$1);n1(this,"TextRenderer",_TextRenderer$1);n1(this,"Lexer",_Lexer$1);n1(this,"Tokenizer",_Tokenizer$1);n1(this,"Hooks",_Hooks$1);this.use(...qa)}walkTokens(qa,Ja){var td,rd;let ed=[];for(const sd of qa)switch(ed=ed.concat(Ja.call(this,sd)),sd.type){case"table":{const od=sd;for(const ld of od.header)ed=ed.concat(this.walkTokens(ld.tokens,Ja));for(const ld of od.rows)for(const cd of ld)ed=ed.concat(this.walkTokens(cd.tokens,Ja));break}case"list":{const od=sd;ed=ed.concat(this.walkTokens(od.items,Ja));break}default:{const od=sd;(rd=(td=this.defaults.extensions)==null?void 0:td.childTokens)!=null&&rd[od.type]?this.defaults.extensions.childTokens[od.type].forEach(ld=>{const cd=od[ld].flat(1/0);ed=ed.concat(this.walkTokens(cd,Ja))}):od.tokens&&(ed=ed.concat(this.walkTokens(od.tokens,Ja)))}}return ed}use(...qa){const Ja=this.defaults.extensions||{renderers:{},childTokens:{}};return qa.forEach(ed=>{const td={...ed};if(td.async=this.defaults.async||td.async||!1,ed.extensions&&(ed.extensions.forEach(rd=>{if(!rd.name)throw new Error("extension name required");if("renderer"in rd){const sd=Ja.renderers[rd.name];sd?Ja.renderers[rd.name]=function(...od){let ld=rd.renderer.apply(this,od);return ld===!1&&(ld=sd.apply(this,od)),ld}:Ja.renderers[rd.name]=rd.renderer}if("tokenizer"in rd){if(!rd.level||rd.level!=="block"&&rd.level!=="inline")throw new Error("extension level must be 'block' or 'inline'");const sd=Ja[rd.level];sd?sd.unshift(rd.tokenizer):Ja[rd.level]=[rd.tokenizer],rd.start&&(rd.level==="block"?Ja.startBlock?Ja.startBlock.push(rd.start):Ja.startBlock=[rd.start]:rd.level==="inline"&&(Ja.startInline?Ja.startInline.push(rd.start):Ja.startInline=[rd.start]))}"childTokens"in rd&&rd.childTokens&&(Ja.childTokens[rd.name]=rd.childTokens)}),td.extensions=Ja),ed.renderer){const rd=this.defaults.renderer||new _Renderer$1(this.defaults);for(const sd in ed.renderer){if(!(sd in rd))throw new Error(`renderer '${sd}' does not exist`);if(["options","parser"].includes(sd))continue;const od=sd,ld=ed.renderer[od],cd=rd[od];rd[od]=(...ud)=>{let _d=ld.apply(rd,ud);return _d===!1&&(_d=cd.apply(rd,ud)),_d||""}}td.renderer=rd}if(ed.tokenizer){const rd=this.defaults.tokenizer||new _Tokenizer$1(this.defaults);for(const sd in ed.tokenizer){if(!(sd in rd))throw new Error(`tokenizer '${sd}' does not exist`);if(["options","rules","lexer"].includes(sd))continue;const od=sd,ld=ed.tokenizer[od],cd=rd[od];rd[od]=(...ud)=>{let _d=ld.apply(rd,ud);return _d===!1&&(_d=cd.apply(rd,ud)),_d}}td.tokenizer=rd}if(ed.hooks){const rd=this.defaults.hooks||new _Hooks$1;for(const sd in ed.hooks){if(!(sd in rd))throw new Error(`hook '${sd}' does not exist`);if(["options","block"].includes(sd))continue;const od=sd,ld=ed.hooks[od],cd=rd[od];_Hooks$1.passThroughHooks.has(sd)?rd[od]=ud=>{if(this.defaults.async)return Promise.resolve(ld.call(rd,ud)).then(yd=>cd.call(rd,yd));const _d=ld.call(rd,ud);return cd.call(rd,_d)}:rd[od]=(...ud)=>{let _d=ld.apply(rd,ud);return _d===!1&&(_d=cd.apply(rd,ud)),_d}}td.hooks=rd}if(ed.walkTokens){const rd=this.defaults.walkTokens,sd=ed.walkTokens;td.walkTokens=function(od){let ld=[];return ld.push(sd.call(this,od)),rd&&(ld=ld.concat(rd.call(this,od))),ld}}this.defaults={...this.defaults,...td}}),this}setOptions(qa){return this.defaults={...this.defaults,...qa},this}lexer(qa,Ja){return _Lexer$1.lex(qa,Ja??this.defaults)}parser(qa,Ja){return _Parser$1.parse(qa,Ja??this.defaults)}parseMarkdown(qa){return(ed,td)=>{const rd={...td},sd={...this.defaults,...rd},od=this.onError(!!sd.silent,!!sd.async);if(this.defaults.async===!0&&rd.async===!1)return od(new Error("marked(): The async option was set to true by an extension. Remove async: false from the parse options object to return a Promise."));if(typeof ed>"u"||ed===null)return od(new Error("marked(): input parameter is undefined or null"));if(typeof ed!="string")return od(new Error("marked(): input parameter is of type "+Object.prototype.toString.call(ed)+", string expected"));sd.hooks&&(sd.hooks.options=sd,sd.hooks.block=qa);const ld=sd.hooks?sd.hooks.provideLexer():qa?_Lexer$1.lex:_Lexer$1.lexInline,cd=sd.hooks?sd.hooks.provideParser():qa?_Parser$1.parse:_Parser$1.parseInline;if(sd.async)return Promise.resolve(sd.hooks?sd.hooks.preprocess(ed):ed).then(ud=>ld(ud,sd)).then(ud=>sd.hooks?sd.hooks.processAllTokens(ud):ud).then(ud=>sd.walkTokens?Promise.all(this.walkTokens(ud,sd.walkTokens)).then(()=>ud):ud).then(ud=>cd(ud,sd)).then(ud=>sd.hooks?sd.hooks.postprocess(ud):ud).catch(od);try{sd.hooks&&(ed=sd.hooks.preprocess(ed));let ud=ld(ed,sd);sd.hooks&&(ud=sd.hooks.processAllTokens(ud)),sd.walkTokens&&this.walkTokens(ud,sd.walkTokens);let _d=cd(ud,sd);return sd.hooks&&(_d=sd.hooks.postprocess(_d)),_d}catch(ud){return od(ud)}}}onError(qa,Ja){return ed=>{if(ed.message+=`
|
||
Please report this to https://github.com/markedjs/marked.`,qa){const td="<p>An error occurred:</p><pre>"+escape$1$2(ed.message+"",!0)+"</pre>";return Ja?Promise.resolve(td):td}if(Ja)return Promise.reject(ed);throw ed}}};const markedInstance$1=new Marked$1;function marked$1(Ra,qa){return markedInstance$1.parse(Ra,qa)}marked$1.options=marked$1.setOptions=function(Ra){return markedInstance$1.setOptions(Ra),marked$1.defaults=markedInstance$1.defaults,changeDefaults$1(marked$1.defaults),marked$1};marked$1.getDefaults=_getDefaults$1;marked$1.defaults=_defaults$1;marked$1.use=function(...Ra){return markedInstance$1.use(...Ra),marked$1.defaults=markedInstance$1.defaults,changeDefaults$1(marked$1.defaults),marked$1};marked$1.walkTokens=function(Ra,qa){return markedInstance$1.walkTokens(Ra,qa)};marked$1.parseInline=markedInstance$1.parseInline;marked$1.Parser=_Parser$1;marked$1.parser=_Parser$1.parse;marked$1.Renderer=_Renderer$1;marked$1.TextRenderer=_TextRenderer$1;marked$1.Lexer=_Lexer$1;marked$1.lexer=_Lexer$1.lex;marked$1.Tokenizer=_Tokenizer$1;marked$1.Hooks=_Hooks$1;marked$1.parse=marked$1;marked$1.options;marked$1.setOptions;marked$1.use;marked$1.walkTokens;marked$1.parseInline;_Parser$1.parse;_Lexer$1.lex;var commonjsGlobal=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global<"u"?global:typeof self<"u"?self:{};function getDefaultExportFromCjs(Ra){return Ra&&Ra.__esModule&&Object.prototype.hasOwnProperty.call(Ra,"default")?Ra.default:Ra}function getAugmentedNamespace(Ra){if(Ra.__esModule)return Ra;var qa=Ra.default;if(typeof qa=="function"){var Ja=function ed(){return this instanceof ed?Reflect.construct(qa,arguments,this.constructor):qa.apply(this,arguments)};Ja.prototype=qa.prototype}else Ja={};return Object.defineProperty(Ja,"__esModule",{value:!0}),Object.keys(Ra).forEach(function(ed){var td=Object.getOwnPropertyDescriptor(Ra,ed);Object.defineProperty(Ja,ed,td.get?td:{enumerable:!0,get:function(){return Ra[ed]}})}),Ja}var codemirror={exports:{}},hasRequiredCodemirror;function requireCodemirror(){return hasRequiredCodemirror||(hasRequiredCodemirror=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed()})(commonjsGlobal,function(){var Ja=navigator.userAgent,ed=navigator.platform,td=/gecko\/\d/i.test(Ja),rd=/MSIE \d/.test(Ja),sd=/Trident\/(?:[7-9]|\d{2,})\..*rv:(\d+)/.exec(Ja),od=/Edge\/(\d+)/.exec(Ja),ld=rd||sd||od,cd=ld&&(rd?document.documentMode||6:+(od||sd)[1]),ud=!od&&/WebKit\//.test(Ja),_d=ud&&/Qt\/\d+\.\d+/.test(Ja),yd=!od&&/Chrome\/(\d+)/.exec(Ja),gd=yd&&+yd[1],Ed=/Opera\//.test(Ja),Td=/Apple Computer/.test(navigator.vendor),kd=/Mac OS X 1\d\D([8-9]|\d\d)\D/.test(Ja),Rd=/PhantomJS/.test(Ja),Nd=Td&&(/Mobile\/\w+/.test(Ja)||navigator.maxTouchPoints>2),Id=/Android/.test(Ja),Md=Nd||Id||/webOS|BlackBerry|Opera Mini|Opera Mobi|IEMobile/i.test(Ja),Ld=Nd||/Mac/.test(ed),Pd=/\bCrOS\b/.test(Ja),qd=/win/i.test(ed),Yd=Ed&&Ja.match(/Version\/(\d*\.\d*)/);Yd&&(Yd=Number(Yd[1])),Yd&&Yd>=15&&(Ed=!1,ud=!0);var Ud=Ld&&(_d||Ed&&(Yd==null||Yd<12.11)),Hd=td||ld&&cd>=9;function Vd(Ad){return new RegExp("(^|\\s)"+Ad+"(?:$|\\s)\\s*")}var Jd=function(Ad,Bd){var Wd=Ad.className,zd=Vd(Bd).exec(Wd);if(zd){var Qd=Wd.slice(zd.index+zd[0].length);Ad.className=Wd.slice(0,zd.index)+(Qd?zd[1]+Qd:"")}};function Zd(Ad){for(var Bd=Ad.childNodes.length;Bd>0;--Bd)Ad.removeChild(Ad.firstChild);return Ad}function pf(Ad,Bd){return Zd(Ad).appendChild(Bd)}function Xd(Ad,Bd,Wd,zd){var Qd=document.createElement(Ad);if(Wd&&(Qd.className=Wd),zd&&(Qd.style.cssText=zd),typeof Bd=="string")Qd.appendChild(document.createTextNode(Bd));else if(Bd)for(var Ef=0;Ef<Bd.length;++Ef)Qd.appendChild(Bd[Ef]);return Qd}function hf(Ad,Bd,Wd,zd){var Qd=Xd(Ad,Bd,Wd,zd);return Qd.setAttribute("role","presentation"),Qd}var _f;document.createRange?_f=function(Ad,Bd,Wd,zd){var Qd=document.createRange();return Qd.setEnd(zd||Ad,Wd),Qd.setStart(Ad,Bd),Qd}:_f=function(Ad,Bd,Wd){var zd=document.body.createTextRange();try{zd.moveToElementText(Ad.parentNode)}catch{return zd}return zd.collapse(!0),zd.moveEnd("character",Wd),zd.moveStart("character",Bd),zd};function xf(Ad,Bd){if(Bd.nodeType==3&&(Bd=Bd.parentNode),Ad.contains)return Ad.contains(Bd);do if(Bd.nodeType==11&&(Bd=Bd.host),Bd==Ad)return!0;while(Bd=Bd.parentNode)}function Lf(Ad){var Bd=Ad.ownerDocument||Ad,Wd;try{Wd=Ad.activeElement}catch{Wd=Bd.body||null}for(;Wd&&Wd.shadowRoot&&Wd.shadowRoot.activeElement;)Wd=Wd.shadowRoot.activeElement;return Wd}function Wf(Ad,Bd){var Wd=Ad.className;Vd(Bd).test(Wd)||(Ad.className+=(Wd?" ":"")+Bd)}function Yf(Ad,Bd){for(var Wd=Ad.split(" "),zd=0;zd<Wd.length;zd++)Wd[zd]&&!Vd(Wd[zd]).test(Bd)&&(Bd+=" "+Wd[zd]);return Bd}var If=function(Ad){Ad.select()};Nd?If=function(Ad){Ad.selectionStart=0,Ad.selectionEnd=Ad.value.length}:ld&&(If=function(Ad){try{Ad.select()}catch{}});function Sf(Ad){return Ad.display.wrapper.ownerDocument}function wf(Ad){return Kf(Ad.display.wrapper)}function Kf(Ad){return Ad.getRootNode?Ad.getRootNode():Ad.ownerDocument}function Gf(Ad){return Sf(Ad).defaultView}function gf(Ad){var Bd=Array.prototype.slice.call(arguments,1);return function(){return Ad.apply(null,Bd)}}function mf(Ad,Bd,Wd){Bd||(Bd={});for(var zd in Ad)Ad.hasOwnProperty(zd)&&(Wd!==!1||!Bd.hasOwnProperty(zd))&&(Bd[zd]=Ad[zd]);return Bd}function $f(Ad,Bd,Wd,zd,Qd){Bd==null&&(Bd=Ad.search(/[^\s\u00a0]/),Bd==-1&&(Bd=Ad.length));for(var Ef=zd||0,Of=Qd||0;;){var Uf=Ad.indexOf(" ",Ef);if(Uf<0||Uf>=Bd)return Of+(Bd-Ef);Of+=Uf-Ef,Of+=Wd-Of%Wd,Ef=Uf+1}}var zf=function(){this.id=null,this.f=null,this.time=0,this.handler=gf(this.onTimeout,this)};zf.prototype.onTimeout=function(Ad){Ad.id=0,Ad.time<=+new Date?Ad.f():setTimeout(Ad.handler,Ad.time-+new Date)},zf.prototype.set=function(Ad,Bd){this.f=Bd;var Wd=+new Date+Ad;(!this.id||Wd<this.time)&&(clearTimeout(this.id),this.id=setTimeout(this.handler,Ad),this.time=Wd)};function hh(Ad,Bd){for(var Wd=0;Wd<Ad.length;++Wd)if(Ad[Wd]==Bd)return Wd;return-1}var Vf=50,kf={toString:function(){return"CodeMirror.Pass"}},Jf={scroll:!1},Ch={origin:"*mouse"},qf={origin:"+move"};function Tf(Ad,Bd,Wd){for(var zd=0,Qd=0;;){var Ef=Ad.indexOf(" ",zd);Ef==-1&&(Ef=Ad.length);var Of=Ef-zd;if(Ef==Ad.length||Qd+Of>=Bd)return zd+Math.min(Of,Bd-Qd);if(Qd+=Ef-zd,Qd+=Wd-Qd%Wd,zd=Ef+1,Qd>=Bd)return zd}}var Af=[""];function Pf(Ad){for(;Af.length<=Ad;)Af.push(gh(Af)+" ");return Af[Ad]}function gh(Ad){return Ad[Ad.length-1]}function Nh(Ad,Bd){for(var Wd=[],zd=0;zd<Ad.length;zd++)Wd[zd]=Bd(Ad[zd],zd);return Wd}function dh(Ad,Bd,Wd){for(var zd=0,Qd=Wd(Bd);zd<Ad.length&&Wd(Ad[zd])<=Qd;)zd++;Ad.splice(zd,0,Bd)}function $h(){}function Rh(Ad,Bd){var Wd;return Object.create?Wd=Object.create(Ad):($h.prototype=Ad,Wd=new $h),Bd&&mf(Bd,Wd),Wd}var jh=/[\u00df\u0587\u0590-\u05f4\u0600-\u06ff\u3040-\u309f\u30a0-\u30ff\u3400-\u4db5\u4e00-\u9fcc\uac00-\ud7af]/;function Zh(Ad){return/\w/.test(Ad)||Ad>""&&(Ad.toUpperCase()!=Ad.toLowerCase()||jh.test(Ad))}function Wh(Ad,Bd){return Bd?Bd.source.indexOf("\\w")>-1&&Zh(Ad)?!0:Bd.test(Ad):Zh(Ad)}function sm(Ad){for(var Bd in Ad)if(Ad.hasOwnProperty(Bd)&&Ad[Bd])return!1;return!0}var fm=/[\u0300-\u036f\u0483-\u0489\u0591-\u05bd\u05bf\u05c1\u05c2\u05c4\u05c5\u05c7\u0610-\u061a\u064b-\u065e\u0670\u06d6-\u06dc\u06de-\u06e4\u06e7\u06e8\u06ea-\u06ed\u0711\u0730-\u074a\u07a6-\u07b0\u07eb-\u07f3\u0816-\u0819\u081b-\u0823\u0825-\u0827\u0829-\u082d\u0900-\u0902\u093c\u0941-\u0948\u094d\u0951-\u0955\u0962\u0963\u0981\u09bc\u09be\u09c1-\u09c4\u09cd\u09d7\u09e2\u09e3\u0a01\u0a02\u0a3c\u0a41\u0a42\u0a47\u0a48\u0a4b-\u0a4d\u0a51\u0a70\u0a71\u0a75\u0a81\u0a82\u0abc\u0ac1-\u0ac5\u0ac7\u0ac8\u0acd\u0ae2\u0ae3\u0b01\u0b3c\u0b3e\u0b3f\u0b41-\u0b44\u0b4d\u0b56\u0b57\u0b62\u0b63\u0b82\u0bbe\u0bc0\u0bcd\u0bd7\u0c3e-\u0c40\u0c46-\u0c48\u0c4a-\u0c4d\u0c55\u0c56\u0c62\u0c63\u0cbc\u0cbf\u0cc2\u0cc6\u0ccc\u0ccd\u0cd5\u0cd6\u0ce2\u0ce3\u0d3e\u0d41-\u0d44\u0d4d\u0d57\u0d62\u0d63\u0dca\u0dcf\u0dd2-\u0dd4\u0dd6\u0ddf\u0e31\u0e34-\u0e3a\u0e47-\u0e4e\u0eb1\u0eb4-\u0eb9\u0ebb\u0ebc\u0ec8-\u0ecd\u0f18\u0f19\u0f35\u0f37\u0f39\u0f71-\u0f7e\u0f80-\u0f84\u0f86\u0f87\u0f90-\u0f97\u0f99-\u0fbc\u0fc6\u102d-\u1030\u1032-\u1037\u1039\u103a\u103d\u103e\u1058\u1059\u105e-\u1060\u1071-\u1074\u1082\u1085\u1086\u108d\u109d\u135f\u1712-\u1714\u1732-\u1734\u1752\u1753\u1772\u1773\u17b7-\u17bd\u17c6\u17c9-\u17d3\u17dd\u180b-\u180d\u18a9\u1920-\u1922\u1927\u1928\u1932\u1939-\u193b\u1a17\u1a18\u1a56\u1a58-\u1a5e\u1a60\u1a62\u1a65-\u1a6c\u1a73-\u1a7c\u1a7f\u1b00-\u1b03\u1b34\u1b36-\u1b3a\u1b3c\u1b42\u1b6b-\u1b73\u1b80\u1b81\u1ba2-\u1ba5\u1ba8\u1ba9\u1c2c-\u1c33\u1c36\u1c37\u1cd0-\u1cd2\u1cd4-\u1ce0\u1ce2-\u1ce8\u1ced\u1dc0-\u1de6\u1dfd-\u1dff\u200c\u200d\u20d0-\u20f0\u2cef-\u2cf1\u2de0-\u2dff\u302a-\u302f\u3099\u309a\ua66f-\ua672\ua67c\ua67d\ua6f0\ua6f1\ua802\ua806\ua80b\ua825\ua826\ua8c4\ua8e0-\ua8f1\ua926-\ua92d\ua947-\ua951\ua980-\ua982\ua9b3\ua9b6-\ua9b9\ua9bc\uaa29-\uaa2e\uaa31\uaa32\uaa35\uaa36\uaa43\uaa4c\uaab0\uaab2-\uaab4\uaab7\uaab8\uaabe\uaabf\uaac1\uabe5\uabe8\uabed\udc00-\udfff\ufb1e\ufe00-\ufe0f\ufe20-\ufe26\uff9e\uff9f]/;function ih(Ad){return Ad.charCodeAt(0)>=768&&fm.test(Ad)}function Rf(Ad,Bd,Wd){for(;(Wd<0?Bd>0:Bd<Ad.length)&&ih(Ad.charAt(Bd));)Bd+=Wd;return Bd}function Df(Ad,Bd,Wd){for(var zd=Bd>Wd?-1:1;;){if(Bd==Wd)return Bd;var Qd=(Bd+Wd)/2,Ef=zd<0?Math.ceil(Qd):Math.floor(Qd);if(Ef==Bd)return Ad(Ef)?Bd:Wd;Ad(Ef)?Wd=Ef:Bd=Ef+zd}}function Zf(Ad,Bd,Wd,zd){if(!Ad)return zd(Bd,Wd,"ltr",0);for(var Qd=!1,Ef=0;Ef<Ad.length;++Ef){var Of=Ad[Ef];(Of.from<Wd&&Of.to>Bd||Bd==Wd&&Of.to==Bd)&&(zd(Math.max(Of.from,Bd),Math.min(Of.to,Wd),Of.level==1?"rtl":"ltr",Ef),Qd=!0)}Qd||zd(Bd,Wd,"ltr")}var bh=null;function Lh(Ad,Bd,Wd){var zd;bh=null;for(var Qd=0;Qd<Ad.length;++Qd){var Ef=Ad[Qd];if(Ef.from<Bd&&Ef.to>Bd)return Qd;Ef.to==Bd&&(Ef.from!=Ef.to&&Wd=="before"?zd=Qd:bh=Qd),Ef.from==Bd&&(Ef.from!=Ef.to&&Wd!="before"?zd=Qd:bh=Qd)}return zd??bh}var Hh=function(){var Ad="bbbbbbbbbtstwsbbbbbbbbbbbbbbssstwNN%%%NNNNNN,N,N1111111111NNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNbbbbbbsbbbbbbbbbbbbbbbbbbbbbbbbbb,N%%%%NNNNLNNNNN%%11NLNNN1LNNNNNLLLLLLLLLLLLLLLLLLLLLLLNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLN",Bd="nnnnnnNNr%%r,rNNmmmmmmmmmmmrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrmmmmmmmmmmmmmmmmmmmmmnnnnnnnnnn%nnrrrmrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrmmmmmmmnNmmmmmmrrmmNmmmmrr1111111111";function Wd(uh){return uh<=247?Ad.charAt(uh):1424<=uh&&uh<=1524?"R":1536<=uh&&uh<=1785?Bd.charAt(uh-1536):1774<=uh&&uh<=2220?"r":8192<=uh&&uh<=8203?"w":uh==8204?"b":"L"}var zd=/[\u0590-\u05f4\u0600-\u06ff\u0700-\u08ac]/,Qd=/[stwN]/,Ef=/[LRr]/,Of=/[Lb1n]/,Uf=/[1n]/;function Qf(uh,kh,qh){this.level=uh,this.from=kh,this.to=qh}return function(uh,kh){var qh=kh=="ltr"?"L":"R";if(uh.length==0||kh=="ltr"&&!zd.test(uh))return!1;for(var Qh=uh.length,Kh=[],hm=0;hm<Qh;++hm)Kh.push(Wd(uh.charCodeAt(hm)));for(var Nm=0,Hm=qh;Nm<Qh;++Nm){var Km=Kh[Nm];Km=="m"?Kh[Nm]=Hm:Hm=Km}for(var Qm=0,Ym=qh;Qm<Qh;++Qm){var e1=Kh[Qm];e1=="1"&&Ym=="r"?Kh[Qm]="n":Ef.test(e1)&&(Ym=e1,e1=="r"&&(Kh[Qm]="R"))}for(var c1=1,l1=Kh[0];c1<Qh-1;++c1){var D1=Kh[c1];D1=="+"&&l1=="1"&&Kh[c1+1]=="1"?Kh[c1]="1":D1==","&&l1==Kh[c1+1]&&(l1=="1"||l1=="n")&&(Kh[c1]=l1),l1=D1}for(var n0=0;n0<Qh;++n0){var y0=Kh[n0];if(y0==",")Kh[n0]="N";else if(y0=="%"){var o0=void 0;for(o0=n0+1;o0<Qh&&Kh[o0]=="%";++o0);for(var m0=n0&&Kh[n0-1]=="!"||o0<Qh&&Kh[o0]=="1"?"1":"N",x0=n0;x0<o0;++x0)Kh[x0]=m0;n0=o0-1}}for(var C0=0,K0=qh;C0<Qh;++C0){var G0=Kh[C0];K0=="L"&&G0=="1"?Kh[C0]="L":Ef.test(G0)&&(K0=G0)}for(var I0=0;I0<Qh;++I0)if(Qd.test(Kh[I0])){var w0=void 0;for(w0=I0+1;w0<Qh&&Qd.test(Kh[w0]);++w0);for(var _0=(I0?Kh[I0-1]:qh)=="L",Z0=(w0<Qh?Kh[w0]:qh)=="L",Kb=_0==Z0?_0?"L":"R":qh,Bg=I0;Bg<w0;++Bg)Kh[Bg]=Kb;I0=w0-1}for(var V0=[],tg,P0=0;P0<Qh;)if(Of.test(Kh[P0])){var fE=P0;for(++P0;P0<Qh&&Of.test(Kh[P0]);++P0);V0.push(new Qf(0,fE,P0))}else{var rg=P0,Pg=V0.length,Fg=kh=="rtl"?1:0;for(++P0;P0<Qh&&Kh[P0]!="L";++P0);for(var X0=rg;X0<P0;)if(Uf.test(Kh[X0])){rg<X0&&(V0.splice(Pg,0,new Qf(1,rg,X0)),Pg+=Fg);var Xb=X0;for(++X0;X0<P0&&Uf.test(Kh[X0]);++X0);V0.splice(Pg,0,new Qf(2,Xb,X0)),Pg+=Fg,rg=X0}else++X0;rg<P0&&V0.splice(Pg,0,new Qf(1,rg,P0))}return kh=="ltr"&&(V0[0].level==1&&(tg=uh.match(/^\s+/))&&(V0[0].from=tg[0].length,V0.unshift(new Qf(0,0,tg[0].length))),gh(V0).level==1&&(tg=uh.match(/\s+$/))&&(gh(V0).to-=tg[0].length,V0.push(new Qf(0,Qh-tg[0].length,Qh)))),kh=="rtl"?V0.reverse():V0}}();function Xh(Ad,Bd){var Wd=Ad.order;return Wd==null&&(Wd=Ad.order=Hh(Ad.text,Bd)),Wd}var gm=[],om=function(Ad,Bd,Wd){if(Ad.addEventListener)Ad.addEventListener(Bd,Wd,!1);else if(Ad.attachEvent)Ad.attachEvent("on"+Bd,Wd);else{var zd=Ad._handlers||(Ad._handlers={});zd[Bd]=(zd[Bd]||gm).concat(Wd)}};function Gm(Ad,Bd){return Ad._handlers&&Ad._handlers[Bd]||gm}function Fm(Ad,Bd,Wd){if(Ad.removeEventListener)Ad.removeEventListener(Bd,Wd,!1);else if(Ad.detachEvent)Ad.detachEvent("on"+Bd,Wd);else{var zd=Ad._handlers,Qd=zd&&zd[Bd];if(Qd){var Ef=hh(Qd,Wd);Ef>-1&&(zd[Bd]=Qd.slice(0,Ef).concat(Qd.slice(Ef+1)))}}}function Om(Ad,Bd){var Wd=Gm(Ad,Bd);if(Wd.length)for(var zd=Array.prototype.slice.call(arguments,2),Qd=0;Qd<Wd.length;++Qd)Wd[Qd].apply(null,zd)}function Jm(Ad,Bd,Wd){return typeof Bd=="string"&&(Bd={type:Bd,preventDefault:function(){this.defaultPrevented=!0}}),Om(Ad,Wd||Bd.type,Ad,Bd),y1(Bd)||Bd.codemirrorIgnore}function N1(Ad){var Bd=Ad._handlers&&Ad._handlers.cursorActivity;if(Bd)for(var Wd=Ad.curOp.cursorActivityHandlers||(Ad.curOp.cursorActivityHandlers=[]),zd=0;zd<Bd.length;++zd)hh(Wd,Bd[zd])==-1&&Wd.push(Bd[zd])}function R1(Ad,Bd){return Gm(Ad,Bd).length>0}function x1(Ad){Ad.prototype.on=function(Bd,Wd){om(this,Bd,Wd)},Ad.prototype.off=function(Bd,Wd){Fm(this,Bd,Wd)}}function Xm(Ad){Ad.preventDefault?Ad.preventDefault():Ad.returnValue=!1}function F1(Ad){Ad.stopPropagation?Ad.stopPropagation():Ad.cancelBubble=!0}function y1(Ad){return Ad.defaultPrevented!=null?Ad.defaultPrevented:Ad.returnValue==!1}function V1(Ad){Xm(Ad),F1(Ad)}function Z1(Ad){return Ad.target||Ad.srcElement}function I1(Ad){var Bd=Ad.which;return Bd==null&&(Ad.button&1?Bd=1:Ad.button&2?Bd=3:Ad.button&4&&(Bd=2)),Ld&&Ad.ctrlKey&&Bd==1&&(Bd=3),Bd}var O1=function(){if(ld&&cd<9)return!1;var Ad=Xd("div");return"draggable"in Ad||"dragDrop"in Ad}(),jm;function g1(Ad){if(jm==null){var Bd=Xd("span","");pf(Ad,Xd("span",[Bd,document.createTextNode("x")])),Ad.firstChild.offsetHeight!=0&&(jm=Bd.offsetWidth<=1&&Bd.offsetHeight>2&&!(ld&&cd<8))}var Wd=jm?Xd("span",""):Xd("span"," ",null,"display: inline-block; width: 1px; margin-right: -1px");return Wd.setAttribute("cm-text",""),Wd}var l0;function B1(Ad){if(l0!=null)return l0;var Bd=pf(Ad,document.createTextNode("AخA")),Wd=_f(Bd,0,1).getBoundingClientRect(),zd=_f(Bd,1,2).getBoundingClientRect();return Zd(Ad),!Wd||Wd.left==Wd.right?!1:l0=zd.right-Wd.right<3}var yf=`
|
||
|
||
b`.split(/\n/).length!=3?function(Ad){for(var Bd=0,Wd=[],zd=Ad.length;Bd<=zd;){var Qd=Ad.indexOf(`
|
||
`,Bd);Qd==-1&&(Qd=Ad.length);var Ef=Ad.slice(Bd,Ad.charAt(Qd-1)=="\r"?Qd-1:Qd),Of=Ef.indexOf("\r");Of!=-1?(Wd.push(Ef.slice(0,Of)),Bd+=Of+1):(Wd.push(Ef),Bd=Qd+1)}return Wd}:function(Ad){return Ad.split(/\r\n?|\n/)},ph=window.getSelection?function(Ad){try{return Ad.selectionStart!=Ad.selectionEnd}catch{return!1}}:function(Ad){var Bd;try{Bd=Ad.ownerDocument.selection.createRange()}catch{}return!Bd||Bd.parentElement()!=Ad?!1:Bd.compareEndPoints("StartToEnd",Bd)!=0},sh=function(){var Ad=Xd("div");return"oncopy"in Ad?!0:(Ad.setAttribute("oncopy","return;"),typeof Ad.oncopy=="function")}(),yh=null;function Bh(Ad){if(yh!=null)return yh;var Bd=pf(Ad,Xd("span","x")),Wd=Bd.getBoundingClientRect(),zd=_f(Bd,0,1).getBoundingClientRect();return yh=Math.abs(Wd.left-zd.left)>1}var Mh={},Gh={};function Fh(Ad,Bd){arguments.length>2&&(Bd.dependencies=Array.prototype.slice.call(arguments,2)),Mh[Ad]=Bd}function Ah(Ad,Bd){Gh[Ad]=Bd}function cm(Ad){if(typeof Ad=="string"&&Gh.hasOwnProperty(Ad))Ad=Gh[Ad];else if(Ad&&typeof Ad.name=="string"&&Gh.hasOwnProperty(Ad.name)){var Bd=Gh[Ad.name];typeof Bd=="string"&&(Bd={name:Bd}),Ad=Rh(Bd,Ad),Ad.name=Bd.name}else{if(typeof Ad=="string"&&/^[\w\-]+\/[\w\-]+\+xml$/.test(Ad))return cm("application/xml");if(typeof Ad=="string"&&/^[\w\-]+\/[\w\-]+\+json$/.test(Ad))return cm("application/json")}return typeof Ad=="string"?{name:Ad}:Ad||{name:"null"}}function um(Ad,Bd){Bd=cm(Bd);var Wd=Mh[Bd.name];if(!Wd)return um(Ad,"text/plain");var zd=Wd(Ad,Bd);if(_m.hasOwnProperty(Bd.name)){var Qd=_m[Bd.name];for(var Ef in Qd)Qd.hasOwnProperty(Ef)&&(zd.hasOwnProperty(Ef)&&(zd["_"+Ef]=zd[Ef]),zd[Ef]=Qd[Ef])}if(zd.name=Bd.name,Bd.helperType&&(zd.helperType=Bd.helperType),Bd.modeProps)for(var Of in Bd.modeProps)zd[Of]=Bd.modeProps[Of];return zd}var _m={};function Ff(Ad,Bd){var Wd=_m.hasOwnProperty(Ad)?_m[Ad]:_m[Ad]={};mf(Bd,Wd)}function $m(Ad,Bd){if(Bd===!0)return Bd;if(Ad.copyState)return Ad.copyState(Bd);var Wd={};for(var zd in Bd){var Qd=Bd[zd];Qd instanceof Array&&(Qd=Qd.concat([])),Wd[zd]=Qd}return Wd}function m1(Ad,Bd){for(var Wd;Ad.innerMode&&(Wd=Ad.innerMode(Bd),!(!Wd||Wd.mode==Ad));)Bd=Wd.state,Ad=Wd.mode;return Wd||{mode:Ad,state:Bd}}function f1(Ad,Bd,Wd){return Ad.startState?Ad.startState(Bd,Wd):!0}var a1=function(Ad,Bd,Wd){this.pos=this.start=0,this.string=Ad,this.tabSize=Bd||8,this.lastColumnPos=this.lastColumnValue=0,this.lineStart=0,this.lineOracle=Wd};a1.prototype.eol=function(){return this.pos>=this.string.length},a1.prototype.sol=function(){return this.pos==this.lineStart},a1.prototype.peek=function(){return this.string.charAt(this.pos)||void 0},a1.prototype.next=function(){if(this.pos<this.string.length)return this.string.charAt(this.pos++)},a1.prototype.eat=function(Ad){var Bd=this.string.charAt(this.pos),Wd;if(typeof Ad=="string"?Wd=Bd==Ad:Wd=Bd&&(Ad.test?Ad.test(Bd):Ad(Bd)),Wd)return++this.pos,Bd},a1.prototype.eatWhile=function(Ad){for(var Bd=this.pos;this.eat(Ad););return this.pos>Bd},a1.prototype.eatSpace=function(){for(var Ad=this.pos;/[\s\u00a0]/.test(this.string.charAt(this.pos));)++this.pos;return this.pos>Ad},a1.prototype.skipToEnd=function(){this.pos=this.string.length},a1.prototype.skipTo=function(Ad){var Bd=this.string.indexOf(Ad,this.pos);if(Bd>-1)return this.pos=Bd,!0},a1.prototype.backUp=function(Ad){this.pos-=Ad},a1.prototype.column=function(){return this.lastColumnPos<this.start&&(this.lastColumnValue=$f(this.string,this.start,this.tabSize,this.lastColumnPos,this.lastColumnValue),this.lastColumnPos=this.start),this.lastColumnValue-(this.lineStart?$f(this.string,this.lineStart,this.tabSize):0)},a1.prototype.indentation=function(){return $f(this.string,null,this.tabSize)-(this.lineStart?$f(this.string,this.lineStart,this.tabSize):0)},a1.prototype.match=function(Ad,Bd,Wd){if(typeof Ad=="string"){var zd=function(Of){return Wd?Of.toLowerCase():Of},Qd=this.string.substr(this.pos,Ad.length);if(zd(Qd)==zd(Ad))return Bd!==!1&&(this.pos+=Ad.length),!0}else{var Ef=this.string.slice(this.pos).match(Ad);return Ef&&Ef.index>0?null:(Ef&&Bd!==!1&&(this.pos+=Ef[0].length),Ef)}},a1.prototype.current=function(){return this.string.slice(this.start,this.pos)},a1.prototype.hideFirstChars=function(Ad,Bd){this.lineStart+=Ad;try{return Bd()}finally{this.lineStart-=Ad}},a1.prototype.lookAhead=function(Ad){var Bd=this.lineOracle;return Bd&&Bd.lookAhead(Ad)},a1.prototype.baseToken=function(){var Ad=this.lineOracle;return Ad&&Ad.baseToken(this.pos)};function Dm(Ad,Bd){if(Bd-=Ad.first,Bd<0||Bd>=Ad.size)throw new Error("There is no line "+(Bd+Ad.first)+" in the document.");for(var Wd=Ad;!Wd.lines;)for(var zd=0;;++zd){var Qd=Wd.children[zd],Ef=Qd.chunkSize();if(Bd<Ef){Wd=Qd;break}Bd-=Ef}return Wd.lines[Bd]}function v1(Ad,Bd,Wd){var zd=[],Qd=Bd.line;return Ad.iter(Bd.line,Wd.line+1,function(Ef){var Of=Ef.text;Qd==Wd.line&&(Of=Of.slice(0,Wd.ch)),Qd==Bd.line&&(Of=Of.slice(Bd.ch)),zd.push(Of),++Qd}),zd}function u1(Ad,Bd,Wd){var zd=[];return Ad.iter(Bd,Wd,function(Qd){zd.push(Qd.text)}),zd}function o1(Ad,Bd){var Wd=Bd-Ad.height;if(Wd)for(var zd=Ad;zd;zd=zd.parent)zd.height+=Wd}function oh(Ad){if(Ad.parent==null)return null;for(var Bd=Ad.parent,Wd=hh(Bd.lines,Ad),zd=Bd.parent;zd;Bd=zd,zd=zd.parent)for(var Qd=0;zd.children[Qd]!=Bd;++Qd)Wd+=zd.children[Qd].chunkSize();return Wd+Bd.first}function Oh(Ad,Bd){var Wd=Ad.first;e:do{for(var zd=0;zd<Ad.children.length;++zd){var Qd=Ad.children[zd],Ef=Qd.height;if(Bd<Ef){Ad=Qd;continue e}Bd-=Ef,Wd+=Qd.chunkSize()}return Wd}while(!Ad.lines);for(var Of=0;Of<Ad.lines.length;++Of){var Uf=Ad.lines[Of],Qf=Uf.height;if(Bd<Qf)break;Bd-=Qf}return Wd+Of}function mm(Ad,Bd){return Bd>=Ad.first&&Bd<Ad.first+Ad.size}function Lm(Ad,Bd){return String(Ad.lineNumberFormatter(Bd+Ad.firstLineNumber))}function am(Ad,Bd,Wd){if(Wd===void 0&&(Wd=null),!(this instanceof am))return new am(Ad,Bd,Wd);this.line=Ad,this.ch=Bd,this.sticky=Wd}function Vm(Ad,Bd){return Ad.line-Bd.line||Ad.ch-Bd.ch}function E1(Ad,Bd){return Ad.sticky==Bd.sticky&&Vm(Ad,Bd)==0}function r0(Ad){return am(Ad.line,Ad.ch)}function q1(Ad,Bd){return Vm(Ad,Bd)<0?Bd:Ad}function N0(Ad,Bd){return Vm(Ad,Bd)<0?Ad:Bd}function g0(Ad,Bd){return Math.max(Ad.first,Math.min(Bd,Ad.first+Ad.size-1))}function h1(Ad,Bd){if(Bd.line<Ad.first)return am(Ad.first,0);var Wd=Ad.first+Ad.size-1;return Bd.line>Wd?am(Wd,Dm(Ad,Wd).text.length):U0(Bd,Dm(Ad,Bd.line).text.length)}function U0(Ad,Bd){var Wd=Ad.ch;return Wd==null||Wd>Bd?am(Ad.line,Bd):Wd<0?am(Ad.line,0):Ad}function j1(Ad,Bd){for(var Wd=[],zd=0;zd<Bd.length;zd++)Wd[zd]=h1(Ad,Bd[zd]);return Wd}var E0=function(Ad,Bd){this.state=Ad,this.lookAhead=Bd},d0=function(Ad,Bd,Wd,zd){this.state=Bd,this.doc=Ad,this.line=Wd,this.maxLookAhead=zd||0,this.baseTokens=null,this.baseTokenPos=1};d0.prototype.lookAhead=function(Ad){var Bd=this.doc.getLine(this.line+Ad);return Bd!=null&&Ad>this.maxLookAhead&&(this.maxLookAhead=Ad),Bd},d0.prototype.baseToken=function(Ad){if(!this.baseTokens)return null;for(;this.baseTokens[this.baseTokenPos]<=Ad;)this.baseTokenPos+=2;var Bd=this.baseTokens[this.baseTokenPos+1];return{type:Bd&&Bd.replace(/( |^)overlay .*/,""),size:this.baseTokens[this.baseTokenPos]-Ad}},d0.prototype.nextLine=function(){this.line++,this.maxLookAhead>0&&this.maxLookAhead--},d0.fromSaved=function(Ad,Bd,Wd){return Bd instanceof E0?new d0(Ad,$m(Ad.mode,Bd.state),Wd,Bd.lookAhead):new d0(Ad,$m(Ad.mode,Bd),Wd)},d0.prototype.save=function(Ad){var Bd=Ad!==!1?$m(this.doc.mode,this.state):this.state;return this.maxLookAhead>0?new E0(Bd,this.maxLookAhead):Bd};function e_(Ad,Bd,Wd,zd){var Qd=[Ad.state.modeGen],Ef={};sg(Ad,Bd.text,Ad.doc.mode,Wd,function(uh,kh){return Qd.push(uh,kh)},Ef,zd);for(var Of=Wd.state,Uf=function(uh){Wd.baseTokens=Qd;var kh=Ad.state.overlays[uh],qh=1,Qh=0;Wd.state=!0,sg(Ad,Bd.text,kh.mode,Wd,function(Kh,hm){for(var Nm=qh;Qh<Kh;){var Hm=Qd[qh];Hm>Kh&&Qd.splice(qh,1,Kh,Qd[qh+1],Hm),qh+=2,Qh=Math.min(Kh,Hm)}if(hm)if(kh.opaque)Qd.splice(Nm,qh-Nm,Kh,"overlay "+hm),qh=Nm+2;else for(;Nm<qh;Nm+=2){var Km=Qd[Nm+1];Qd[Nm+1]=(Km?Km+" ":"")+"overlay "+hm}},Ef),Wd.state=Of,Wd.baseTokens=null,Wd.baseTokenPos=1},Qf=0;Qf<Ad.state.overlays.length;++Qf)Uf(Qf);return{styles:Qd,classes:Ef.bgClass||Ef.textClass?Ef:null}}function m_(Ad,Bd,Wd){if(!Bd.styles||Bd.styles[0]!=Ad.state.modeGen){var zd=T0(Ad,oh(Bd)),Qd=Bd.text.length>Ad.options.maxHighlightLength&&$m(Ad.doc.mode,zd.state),Ef=e_(Ad,Bd,zd);Qd&&(zd.state=Qd),Bd.stateAfter=zd.save(!Qd),Bd.styles=Ef.styles,Ef.classes?Bd.styleClasses=Ef.classes:Bd.styleClasses&&(Bd.styleClasses=null),Wd===Ad.doc.highlightFrontier&&(Ad.doc.modeFrontier=Math.max(Ad.doc.modeFrontier,++Ad.doc.highlightFrontier))}return Bd.styles}function T0(Ad,Bd,Wd){var zd=Ad.doc,Qd=Ad.display;if(!zd.mode.startState)return new d0(zd,!0,Bd);var Ef=yg(Ad,Bd,Wd),Of=Ef>zd.first&&Dm(zd,Ef-1).stateAfter,Uf=Of?d0.fromSaved(zd,Of,Ef):new d0(zd,f1(zd.mode),Ef);return zd.iter(Ef,Bd,function(Qf){t_(Ad,Qf.text,Uf);var uh=Uf.line;Qf.stateAfter=uh==Bd-1||uh%5==0||uh>=Qd.viewFrom&&uh<Qd.viewTo?Uf.save():null,Uf.nextLine()}),Wd&&(zd.modeFrontier=Uf.line),Uf}function t_(Ad,Bd,Wd,zd){var Qd=Ad.doc.mode,Ef=new a1(Bd,Ad.options.tabSize,Wd);for(Ef.start=Ef.pos=zd||0,Bd==""&&ag(Qd,Wd.state);!Ef.eol();)G_(Qd,Ef,Wd.state),Ef.start=Ef.pos}function ag(Ad,Bd){if(Ad.blankLine)return Ad.blankLine(Bd);if(Ad.innerMode){var Wd=m1(Ad,Bd);if(Wd.mode.blankLine)return Wd.mode.blankLine(Wd.state)}}function G_(Ad,Bd,Wd,zd){for(var Qd=0;Qd<10;Qd++){zd&&(zd[0]=m1(Ad,Wd).mode);var Ef=Ad.token(Bd,Wd);if(Bd.pos>Bd.start)return Ef}throw new Error("Mode "+Ad.name+" failed to advance stream.")}var s1=function(Ad,Bd,Wd){this.start=Ad.start,this.end=Ad.pos,this.string=Ad.current(),this.type=Bd||null,this.state=Wd};function __(Ad,Bd,Wd,zd){var Qd=Ad.doc,Ef=Qd.mode,Of;Bd=h1(Qd,Bd);var Uf=Dm(Qd,Bd.line),Qf=T0(Ad,Bd.line,Wd),uh=new a1(Uf.text,Ad.options.tabSize,Qf),kh;for(zd&&(kh=[]);(zd||uh.pos<Bd.ch)&&!uh.eol();)uh.start=uh.pos,Of=G_(Ef,uh,Qf.state),zd&&kh.push(new s1(uh,Of,$m(Qd.mode,Qf.state)));return zd?kh:new s1(uh,Of,Qf.state)}function b0(Ad,Bd){if(Ad)for(;;){var Wd=Ad.match(/(?:^|\s+)line-(background-)?(\S+)/);if(!Wd)break;Ad=Ad.slice(0,Wd.index)+Ad.slice(Wd.index+Wd[0].length);var zd=Wd[1]?"bgClass":"textClass";Bd[zd]==null?Bd[zd]=Wd[2]:new RegExp("(?:^|\\s)"+Wd[2]+"(?:$|\\s)").test(Bd[zd])||(Bd[zd]+=" "+Wd[2])}return Ad}function sg(Ad,Bd,Wd,zd,Qd,Ef,Of){var Uf=Wd.flattenSpans;Uf==null&&(Uf=Ad.options.flattenSpans);var Qf=0,uh=null,kh=new a1(Bd,Ad.options.tabSize,zd),qh,Qh=Ad.options.addModeClass&&[null];for(Bd==""&&b0(ag(Wd,zd.state),Ef);!kh.eol();){if(kh.pos>Ad.options.maxHighlightLength?(Uf=!1,Of&&t_(Ad,Bd,zd,kh.pos),kh.pos=Bd.length,qh=null):qh=b0(G_(Wd,kh,zd.state,Qh),Ef),Qh){var Kh=Qh[0].name;Kh&&(qh="m-"+(qh?Kh+" "+qh:Kh))}if(!Uf||uh!=qh){for(;Qf<kh.start;)Qf=Math.min(kh.start,Qf+5e3),Qd(Qf,uh);uh=qh}kh.start=kh.pos}for(;Qf<kh.pos;){var hm=Math.min(kh.pos,Qf+5e3);Qd(hm,uh),Qf=hm}}function yg(Ad,Bd,Wd){for(var zd,Qd,Ef=Ad.doc,Of=Wd?-1:Bd-(Ad.doc.mode.innerMode?1e3:100),Uf=Bd;Uf>Of;--Uf){if(Uf<=Ef.first)return Ef.first;var Qf=Dm(Ef,Uf-1),uh=Qf.stateAfter;if(uh&&(!Wd||Uf+(uh instanceof E0?uh.lookAhead:0)<=Ef.modeFrontier))return Uf;var kh=$f(Qf.text,null,Ad.options.tabSize);(Qd==null||zd>kh)&&(Qd=Uf-1,zd=kh)}return Qd}function g_(Ad,Bd){if(Ad.modeFrontier=Math.min(Ad.modeFrontier,Bd),!(Ad.highlightFrontier<Bd-10)){for(var Wd=Ad.first,zd=Bd-1;zd>Wd;zd--){var Qd=Dm(Ad,zd).stateAfter;if(Qd&&(!(Qd instanceof E0)||zd+Qd.lookAhead<Bd)){Wd=zd+1;break}}Ad.highlightFrontier=Math.min(Ad.highlightFrontier,Wd)}}var A_=!1,p0=!1;function W0(){A_=!0}function s_(){p0=!0}function r_(Ad,Bd,Wd){this.marker=Ad,this.from=Bd,this.to=Wd}function z_(Ad,Bd){if(Ad)for(var Wd=0;Wd<Ad.length;++Wd){var zd=Ad[Wd];if(zd.marker==Bd)return zd}}function gb(Ad,Bd){for(var Wd,zd=0;zd<Ad.length;++zd)Ad[zd]!=Bd&&(Wd||(Wd=[])).push(Ad[zd]);return Wd}function jg(Ad,Bd,Wd){var zd=Wd&&window.WeakSet&&(Wd.markedSpans||(Wd.markedSpans=new WeakSet));zd&&Ad.markedSpans&&zd.has(Ad.markedSpans)?Ad.markedSpans.push(Bd):(Ad.markedSpans=Ad.markedSpans?Ad.markedSpans.concat([Bd]):[Bd],zd&&zd.add(Ad.markedSpans)),Bd.marker.attachLine(Ad)}function bb(Ad,Bd,Wd){var zd;if(Ad)for(var Qd=0;Qd<Ad.length;++Qd){var Ef=Ad[Qd],Of=Ef.marker,Uf=Ef.from==null||(Of.inclusiveLeft?Ef.from<=Bd:Ef.from<Bd);if(Uf||Ef.from==Bd&&Of.type=="bookmark"&&(!Wd||!Ef.marker.insertLeft)){var Qf=Ef.to==null||(Of.inclusiveRight?Ef.to>=Bd:Ef.to>Bd);(zd||(zd=[])).push(new r_(Of,Ef.from,Qf?null:Ef.to))}}return zd}function Jb(Ad,Bd,Wd){var zd;if(Ad)for(var Qd=0;Qd<Ad.length;++Qd){var Ef=Ad[Qd],Of=Ef.marker,Uf=Ef.to==null||(Of.inclusiveRight?Ef.to>=Bd:Ef.to>Bd);if(Uf||Ef.from==Bd&&Of.type=="bookmark"&&(!Wd||Ef.marker.insertLeft)){var Qf=Ef.from==null||(Of.inclusiveLeft?Ef.from<=Bd:Ef.from<Bd);(zd||(zd=[])).push(new r_(Of,Qf?null:Ef.from-Bd,Ef.to==null?null:Ef.to-Bd))}}return zd}function Ug(Ad,Bd){if(Bd.full)return null;var Wd=mm(Ad,Bd.from.line)&&Dm(Ad,Bd.from.line).markedSpans,zd=mm(Ad,Bd.to.line)&&Dm(Ad,Bd.to.line).markedSpans;if(!Wd&&!zd)return null;var Qd=Bd.from.ch,Ef=Bd.to.ch,Of=Vm(Bd.from,Bd.to)==0,Uf=bb(Wd,Qd,Of),Qf=Jb(zd,Ef,Of),uh=Bd.text.length==1,kh=gh(Bd.text).length+(uh?Qd:0);if(Uf)for(var qh=0;qh<Uf.length;++qh){var Qh=Uf[qh];if(Qh.to==null){var Kh=z_(Qf,Qh.marker);Kh?uh&&(Qh.to=Kh.to==null?null:Kh.to+kh):Qh.to=Qd}}if(Qf)for(var hm=0;hm<Qf.length;++hm){var Nm=Qf[hm];if(Nm.to!=null&&(Nm.to+=kh),Nm.from==null){var Hm=z_(Uf,Nm.marker);Hm||(Nm.from=kh,uh&&(Uf||(Uf=[])).push(Nm))}else Nm.from+=kh,uh&&(Uf||(Uf=[])).push(Nm)}Uf&&(Uf=qg(Uf)),Qf&&Qf!=Uf&&(Qf=qg(Qf));var Km=[Uf];if(!uh){var Qm=Bd.text.length-2,Ym;if(Qm>0&&Uf)for(var e1=0;e1<Uf.length;++e1)Uf[e1].to==null&&(Ym||(Ym=[])).push(new r_(Uf[e1].marker,null,null));for(var c1=0;c1<Qm;++c1)Km.push(Ym);Km.push(Qf)}return Km}function qg(Ad){for(var Bd=0;Bd<Ad.length;++Bd){var Wd=Ad[Bd];Wd.from!=null&&Wd.from==Wd.to&&Wd.marker.clearWhenEmpty!==!1&&Ad.splice(Bd--,1)}return Ad.length?Ad:null}function Hg(Ad,Bd,Wd){var zd=null;if(Ad.iter(Bd.line,Wd.line+1,function(Kh){if(Kh.markedSpans)for(var hm=0;hm<Kh.markedSpans.length;++hm){var Nm=Kh.markedSpans[hm].marker;Nm.readOnly&&(!zd||hh(zd,Nm)==-1)&&(zd||(zd=[])).push(Nm)}}),!zd)return null;for(var Qd=[{from:Bd,to:Wd}],Ef=0;Ef<zd.length;++Ef)for(var Of=zd[Ef],Uf=Of.find(0),Qf=0;Qf<Qd.length;++Qf){var uh=Qd[Qf];if(!(Vm(uh.to,Uf.from)<0||Vm(uh.from,Uf.to)>0)){var kh=[Qf,1],qh=Vm(uh.from,Uf.from),Qh=Vm(uh.to,Uf.to);(qh<0||!Of.inclusiveLeft&&!qh)&&kh.push({from:uh.from,to:Uf.from}),(Qh>0||!Of.inclusiveRight&&!Qh)&&kh.push({from:Uf.to,to:uh.to}),Qd.splice.apply(Qd,kh),Qf+=kh.length-3}}return Qd}function zm(Ad){var Bd=Ad.markedSpans;if(Bd){for(var Wd=0;Wd<Bd.length;++Wd)Bd[Wd].marker.detachLine(Ad);Ad.markedSpans=null}}function Q1(Ad,Bd){if(Bd){for(var Wd=0;Wd<Bd.length;++Wd)Bd[Wd].marker.attachLine(Ad);Ad.markedSpans=Bd}}function og(Ad){return Ad.inclusiveLeft?-1:0}function Eg(Ad){return Ad.inclusiveRight?1:0}function Tg(Ad,Bd){var Wd=Ad.lines.length-Bd.lines.length;if(Wd!=0)return Wd;var zd=Ad.find(),Qd=Bd.find(),Ef=Vm(zd.from,Qd.from)||og(Ad)-og(Bd);if(Ef)return-Ef;var Of=Vm(zd.to,Qd.to)||Eg(Ad)-Eg(Bd);return Of||Bd.id-Ad.id}function vb(Ad,Bd){var Wd=p0&&Ad.markedSpans,zd;if(Wd)for(var Qd=void 0,Ef=0;Ef<Wd.length;++Ef)Qd=Wd[Ef],Qd.marker.collapsed&&(Bd?Qd.from:Qd.to)==null&&(!zd||Tg(zd,Qd.marker)<0)&&(zd=Qd.marker);return zd}function yb(Ad){return vb(Ad,!0)}function b_(Ad){return vb(Ad,!1)}function Eb(Ad,Bd){var Wd=p0&&Ad.markedSpans,zd;if(Wd)for(var Qd=0;Qd<Wd.length;++Qd){var Ef=Wd[Qd];Ef.marker.collapsed&&(Ef.from==null||Ef.from<Bd)&&(Ef.to==null||Ef.to>Bd)&&(!zd||Tg(zd,Ef.marker)<0)&&(zd=Ef.marker)}return zd}function zg(Ad,Bd,Wd,zd,Qd){var Ef=Dm(Ad,Bd),Of=p0&&Ef.markedSpans;if(Of)for(var Uf=0;Uf<Of.length;++Uf){var Qf=Of[Uf];if(Qf.marker.collapsed){var uh=Qf.marker.find(0),kh=Vm(uh.from,Wd)||og(Qf.marker)-og(Qd),qh=Vm(uh.to,zd)||Eg(Qf.marker)-Eg(Qd);if(!(kh>=0&&qh<=0||kh<=0&&qh>=0)&&(kh<=0&&(Qf.marker.inclusiveRight&&Qd.inclusiveLeft?Vm(uh.to,Wd)>=0:Vm(uh.to,Wd)>0)||kh>=0&&(Qf.marker.inclusiveRight&&Qd.inclusiveLeft?Vm(uh.from,zd)<=0:Vm(uh.from,zd)<0)))return!0}}}function W1(Ad){for(var Bd;Bd=yb(Ad);)Ad=Bd.find(-1,!0).line;return Ad}function Vg(Ad){for(var Bd;Bd=b_(Ad);)Ad=Bd.find(1,!0).line;return Ad}function N_(Ad){for(var Bd,Wd;Bd=b_(Ad);)Ad=Bd.find(1,!0).line,(Wd||(Wd=[])).push(Ad);return Wd}function e0(Ad,Bd){var Wd=Dm(Ad,Bd),zd=W1(Wd);return Wd==zd?Bd:oh(zd)}function n_(Ad,Bd){if(Bd>Ad.lastLine())return Bd;var Wd=Dm(Ad,Bd),zd;if(!c0(Ad,Wd))return Bd;for(;zd=b_(Wd);)Wd=zd.find(1,!0).line;return oh(Wd)+1}function c0(Ad,Bd){var Wd=p0&&Bd.markedSpans;if(Wd){for(var zd=void 0,Qd=0;Qd<Wd.length;++Qd)if(zd=Wd[Qd],!!zd.marker.collapsed){if(zd.from==null)return!0;if(!zd.marker.widgetNode&&zd.from==0&&zd.marker.inclusiveLeft&&cg(Ad,Bd,zd))return!0}}}function cg(Ad,Bd,Wd){if(Wd.to==null){var zd=Wd.marker.find(1,!0);return cg(Ad,zd.line,z_(zd.line.markedSpans,Wd.marker))}if(Wd.marker.inclusiveRight&&Wd.to==Bd.text.length)return!0;for(var Qd=void 0,Ef=0;Ef<Bd.markedSpans.length;++Ef)if(Qd=Bd.markedSpans[Ef],Qd.marker.collapsed&&!Qd.marker.widgetNode&&Qd.from==Wd.to&&(Qd.to==null||Qd.to!=Wd.from)&&(Qd.marker.inclusiveLeft||Wd.marker.inclusiveRight)&&cg(Ad,Bd,Qd))return!0}function i_(Ad){Ad=W1(Ad);for(var Bd=0,Wd=Ad.parent,zd=0;zd<Wd.lines.length;++zd){var Qd=Wd.lines[zd];if(Qd==Ad)break;Bd+=Qd.height}for(var Ef=Wd.parent;Ef;Wd=Ef,Ef=Wd.parent)for(var Of=0;Of<Ef.children.length;++Of){var Uf=Ef.children[Of];if(Uf==Wd)break;Bd+=Uf.height}return Bd}function V_(Ad){if(Ad.height==0)return 0;for(var Bd=Ad.text.length,Wd,zd=Ad;Wd=yb(zd);){var Qd=Wd.find(0,!0);zd=Qd.from.line,Bd+=Qd.from.ch-Qd.to.ch}for(zd=Ad;Wd=b_(zd);){var Ef=Wd.find(0,!0);Bd-=zd.text.length-Ef.from.ch,zd=Ef.to.line,Bd+=zd.text.length-Ef.to.ch}return Bd}function Cf(Ad){var Bd=Ad.display,Wd=Ad.doc;Bd.maxLine=Dm(Wd,Wd.first),Bd.maxLineLength=V_(Bd.maxLine),Bd.maxLineChanged=!0,Wd.iter(function(zd){var Qd=V_(zd);Qd>Bd.maxLineLength&&(Bd.maxLineLength=Qd,Bd.maxLine=zd)})}var Mf=function(Ad,Bd,Wd){this.text=Ad,Q1(this,Bd),this.height=Wd?Wd(this):1};Mf.prototype.lineNo=function(){return oh(this)},x1(Mf);function Bf(Ad,Bd,Wd,zd){Ad.text=Bd,Ad.stateAfter&&(Ad.stateAfter=null),Ad.styles&&(Ad.styles=null),Ad.order!=null&&(Ad.order=null),zm(Ad),Q1(Ad,Wd);var Qd=zd?zd(Ad):1;Qd!=Ad.height&&o1(Ad,Qd)}function Pm(Ad){Ad.parent=null,zm(Ad)}var d1={},A1={};function S0(Ad,Bd){if(!Ad||/^\s*$/.test(Ad))return null;var Wd=Bd.addModeClass?A1:d1;return Wd[Ad]||(Wd[Ad]=Ad.replace(/\S+/g,"cm-$&"))}function o_(Ad,Bd){var Wd=hf("span",null,null,ud?"padding-right: .1px":null),zd={pre:hf("pre",[Wd],"CodeMirror-line"),content:Wd,col:0,pos:0,cm:Ad,trailingSpace:!1,splitSpaces:Ad.getOption("lineWrapping")};Bd.measure={};for(var Qd=0;Qd<=(Bd.rest?Bd.rest.length:0);Qd++){var Ef=Qd?Bd.rest[Qd-1]:Bd.line,Of=void 0;zd.pos=0,zd.addToken=Wg,B1(Ad.display.measure)&&(Of=Xh(Ef,Ad.doc.direction))&&(zd.addToken=s0(zd.addToken,Of)),zd.map=[];var Uf=Bd!=Ad.display.externalMeasured&&oh(Ef);Zb(Ef,zd,m_(Ad,Ef,Uf)),Ef.styleClasses&&(Ef.styleClasses.bgClass&&(zd.bgClass=Yf(Ef.styleClasses.bgClass,zd.bgClass||"")),Ef.styleClasses.textClass&&(zd.textClass=Yf(Ef.styleClasses.textClass,zd.textClass||""))),zd.map.length==0&&zd.map.push(0,0,zd.content.appendChild(g1(Ad.display.measure))),Qd==0?(Bd.measure.map=zd.map,Bd.measure.cache={}):((Bd.measure.maps||(Bd.measure.maps=[])).push(zd.map),(Bd.measure.caches||(Bd.measure.caches=[])).push({}))}if(ud){var Qf=zd.content.lastChild;(/\bcm-tab\b/.test(Qf.className)||Qf.querySelector&&Qf.querySelector(".cm-tab"))&&(zd.content.className="cm-tab-wrap-hack")}return Om(Ad,"renderLine",Ad,Bd.line,zd.pre),zd.pre.className&&(zd.textClass=Yf(zd.pre.className,zd.textClass||"")),zd}function l_(Ad){var Bd=Xd("span","•","cm-invalidchar");return Bd.title="\\u"+Ad.charCodeAt(0).toString(16),Bd.setAttribute("aria-label",Bd.title),Bd}function Wg(Ad,Bd,Wd,zd,Qd,Ef,Of){if(Bd){var Uf=Ad.splitSpaces?Tb(Bd,Ad.trailingSpace):Bd,Qf=Ad.cm.state.specialChars,uh=!1,kh;if(!Qf.test(Bd))Ad.col+=Bd.length,kh=document.createTextNode(Uf),Ad.map.push(Ad.pos,Ad.pos+Bd.length,kh),ld&&cd<9&&(uh=!0),Ad.pos+=Bd.length;else{kh=document.createDocumentFragment();for(var qh=0;;){Qf.lastIndex=qh;var Qh=Qf.exec(Bd),Kh=Qh?Qh.index-qh:Bd.length-qh;if(Kh){var hm=document.createTextNode(Uf.slice(qh,qh+Kh));ld&&cd<9?kh.appendChild(Xd("span",[hm])):kh.appendChild(hm),Ad.map.push(Ad.pos,Ad.pos+Kh,hm),Ad.col+=Kh,Ad.pos+=Kh}if(!Qh)break;qh+=Kh+1;var Nm=void 0;if(Qh[0]==" "){var Hm=Ad.cm.options.tabSize,Km=Hm-Ad.col%Hm;Nm=kh.appendChild(Xd("span",Pf(Km),"cm-tab")),Nm.setAttribute("role","presentation"),Nm.setAttribute("cm-text"," "),Ad.col+=Km}else Qh[0]=="\r"||Qh[0]==`
|
||
`?(Nm=kh.appendChild(Xd("span",Qh[0]=="\r"?"␍":"","cm-invalidchar")),Nm.setAttribute("cm-text",Qh[0]),Ad.col+=1):(Nm=Ad.cm.options.specialCharPlaceholder(Qh[0]),Nm.setAttribute("cm-text",Qh[0]),ld&&cd<9?kh.appendChild(Xd("span",[Nm])):kh.appendChild(Nm),Ad.col+=1);Ad.map.push(Ad.pos,Ad.pos+1,Nm),Ad.pos++}}if(Ad.trailingSpace=Uf.charCodeAt(Bd.length-1)==32,Wd||zd||Qd||uh||Ef||Of){var Qm=Wd||"";zd&&(Qm+=zd),Qd&&(Qm+=Qd);var Ym=Xd("span",[kh],Qm,Ef);if(Of)for(var e1 in Of)Of.hasOwnProperty(e1)&&e1!="style"&&e1!="class"&&Ym.setAttribute(e1,Of[e1]);return Ad.content.appendChild(Ym)}Ad.content.appendChild(kh)}}function Tb(Ad,Bd){if(Ad.length>1&&!/ /.test(Ad))return Ad;for(var Wd=Bd,zd="",Qd=0;Qd<Ad.length;Qd++){var Ef=Ad.charAt(Qd);Ef==" "&&Wd&&(Qd==Ad.length-1||Ad.charCodeAt(Qd+1)==32)&&(Ef=" "),zd+=Ef,Wd=Ef==" "}return zd}function s0(Ad,Bd){return function(Wd,zd,Qd,Ef,Of,Uf,Qf){Qd=Qd?Qd+" cm-force-border":"cm-force-border";for(var uh=Wd.pos,kh=uh+zd.length;;){for(var qh=void 0,Qh=0;Qh<Bd.length&&(qh=Bd[Qh],!(qh.to>uh&&qh.from<=uh));Qh++);if(qh.to>=kh)return Ad(Wd,zd,Qd,Ef,Of,Uf,Qf);Ad(Wd,zd.slice(0,qh.to-uh),Qd,Ef,null,Uf,Qf),Ef=null,zd=zd.slice(qh.to-uh),uh=qh.to}}}function D_(Ad,Bd,Wd,zd){var Qd=!zd&&Wd.widgetNode;Qd&&Ad.map.push(Ad.pos,Ad.pos+Bd,Qd),!zd&&Ad.cm.display.input.needsContentAttribute&&(Qd||(Qd=Ad.content.appendChild(document.createElement("span"))),Qd.setAttribute("cm-marker",Wd.id)),Qd&&(Ad.cm.display.input.setUneditable(Qd),Ad.content.appendChild(Qd)),Ad.pos+=Bd,Ad.trailingSpace=!1}function Zb(Ad,Bd,Wd){var zd=Ad.markedSpans,Qd=Ad.text,Ef=0;if(!zd){for(var Of=1;Of<Wd.length;Of+=2)Bd.addToken(Bd,Qd.slice(Ef,Ef=Wd[Of]),S0(Wd[Of+1],Bd.cm.options));return}for(var Uf=Qd.length,Qf=0,uh=1,kh="",qh,Qh,Kh=0,hm,Nm,Hm,Km,Qm;;){if(Kh==Qf){hm=Nm=Hm=Qh="",Qm=null,Km=null,Kh=1/0;for(var Ym=[],e1=void 0,c1=0;c1<zd.length;++c1){var l1=zd[c1],D1=l1.marker;if(D1.type=="bookmark"&&l1.from==Qf&&D1.widgetNode)Ym.push(D1);else if(l1.from<=Qf&&(l1.to==null||l1.to>Qf||D1.collapsed&&l1.to==Qf&&l1.from==Qf)){if(l1.to!=null&&l1.to!=Qf&&Kh>l1.to&&(Kh=l1.to,Nm=""),D1.className&&(hm+=" "+D1.className),D1.css&&(Qh=(Qh?Qh+";":"")+D1.css),D1.startStyle&&l1.from==Qf&&(Hm+=" "+D1.startStyle),D1.endStyle&&l1.to==Kh&&(e1||(e1=[])).push(D1.endStyle,l1.to),D1.title&&((Qm||(Qm={})).title=D1.title),D1.attributes)for(var n0 in D1.attributes)(Qm||(Qm={}))[n0]=D1.attributes[n0];D1.collapsed&&(!Km||Tg(Km.marker,D1)<0)&&(Km=l1)}else l1.from>Qf&&Kh>l1.from&&(Kh=l1.from)}if(e1)for(var y0=0;y0<e1.length;y0+=2)e1[y0+1]==Kh&&(Nm+=" "+e1[y0]);if(!Km||Km.from==Qf)for(var o0=0;o0<Ym.length;++o0)D_(Bd,0,Ym[o0]);if(Km&&(Km.from||0)==Qf){if(D_(Bd,(Km.to==null?Uf+1:Km.to)-Qf,Km.marker,Km.from==null),Km.to==null)return;Km.to==Qf&&(Km=!1)}}if(Qf>=Uf)break;for(var m0=Math.min(Uf,Kh);;){if(kh){var x0=Qf+kh.length;if(!Km){var C0=x0>m0?kh.slice(0,m0-Qf):kh;Bd.addToken(Bd,C0,qh?qh+hm:hm,Hm,Qf+C0.length==Kh?Nm:"",Qh,Qm)}if(x0>=m0){kh=kh.slice(m0-Qf),Qf=m0;break}Qf=x0,Hm=""}kh=Qd.slice(Ef,Ef=Wd[uh++]),qh=S0(Wd[uh++],Bd.cm.options)}}}function Av(Ad,Bd,Wd){this.line=Bd,this.rest=N_(Bd),this.size=this.rest?oh(gh(this.rest))-Wd+1:1,this.node=this.text=null,this.hidden=c0(Ad,Bd)}function Sg(Ad,Bd,Wd){for(var zd=[],Qd,Ef=Bd;Ef<Wd;Ef=Qd){var Of=new Av(Ad.doc,Dm(Ad.doc,Ef),Ef);Qd=Ef+Of.size,zd.push(Of)}return zd}var v_=null;function Nv(Ad){v_?v_.ops.push(Ad):Ad.ownsGroup=v_={ops:[Ad],delayedCallbacks:[]}}function Ry(Ad){var Bd=Ad.delayedCallbacks,Wd=0;do{for(;Wd<Bd.length;Wd++)Bd[Wd].call(null);for(var zd=0;zd<Ad.ops.length;zd++){var Qd=Ad.ops[zd];if(Qd.cursorActivityHandlers)for(;Qd.cursorActivityCalled<Qd.cursorActivityHandlers.length;)Qd.cursorActivityHandlers[Qd.cursorActivityCalled++].call(null,Qd.cm)}}while(Wd<Bd.length)}function jd(Ad,Bd){var Wd=Ad.ownsGroup;if(Wd)try{Ry(Wd)}finally{v_=null,Bd(Wd)}}var Od=null;function Gd(Ad,Bd){var Wd=Gm(Ad,Bd);if(Wd.length){var zd=Array.prototype.slice.call(arguments,2),Qd;v_?Qd=v_.delayedCallbacks:Od?Qd=Od:(Qd=Od=[],setTimeout(df,0));for(var Ef=function(Uf){Qd.push(function(){return Wd[Uf].apply(null,zd)})},Of=0;Of<Wd.length;++Of)Ef(Of)}}function df(){var Ad=Od;Od=null;for(var Bd=0;Bd<Ad.length;++Bd)Ad[Bd]()}function Nf(Ad,Bd,Wd,zd){for(var Qd=0;Qd<Bd.changes.length;Qd++){var Ef=Bd.changes[Qd];Ef=="text"?zh(Ad,Bd):Ef=="gutter"?Sm(Ad,Bd,Wd,zd):Ef=="class"?Uh(Ad,Bd):Ef=="widget"&&Wm(Ad,Bd,zd)}Bd.changes=null}function Xf(Ad){return Ad.node==Ad.text&&(Ad.node=Xd("div",null,null,"position: relative"),Ad.text.parentNode&&Ad.text.parentNode.replaceChild(Ad.node,Ad.text),Ad.node.appendChild(Ad.text),ld&&cd<8&&(Ad.node.style.zIndex=2)),Ad.node}function Th(Ad,Bd){var Wd=Bd.bgClass?Bd.bgClass+" "+(Bd.line.bgClass||""):Bd.line.bgClass;if(Wd&&(Wd+=" CodeMirror-linebackground"),Bd.background)Wd?Bd.background.className=Wd:(Bd.background.parentNode.removeChild(Bd.background),Bd.background=null);else if(Wd){var zd=Xf(Bd);Bd.background=zd.insertBefore(Xd("div",null,Wd),zd.firstChild),Ad.display.input.setUneditable(Bd.background)}}function Ih(Ad,Bd){var Wd=Ad.display.externalMeasured;return Wd&&Wd.line==Bd.line?(Ad.display.externalMeasured=null,Bd.measure=Wd.measure,Wd.built):o_(Ad,Bd)}function zh(Ad,Bd){var Wd=Bd.text.className,zd=Ih(Ad,Bd);Bd.text==Bd.node&&(Bd.node=zd.pre),Bd.text.parentNode.replaceChild(zd.pre,Bd.text),Bd.text=zd.pre,zd.bgClass!=Bd.bgClass||zd.textClass!=Bd.textClass?(Bd.bgClass=zd.bgClass,Bd.textClass=zd.textClass,Uh(Ad,Bd)):Wd&&(Bd.text.className=Wd)}function Uh(Ad,Bd){Th(Ad,Bd),Bd.line.wrapClass?Xf(Bd).className=Bd.line.wrapClass:Bd.node!=Bd.text&&(Bd.node.className="");var Wd=Bd.textClass?Bd.textClass+" "+(Bd.line.textClass||""):Bd.line.textClass;Bd.text.className=Wd||""}function Sm(Ad,Bd,Wd,zd){if(Bd.gutter&&(Bd.node.removeChild(Bd.gutter),Bd.gutter=null),Bd.gutterBackground&&(Bd.node.removeChild(Bd.gutterBackground),Bd.gutterBackground=null),Bd.line.gutterClass){var Qd=Xf(Bd);Bd.gutterBackground=Xd("div",null,"CodeMirror-gutter-background "+Bd.line.gutterClass,"left: "+(Ad.options.fixedGutter?zd.fixedPos:-zd.gutterTotalWidth)+"px; width: "+zd.gutterTotalWidth+"px"),Ad.display.input.setUneditable(Bd.gutterBackground),Qd.insertBefore(Bd.gutterBackground,Bd.text)}var Ef=Bd.line.gutterMarkers;if(Ad.options.lineNumbers||Ef){var Of=Xf(Bd),Uf=Bd.gutter=Xd("div",null,"CodeMirror-gutter-wrapper","left: "+(Ad.options.fixedGutter?zd.fixedPos:-zd.gutterTotalWidth)+"px");if(Uf.setAttribute("aria-hidden","true"),Ad.display.input.setUneditable(Uf),Of.insertBefore(Uf,Bd.text),Bd.line.gutterClass&&(Uf.className+=" "+Bd.line.gutterClass),Ad.options.lineNumbers&&(!Ef||!Ef["CodeMirror-linenumbers"])&&(Bd.lineNumber=Uf.appendChild(Xd("div",Lm(Ad.options,Wd),"CodeMirror-linenumber CodeMirror-gutter-elt","left: "+zd.gutterLeft["CodeMirror-linenumbers"]+"px; width: "+Ad.display.lineNumInnerWidth+"px"))),Ef)for(var Qf=0;Qf<Ad.display.gutterSpecs.length;++Qf){var uh=Ad.display.gutterSpecs[Qf].className,kh=Ef.hasOwnProperty(uh)&&Ef[uh];kh&&Uf.appendChild(Xd("div",[kh],"CodeMirror-gutter-elt","left: "+zd.gutterLeft[uh]+"px; width: "+zd.gutterWidth[uh]+"px"))}}}function Wm(Ad,Bd,Wd){Bd.alignable&&(Bd.alignable=null);for(var zd=Vd("CodeMirror-linewidget"),Qd=Bd.node.firstChild,Ef=void 0;Qd;Qd=Ef)Ef=Qd.nextSibling,zd.test(Qd.className)&&Bd.node.removeChild(Qd);r1(Ad,Bd,Wd)}function Zm(Ad,Bd,Wd,zd){var Qd=Ih(Ad,Bd);return Bd.text=Bd.node=Qd.pre,Qd.bgClass&&(Bd.bgClass=Qd.bgClass),Qd.textClass&&(Bd.textClass=Qd.textClass),Uh(Ad,Bd),Sm(Ad,Bd,Wd,zd),r1(Ad,Bd,zd),Bd.node}function r1(Ad,Bd,Wd){if(C1(Ad,Bd.line,Bd,Wd,!0),Bd.rest)for(var zd=0;zd<Bd.rest.length;zd++)C1(Ad,Bd.rest[zd],Bd,Wd,!1)}function C1(Ad,Bd,Wd,zd,Qd){if(Bd.widgets)for(var Ef=Xf(Wd),Of=0,Uf=Bd.widgets;Of<Uf.length;++Of){var Qf=Uf[Of],uh=Xd("div",[Qf.node],"CodeMirror-linewidget"+(Qf.className?" "+Qf.className:""));Qf.handleMouseEvents||uh.setAttribute("cm-ignore-events","true"),f0(Qf,uh,Wd,zd),Ad.display.input.setUneditable(uh),Qd&&Qf.above?Ef.insertBefore(uh,Wd.gutter||Wd.text):Ef.appendChild(uh),Gd(Qf,"redraw")}}function f0(Ad,Bd,Wd,zd){if(Ad.noHScroll){(Wd.alignable||(Wd.alignable=[])).push(Bd);var Qd=zd.wrapperWidth;Bd.style.left=zd.fixedPos+"px",Ad.coverGutter||(Qd-=zd.gutterTotalWidth,Bd.style.paddingLeft=zd.gutterTotalWidth+"px"),Bd.style.width=Qd+"px"}Ad.coverGutter&&(Bd.style.zIndex=5,Bd.style.position="relative",Ad.noHScroll||(Bd.style.marginLeft=-zd.gutterTotalWidth+"px"))}function P1(Ad){if(Ad.height!=null)return Ad.height;var Bd=Ad.doc.cm;if(!Bd)return 0;if(!xf(document.body,Ad.node)){var Wd="position: relative;";Ad.coverGutter&&(Wd+="margin-left: -"+Bd.display.gutters.offsetWidth+"px;"),Ad.noHScroll&&(Wd+="width: "+Bd.display.wrapper.clientWidth+"px;"),pf(Bd.display.measure,Xd("div",[Ad.node],null,Wd))}return Ad.height=Ad.node.parentNode.offsetHeight}function Y1(Ad,Bd){for(var Wd=Z1(Bd);Wd!=Ad.wrapper;Wd=Wd.parentNode)if(!Wd||Wd.nodeType==1&&Wd.getAttribute("cm-ignore-events")=="true"||Wd.parentNode==Ad.sizer&&Wd!=Ad.mover)return!0}function O0(Ad){return Ad.lineSpace.offsetTop}function Yg(Ad){return Ad.mover.offsetHeight-Ad.lineSpace.offsetHeight}function Sb(Ad){if(Ad.cachedPaddingH)return Ad.cachedPaddingH;var Bd=pf(Ad.measure,Xd("pre","x","CodeMirror-line-like")),Wd=window.getComputedStyle?window.getComputedStyle(Bd):Bd.currentStyle,zd={left:parseInt(Wd.paddingLeft),right:parseInt(Wd.paddingRight)};return!isNaN(zd.left)&&!isNaN(zd.right)&&(Ad.cachedPaddingH=zd),zd}function W_(Ad){return Vf-Ad.display.nativeBarWidth}function Kg(Ad){return Ad.display.scroller.clientWidth-W_(Ad)-Ad.display.barWidth}function Iy(Ad){return Ad.display.scroller.clientHeight-W_(Ad)-Ad.display.barHeight}function xb(Ad,Bd,Wd){var zd=Ad.options.lineWrapping,Qd=zd&&Kg(Ad);if(!Bd.measure.heights||zd&&Bd.measure.width!=Qd){var Ef=Bd.measure.heights=[];if(zd){Bd.measure.width=Qd;for(var Of=Bd.text.firstChild.getClientRects(),Uf=0;Uf<Of.length-1;Uf++){var Qf=Of[Uf],uh=Of[Uf+1];Math.abs(Qf.bottom-uh.bottom)>2&&Ef.push((Qf.bottom+uh.top)/2-Wd.top)}}Ef.push(Wd.bottom-Wd.top)}}function bE(Ad,Bd,Wd){if(Ad.line==Bd)return{map:Ad.measure.map,cache:Ad.measure.cache};if(Ad.rest){for(var zd=0;zd<Ad.rest.length;zd++)if(Ad.rest[zd]==Bd)return{map:Ad.measure.maps[zd],cache:Ad.measure.caches[zd]};for(var Qd=0;Qd<Ad.rest.length;Qd++)if(oh(Ad.rest[Qd])>Wd)return{map:Ad.measure.maps[Qd],cache:Ad.measure.caches[Qd],before:!0}}}function E2(Ad,Bd){Bd=W1(Bd);var Wd=oh(Bd),zd=Ad.display.externalMeasured=new Av(Ad.doc,Bd,Wd);zd.lineN=Wd;var Qd=zd.built=o_(Ad,zd);return zd.text=Qd.pre,pf(Ad.display.lineMeasure,Qd.pre),zd}function Dv(Ad,Bd,Wd,zd){return u_(Ad,c_(Ad,Bd),Wd,zd)}function Rv(Ad,Bd){if(Bd>=Ad.display.viewFrom&&Bd<Ad.display.viewTo)return Ad.display.view[Qg(Ad,Bd)];var Wd=Ad.display.externalMeasured;if(Wd&&Bd>=Wd.lineN&&Bd<Wd.lineN+Wd.size)return Wd}function c_(Ad,Bd){var Wd=oh(Bd),zd=Rv(Ad,Wd);zd&&!zd.text?zd=null:zd&&zd.changes&&(Nf(Ad,zd,Wd,wb(Ad)),Ad.curOp.forceUpdate=!0),zd||(zd=E2(Ad,Bd));var Qd=bE(zd,Bd,Wd);return{line:Bd,view:zd,rect:null,map:Qd.map,cache:Qd.cache,before:Qd.before,hasHeights:!1}}function u_(Ad,Bd,Wd,zd,Qd){Bd.before&&(Wd=-1);var Ef=Wd+(zd||""),Of;return Bd.cache.hasOwnProperty(Ef)?Of=Bd.cache[Ef]:(Bd.rect||(Bd.rect=Bd.view.text.getBoundingClientRect()),Bd.hasHeights||(xb(Ad,Bd.view,Bd.rect),Bd.hasHeights=!0),Of=Cb(Ad,Bd,Wd,zd),Of.bogus||(Bd.cache[Ef]=Of)),{left:Of.left,right:Of.right,top:Qd?Of.rtop:Of.top,bottom:Qd?Of.rbottom:Of.bottom}}var vE={left:0,right:0,top:0,bottom:0};function yE(Ad,Bd,Wd){for(var zd,Qd,Ef,Of,Uf,Qf,uh=0;uh<Ad.length;uh+=3)if(Uf=Ad[uh],Qf=Ad[uh+1],Bd<Uf?(Qd=0,Ef=1,Of="left"):Bd<Qf?(Qd=Bd-Uf,Ef=Qd+1):(uh==Ad.length-3||Bd==Qf&&Ad[uh+3]>Bd)&&(Ef=Qf-Uf,Qd=Ef-1,Bd>=Qf&&(Of="right")),Qd!=null){if(zd=Ad[uh+2],Uf==Qf&&Wd==(zd.insertLeft?"left":"right")&&(Of=Wd),Wd=="left"&&Qd==0)for(;uh&&Ad[uh-2]==Ad[uh-3]&&Ad[uh-1].insertLeft;)zd=Ad[(uh-=3)+2],Of="left";if(Wd=="right"&&Qd==Qf-Uf)for(;uh<Ad.length-3&&Ad[uh+3]==Ad[uh+4]&&!Ad[uh+5].insertLeft;)zd=Ad[(uh+=3)+2],Of="right";break}return{node:zd,start:Qd,end:Ef,collapse:Of,coverStart:Uf,coverEnd:Qf}}function T2(Ad,Bd){var Wd=vE;if(Bd=="left")for(var zd=0;zd<Ad.length&&(Wd=Ad[zd]).left==Wd.right;zd++);else for(var Qd=Ad.length-1;Qd>=0&&(Wd=Ad[Qd]).left==Wd.right;Qd--);return Wd}function Cb(Ad,Bd,Wd,zd){var Qd=yE(Bd.map,Wd,zd),Ef=Qd.node,Of=Qd.start,Uf=Qd.end,Qf=Qd.collapse,uh;if(Ef.nodeType==3){for(var kh=0;kh<4;kh++){for(;Of&&ih(Bd.line.text.charAt(Qd.coverStart+Of));)--Of;for(;Qd.coverStart+Uf<Qd.coverEnd&&ih(Bd.line.text.charAt(Qd.coverStart+Uf));)++Uf;if(ld&&cd<9&&Of==0&&Uf==Qd.coverEnd-Qd.coverStart?uh=Ef.parentNode.getBoundingClientRect():uh=T2(_f(Ef,Of,Uf).getClientRects(),zd),uh.left||uh.right||Of==0)break;Uf=Of,Of=Of-1,Qf="right"}ld&&cd<11&&(uh=R_(Ad.display.measure,uh))}else{Of>0&&(Qf=zd="right");var qh;Ad.options.lineWrapping&&(qh=Ef.getClientRects()).length>1?uh=qh[zd=="right"?qh.length-1:0]:uh=Ef.getBoundingClientRect()}if(ld&&cd<9&&!Of&&(!uh||!uh.left&&!uh.right)){var Qh=Ef.parentNode.getClientRects()[0];Qh?uh={left:Qh.left,right:Qh.left+dg(Ad.display),top:Qh.top,bottom:Qh.bottom}:uh=vE}for(var Kh=uh.top-Bd.rect.top,hm=uh.bottom-Bd.rect.top,Nm=(Kh+hm)/2,Hm=Bd.view.measure.heights,Km=0;Km<Hm.length-1&&!(Nm<Hm[Km]);Km++);var Qm=Km?Hm[Km-1]:0,Ym=Hm[Km],e1={left:(Qf=="right"?uh.right:uh.left)-Bd.rect.left,right:(Qf=="left"?uh.left:uh.right)-Bd.rect.left,top:Qm,bottom:Ym};return!uh.left&&!uh.right&&(e1.bogus=!0),Ad.options.singleCursorHeightPerLine||(e1.rtop=Kh,e1.rbottom=hm),e1}function R_(Ad,Bd){if(!window.screen||screen.logicalXDPI==null||screen.logicalXDPI==screen.deviceXDPI||!Bh(Ad))return Bd;var Wd=screen.logicalXDPI/screen.deviceXDPI,zd=screen.logicalYDPI/screen.deviceYDPI;return{left:Bd.left*Wd,right:Bd.right*Wd,top:Bd.top*zd,bottom:Bd.bottom*zd}}function Oy(Ad){if(Ad.measure&&(Ad.measure.cache={},Ad.measure.heights=null,Ad.rest))for(var Bd=0;Bd<Ad.rest.length;Bd++)Ad.measure.caches[Bd]={}}function TE(Ad){Ad.display.externalMeasure=null,Zd(Ad.display.lineMeasure);for(var Bd=0;Bd<Ad.display.view.length;Bd++)Oy(Ad.display.view[Bd])}function ev(Ad){TE(Ad),Ad.display.cachedCharWidth=Ad.display.cachedTextHeight=Ad.display.cachedPaddingH=null,Ad.options.lineWrapping||(Ad.display.maxLineChanged=!0),Ad.display.lineNumChars=null}function SE(Ad){return yd&&Id?-(Ad.body.getBoundingClientRect().left-parseInt(getComputedStyle(Ad.body).marginLeft)):Ad.defaultView.pageXOffset||(Ad.documentElement||Ad.body).scrollLeft}function xE(Ad){return yd&&Id?-(Ad.body.getBoundingClientRect().top-parseInt(getComputedStyle(Ad.body).marginTop)):Ad.defaultView.pageYOffset||(Ad.documentElement||Ad.body).scrollTop}function $y(Ad){var Bd=W1(Ad),Wd=Bd.widgets,zd=0;if(Wd)for(var Qd=0;Qd<Wd.length;++Qd)Wd[Qd].above&&(zd+=P1(Wd[Qd]));return zd}function ug(Ad,Bd,Wd,zd,Qd){if(!Qd){var Ef=$y(Bd);Wd.top+=Ef,Wd.bottom+=Ef}if(zd=="line")return Wd;zd||(zd="local");var Of=i_(Bd);if(zd=="local"?Of+=O0(Ad.display):Of-=Ad.display.viewOffset,zd=="page"||zd=="window"){var Uf=Ad.display.lineSpace.getBoundingClientRect();Of+=Uf.top+(zd=="window"?0:xE(Sf(Ad)));var Qf=Uf.left+(zd=="window"?0:SE(Sf(Ad)));Wd.left+=Qf,Wd.right+=Qf}return Wd.top+=Of,Wd.bottom+=Of,Wd}function Jh(Ad,Bd,Wd){if(Wd=="div")return Bd;var zd=Bd.left,Qd=Bd.top;if(Wd=="page")zd-=SE(Sf(Ad)),Qd-=xE(Sf(Ad));else if(Wd=="local"||!Wd){var Ef=Ad.display.sizer.getBoundingClientRect();zd+=Ef.left,Qd+=Ef.top}var Of=Ad.display.lineSpace.getBoundingClientRect();return{left:zd-Of.left,top:Qd-Of.top}}function Tm(Ad,Bd,Wd,zd,Qd){return zd||(zd=Dm(Ad.doc,Bd.line)),ug(Ad,zd,Dv(Ad,zd,Bd.ch,Qd),Wd)}function dm(Ad,Bd,Wd,zd,Qd,Ef){zd=zd||Dm(Ad.doc,Bd.line),Qd||(Qd=c_(Ad,zd));function Of(hm,Nm){var Hm=u_(Ad,Qd,hm,Nm?"right":"left",Ef);return Nm?Hm.left=Hm.right:Hm.right=Hm.left,ug(Ad,zd,Hm,Wd)}var Uf=Xh(zd,Ad.doc.direction),Qf=Bd.ch,uh=Bd.sticky;if(Qf>=zd.text.length?(Qf=zd.text.length,uh="before"):Qf<=0&&(Qf=0,uh="after"),!Uf)return Of(uh=="before"?Qf-1:Qf,uh=="before");function kh(hm,Nm,Hm){var Km=Uf[Nm],Qm=Km.level==1;return Of(Hm?hm-1:hm,Qm!=Hm)}var qh=Lh(Uf,Qf,uh),Qh=bh,Kh=kh(Qf,qh,uh=="before");return Qh!=null&&(Kh.other=kh(Qf,Qh,uh!="before")),Kh}function i1(Ad,Bd){var Wd=0;Bd=h1(Ad.doc,Bd),Ad.options.lineWrapping||(Wd=dg(Ad.display)*Bd.ch);var zd=Dm(Ad.doc,Bd.line),Qd=i_(zd)+O0(Ad.display);return{left:Wd,right:Wd,top:Qd,bottom:Qd+zd.height}}function w1(Ad,Bd,Wd,zd,Qd){var Ef=am(Ad,Bd,Wd);return Ef.xRel=Qd,zd&&(Ef.outside=zd),Ef}function H1(Ad,Bd,Wd){var zd=Ad.doc;if(Wd+=Ad.display.viewOffset,Wd<0)return w1(zd.first,0,null,-1,-1);var Qd=Oh(zd,Wd),Ef=zd.first+zd.size-1;if(Qd>Ef)return w1(zd.first+zd.size-1,Dm(zd,Ef).text.length,null,1,1);Bd<0&&(Bd=0);for(var Of=Dm(zd,Qd);;){var Uf=S2(Ad,Of,Qd,Bd,Wd),Qf=Eb(Of,Uf.ch+(Uf.xRel>0||Uf.outside>0?1:0));if(!Qf)return Uf;var uh=Qf.find(1);if(uh.line==Qd)return uh;Of=Dm(zd,Qd=uh.line)}}function D0(Ad,Bd,Wd,zd){zd-=$y(Bd);var Qd=Bd.text.length,Ef=Df(function(Of){return u_(Ad,Wd,Of-1).bottom<=zd},Qd,0);return Qd=Df(function(Of){return u_(Ad,Wd,Of).top>zd},Ef,Qd),{begin:Ef,end:Qd}}function i0(Ad,Bd,Wd,zd){Wd||(Wd=c_(Ad,Bd));var Qd=ug(Ad,Bd,u_(Ad,Wd,zd),"line").top;return D0(Ad,Bd,Wd,Qd)}function tv(Ad,Bd,Wd,zd){return Ad.bottom<=Wd?!1:Ad.top>Wd?!0:(zd?Ad.left:Ad.right)>Bd}function S2(Ad,Bd,Wd,zd,Qd){Qd-=i_(Bd);var Ef=c_(Ad,Bd),Of=$y(Bd),Uf=0,Qf=Bd.text.length,uh=!0,kh=Xh(Bd,Ad.doc.direction);if(kh){var qh=(Ad.options.lineWrapping?Iv:y_)(Ad,Bd,Wd,Ef,kh,zd,Qd);uh=qh.level!=1,Uf=uh?qh.from:qh.to-1,Qf=uh?qh.to:qh.from-1}var Qh=null,Kh=null,hm=Df(function(c1){var l1=u_(Ad,Ef,c1);return l1.top+=Of,l1.bottom+=Of,tv(l1,zd,Qd,!1)?(l1.top<=Qd&&l1.left<=zd&&(Qh=c1,Kh=l1),!0):!1},Uf,Qf),Nm,Hm,Km=!1;if(Kh){var Qm=zd-Kh.left<Kh.right-zd,Ym=Qm==uh;hm=Qh+(Ym?0:1),Hm=Ym?"after":"before",Nm=Qm?Kh.left:Kh.right}else{!uh&&(hm==Qf||hm==Uf)&&hm++,Hm=hm==0?"after":hm==Bd.text.length?"before":u_(Ad,Ef,hm-(uh?1:0)).bottom+Of<=Qd==uh?"after":"before";var e1=dm(Ad,am(Wd,hm,Hm),"line",Bd,Ef);Nm=e1.left,Km=Qd<e1.top?-1:Qd>=e1.bottom?1:0}return hm=Rf(Bd.text,hm,1),w1(Wd,hm,Hm,Km,zd-Nm)}function y_(Ad,Bd,Wd,zd,Qd,Ef,Of){var Uf=Df(function(qh){var Qh=Qd[qh],Kh=Qh.level!=1;return tv(dm(Ad,am(Wd,Kh?Qh.to:Qh.from,Kh?"before":"after"),"line",Bd,zd),Ef,Of,!0)},0,Qd.length-1),Qf=Qd[Uf];if(Uf>0){var uh=Qf.level!=1,kh=dm(Ad,am(Wd,uh?Qf.from:Qf.to,uh?"after":"before"),"line",Bd,zd);tv(kh,Ef,Of,!0)&&kh.top>Of&&(Qf=Qd[Uf-1])}return Qf}function Iv(Ad,Bd,Wd,zd,Qd,Ef,Of){var Uf=D0(Ad,Bd,zd,Of),Qf=Uf.begin,uh=Uf.end;/\s/.test(Bd.text.charAt(uh-1))&&uh--;for(var kh=null,qh=null,Qh=0;Qh<Qd.length;Qh++){var Kh=Qd[Qh];if(!(Kh.from>=uh||Kh.to<=Qf)){var hm=Kh.level!=1,Nm=u_(Ad,zd,hm?Math.min(uh,Kh.to)-1:Math.max(Qf,Kh.from)).right,Hm=Nm<Ef?Ef-Nm+1e9:Nm-Ef;(!kh||qh>Hm)&&(kh=Kh,qh=Hm)}}return kh||(kh=Qd[Qd.length-1]),kh.from<Qf&&(kh={from:Qf,to:kh.to,level:kh.level}),kh.to>uh&&(kh={from:kh.from,to:uh,level:kh.level}),kh}var Xg;function I_(Ad){if(Ad.cachedTextHeight!=null)return Ad.cachedTextHeight;if(Xg==null){Xg=Xd("pre",null,"CodeMirror-line-like");for(var Bd=0;Bd<49;++Bd)Xg.appendChild(document.createTextNode("x")),Xg.appendChild(Xd("br"));Xg.appendChild(document.createTextNode("x"))}pf(Ad.measure,Xg);var Wd=Xg.offsetHeight/50;return Wd>3&&(Ad.cachedTextHeight=Wd),Zd(Ad.measure),Wd||1}function dg(Ad){if(Ad.cachedCharWidth!=null)return Ad.cachedCharWidth;var Bd=Xd("span","xxxxxxxxxx"),Wd=Xd("pre",[Bd],"CodeMirror-line-like");pf(Ad.measure,Wd);var zd=Bd.getBoundingClientRect(),Qd=(zd.right-zd.left)/10;return Qd>2&&(Ad.cachedCharWidth=Qd),Qd||10}function wb(Ad){for(var Bd=Ad.display,Wd={},zd={},Qd=Bd.gutters.clientLeft,Ef=Bd.gutters.firstChild,Of=0;Ef;Ef=Ef.nextSibling,++Of){var Uf=Ad.display.gutterSpecs[Of].className;Wd[Uf]=Ef.offsetLeft+Ef.clientLeft+Qd,zd[Uf]=Ef.clientWidth}return{fixedPos:J1(Bd),gutterTotalWidth:Bd.gutters.offsetWidth,gutterLeft:Wd,gutterWidth:zd,wrapperWidth:Bd.wrapper.clientWidth}}function J1(Ad){return Ad.scroller.getBoundingClientRect().left-Ad.sizer.getBoundingClientRect().left}function CE(Ad){var Bd=I_(Ad.display),Wd=Ad.options.lineWrapping,zd=Wd&&Math.max(5,Ad.display.scroller.clientWidth/dg(Ad.display)-3);return function(Qd){if(c0(Ad.doc,Qd))return 0;var Ef=0;if(Qd.widgets)for(var Of=0;Of<Qd.widgets.length;Of++)Qd.widgets[Of].height&&(Ef+=Qd.widgets[Of].height);return Wd?Ef+(Math.ceil(Qd.text.length/zd)||1)*Bd:Ef+Bd}}function kv(Ad){var Bd=Ad.doc,Wd=CE(Ad);Bd.iter(function(zd){var Qd=Wd(zd);Qd!=zd.height&&o1(zd,Qd)})}function Y_(Ad,Bd,Wd,zd){var Qd=Ad.display;if(!Wd&&Z1(Bd).getAttribute("cm-not-content")=="true")return null;var Ef,Of,Uf=Qd.lineSpace.getBoundingClientRect();try{Ef=Bd.clientX-Uf.left,Of=Bd.clientY-Uf.top}catch{return null}var Qf=H1(Ad,Ef,Of),uh;if(zd&&Qf.xRel>0&&(uh=Dm(Ad.doc,Qf.line).text).length==Qf.ch){var kh=$f(uh,uh.length,Ad.options.tabSize)-uh.length;Qf=am(Qf.line,Math.max(0,Math.round((Ef-Sb(Ad.display).left)/dg(Ad.display))-kh))}return Qf}function Qg(Ad,Bd){if(Bd>=Ad.display.viewTo||(Bd-=Ad.display.viewFrom,Bd<0))return null;for(var Wd=Ad.display.view,zd=0;zd<Wd.length;zd++)if(Bd-=Wd[zd].size,Bd<0)return zd}function J0(Ad,Bd,Wd,zd){Bd==null&&(Bd=Ad.doc.first),Wd==null&&(Wd=Ad.doc.first+Ad.doc.size),zd||(zd=0);var Qd=Ad.display;if(zd&&Wd<Qd.viewTo&&(Qd.updateLineNumbers==null||Qd.updateLineNumbers>Bd)&&(Qd.updateLineNumbers=Bd),Ad.curOp.viewChanged=!0,Bd>=Qd.viewTo)p0&&e0(Ad.doc,Bd)<Qd.viewTo&&k_(Ad);else if(Wd<=Qd.viewFrom)p0&&n_(Ad.doc,Wd+zd)>Qd.viewFrom?k_(Ad):(Qd.viewFrom+=zd,Qd.viewTo+=zd);else if(Bd<=Qd.viewFrom&&Wd>=Qd.viewTo)k_(Ad);else if(Bd<=Qd.viewFrom){var Ef=O_(Ad,Wd,Wd+zd,1);Ef?(Qd.view=Qd.view.slice(Ef.index),Qd.viewFrom=Ef.lineN,Qd.viewTo+=zd):k_(Ad)}else if(Wd>=Qd.viewTo){var Of=O_(Ad,Bd,Bd,-1);Of?(Qd.view=Qd.view.slice(0,Of.index),Qd.viewTo=Of.lineN):k_(Ad)}else{var Uf=O_(Ad,Bd,Bd,-1),Qf=O_(Ad,Wd,Wd+zd,1);Uf&&Qf?(Qd.view=Qd.view.slice(0,Uf.index).concat(Sg(Ad,Uf.lineN,Qf.lineN)).concat(Qd.view.slice(Qf.index)),Qd.viewTo+=zd):k_(Ad)}var uh=Qd.externalMeasured;uh&&(Wd<uh.lineN?uh.lineN+=zd:Bd<uh.lineN+uh.size&&(Qd.externalMeasured=null))}function xg(Ad,Bd,Wd){Ad.curOp.viewChanged=!0;var zd=Ad.display,Qd=Ad.display.externalMeasured;if(Qd&&Bd>=Qd.lineN&&Bd<Qd.lineN+Qd.size&&(zd.externalMeasured=null),!(Bd<zd.viewFrom||Bd>=zd.viewTo)){var Ef=zd.view[Qg(Ad,Bd)];if(Ef.node!=null){var Of=Ef.changes||(Ef.changes=[]);hh(Of,Wd)==-1&&Of.push(Wd)}}}function k_(Ad){Ad.display.viewFrom=Ad.display.viewTo=Ad.doc.first,Ad.display.view=[],Ad.display.viewOffset=0}function O_(Ad,Bd,Wd,zd){var Qd=Qg(Ad,Bd),Ef,Of=Ad.display.view;if(!p0||Wd==Ad.doc.first+Ad.doc.size)return{index:Qd,lineN:Wd};for(var Uf=Ad.display.viewFrom,Qf=0;Qf<Qd;Qf++)Uf+=Of[Qf].size;if(Uf!=Bd){if(zd>0){if(Qd==Of.length-1)return null;Ef=Uf+Of[Qd].size-Bd,Qd++}else Ef=Uf-Bd;Bd+=Ef,Wd+=Ef}for(;e0(Ad.doc,Wd)!=Wd;){if(Qd==(zd<0?0:Of.length-1))return null;Wd+=zd*Of[Qd-(zd<0?1:0)].size,Qd+=zd}return{index:Qd,lineN:Wd}}function Ov(Ad,Bd,Wd){var zd=Ad.display,Qd=zd.view;Qd.length==0||Bd>=zd.viewTo||Wd<=zd.viewFrom?(zd.view=Sg(Ad,Bd,Wd),zd.viewFrom=Bd):(zd.viewFrom>Bd?zd.view=Sg(Ad,Bd,zd.viewFrom).concat(zd.view):zd.viewFrom<Bd&&(zd.view=zd.view.slice(Qg(Ad,Bd))),zd.viewFrom=Bd,zd.viewTo<Wd?zd.view=zd.view.concat(Sg(Ad,zd.viewTo,Wd)):zd.viewTo>Wd&&(zd.view=zd.view.slice(0,Qg(Ad,Wd)))),zd.viewTo=Wd}function My(Ad){for(var Bd=Ad.display.view,Wd=0,zd=0;zd<Bd.length;zd++){var Qd=Bd[zd];!Qd.hidden&&(!Qd.node||Qd.changes)&&++Wd}return Wd}function Cg(Ad){Ad.display.input.showSelection(Ad.display.input.prepareSelection())}function Ly(Ad,Bd){Bd===void 0&&(Bd=!0);var Wd=Ad.doc,zd={},Qd=zd.cursors=document.createDocumentFragment(),Ef=zd.selection=document.createDocumentFragment(),Of=Ad.options.$customCursor;Of&&(Bd=!0);for(var Uf=0;Uf<Wd.sel.ranges.length;Uf++)if(!(!Bd&&Uf==Wd.sel.primIndex)){var Qf=Wd.sel.ranges[Uf];if(!(Qf.from().line>=Ad.display.viewTo||Qf.to().line<Ad.display.viewFrom)){var uh=Qf.empty();if(Of){var kh=Of(Ad,Qf);kh&&$v(Ad,kh,Qd)}else(uh||Ad.options.showCursorWhenSelecting)&&$v(Ad,Qf.head,Qd);uh||wE(Ad,Qf,Ef)}}return zd}function $v(Ad,Bd,Wd){var zd=dm(Ad,Bd,"div",null,null,!Ad.options.singleCursorHeightPerLine),Qd=Wd.appendChild(Xd("div"," ","CodeMirror-cursor"));if(Qd.style.left=zd.left+"px",Qd.style.top=zd.top+"px",Qd.style.height=Math.max(0,zd.bottom-zd.top)*Ad.options.cursorHeight+"px",/\bcm-fat-cursor\b/.test(Ad.getWrapperElement().className)){var Ef=Tm(Ad,Bd,"div",null,null),Of=Ef.right-Ef.left;Qd.style.width=(Of>0?Of:Ad.defaultCharWidth())+"px"}if(zd.other){var Uf=Wd.appendChild(Xd("div"," ","CodeMirror-cursor CodeMirror-secondarycursor"));Uf.style.display="",Uf.style.left=zd.other.left+"px",Uf.style.top=zd.other.top+"px",Uf.style.height=(zd.other.bottom-zd.other.top)*.85+"px"}}function Jg(Ad,Bd){return Ad.top-Bd.top||Ad.left-Bd.left}function wE(Ad,Bd,Wd){var zd=Ad.display,Qd=Ad.doc,Ef=document.createDocumentFragment(),Of=Sb(Ad.display),Uf=Of.left,Qf=Math.max(zd.sizerWidth,Kg(Ad)-zd.sizer.offsetLeft)-Of.right,uh=Qd.direction=="ltr";function kh(Ym,e1,c1,l1){e1<0&&(e1=0),e1=Math.round(e1),l1=Math.round(l1),Ef.appendChild(Xd("div",null,"CodeMirror-selected","position: absolute; left: "+Ym+`px;
|
||
top: `+e1+"px; width: "+(c1??Qf-Ym)+`px;
|
||
height: `+(l1-e1)+"px"))}function qh(Ym,e1,c1){var l1=Dm(Qd,Ym),D1=l1.text.length,n0,y0;function o0(C0,K0){return Tm(Ad,am(Ym,C0),"div",l1,K0)}function m0(C0,K0,G0){var I0=i0(Ad,l1,null,C0),w0=K0=="ltr"==(G0=="after")?"left":"right",_0=G0=="after"?I0.begin:I0.end-(/\s/.test(l1.text.charAt(I0.end-1))?2:1);return o0(_0,w0)[w0]}var x0=Xh(l1,Qd.direction);return Zf(x0,e1||0,c1??D1,function(C0,K0,G0,I0){var w0=G0=="ltr",_0=o0(C0,w0?"left":"right"),Z0=o0(K0-1,w0?"right":"left"),Kb=e1==null&&C0==0,Bg=c1==null&&K0==D1,V0=I0==0,tg=!x0||I0==x0.length-1;if(Z0.top-_0.top<=3){var P0=(uh?Kb:Bg)&&V0,fE=(uh?Bg:Kb)&&tg,rg=P0?Uf:(w0?_0:Z0).left,Pg=fE?Qf:(w0?Z0:_0).right;kh(rg,_0.top,Pg-rg,_0.bottom)}else{var Fg,X0,Xb,hE;w0?(Fg=uh&&Kb&&V0?Uf:_0.left,X0=uh?Qf:m0(C0,G0,"before"),Xb=uh?Uf:m0(K0,G0,"after"),hE=uh&&Bg&&tg?Qf:Z0.right):(Fg=uh?m0(C0,G0,"before"):Uf,X0=!uh&&Kb&&V0?Qf:_0.right,Xb=!uh&&Bg&&tg?Uf:Z0.left,hE=uh?m0(K0,G0,"after"):Qf),kh(Fg,_0.top,X0-Fg,_0.bottom),_0.bottom<Z0.top&&kh(Uf,_0.bottom,null,Z0.top),kh(Xb,Z0.top,hE-Xb,Z0.bottom)}(!n0||Jg(_0,n0)<0)&&(n0=_0),Jg(Z0,n0)<0&&(n0=Z0),(!y0||Jg(_0,y0)<0)&&(y0=_0),Jg(Z0,y0)<0&&(y0=Z0)}),{start:n0,end:y0}}var Qh=Bd.from(),Kh=Bd.to();if(Qh.line==Kh.line)qh(Qh.line,Qh.ch,Kh.ch);else{var hm=Dm(Qd,Qh.line),Nm=Dm(Qd,Kh.line),Hm=W1(hm)==W1(Nm),Km=qh(Qh.line,Qh.ch,Hm?hm.text.length+1:null).end,Qm=qh(Kh.line,Hm?0:null,Kh.ch).start;Hm&&(Km.top<Qm.top-2?(kh(Km.right,Km.top,null,Km.bottom),kh(Uf,Qm.top,Qm.left,Qm.bottom)):kh(Km.right,Km.top,Qm.left-Km.right,Km.bottom)),Km.bottom<Qm.top&&kh(Uf,Km.bottom,null,Qm.top)}Wd.appendChild(Ef)}function Zg(Ad){if(Ad.state.focused){var Bd=Ad.display;clearInterval(Bd.blinker);var Wd=!0;Bd.cursorDiv.style.visibility="",Ad.options.cursorBlinkRate>0?Bd.blinker=setInterval(function(){Ad.hasFocus()||Nb(Ad),Bd.cursorDiv.style.visibility=(Wd=!Wd)?"":"hidden"},Ad.options.cursorBlinkRate):Ad.options.cursorBlinkRate<0&&(Bd.cursorDiv.style.visibility="hidden")}}function wg(Ad){Ad.hasFocus()||(Ad.display.input.focus(),Ad.state.focused||By(Ad))}function Ab(Ad){Ad.state.delayingBlurEvent=!0,setTimeout(function(){Ad.state.delayingBlurEvent&&(Ad.state.delayingBlurEvent=!1,Ad.state.focused&&Nb(Ad))},100)}function By(Ad,Bd){Ad.state.delayingBlurEvent&&!Ad.state.draggingText&&(Ad.state.delayingBlurEvent=!1),Ad.options.readOnly!="nocursor"&&(Ad.state.focused||(Om(Ad,"focus",Ad,Bd),Ad.state.focused=!0,Wf(Ad.display.wrapper,"CodeMirror-focused"),!Ad.curOp&&Ad.display.selForContextMenu!=Ad.doc.sel&&(Ad.display.input.reset(),ud&&setTimeout(function(){return Ad.display.input.reset(!0)},20)),Ad.display.input.receivedFocus()),Zg(Ad))}function Nb(Ad,Bd){Ad.state.delayingBlurEvent||(Ad.state.focused&&(Om(Ad,"blur",Ad,Bd),Ad.state.focused=!1,Jd(Ad.display.wrapper,"CodeMirror-focused")),clearInterval(Ad.display.blinker),setTimeout(function(){Ad.state.focused||(Ad.display.shift=!1)},150))}function Mv(Ad){for(var Bd=Ad.display,Wd=Bd.lineDiv.offsetTop,zd=Math.max(0,Bd.scroller.getBoundingClientRect().top),Qd=Bd.lineDiv.getBoundingClientRect().top,Ef=0,Of=0;Of<Bd.view.length;Of++){var Uf=Bd.view[Of],Qf=Ad.options.lineWrapping,uh=void 0,kh=0;if(!Uf.hidden){if(Qd+=Uf.line.height,ld&&cd<8){var qh=Uf.node.offsetTop+Uf.node.offsetHeight;uh=qh-Wd,Wd=qh}else{var Qh=Uf.node.getBoundingClientRect();uh=Qh.bottom-Qh.top,!Qf&&Uf.text.firstChild&&(kh=Uf.text.firstChild.getBoundingClientRect().right-Qh.left-1)}var Kh=Uf.line.height-uh;if((Kh>.005||Kh<-.005)&&(Qd<zd&&(Ef-=Kh),o1(Uf.line,uh),rv(Uf.line),Uf.rest))for(var hm=0;hm<Uf.rest.length;hm++)rv(Uf.rest[hm]);if(kh>Ad.display.sizerWidth){var Nm=Math.ceil(kh/dg(Ad.display));Nm>Ad.display.maxLineLength&&(Ad.display.maxLineLength=Nm,Ad.display.maxLine=Uf.line,Ad.display.maxLineChanged=!0)}}}Math.abs(Ef)>2&&(Bd.scroller.scrollTop+=Ef)}function rv(Ad){if(Ad.widgets)for(var Bd=0;Bd<Ad.widgets.length;++Bd){var Wd=Ad.widgets[Bd],zd=Wd.node.parentNode;zd&&(Wd.height=zd.offsetHeight)}}function eb(Ad,Bd,Wd){var zd=Wd&&Wd.top!=null?Math.max(0,Wd.top):Ad.scroller.scrollTop;zd=Math.floor(zd-O0(Ad));var Qd=Wd&&Wd.bottom!=null?Wd.bottom:zd+Ad.wrapper.clientHeight,Ef=Oh(Bd,zd),Of=Oh(Bd,Qd);if(Wd&&Wd.ensure){var Uf=Wd.ensure.from.line,Qf=Wd.ensure.to.line;Uf<Ef?(Ef=Uf,Of=Oh(Bd,i_(Dm(Bd,Uf))+Ad.wrapper.clientHeight)):Math.min(Qf,Bd.lastLine())>=Of&&(Ef=Oh(Bd,i_(Dm(Bd,Qf))-Ad.wrapper.clientHeight),Of=Qf)}return{from:Ef,to:Math.max(Of,Ef+1)}}function Py(Ad,Bd){if(!Jm(Ad,"scrollCursorIntoView")){var Wd=Ad.display,zd=Wd.sizer.getBoundingClientRect(),Qd=null,Ef=Wd.wrapper.ownerDocument;if(Bd.top+zd.top<0?Qd=!0:Bd.bottom+zd.top>(Ef.defaultView.innerHeight||Ef.documentElement.clientHeight)&&(Qd=!1),Qd!=null&&!Rd){var Of=Xd("div","",null,`position: absolute;
|
||
top: `+(Bd.top-Wd.viewOffset-O0(Ad.display))+`px;
|
||
height: `+(Bd.bottom-Bd.top+W_(Ad)+Wd.barHeight)+`px;
|
||
left: `+Bd.left+"px; width: "+Math.max(2,Bd.right-Bd.left)+"px;");Ad.display.lineSpace.appendChild(Of),Of.scrollIntoView(Qd),Ad.display.lineSpace.removeChild(Of)}}}function x2(Ad,Bd,Wd,zd){zd==null&&(zd=0);var Qd;!Ad.options.lineWrapping&&Bd==Wd&&(Wd=Bd.sticky=="before"?am(Bd.line,Bd.ch+1,"before"):Bd,Bd=Bd.ch?am(Bd.line,Bd.sticky=="before"?Bd.ch-1:Bd.ch,"after"):Bd);for(var Ef=0;Ef<5;Ef++){var Of=!1,Uf=dm(Ad,Bd),Qf=!Wd||Wd==Bd?Uf:dm(Ad,Wd);Qd={left:Math.min(Uf.left,Qf.left),top:Math.min(Uf.top,Qf.top)-zd,right:Math.max(Uf.left,Qf.left),bottom:Math.max(Uf.bottom,Qf.bottom)+zd};var uh=Fy(Ad,Qd),kh=Ad.doc.scrollTop,qh=Ad.doc.scrollLeft;if(uh.scrollTop!=null&&(nv(Ad,uh.scrollTop),Math.abs(Ad.doc.scrollTop-kh)>1&&(Of=!0)),uh.scrollLeft!=null&&($_(Ad,uh.scrollLeft),Math.abs(Ad.doc.scrollLeft-qh)>1&&(Of=!0)),!Of)break}return Qd}function AE(Ad,Bd){var Wd=Fy(Ad,Bd);Wd.scrollTop!=null&&nv(Ad,Wd.scrollTop),Wd.scrollLeft!=null&&$_(Ad,Wd.scrollLeft)}function Fy(Ad,Bd){var Wd=Ad.display,zd=I_(Ad.display);Bd.top<0&&(Bd.top=0);var Qd=Ad.curOp&&Ad.curOp.scrollTop!=null?Ad.curOp.scrollTop:Wd.scroller.scrollTop,Ef=Iy(Ad),Of={};Bd.bottom-Bd.top>Ef&&(Bd.bottom=Bd.top+Ef);var Uf=Ad.doc.height+Yg(Wd),Qf=Bd.top<zd,uh=Bd.bottom>Uf-zd;if(Bd.top<Qd)Of.scrollTop=Qf?0:Bd.top;else if(Bd.bottom>Qd+Ef){var kh=Math.min(Bd.top,(uh?Uf:Bd.bottom)-Ef);kh!=Qd&&(Of.scrollTop=kh)}var qh=Ad.options.fixedGutter?0:Wd.gutters.offsetWidth,Qh=Ad.curOp&&Ad.curOp.scrollLeft!=null?Ad.curOp.scrollLeft:Wd.scroller.scrollLeft-qh,Kh=Kg(Ad)-Wd.gutters.offsetWidth,hm=Bd.right-Bd.left>Kh;return hm&&(Bd.right=Bd.left+Kh),Bd.left<10?Of.scrollLeft=0:Bd.left<Qh?Of.scrollLeft=Math.max(0,Bd.left+qh-(hm?0:10)):Bd.right>Kh+Qh-3&&(Of.scrollLeft=Bd.right+(hm?0:10)-Kh),Of}function jy(Ad,Bd){Bd!=null&&(Lv(Ad),Ad.curOp.scrollTop=(Ad.curOp.scrollTop==null?Ad.doc.scrollTop:Ad.curOp.scrollTop)+Bd)}function u0(Ad){Lv(Ad);var Bd=Ad.getCursor();Ad.curOp.scrollToPos={from:Bd,to:Bd,margin:Ad.options.cursorScrollMargin}}function R0(Ad,Bd,Wd){(Bd!=null||Wd!=null)&&Lv(Ad),Bd!=null&&(Ad.curOp.scrollLeft=Bd),Wd!=null&&(Ad.curOp.scrollTop=Wd)}function C2(Ad,Bd){Lv(Ad),Ad.curOp.scrollToPos=Bd}function Lv(Ad){var Bd=Ad.curOp.scrollToPos;if(Bd){Ad.curOp.scrollToPos=null;var Wd=i1(Ad,Bd.from),zd=i1(Ad,Bd.to);Uy(Ad,Wd,zd,Bd.margin)}}function Uy(Ad,Bd,Wd,zd){var Qd=Fy(Ad,{left:Math.min(Bd.left,Wd.left),top:Math.min(Bd.top,Wd.top)-zd,right:Math.max(Bd.right,Wd.right),bottom:Math.max(Bd.bottom,Wd.bottom)+zd});R0(Ad,Qd.scrollLeft,Qd.scrollTop)}function nv(Ad,Bd){Math.abs(Ad.doc.scrollTop-Bd)<2||(td||M_(Ad,{top:Bd}),Bv(Ad,Bd,!0),td&&M_(Ad),sv(Ad,100))}function Bv(Ad,Bd,Wd){Bd=Math.max(0,Math.min(Ad.display.scroller.scrollHeight-Ad.display.scroller.clientHeight,Bd)),!(Ad.display.scroller.scrollTop==Bd&&!Wd)&&(Ad.doc.scrollTop=Bd,Ad.display.scrollbars.setScrollTop(Bd),Ad.display.scroller.scrollTop!=Bd&&(Ad.display.scroller.scrollTop=Bd))}function $_(Ad,Bd,Wd,zd){Bd=Math.max(0,Math.min(Bd,Ad.display.scroller.scrollWidth-Ad.display.scroller.clientWidth)),!((Wd?Bd==Ad.doc.scrollLeft:Math.abs(Ad.doc.scrollLeft-Bd)<2)&&!zd)&&(Ad.doc.scrollLeft=Bd,IE(Ad),Ad.display.scroller.scrollLeft!=Bd&&(Ad.display.scroller.scrollLeft=Bd),Ad.display.scrollbars.setScrollLeft(Bd))}function tb(Ad){var Bd=Ad.display,Wd=Bd.gutters.offsetWidth,zd=Math.round(Ad.doc.height+Yg(Ad.display));return{clientHeight:Bd.scroller.clientHeight,viewHeight:Bd.wrapper.clientHeight,scrollWidth:Bd.scroller.scrollWidth,clientWidth:Bd.scroller.clientWidth,viewWidth:Bd.wrapper.clientWidth,barLeft:Ad.options.fixedGutter?Wd:0,docHeight:zd,scrollHeight:zd+W_(Ad)+Bd.barHeight,nativeBarWidth:Bd.nativeBarWidth,gutterWidth:Wd}}var E_=function(Ad,Bd,Wd){this.cm=Wd;var zd=this.vert=Xd("div",[Xd("div",null,null,"min-width: 1px")],"CodeMirror-vscrollbar"),Qd=this.horiz=Xd("div",[Xd("div",null,null,"height: 100%; min-height: 1px")],"CodeMirror-hscrollbar");zd.tabIndex=Qd.tabIndex=-1,Ad(zd),Ad(Qd),om(zd,"scroll",function(){zd.clientHeight&&Bd(zd.scrollTop,"vertical")}),om(Qd,"scroll",function(){Qd.clientWidth&&Bd(Qd.scrollLeft,"horizontal")}),this.checkedZeroWidth=!1,ld&&cd<8&&(this.horiz.style.minHeight=this.vert.style.minWidth="18px")};E_.prototype.update=function(Ad){var Bd=Ad.scrollWidth>Ad.clientWidth+1,Wd=Ad.scrollHeight>Ad.clientHeight+1,zd=Ad.nativeBarWidth;if(Wd){this.vert.style.display="block",this.vert.style.bottom=Bd?zd+"px":"0";var Qd=Ad.viewHeight-(Bd?zd:0);this.vert.firstChild.style.height=Math.max(0,Ad.scrollHeight-Ad.clientHeight+Qd)+"px"}else this.vert.scrollTop=0,this.vert.style.display="",this.vert.firstChild.style.height="0";if(Bd){this.horiz.style.display="block",this.horiz.style.right=Wd?zd+"px":"0",this.horiz.style.left=Ad.barLeft+"px";var Ef=Ad.viewWidth-Ad.barLeft-(Wd?zd:0);this.horiz.firstChild.style.width=Math.max(0,Ad.scrollWidth-Ad.clientWidth+Ef)+"px"}else this.horiz.style.display="",this.horiz.firstChild.style.width="0";return!this.checkedZeroWidth&&Ad.clientHeight>0&&(zd==0&&this.zeroWidthHack(),this.checkedZeroWidth=!0),{right:Wd?zd:0,bottom:Bd?zd:0}},E_.prototype.setScrollLeft=function(Ad){this.horiz.scrollLeft!=Ad&&(this.horiz.scrollLeft=Ad),this.disableHoriz&&this.enableZeroWidthBar(this.horiz,this.disableHoriz,"horiz")},E_.prototype.setScrollTop=function(Ad){this.vert.scrollTop!=Ad&&(this.vert.scrollTop=Ad),this.disableVert&&this.enableZeroWidthBar(this.vert,this.disableVert,"vert")},E_.prototype.zeroWidthHack=function(){var Ad=Ld&&!kd?"12px":"18px";this.horiz.style.height=this.vert.style.width=Ad,this.horiz.style.visibility=this.vert.style.visibility="hidden",this.disableHoriz=new zf,this.disableVert=new zf},E_.prototype.enableZeroWidthBar=function(Ad,Bd,Wd){Ad.style.visibility="";function zd(){var Qd=Ad.getBoundingClientRect(),Ef=Wd=="vert"?document.elementFromPoint(Qd.right-1,(Qd.top+Qd.bottom)/2):document.elementFromPoint((Qd.right+Qd.left)/2,Qd.bottom-1);Ef!=Ad?Ad.style.visibility="hidden":Bd.set(1e3,zd)}Bd.set(1e3,zd)},E_.prototype.clear=function(){var Ad=this.horiz.parentNode;Ad.removeChild(this.horiz),Ad.removeChild(this.vert)};var pg=function(){};pg.prototype.update=function(){return{bottom:0,right:0}},pg.prototype.setScrollLeft=function(){},pg.prototype.setScrollTop=function(){},pg.prototype.clear=function(){};function K_(Ad,Bd){Bd||(Bd=tb(Ad));var Wd=Ad.display.barWidth,zd=Ad.display.barHeight;iv(Ad,Bd);for(var Qd=0;Qd<4&&Wd!=Ad.display.barWidth||zd!=Ad.display.barHeight;Qd++)Wd!=Ad.display.barWidth&&Ad.options.lineWrapping&&Mv(Ad),iv(Ad,tb(Ad)),Wd=Ad.display.barWidth,zd=Ad.display.barHeight}function iv(Ad,Bd){var Wd=Ad.display,zd=Wd.scrollbars.update(Bd);Wd.sizer.style.paddingRight=(Wd.barWidth=zd.right)+"px",Wd.sizer.style.paddingBottom=(Wd.barHeight=zd.bottom)+"px",Wd.heightForcer.style.borderBottom=zd.bottom+"px solid transparent",zd.right&&zd.bottom?(Wd.scrollbarFiller.style.display="block",Wd.scrollbarFiller.style.height=zd.bottom+"px",Wd.scrollbarFiller.style.width=zd.right+"px"):Wd.scrollbarFiller.style.display="",zd.bottom&&Ad.options.coverGutterNextToScrollbar&&Ad.options.fixedGutter?(Wd.gutterFiller.style.display="block",Wd.gutterFiller.style.height=zd.bottom+"px",Wd.gutterFiller.style.width=Bd.gutterWidth+"px"):Wd.gutterFiller.style.display=""}var rb={native:E_,null:pg};function NE(Ad){Ad.display.scrollbars&&(Ad.display.scrollbars.clear(),Ad.display.scrollbars.addClass&&Jd(Ad.display.wrapper,Ad.display.scrollbars.addClass)),Ad.display.scrollbars=new rb[Ad.options.scrollbarStyle](function(Bd){Ad.display.wrapper.insertBefore(Bd,Ad.display.scrollbarFiller),om(Bd,"mousedown",function(){Ad.state.focused&&setTimeout(function(){return Ad.display.input.focus()},0)}),Bd.setAttribute("cm-not-content","true")},function(Bd,Wd){Wd=="horizontal"?$_(Ad,Bd):nv(Ad,Bd)},Ad),Ad.display.scrollbars.addClass&&Wf(Ad.display.wrapper,Ad.display.scrollbars.addClass)}var w2=0;function nb(Ad){Ad.curOp={cm:Ad,viewChanged:!1,startHeight:Ad.doc.height,forceUpdate:!1,updateInput:0,typing:!1,changeObjs:null,cursorActivityHandlers:null,cursorActivityCalled:0,selectionChanged:!1,updateMaxLine:!1,scrollLeft:null,scrollTop:null,scrollToPos:null,focus:!1,id:++w2,markArrays:null},Nv(Ad.curOp)}function ib(Ad){var Bd=Ad.curOp;Bd&&jd(Bd,function(Wd){for(var zd=0;zd<Wd.ops.length;zd++)Wd.ops[zd].cm.curOp=null;A2(Wd)})}function A2(Ad){for(var Bd=Ad.ops,Wd=0;Wd<Bd.length;Wd++)Pv(Bd[Wd]);for(var zd=0;zd<Bd.length;zd++)av(Bd[zd]);for(var Qd=0;Qd<Bd.length;Qd++)DE(Bd[Qd]);for(var Ef=0;Ef<Bd.length;Ef++)wh(Bd[Ef]);for(var Of=0;Of<Bd.length;Of++)Db(Bd[Of])}function Pv(Ad){var Bd=Ad.cm,Wd=Bd.display;D2(Bd),Ad.updateMaxLine&&Cf(Bd),Ad.mustUpdate=Ad.viewChanged||Ad.forceUpdate||Ad.scrollTop!=null||Ad.scrollToPos&&(Ad.scrollToPos.from.line<Wd.viewFrom||Ad.scrollToPos.to.line>=Wd.viewTo)||Wd.maxLineChanged&&Bd.options.lineWrapping,Ad.update=Ad.mustUpdate&&new X_(Bd,Ad.mustUpdate&&{top:Ad.scrollTop,ensure:Ad.scrollToPos},Ad.forceUpdate)}function av(Ad){Ad.updatedDisplay=Ad.mustUpdate&&qy(Ad.cm,Ad.update)}function DE(Ad){var Bd=Ad.cm,Wd=Bd.display;Ad.updatedDisplay&&Mv(Bd),Ad.barMeasure=tb(Bd),Wd.maxLineChanged&&!Bd.options.lineWrapping&&(Ad.adjustWidthTo=Dv(Bd,Wd.maxLine,Wd.maxLine.text.length).left+3,Bd.display.sizerWidth=Ad.adjustWidthTo,Ad.barMeasure.scrollWidth=Math.max(Wd.scroller.clientWidth,Wd.sizer.offsetLeft+Ad.adjustWidthTo+W_(Bd)+Bd.display.barWidth),Ad.maxScrollLeft=Math.max(0,Wd.sizer.offsetLeft+Ad.adjustWidthTo-Kg(Bd))),(Ad.updatedDisplay||Ad.selectionChanged)&&(Ad.preparedSelection=Wd.input.prepareSelection())}function wh(Ad){var Bd=Ad.cm;Ad.adjustWidthTo!=null&&(Bd.display.sizer.style.minWidth=Ad.adjustWidthTo+"px",Ad.maxScrollLeft<Bd.doc.scrollLeft&&$_(Bd,Math.min(Bd.display.scroller.scrollLeft,Ad.maxScrollLeft),!0),Bd.display.maxLineChanged=!1);var Wd=Ad.focus&&Ad.focus==Lf(wf(Bd));Ad.preparedSelection&&Bd.display.input.showSelection(Ad.preparedSelection,Wd),(Ad.updatedDisplay||Ad.startHeight!=Bd.doc.height)&&K_(Bd,Ad.barMeasure),Ad.updatedDisplay&&Gy(Bd,Ad.barMeasure),Ad.selectionChanged&&Zg(Bd),Bd.state.focused&&Ad.updateInput&&Bd.display.input.reset(Ad.typing),Wd&&wg(Ad.cm)}function Db(Ad){var Bd=Ad.cm,Wd=Bd.display,zd=Bd.doc;if(Ad.updatedDisplay&&RE(Bd,Ad.update),Wd.wheelStartX!=null&&(Ad.scrollTop!=null||Ad.scrollLeft!=null||Ad.scrollToPos)&&(Wd.wheelStartX=Wd.wheelStartY=null),Ad.scrollTop!=null&&Bv(Bd,Ad.scrollTop,Ad.forceScroll),Ad.scrollLeft!=null&&$_(Bd,Ad.scrollLeft,!0,!0),Ad.scrollToPos){var Qd=x2(Bd,h1(zd,Ad.scrollToPos.from),h1(zd,Ad.scrollToPos.to),Ad.scrollToPos.margin);Py(Bd,Qd)}var Ef=Ad.maybeHiddenMarkers,Of=Ad.maybeUnhiddenMarkers;if(Ef)for(var Uf=0;Uf<Ef.length;++Uf)Ef[Uf].lines.length||Om(Ef[Uf],"hide");if(Of)for(var Qf=0;Qf<Of.length;++Qf)Of[Qf].lines.length&&Om(Of[Qf],"unhide");Wd.wrapper.offsetHeight&&(zd.scrollTop=Bd.display.scroller.scrollTop),Ad.changeObjs&&Om(Bd,"changes",Bd,Ad.changeObjs),Ad.update&&Ad.update.finish()}function z0(Ad,Bd){if(Ad.curOp)return Bd();nb(Ad);try{return Bd()}finally{ib(Ad)}}function t0(Ad,Bd){return function(){if(Ad.curOp)return Bd.apply(Ad,arguments);nb(Ad);try{return Bd.apply(Ad,arguments)}finally{ib(Ad)}}}function b1(Ad){return function(){if(this.curOp)return Ad.apply(this,arguments);nb(this);try{return Ad.apply(this,arguments)}finally{ib(this)}}}function $0(Ad){return function(){var Bd=this.cm;if(!Bd||Bd.curOp)return Ad.apply(this,arguments);nb(Bd);try{return Ad.apply(this,arguments)}finally{ib(Bd)}}}function sv(Ad,Bd){Ad.doc.highlightFrontier<Ad.display.viewTo&&Ad.state.highlight.set(Bd,gf(N2,Ad))}function N2(Ad){var Bd=Ad.doc;if(!(Bd.highlightFrontier>=Ad.display.viewTo)){var Wd=+new Date+Ad.options.workTime,zd=T0(Ad,Bd.highlightFrontier),Qd=[];Bd.iter(zd.line,Math.min(Bd.first+Bd.size,Ad.display.viewTo+500),function(Ef){if(zd.line>=Ad.display.viewFrom){var Of=Ef.styles,Uf=Ef.text.length>Ad.options.maxHighlightLength?$m(Bd.mode,zd.state):null,Qf=e_(Ad,Ef,zd,!0);Uf&&(zd.state=Uf),Ef.styles=Qf.styles;var uh=Ef.styleClasses,kh=Qf.classes;kh?Ef.styleClasses=kh:uh&&(Ef.styleClasses=null);for(var qh=!Of||Of.length!=Ef.styles.length||uh!=kh&&(!uh||!kh||uh.bgClass!=kh.bgClass||uh.textClass!=kh.textClass),Qh=0;!qh&&Qh<Of.length;++Qh)qh=Of[Qh]!=Ef.styles[Qh];qh&&Qd.push(zd.line),Ef.stateAfter=zd.save(),zd.nextLine()}else Ef.text.length<=Ad.options.maxHighlightLength&&t_(Ad,Ef.text,zd),Ef.stateAfter=zd.line%5==0?zd.save():null,zd.nextLine();if(+new Date>Wd)return sv(Ad,Ad.options.workDelay),!0}),Bd.highlightFrontier=zd.line,Bd.modeFrontier=Math.max(Bd.modeFrontier,zd.line),Qd.length&&z0(Ad,function(){for(var Ef=0;Ef<Qd.length;Ef++)xg(Ad,Qd[Ef],"text")})}}var X_=function(Ad,Bd,Wd){var zd=Ad.display;this.viewport=Bd,this.visible=eb(zd,Ad.doc,Bd),this.editorIsHidden=!zd.wrapper.offsetWidth,this.wrapperHeight=zd.wrapper.clientHeight,this.wrapperWidth=zd.wrapper.clientWidth,this.oldDisplayWidth=Kg(Ad),this.force=Wd,this.dims=wb(Ad),this.events=[]};X_.prototype.signal=function(Ad,Bd){R1(Ad,Bd)&&this.events.push(arguments)},X_.prototype.finish=function(){for(var Ad=0;Ad<this.events.length;Ad++)Om.apply(null,this.events[Ad])};function D2(Ad){var Bd=Ad.display;!Bd.scrollbarsClipped&&Bd.scroller.offsetWidth&&(Bd.nativeBarWidth=Bd.scroller.offsetWidth-Bd.scroller.clientWidth,Bd.heightForcer.style.height=W_(Ad)+"px",Bd.sizer.style.marginBottom=-Bd.nativeBarWidth+"px",Bd.sizer.style.borderRightWidth=W_(Ad)+"px",Bd.scrollbarsClipped=!0)}function R2(Ad){if(Ad.hasFocus())return null;var Bd=Lf(wf(Ad));if(!Bd||!xf(Ad.display.lineDiv,Bd))return null;var Wd={activeElt:Bd};if(window.getSelection){var zd=Gf(Ad).getSelection();zd.anchorNode&&zd.extend&&xf(Ad.display.lineDiv,zd.anchorNode)&&(Wd.anchorNode=zd.anchorNode,Wd.anchorOffset=zd.anchorOffset,Wd.focusNode=zd.focusNode,Wd.focusOffset=zd.focusOffset)}return Wd}function I2(Ad){if(!(!Ad||!Ad.activeElt||Ad.activeElt==Lf(Kf(Ad.activeElt)))&&(Ad.activeElt.focus(),!/^(INPUT|TEXTAREA)$/.test(Ad.activeElt.nodeName)&&Ad.anchorNode&&xf(document.body,Ad.anchorNode)&&xf(document.body,Ad.focusNode))){var Bd=Ad.activeElt.ownerDocument,Wd=Bd.defaultView.getSelection(),zd=Bd.createRange();zd.setEnd(Ad.anchorNode,Ad.anchorOffset),zd.collapse(!1),Wd.removeAllRanges(),Wd.addRange(zd),Wd.extend(Ad.focusNode,Ad.focusOffset)}}function qy(Ad,Bd){var Wd=Ad.display,zd=Ad.doc;if(Bd.editorIsHidden)return k_(Ad),!1;if(!Bd.force&&Bd.visible.from>=Wd.viewFrom&&Bd.visible.to<=Wd.viewTo&&(Wd.updateLineNumbers==null||Wd.updateLineNumbers>=Wd.viewTo)&&Wd.renderedView==Wd.view&&My(Ad)==0)return!1;kE(Ad)&&(k_(Ad),Bd.dims=wb(Ad));var Qd=zd.first+zd.size,Ef=Math.max(Bd.visible.from-Ad.options.viewportMargin,zd.first),Of=Math.min(Qd,Bd.visible.to+Ad.options.viewportMargin);Wd.viewFrom<Ef&&Ef-Wd.viewFrom<20&&(Ef=Math.max(zd.first,Wd.viewFrom)),Wd.viewTo>Of&&Wd.viewTo-Of<20&&(Of=Math.min(Qd,Wd.viewTo)),p0&&(Ef=e0(Ad.doc,Ef),Of=n_(Ad.doc,Of));var Uf=Ef!=Wd.viewFrom||Of!=Wd.viewTo||Wd.lastWrapHeight!=Bd.wrapperHeight||Wd.lastWrapWidth!=Bd.wrapperWidth;Ov(Ad,Ef,Of),Wd.viewOffset=i_(Dm(Ad.doc,Wd.viewFrom)),Ad.display.mover.style.top=Wd.viewOffset+"px";var Qf=My(Ad);if(!Uf&&Qf==0&&!Bd.force&&Wd.renderedView==Wd.view&&(Wd.updateLineNumbers==null||Wd.updateLineNumbers>=Wd.viewTo))return!1;var uh=R2(Ad);return Qf>4&&(Wd.lineDiv.style.display="none"),k2(Ad,Wd.updateLineNumbers,Bd.dims),Qf>4&&(Wd.lineDiv.style.display=""),Wd.renderedView=Wd.view,I2(uh),Zd(Wd.cursorDiv),Zd(Wd.selectionDiv),Wd.gutters.style.height=Wd.sizer.style.minHeight=0,Uf&&(Wd.lastWrapHeight=Bd.wrapperHeight,Wd.lastWrapWidth=Bd.wrapperWidth,sv(Ad,400)),Wd.updateLineNumbers=null,!0}function RE(Ad,Bd){for(var Wd=Bd.viewport,zd=!0;;zd=!1){if(!zd||!Ad.options.lineWrapping||Bd.oldDisplayWidth==Kg(Ad)){if(Wd&&Wd.top!=null&&(Wd={top:Math.min(Ad.doc.height+Yg(Ad.display)-Iy(Ad),Wd.top)}),Bd.visible=eb(Ad.display,Ad.doc,Wd),Bd.visible.from>=Ad.display.viewFrom&&Bd.visible.to<=Ad.display.viewTo)break}else zd&&(Bd.visible=eb(Ad.display,Ad.doc,Wd));if(!qy(Ad,Bd))break;Mv(Ad);var Qd=tb(Ad);Cg(Ad),K_(Ad,Qd),Gy(Ad,Qd),Bd.force=!1}Bd.signal(Ad,"update",Ad),(Ad.display.viewFrom!=Ad.display.reportedViewFrom||Ad.display.viewTo!=Ad.display.reportedViewTo)&&(Bd.signal(Ad,"viewportChange",Ad,Ad.display.viewFrom,Ad.display.viewTo),Ad.display.reportedViewFrom=Ad.display.viewFrom,Ad.display.reportedViewTo=Ad.display.viewTo)}function M_(Ad,Bd){var Wd=new X_(Ad,Bd);if(qy(Ad,Wd)){Mv(Ad),RE(Ad,Wd);var zd=tb(Ad);Cg(Ad),K_(Ad,zd),Gy(Ad,zd),Wd.finish()}}function k2(Ad,Bd,Wd){var zd=Ad.display,Qd=Ad.options.lineNumbers,Ef=zd.lineDiv,Of=Ef.firstChild;function Uf(hm){var Nm=hm.nextSibling;return ud&&Ld&&Ad.display.currentWheelTarget==hm?hm.style.display="none":hm.parentNode.removeChild(hm),Nm}for(var Qf=zd.view,uh=zd.viewFrom,kh=0;kh<Qf.length;kh++){var qh=Qf[kh];if(!qh.hidden)if(!qh.node||qh.node.parentNode!=Ef){var Qh=Zm(Ad,qh,uh,Wd);Ef.insertBefore(Qh,Of)}else{for(;Of!=qh.node;)Of=Uf(Of);var Kh=Qd&&Bd!=null&&Bd<=uh&&qh.lineNumber;qh.changes&&(hh(qh.changes,"gutter")>-1&&(Kh=!1),Nf(Ad,qh,uh,Wd)),Kh&&(Zd(qh.lineNumber),qh.lineNumber.appendChild(document.createTextNode(Lm(Ad.options,uh)))),Of=qh.node.nextSibling}uh+=qh.size}for(;Of;)Of=Uf(Of)}function Hy(Ad){var Bd=Ad.gutters.offsetWidth;Ad.sizer.style.marginLeft=Bd+"px",Gd(Ad,"gutterChanged",Ad)}function Gy(Ad,Bd){Ad.display.sizer.style.minHeight=Bd.docHeight+"px",Ad.display.heightForcer.style.top=Bd.docHeight+"px",Ad.display.gutters.style.height=Bd.docHeight+Ad.display.barHeight+W_(Ad)+"px"}function IE(Ad){var Bd=Ad.display,Wd=Bd.view;if(!(!Bd.alignWidgets&&(!Bd.gutters.firstChild||!Ad.options.fixedGutter))){for(var zd=J1(Bd)-Bd.scroller.scrollLeft+Ad.doc.scrollLeft,Qd=Bd.gutters.offsetWidth,Ef=zd+"px",Of=0;Of<Wd.length;Of++)if(!Wd[Of].hidden){Ad.options.fixedGutter&&(Wd[Of].gutter&&(Wd[Of].gutter.style.left=Ef),Wd[Of].gutterBackground&&(Wd[Of].gutterBackground.style.left=Ef));var Uf=Wd[Of].alignable;if(Uf)for(var Qf=0;Qf<Uf.length;Qf++)Uf[Qf].style.left=Ef}Ad.options.fixedGutter&&(Bd.gutters.style.left=zd+Qd+"px")}}function kE(Ad){if(!Ad.options.lineNumbers)return!1;var Bd=Ad.doc,Wd=Lm(Ad.options,Bd.first+Bd.size-1),zd=Ad.display;if(Wd.length!=zd.lineNumChars){var Qd=zd.measure.appendChild(Xd("div",[Xd("div",Wd)],"CodeMirror-linenumber CodeMirror-gutter-elt")),Ef=Qd.firstChild.offsetWidth,Of=Qd.offsetWidth-Ef;return zd.lineGutter.style.width="",zd.lineNumInnerWidth=Math.max(Ef,zd.lineGutter.offsetWidth-Of)+1,zd.lineNumWidth=zd.lineNumInnerWidth+Of,zd.lineNumChars=zd.lineNumInnerWidth?Wd.length:-1,zd.lineGutter.style.width=zd.lineNumWidth+"px",Hy(Ad.display),!0}return!1}function L_(Ad,Bd){for(var Wd=[],zd=!1,Qd=0;Qd<Ad.length;Qd++){var Ef=Ad[Qd],Of=null;if(typeof Ef!="string"&&(Of=Ef.style,Ef=Ef.className),Ef=="CodeMirror-linenumbers")if(Bd)zd=!0;else continue;Wd.push({className:Ef,style:Of})}return Bd&&!zd&&Wd.push({className:"CodeMirror-linenumbers",style:null}),Wd}function OE(Ad){var Bd=Ad.gutters,Wd=Ad.gutterSpecs;Zd(Bd),Ad.lineGutter=null;for(var zd=0;zd<Wd.length;++zd){var Qd=Wd[zd],Ef=Qd.className,Of=Qd.style,Uf=Bd.appendChild(Xd("div",null,"CodeMirror-gutter "+Ef));Of&&(Uf.style.cssText=Of),Ef=="CodeMirror-linenumbers"&&(Ad.lineGutter=Uf,Uf.style.width=(Ad.lineNumWidth||1)+"px")}Bd.style.display=Wd.length?"":"none",Hy(Ad)}function ov(Ad){OE(Ad.display),J0(Ad),IE(Ad)}function O2(Ad,Bd,Wd,zd){var Qd=this;this.input=Wd,Qd.scrollbarFiller=Xd("div",null,"CodeMirror-scrollbar-filler"),Qd.scrollbarFiller.setAttribute("cm-not-content","true"),Qd.gutterFiller=Xd("div",null,"CodeMirror-gutter-filler"),Qd.gutterFiller.setAttribute("cm-not-content","true"),Qd.lineDiv=hf("div",null,"CodeMirror-code"),Qd.selectionDiv=Xd("div",null,null,"position: relative; z-index: 1"),Qd.cursorDiv=Xd("div",null,"CodeMirror-cursors"),Qd.measure=Xd("div",null,"CodeMirror-measure"),Qd.lineMeasure=Xd("div",null,"CodeMirror-measure"),Qd.lineSpace=hf("div",[Qd.measure,Qd.lineMeasure,Qd.selectionDiv,Qd.cursorDiv,Qd.lineDiv],null,"position: relative; outline: none");var Ef=hf("div",[Qd.lineSpace],"CodeMirror-lines");Qd.mover=Xd("div",[Ef],null,"position: relative"),Qd.sizer=Xd("div",[Qd.mover],"CodeMirror-sizer"),Qd.sizerWidth=null,Qd.heightForcer=Xd("div",null,null,"position: absolute; height: "+Vf+"px; width: 1px;"),Qd.gutters=Xd("div",null,"CodeMirror-gutters"),Qd.lineGutter=null,Qd.scroller=Xd("div",[Qd.sizer,Qd.heightForcer,Qd.gutters],"CodeMirror-scroll"),Qd.scroller.setAttribute("tabIndex","-1"),Qd.wrapper=Xd("div",[Qd.scrollbarFiller,Qd.gutterFiller,Qd.scroller],"CodeMirror"),yd&&gd>=105&&(Qd.wrapper.style.clipPath="inset(0px)"),Qd.wrapper.setAttribute("translate","no"),ld&&cd<8&&(Qd.gutters.style.zIndex=-1,Qd.scroller.style.paddingRight=0),!ud&&!(td&&Md)&&(Qd.scroller.draggable=!0),Ad&&(Ad.appendChild?Ad.appendChild(Qd.wrapper):Ad(Qd.wrapper)),Qd.viewFrom=Qd.viewTo=Bd.first,Qd.reportedViewFrom=Qd.reportedViewTo=Bd.first,Qd.view=[],Qd.renderedView=null,Qd.externalMeasured=null,Qd.viewOffset=0,Qd.lastWrapHeight=Qd.lastWrapWidth=0,Qd.updateLineNumbers=null,Qd.nativeBarWidth=Qd.barHeight=Qd.barWidth=0,Qd.scrollbarsClipped=!1,Qd.lineNumWidth=Qd.lineNumInnerWidth=Qd.lineNumChars=null,Qd.alignWidgets=!1,Qd.cachedCharWidth=Qd.cachedTextHeight=Qd.cachedPaddingH=null,Qd.maxLine=null,Qd.maxLineLength=0,Qd.maxLineChanged=!1,Qd.wheelDX=Qd.wheelDY=Qd.wheelStartX=Qd.wheelStartY=null,Qd.shift=!1,Qd.selForContextMenu=null,Qd.activeTouch=null,Qd.gutterSpecs=L_(zd.gutters,zd.lineNumbers),OE(Qd),Wd.init(Qd)}var Fv=0,fg=null;ld?fg=-.53:td?fg=15:yd?fg=-.7:Td&&(fg=-1/3);function Ag(Ad){var Bd=Ad.wheelDeltaX,Wd=Ad.wheelDeltaY;return Bd==null&&Ad.detail&&Ad.axis==Ad.HORIZONTAL_AXIS&&(Bd=Ad.detail),Wd==null&&Ad.detail&&Ad.axis==Ad.VERTICAL_AXIS?Wd=Ad.detail:Wd==null&&(Wd=Ad.wheelDelta),{x:Bd,y:Wd}}function $2(Ad){var Bd=Ag(Ad);return Bd.x*=fg,Bd.y*=fg,Bd}function $E(Ad,Bd){yd&&gd==102&&(Ad.display.chromeScrollHack==null?Ad.display.sizer.style.pointerEvents="none":clearTimeout(Ad.display.chromeScrollHack),Ad.display.chromeScrollHack=setTimeout(function(){Ad.display.chromeScrollHack=null,Ad.display.sizer.style.pointerEvents=""},100));var Wd=Ag(Bd),zd=Wd.x,Qd=Wd.y,Ef=fg;Bd.deltaMode===0&&(zd=Bd.deltaX,Qd=Bd.deltaY,Ef=1);var Of=Ad.display,Uf=Of.scroller,Qf=Uf.scrollWidth>Uf.clientWidth,uh=Uf.scrollHeight>Uf.clientHeight;if(zd&&Qf||Qd&&uh){if(Qd&&Ld&&ud){e:for(var kh=Bd.target,qh=Of.view;kh!=Uf;kh=kh.parentNode)for(var Qh=0;Qh<qh.length;Qh++)if(qh[Qh].node==kh){Ad.display.currentWheelTarget=kh;break e}}if(zd&&!td&&!Ed&&Ef!=null){Qd&&uh&&nv(Ad,Math.max(0,Uf.scrollTop+Qd*Ef)),$_(Ad,Math.max(0,Uf.scrollLeft+zd*Ef)),(!Qd||Qd&&uh)&&Xm(Bd),Of.wheelStartX=null;return}if(Qd&&Ef!=null){var Kh=Qd*Ef,hm=Ad.doc.scrollTop,Nm=hm+Of.wrapper.clientHeight;Kh<0?hm=Math.max(0,hm+Kh-50):Nm=Math.min(Ad.doc.height,Nm+Kh+50),M_(Ad,{top:hm,bottom:Nm})}Fv<20&&Bd.deltaMode!==0&&(Of.wheelStartX==null?(Of.wheelStartX=Uf.scrollLeft,Of.wheelStartY=Uf.scrollTop,Of.wheelDX=zd,Of.wheelDY=Qd,setTimeout(function(){if(Of.wheelStartX!=null){var Hm=Uf.scrollLeft-Of.wheelStartX,Km=Uf.scrollTop-Of.wheelStartY,Qm=Km&&Of.wheelDY&&Km/Of.wheelDY||Hm&&Of.wheelDX&&Hm/Of.wheelDX;Of.wheelStartX=Of.wheelStartY=null,Qm&&(fg=(fg*Fv+Qm)/(Fv+1),++Fv)}},200)):(Of.wheelDX+=zd,Of.wheelDY+=Qd))}}var h0=function(Ad,Bd){this.ranges=Ad,this.primIndex=Bd};h0.prototype.primary=function(){return this.ranges[this.primIndex]},h0.prototype.equals=function(Ad){if(Ad==this)return!0;if(Ad.primIndex!=this.primIndex||Ad.ranges.length!=this.ranges.length)return!1;for(var Bd=0;Bd<this.ranges.length;Bd++){var Wd=this.ranges[Bd],zd=Ad.ranges[Bd];if(!E1(Wd.anchor,zd.anchor)||!E1(Wd.head,zd.head))return!1}return!0},h0.prototype.deepCopy=function(){for(var Ad=[],Bd=0;Bd<this.ranges.length;Bd++)Ad[Bd]=new K1(r0(this.ranges[Bd].anchor),r0(this.ranges[Bd].head));return new h0(Ad,this.primIndex)},h0.prototype.somethingSelected=function(){for(var Ad=0;Ad<this.ranges.length;Ad++)if(!this.ranges[Ad].empty())return!0;return!1},h0.prototype.contains=function(Ad,Bd){Bd||(Bd=Ad);for(var Wd=0;Wd<this.ranges.length;Wd++){var zd=this.ranges[Wd];if(Vm(Bd,zd.from())>=0&&Vm(Ad,zd.to())<=0)return Wd}return-1};var K1=function(Ad,Bd){this.anchor=Ad,this.head=Bd};K1.prototype.from=function(){return N0(this.anchor,this.head)},K1.prototype.to=function(){return q1(this.anchor,this.head)},K1.prototype.empty=function(){return this.head.line==this.anchor.line&&this.head.ch==this.anchor.ch};function B_(Ad,Bd,Wd){var zd=Ad&&Ad.options.selectionsMayTouch,Qd=Bd[Wd];Bd.sort(function(Qh,Kh){return Vm(Qh.from(),Kh.from())}),Wd=hh(Bd,Qd);for(var Ef=1;Ef<Bd.length;Ef++){var Of=Bd[Ef],Uf=Bd[Ef-1],Qf=Vm(Uf.to(),Of.from());if(zd&&!Of.empty()?Qf>0:Qf>=0){var uh=N0(Uf.from(),Of.from()),kh=q1(Uf.to(),Of.to()),qh=Uf.empty()?Of.from()==Of.head:Uf.from()==Uf.head;Ef<=Wd&&--Wd,Bd.splice(--Ef,2,new K1(qh?kh:uh,qh?uh:kh))}}return new h0(Bd,Wd)}function Ng(Ad,Bd){return new h0([new K1(Ad,Bd||Ad)],0)}function Dg(Ad){return Ad.text?am(Ad.from.line+Ad.text.length-1,gh(Ad.text).length+(Ad.text.length==1?Ad.from.ch:0)):Ad.to}function ME(Ad,Bd){if(Vm(Ad,Bd.from)<0)return Ad;if(Vm(Ad,Bd.to)<=0)return Dg(Bd);var Wd=Ad.line+Bd.text.length-(Bd.to.line-Bd.from.line)-1,zd=Ad.ch;return Ad.line==Bd.to.line&&(zd+=Dg(Bd).ch-Bd.to.ch),am(Wd,zd)}function jv(Ad,Bd){for(var Wd=[],zd=0;zd<Ad.sel.ranges.length;zd++){var Qd=Ad.sel.ranges[zd];Wd.push(new K1(ME(Qd.anchor,Bd),ME(Qd.head,Bd)))}return B_(Ad.cm,Wd,Ad.sel.primIndex)}function zy(Ad,Bd,Wd){return Ad.line==Bd.line?am(Wd.line,Ad.ch-Bd.ch+Wd.ch):am(Wd.line+(Ad.line-Bd.line),Ad.ch)}function M2(Ad,Bd,Wd){for(var zd=[],Qd=am(Ad.first,0),Ef=Qd,Of=0;Of<Bd.length;Of++){var Uf=Bd[Of],Qf=zy(Uf.from,Qd,Ef),uh=zy(Dg(Uf),Qd,Ef);if(Qd=Uf.to,Ef=uh,Wd=="around"){var kh=Ad.sel.ranges[Of],qh=Vm(kh.head,kh.anchor)<0;zd[Of]=new K1(qh?uh:Qf,qh?Qf:uh)}else zd[Of]=new K1(Qf,Qf)}return new h0(zd,Ad.sel.primIndex)}function Vy(Ad){Ad.doc.mode=um(Ad.options,Ad.doc.modeOption),ab(Ad)}function ab(Ad){Ad.doc.iter(function(Bd){Bd.stateAfter&&(Bd.stateAfter=null),Bd.styles&&(Bd.styles=null)}),Ad.doc.modeFrontier=Ad.doc.highlightFrontier=Ad.doc.first,sv(Ad,100),Ad.state.modeGen++,Ad.curOp&&J0(Ad)}function Rb(Ad,Bd){return Bd.from.ch==0&&Bd.to.ch==0&&gh(Bd.text)==""&&(!Ad.cm||Ad.cm.options.wholeLineUpdateBefore)}function Ib(Ad,Bd,Wd,zd){function Qd(Qm){return Wd?Wd[Qm]:null}function Ef(Qm,Ym,e1){Bf(Qm,Ym,e1,zd),Gd(Qm,"change",Qm,Bd)}function Of(Qm,Ym){for(var e1=[],c1=Qm;c1<Ym;++c1)e1.push(new Mf(uh[c1],Qd(c1),zd));return e1}var Uf=Bd.from,Qf=Bd.to,uh=Bd.text,kh=Dm(Ad,Uf.line),qh=Dm(Ad,Qf.line),Qh=gh(uh),Kh=Qd(uh.length-1),hm=Qf.line-Uf.line;if(Bd.full)Ad.insert(0,Of(0,uh.length)),Ad.remove(uh.length,Ad.size-uh.length);else if(Rb(Ad,Bd)){var Nm=Of(0,uh.length-1);Ef(qh,qh.text,Kh),hm&&Ad.remove(Uf.line,hm),Nm.length&&Ad.insert(Uf.line,Nm)}else if(kh==qh)if(uh.length==1)Ef(kh,kh.text.slice(0,Uf.ch)+Qh+kh.text.slice(Qf.ch),Kh);else{var Hm=Of(1,uh.length-1);Hm.push(new Mf(Qh+kh.text.slice(Qf.ch),Kh,zd)),Ef(kh,kh.text.slice(0,Uf.ch)+uh[0],Qd(0)),Ad.insert(Uf.line+1,Hm)}else if(uh.length==1)Ef(kh,kh.text.slice(0,Uf.ch)+uh[0]+qh.text.slice(Qf.ch),Qd(0)),Ad.remove(Uf.line+1,hm);else{Ef(kh,kh.text.slice(0,Uf.ch)+uh[0],Qd(0)),Ef(qh,Qh+qh.text.slice(Qf.ch),Kh);var Km=Of(1,uh.length-1);hm>1&&Ad.remove(Uf.line+1,hm-1),Ad.insert(Uf.line+1,Km)}Gd(Ad,"change",Ad,Bd)}function Rg(Ad,Bd,Wd){function zd(Qd,Ef,Of){if(Qd.linked)for(var Uf=0;Uf<Qd.linked.length;++Uf){var Qf=Qd.linked[Uf];if(Qf.doc!=Ef){var uh=Of&&Qf.sharedHist;Wd&&!uh||(Bd(Qf.doc,uh),zd(Qf.doc,Qd,uh))}}}zd(Ad,null,!0)}function Wy(Ad,Bd){if(Bd.cm)throw new Error("This document is already in use.");Ad.doc=Bd,Bd.cm=Ad,kv(Ad),Vy(Ad),LE(Ad),Ad.options.direction=Bd.direction,Ad.options.lineWrapping||Cf(Ad),Ad.options.mode=Bd.modeOption,J0(Ad)}function LE(Ad){(Ad.doc.direction=="rtl"?Wf:Jd)(Ad.display.lineDiv,"CodeMirror-rtl")}function hg(Ad){z0(Ad,function(){LE(Ad),J0(Ad)})}function kb(Ad){this.done=[],this.undone=[],this.undoDepth=Ad?Ad.undoDepth:1/0,this.lastModTime=this.lastSelTime=0,this.lastOp=this.lastSelOp=null,this.lastOrigin=this.lastSelOrigin=null,this.generation=this.maxGeneration=Ad?Ad.maxGeneration:1}function mg(Ad,Bd){var Wd={from:r0(Bd.from),to:Dg(Bd),text:v1(Ad,Bd.from,Bd.to)};return Ky(Ad,Wd,Bd.from.line,Bd.to.line+1),Rg(Ad,function(zd){return Ky(zd,Wd,Bd.from.line,Bd.to.line+1)},!0),Wd}function d_(Ad){for(;Ad.length;){var Bd=gh(Ad);if(Bd.ranges)Ad.pop();else break}}function L2(Ad,Bd){if(Bd)return d_(Ad.done),gh(Ad.done);if(Ad.done.length&&!gh(Ad.done).ranges)return gh(Ad.done);if(Ad.done.length>1&&!Ad.done[Ad.done.length-2].ranges)return Ad.done.pop(),gh(Ad.done)}function Yy(Ad,Bd,Wd,zd){var Qd=Ad.history;Qd.undone.length=0;var Ef=+new Date,Of,Uf;if((Qd.lastOp==zd||Qd.lastOrigin==Bd.origin&&Bd.origin&&(Bd.origin.charAt(0)=="+"&&Qd.lastModTime>Ef-(Ad.cm?Ad.cm.options.historyEventDelay:500)||Bd.origin.charAt(0)=="*"))&&(Of=L2(Qd,Qd.lastOp==zd)))Uf=gh(Of.changes),Vm(Bd.from,Bd.to)==0&&Vm(Bd.from,Uf.to)==0?Uf.to=Dg(Bd):Of.changes.push(mg(Ad,Bd));else{var Qf=gh(Qd.done);for((!Qf||!Qf.ranges)&&P_(Ad.sel,Qd.done),Of={changes:[mg(Ad,Bd)],generation:Qd.generation},Qd.done.push(Of);Qd.done.length>Qd.undoDepth;)Qd.done.shift(),Qd.done[0].ranges||Qd.done.shift()}Qd.done.push(Wd),Qd.generation=++Qd.maxGeneration,Qd.lastModTime=Qd.lastSelTime=Ef,Qd.lastOp=Qd.lastSelOp=zd,Qd.lastOrigin=Qd.lastSelOrigin=Bd.origin,Uf||Om(Ad,"historyAdded")}function BE(Ad,Bd,Wd,zd){var Qd=Bd.charAt(0);return Qd=="*"||Qd=="+"&&Wd.ranges.length==zd.ranges.length&&Wd.somethingSelected()==zd.somethingSelected()&&new Date-Ad.history.lastSelTime<=(Ad.cm?Ad.cm.options.historyEventDelay:500)}function lv(Ad,Bd,Wd,zd){var Qd=Ad.history,Ef=zd&&zd.origin;Wd==Qd.lastSelOp||Ef&&Qd.lastSelOrigin==Ef&&(Qd.lastModTime==Qd.lastSelTime&&Qd.lastOrigin==Ef||BE(Ad,Ef,gh(Qd.done),Bd))?Qd.done[Qd.done.length-1]=Bd:P_(Bd,Qd.done),Qd.lastSelTime=+new Date,Qd.lastSelOrigin=Ef,Qd.lastSelOp=Wd,zd&&zd.clearRedo!==!1&&d_(Qd.undone)}function P_(Ad,Bd){var Wd=gh(Bd);Wd&&Wd.ranges&&Wd.equals(Ad)||Bd.push(Ad)}function Ky(Ad,Bd,Wd,zd){var Qd=Bd["spans_"+Ad.id],Ef=0;Ad.iter(Math.max(Ad.first,Wd),Math.min(Ad.first+Ad.size,zd),function(Of){Of.markedSpans&&((Qd||(Qd=Bd["spans_"+Ad.id]={}))[Ef]=Of.markedSpans),++Ef})}function B2(Ad){if(!Ad)return null;for(var Bd,Wd=0;Wd<Ad.length;++Wd)Ad[Wd].marker.explicitlyCleared?Bd||(Bd=Ad.slice(0,Wd)):Bd&&Bd.push(Ad[Wd]);return Bd?Bd.length?Bd:null:Ad}function Uv(Ad,Bd){var Wd=Bd["spans_"+Ad.id];if(!Wd)return null;for(var zd=[],Qd=0;Qd<Bd.text.length;++Qd)zd.push(B2(Wd[Qd]));return zd}function PE(Ad,Bd){var Wd=Uv(Ad,Bd),zd=Ug(Ad,Bd);if(!Wd)return zd;if(!zd)return Wd;for(var Qd=0;Qd<Wd.length;++Qd){var Ef=Wd[Qd],Of=zd[Qd];if(Ef&&Of)e:for(var Uf=0;Uf<Of.length;++Uf){for(var Qf=Of[Uf],uh=0;uh<Ef.length;++uh)if(Ef[uh].marker==Qf.marker)continue e;Ef.push(Qf)}else Of&&(Wd[Qd]=Of)}return Wd}function sb(Ad,Bd,Wd){for(var zd=[],Qd=0;Qd<Ad.length;++Qd){var Ef=Ad[Qd];if(Ef.ranges){zd.push(Wd?h0.prototype.deepCopy.call(Ef):Ef);continue}var Of=Ef.changes,Uf=[];zd.push({changes:Uf});for(var Qf=0;Qf<Of.length;++Qf){var uh=Of[Qf],kh=void 0;if(Uf.push({from:uh.from,to:uh.to,text:uh.text}),Bd)for(var qh in uh)(kh=qh.match(/^spans_(\d+)$/))&&hh(Bd,Number(kh[1]))>-1&&(gh(Uf)[qh]=uh[qh],delete uh[qh])}}return zd}function M0(Ad,Bd,Wd,zd){if(zd){var Qd=Ad.anchor;if(Wd){var Ef=Vm(Bd,Qd)<0;Ef!=Vm(Wd,Qd)<0?(Qd=Bd,Bd=Wd):Ef!=Vm(Bd,Wd)<0&&(Bd=Wd)}return new K1(Qd,Bd)}else return new K1(Wd||Bd,Bd)}function $b(Ad,Bd,Wd,zd,Qd){Qd==null&&(Qd=Ad.cm&&(Ad.cm.display.shift||Ad.extend)),q0(Ad,new h0([M0(Ad.sel.primary(),Bd,Wd,Qd)],0),zd)}function Xy(Ad,Bd,Wd){for(var zd=[],Qd=Ad.cm&&(Ad.cm.display.shift||Ad.extend),Ef=0;Ef<Ad.sel.ranges.length;Ef++)zd[Ef]=M0(Ad.sel.ranges[Ef],Bd[Ef],null,Qd);var Of=B_(Ad.cm,zd,Ad.sel.primIndex);q0(Ad,Of,Wd)}function T_(Ad,Bd,Wd,zd){var Qd=Ad.sel.ranges.slice(0);Qd[Bd]=Wd,q0(Ad,B_(Ad.cm,Qd,Ad.sel.primIndex),zd)}function qv(Ad,Bd,Wd,zd){q0(Ad,Ng(Bd,Wd),zd)}function Hv(Ad,Bd,Wd){var zd={ranges:Bd.ranges,update:function(Qd){this.ranges=[];for(var Ef=0;Ef<Qd.length;Ef++)this.ranges[Ef]=new K1(h1(Ad,Qd[Ef].anchor),h1(Ad,Qd[Ef].head))},origin:Wd&&Wd.origin};return Om(Ad,"beforeSelectionChange",Ad,zd),Ad.cm&&Om(Ad.cm,"beforeSelectionChange",Ad.cm,zd),zd.ranges!=Bd.ranges?B_(Ad.cm,zd.ranges,zd.ranges.length-1):Bd}function Ig(Ad,Bd,Wd){var zd=Ad.history.done,Qd=gh(zd);Qd&&Qd.ranges?(zd[zd.length-1]=Bd,H0(Ad,Bd,Wd)):q0(Ad,Bd,Wd)}function q0(Ad,Bd,Wd){H0(Ad,Bd,Wd),lv(Ad,Ad.sel,Ad.cm?Ad.cm.curOp.id:NaN,Wd)}function H0(Ad,Bd,Wd){(R1(Ad,"beforeSelectionChange")||Ad.cm&&R1(Ad.cm,"beforeSelectionChange"))&&(Bd=Hv(Ad,Bd,Wd));var zd=Wd&&Wd.bias||(Vm(Bd.primary().head,Ad.sel.primary().head)<0?-1:1);Gv(Ad,jE(Ad,Bd,zd,!0)),!(Wd&&Wd.scroll===!1)&&Ad.cm&&Ad.cm.getOption("readOnly")!="nocursor"&&u0(Ad.cm)}function Gv(Ad,Bd){Bd.equals(Ad.sel)||(Ad.sel=Bd,Ad.cm&&(Ad.cm.curOp.updateInput=1,Ad.cm.curOp.selectionChanged=!0,N1(Ad.cm)),Gd(Ad,"cursorActivity",Ad))}function FE(Ad){Gv(Ad,jE(Ad,Ad.sel,null,!1))}function jE(Ad,Bd,Wd,zd){for(var Qd,Ef=0;Ef<Bd.ranges.length;Ef++){var Of=Bd.ranges[Ef],Uf=Bd.ranges.length==Ad.sel.ranges.length&&Ad.sel.ranges[Ef],Qf=Lb(Ad,Of.anchor,Uf&&Uf.anchor,Wd,zd),uh=Of.head==Of.anchor?Qf:Lb(Ad,Of.head,Uf&&Uf.head,Wd,zd);(Qd||Qf!=Of.anchor||uh!=Of.head)&&(Qd||(Qd=Bd.ranges.slice(0,Ef)),Qd[Ef]=new K1(Qf,uh))}return Qd?B_(Ad.cm,Qd,Bd.primIndex):Bd}function Mb(Ad,Bd,Wd,zd,Qd){var Ef=Dm(Ad,Bd.line);if(Ef.markedSpans)for(var Of=0;Of<Ef.markedSpans.length;++Of){var Uf=Ef.markedSpans[Of],Qf=Uf.marker,uh="selectLeft"in Qf?!Qf.selectLeft:Qf.inclusiveLeft,kh="selectRight"in Qf?!Qf.selectRight:Qf.inclusiveRight;if((Uf.from==null||(uh?Uf.from<=Bd.ch:Uf.from<Bd.ch))&&(Uf.to==null||(kh?Uf.to>=Bd.ch:Uf.to>Bd.ch))){if(Qd&&(Om(Qf,"beforeCursorEnter"),Qf.explicitlyCleared))if(Ef.markedSpans){--Of;continue}else break;if(!Qf.atomic)continue;if(Wd){var qh=Qf.find(zd<0?1:-1),Qh=void 0;if((zd<0?kh:uh)&&(qh=UE(Ad,qh,-zd,qh&&qh.line==Bd.line?Ef:null)),qh&&qh.line==Bd.line&&(Qh=Vm(qh,Wd))&&(zd<0?Qh<0:Qh>0))return Mb(Ad,qh,Bd,zd,Qd)}var Kh=Qf.find(zd<0?-1:1);return(zd<0?uh:kh)&&(Kh=UE(Ad,Kh,zd,Kh.line==Bd.line?Ef:null)),Kh?Mb(Ad,Kh,Bd,zd,Qd):null}}return Bd}function Lb(Ad,Bd,Wd,zd,Qd){var Ef=zd||1,Of=Mb(Ad,Bd,Wd,Ef,Qd)||!Qd&&Mb(Ad,Bd,Wd,Ef,!0)||Mb(Ad,Bd,Wd,-Ef,Qd)||!Qd&&Mb(Ad,Bd,Wd,-Ef,!0);return Of||(Ad.cantEdit=!0,am(Ad.first,0))}function UE(Ad,Bd,Wd,zd){return Wd<0&&Bd.ch==0?Bd.line>Ad.first?h1(Ad,am(Bd.line-1)):null:Wd>0&&Bd.ch==(zd||Dm(Ad,Bd.line)).text.length?Bd.line<Ad.first+Ad.size-1?am(Bd.line+1,0):null:new am(Bd.line,Bd.ch+Wd)}function Bb(Ad){Ad.setSelection(am(Ad.firstLine(),0),am(Ad.lastLine()),Jf)}function Qy(Ad,Bd,Wd){var zd={canceled:!1,from:Bd.from,to:Bd.to,text:Bd.text,origin:Bd.origin,cancel:function(){return zd.canceled=!0}};return Wd&&(zd.update=function(Qd,Ef,Of,Uf){Qd&&(zd.from=h1(Ad,Qd)),Ef&&(zd.to=h1(Ad,Ef)),Of&&(zd.text=Of),Uf!==void 0&&(zd.origin=Uf)}),Om(Ad,"beforeChange",Ad,zd),Ad.cm&&Om(Ad.cm,"beforeChange",Ad.cm,zd),zd.canceled?(Ad.cm&&(Ad.cm.curOp.updateInput=2),null):{from:zd.from,to:zd.to,text:zd.text,origin:zd.origin}}function Pb(Ad,Bd,Wd){if(Ad.cm){if(!Ad.cm.curOp)return t0(Ad.cm,Pb)(Ad,Bd,Wd);if(Ad.cm.state.suppressEdits)return}if(!((R1(Ad,"beforeChange")||Ad.cm&&R1(Ad.cm,"beforeChange"))&&(Bd=Qy(Ad,Bd,!0),!Bd))){var zd=A_&&!Wd&&Hg(Ad,Bd.from,Bd.to);if(zd)for(var Qd=zd.length-1;Qd>=0;--Qd)qE(Ad,{from:zd[Qd].from,to:zd[Qd].to,text:Qd?[""]:Bd.text,origin:Bd.origin});else qE(Ad,Bd)}}function qE(Ad,Bd){if(!(Bd.text.length==1&&Bd.text[0]==""&&Vm(Bd.from,Bd.to)==0)){var Wd=jv(Ad,Bd);Yy(Ad,Bd,Wd,Ad.cm?Ad.cm.curOp.id:NaN),cv(Ad,Bd,Wd,Ug(Ad,Bd));var zd=[];Rg(Ad,function(Qd,Ef){!Ef&&hh(zd,Qd.history)==-1&&(VE(Qd.history,Bd),zd.push(Qd.history)),cv(Qd,Bd,null,Ug(Qd,Bd))})}}function kg(Ad,Bd,Wd){var zd=Ad.cm&&Ad.cm.state.suppressEdits;if(!(zd&&!Wd)){for(var Qd=Ad.history,Ef,Of=Ad.sel,Uf=Bd=="undo"?Qd.done:Qd.undone,Qf=Bd=="undo"?Qd.undone:Qd.done,uh=0;uh<Uf.length&&(Ef=Uf[uh],!(Wd?Ef.ranges&&!Ef.equals(Ad.sel):!Ef.ranges));uh++);if(uh!=Uf.length){for(Qd.lastOrigin=Qd.lastSelOrigin=null;;)if(Ef=Uf.pop(),Ef.ranges){if(P_(Ef,Qf),Wd&&!Ef.equals(Ad.sel)){q0(Ad,Ef,{clearRedo:!1});return}Of=Ef}else if(zd){Uf.push(Ef);return}else break;var kh=[];P_(Of,Qf),Qf.push({changes:kh,generation:Qd.generation}),Qd.generation=Ef.generation||++Qd.maxGeneration;for(var qh=R1(Ad,"beforeChange")||Ad.cm&&R1(Ad.cm,"beforeChange"),Qh=function(Nm){var Hm=Ef.changes[Nm];if(Hm.origin=Bd,qh&&!Qy(Ad,Hm,!1))return Uf.length=0,{};kh.push(mg(Ad,Hm));var Km=Nm?jv(Ad,Hm):gh(Uf);cv(Ad,Hm,Km,PE(Ad,Hm)),!Nm&&Ad.cm&&Ad.cm.scrollIntoView({from:Hm.from,to:Dg(Hm)});var Qm=[];Rg(Ad,function(Ym,e1){!e1&&hh(Qm,Ym.history)==-1&&(VE(Ym.history,Hm),Qm.push(Ym.history)),cv(Ym,Hm,null,PE(Ym,Hm))})},Kh=Ef.changes.length-1;Kh>=0;--Kh){var hm=Qh(Kh);if(hm)return hm.v}}}}function HE(Ad,Bd){if(Bd!=0&&(Ad.first+=Bd,Ad.sel=new h0(Nh(Ad.sel.ranges,function(Qd){return new K1(am(Qd.anchor.line+Bd,Qd.anchor.ch),am(Qd.head.line+Bd,Qd.head.ch))}),Ad.sel.primIndex),Ad.cm)){J0(Ad.cm,Ad.first,Ad.first-Bd,Bd);for(var Wd=Ad.cm.display,zd=Wd.viewFrom;zd<Wd.viewTo;zd++)xg(Ad.cm,zd,"gutter")}}function cv(Ad,Bd,Wd,zd){if(Ad.cm&&!Ad.cm.curOp)return t0(Ad.cm,cv)(Ad,Bd,Wd,zd);if(Bd.to.line<Ad.first){HE(Ad,Bd.text.length-1-(Bd.to.line-Bd.from.line));return}if(!(Bd.from.line>Ad.lastLine())){if(Bd.from.line<Ad.first){var Qd=Bd.text.length-1-(Ad.first-Bd.from.line);HE(Ad,Qd),Bd={from:am(Ad.first,0),to:am(Bd.to.line+Qd,Bd.to.ch),text:[gh(Bd.text)],origin:Bd.origin}}var Ef=Ad.lastLine();Bd.to.line>Ef&&(Bd={from:Bd.from,to:am(Ef,Dm(Ad,Ef).text.length),text:[Bd.text[0]],origin:Bd.origin}),Bd.removed=v1(Ad,Bd.from,Bd.to),Wd||(Wd=jv(Ad,Bd)),Ad.cm?Jy(Ad.cm,Bd,zd):Ib(Ad,Bd,zd),H0(Ad,Wd,Jf),Ad.cantEdit&&Lb(Ad,am(Ad.firstLine(),0))&&(Ad.cantEdit=!1)}}function Jy(Ad,Bd,Wd){var zd=Ad.doc,Qd=Ad.display,Ef=Bd.from,Of=Bd.to,Uf=!1,Qf=Ef.line;Ad.options.lineWrapping||(Qf=oh(W1(Dm(zd,Ef.line))),zd.iter(Qf,Of.line+1,function(Kh){if(Kh==Qd.maxLine)return Uf=!0,!0})),zd.sel.contains(Bd.from,Bd.to)>-1&&N1(Ad),Ib(zd,Bd,Wd,CE(Ad)),Ad.options.lineWrapping||(zd.iter(Qf,Ef.line+Bd.text.length,function(Kh){var hm=V_(Kh);hm>Qd.maxLineLength&&(Qd.maxLine=Kh,Qd.maxLineLength=hm,Qd.maxLineChanged=!0,Uf=!1)}),Uf&&(Ad.curOp.updateMaxLine=!0)),g_(zd,Ef.line),sv(Ad,400);var uh=Bd.text.length-(Of.line-Ef.line)-1;Bd.full?J0(Ad):Ef.line==Of.line&&Bd.text.length==1&&!Rb(Ad.doc,Bd)?xg(Ad,Ef.line,"text"):J0(Ad,Ef.line,Of.line+1,uh);var kh=R1(Ad,"changes"),qh=R1(Ad,"change");if(qh||kh){var Qh={from:Ef,to:Of,text:Bd.text,removed:Bd.removed,origin:Bd.origin};qh&&Gd(Ad,"change",Ad,Qh),kh&&(Ad.curOp.changeObjs||(Ad.curOp.changeObjs=[])).push(Qh)}Ad.display.selForContextMenu=null}function ob(Ad,Bd,Wd,zd,Qd){var Ef;zd||(zd=Wd),Vm(zd,Wd)<0&&(Ef=[zd,Wd],Wd=Ef[0],zd=Ef[1]),typeof Bd=="string"&&(Bd=Ad.splitLines(Bd)),Pb(Ad,{from:Wd,to:zd,text:Bd,origin:Qd})}function GE(Ad,Bd,Wd,zd){Wd<Ad.line?Ad.line+=zd:Bd<Ad.line&&(Ad.line=Bd,Ad.ch=0)}function zE(Ad,Bd,Wd,zd){for(var Qd=0;Qd<Ad.length;++Qd){var Ef=Ad[Qd],Of=!0;if(Ef.ranges){Ef.copied||(Ef=Ad[Qd]=Ef.deepCopy(),Ef.copied=!0);for(var Uf=0;Uf<Ef.ranges.length;Uf++)GE(Ef.ranges[Uf].anchor,Bd,Wd,zd),GE(Ef.ranges[Uf].head,Bd,Wd,zd);continue}for(var Qf=0;Qf<Ef.changes.length;++Qf){var uh=Ef.changes[Qf];if(Wd<uh.from.line)uh.from=am(uh.from.line+zd,uh.from.ch),uh.to=am(uh.to.line+zd,uh.to.ch);else if(Bd<=uh.to.line){Of=!1;break}}Of||(Ad.splice(0,Qd+1),Qd=0)}}function VE(Ad,Bd){var Wd=Bd.from.line,zd=Bd.to.line,Qd=Bd.text.length-(zd-Wd)-1;zE(Ad.done,Wd,zd,Qd),zE(Ad.undone,Wd,zd,Qd)}function Fb(Ad,Bd,Wd,zd){var Qd=Bd,Ef=Bd;return typeof Bd=="number"?Ef=Dm(Ad,g0(Ad,Bd)):Qd=oh(Bd),Qd==null?null:(zd(Ef,Qd)&&Ad.cm&&xg(Ad.cm,Qd,Wd),Ef)}function lb(Ad){this.lines=Ad,this.parent=null;for(var Bd=0,Wd=0;Wd<Ad.length;++Wd)Ad[Wd].parent=this,Bd+=Ad[Wd].height;this.height=Bd}lb.prototype={chunkSize:function(){return this.lines.length},removeInner:function(Ad,Bd){for(var Wd=Ad,zd=Ad+Bd;Wd<zd;++Wd){var Qd=this.lines[Wd];this.height-=Qd.height,Pm(Qd),Gd(Qd,"delete")}this.lines.splice(Ad,Bd)},collapse:function(Ad){Ad.push.apply(Ad,this.lines)},insertInner:function(Ad,Bd,Wd){this.height+=Wd,this.lines=this.lines.slice(0,Ad).concat(Bd).concat(this.lines.slice(Ad));for(var zd=0;zd<Bd.length;++zd)Bd[zd].parent=this},iterN:function(Ad,Bd,Wd){for(var zd=Ad+Bd;Ad<zd;++Ad)if(Wd(this.lines[Ad]))return!0}};function uv(Ad){this.children=Ad;for(var Bd=0,Wd=0,zd=0;zd<Ad.length;++zd){var Qd=Ad[zd];Bd+=Qd.chunkSize(),Wd+=Qd.height,Qd.parent=this}this.size=Bd,this.height=Wd,this.parent=null}uv.prototype={chunkSize:function(){return this.size},removeInner:function(Ad,Bd){this.size-=Bd;for(var Wd=0;Wd<this.children.length;++Wd){var zd=this.children[Wd],Qd=zd.chunkSize();if(Ad<Qd){var Ef=Math.min(Bd,Qd-Ad),Of=zd.height;if(zd.removeInner(Ad,Ef),this.height-=Of-zd.height,Qd==Ef&&(this.children.splice(Wd--,1),zd.parent=null),(Bd-=Ef)==0)break;Ad=0}else Ad-=Qd}if(this.size-Bd<25&&(this.children.length>1||!(this.children[0]instanceof lb))){var Uf=[];this.collapse(Uf),this.children=[new lb(Uf)],this.children[0].parent=this}},collapse:function(Ad){for(var Bd=0;Bd<this.children.length;++Bd)this.children[Bd].collapse(Ad)},insertInner:function(Ad,Bd,Wd){this.size+=Bd.length,this.height+=Wd;for(var zd=0;zd<this.children.length;++zd){var Qd=this.children[zd],Ef=Qd.chunkSize();if(Ad<=Ef){if(Qd.insertInner(Ad,Bd,Wd),Qd.lines&&Qd.lines.length>50){for(var Of=Qd.lines.length%25+25,Uf=Of;Uf<Qd.lines.length;){var Qf=new lb(Qd.lines.slice(Uf,Uf+=25));Qd.height-=Qf.height,this.children.splice(++zd,0,Qf),Qf.parent=this}Qd.lines=Qd.lines.slice(0,Of),this.maybeSpill()}break}Ad-=Ef}},maybeSpill:function(){if(!(this.children.length<=10)){var Ad=this;do{var Bd=Ad.children.splice(Ad.children.length-5,5),Wd=new uv(Bd);if(Ad.parent){Ad.size-=Wd.size,Ad.height-=Wd.height;var Qd=hh(Ad.parent.children,Ad);Ad.parent.children.splice(Qd+1,0,Wd)}else{var zd=new uv(Ad.children);zd.parent=Ad,Ad.children=[zd,Wd],Ad=zd}Wd.parent=Ad.parent}while(Ad.children.length>10);Ad.parent.maybeSpill()}},iterN:function(Ad,Bd,Wd){for(var zd=0;zd<this.children.length;++zd){var Qd=this.children[zd],Ef=Qd.chunkSize();if(Ad<Ef){var Of=Math.min(Bd,Ef-Ad);if(Qd.iterN(Ad,Of,Wd))return!0;if((Bd-=Of)==0)break;Ad=0}else Ad-=Ef}}};var cb=function(Ad,Bd,Wd){if(Wd)for(var zd in Wd)Wd.hasOwnProperty(zd)&&(this[zd]=Wd[zd]);this.doc=Ad,this.node=Bd};cb.prototype.clear=function(){var Ad=this.doc.cm,Bd=this.line.widgets,Wd=this.line,zd=oh(Wd);if(!(zd==null||!Bd)){for(var Qd=0;Qd<Bd.length;++Qd)Bd[Qd]==this&&Bd.splice(Qd--,1);Bd.length||(Wd.widgets=null);var Ef=P1(this);o1(Wd,Math.max(0,Wd.height-Ef)),Ad&&(z0(Ad,function(){Zy(Ad,Wd,-Ef),xg(Ad,zd,"widget")}),Gd(Ad,"lineWidgetCleared",Ad,this,zd))}},cb.prototype.changed=function(){var Ad=this,Bd=this.height,Wd=this.doc.cm,zd=this.line;this.height=null;var Qd=P1(this)-Bd;Qd&&(c0(this.doc,zd)||o1(zd,zd.height+Qd),Wd&&z0(Wd,function(){Wd.curOp.forceUpdate=!0,Zy(Wd,zd,Qd),Gd(Wd,"lineWidgetChanged",Wd,Ad,oh(zd))}))},x1(cb);function Zy(Ad,Bd,Wd){i_(Bd)<(Ad.curOp&&Ad.curOp.scrollTop||Ad.doc.scrollTop)&&jy(Ad,Wd)}function WE(Ad,Bd,Wd,zd){var Qd=new cb(Ad,Wd,zd),Ef=Ad.cm;return Ef&&Qd.noHScroll&&(Ef.display.alignWidgets=!0),Fb(Ad,Bd,"widget",function(Of){var Uf=Of.widgets||(Of.widgets=[]);if(Qd.insertAt==null?Uf.push(Qd):Uf.splice(Math.min(Uf.length,Math.max(0,Qd.insertAt)),0,Qd),Qd.line=Of,Ef&&!c0(Ad,Of)){var Qf=i_(Of)<Ad.scrollTop;o1(Of,Of.height+P1(Qd)),Qf&&jy(Ef,Qd.height),Ef.curOp.forceUpdate=!0}return!0}),Ef&&Gd(Ef,"lineWidgetAdded",Ef,Qd,typeof Bd=="number"?Bd:oh(Bd)),Qd}var eE=0,F_=function(Ad,Bd){this.lines=[],this.type=Bd,this.doc=Ad,this.id=++eE};F_.prototype.clear=function(){if(!this.explicitlyCleared){var Ad=this.doc.cm,Bd=Ad&&!Ad.curOp;if(Bd&&nb(Ad),R1(this,"clear")){var Wd=this.find();Wd&&Gd(this,"clear",Wd.from,Wd.to)}for(var zd=null,Qd=null,Ef=0;Ef<this.lines.length;++Ef){var Of=this.lines[Ef],Uf=z_(Of.markedSpans,this);Ad&&!this.collapsed?xg(Ad,oh(Of),"text"):Ad&&(Uf.to!=null&&(Qd=oh(Of)),Uf.from!=null&&(zd=oh(Of))),Of.markedSpans=gb(Of.markedSpans,Uf),Uf.from==null&&this.collapsed&&!c0(this.doc,Of)&&Ad&&o1(Of,I_(Ad.display))}if(Ad&&this.collapsed&&!Ad.options.lineWrapping)for(var Qf=0;Qf<this.lines.length;++Qf){var uh=W1(this.lines[Qf]),kh=V_(uh);kh>Ad.display.maxLineLength&&(Ad.display.maxLine=uh,Ad.display.maxLineLength=kh,Ad.display.maxLineChanged=!0)}zd!=null&&Ad&&this.collapsed&&J0(Ad,zd,Qd+1),this.lines.length=0,this.explicitlyCleared=!0,this.atomic&&this.doc.cantEdit&&(this.doc.cantEdit=!1,Ad&&FE(Ad.doc)),Ad&&Gd(Ad,"markerCleared",Ad,this,zd,Qd),Bd&&ib(Ad),this.parent&&this.parent.clear()}},F_.prototype.find=function(Ad,Bd){Ad==null&&this.type=="bookmark"&&(Ad=1);for(var Wd,zd,Qd=0;Qd<this.lines.length;++Qd){var Ef=this.lines[Qd],Of=z_(Ef.markedSpans,this);if(Of.from!=null&&(Wd=am(Bd?Ef:oh(Ef),Of.from),Ad==-1))return Wd;if(Of.to!=null&&(zd=am(Bd?Ef:oh(Ef),Of.to),Ad==1))return zd}return Wd&&{from:Wd,to:zd}},F_.prototype.changed=function(){var Ad=this,Bd=this.find(-1,!0),Wd=this,zd=this.doc.cm;!Bd||!zd||z0(zd,function(){var Qd=Bd.line,Ef=oh(Bd.line),Of=Rv(zd,Ef);if(Of&&(Oy(Of),zd.curOp.selectionChanged=zd.curOp.forceUpdate=!0),zd.curOp.updateMaxLine=!0,!c0(Wd.doc,Qd)&&Wd.height!=null){var Uf=Wd.height;Wd.height=null;var Qf=P1(Wd)-Uf;Qf&&o1(Qd,Qd.height+Qf)}Gd(zd,"markerChanged",zd,Ad)})},F_.prototype.attachLine=function(Ad){if(!this.lines.length&&this.doc.cm){var Bd=this.doc.cm.curOp;(!Bd.maybeHiddenMarkers||hh(Bd.maybeHiddenMarkers,this)==-1)&&(Bd.maybeUnhiddenMarkers||(Bd.maybeUnhiddenMarkers=[])).push(this)}this.lines.push(Ad)},F_.prototype.detachLine=function(Ad){if(this.lines.splice(hh(this.lines,Ad),1),!this.lines.length&&this.doc.cm){var Bd=this.doc.cm.curOp;(Bd.maybeHiddenMarkers||(Bd.maybeHiddenMarkers=[])).push(this)}},x1(F_);function jb(Ad,Bd,Wd,zd,Qd){if(zd&&zd.shared)return YE(Ad,Bd,Wd,zd,Qd);if(Ad.cm&&!Ad.cm.curOp)return t0(Ad.cm,jb)(Ad,Bd,Wd,zd,Qd);var Ef=new F_(Ad,Qd),Of=Vm(Bd,Wd);if(zd&&mf(zd,Ef,!1),Of>0||Of==0&&Ef.clearWhenEmpty!==!1)return Ef;if(Ef.replacedWith&&(Ef.collapsed=!0,Ef.widgetNode=hf("span",[Ef.replacedWith],"CodeMirror-widget"),zd.handleMouseEvents||Ef.widgetNode.setAttribute("cm-ignore-events","true"),zd.insertLeft&&(Ef.widgetNode.insertLeft=!0)),Ef.collapsed){if(zg(Ad,Bd.line,Bd,Wd,Ef)||Bd.line!=Wd.line&&zg(Ad,Wd.line,Bd,Wd,Ef))throw new Error("Inserting collapsed marker partially overlapping an existing one");s_()}Ef.addToHistory&&Yy(Ad,{from:Bd,to:Wd,origin:"markText"},Ad.sel,NaN);var Uf=Bd.line,Qf=Ad.cm,uh;if(Ad.iter(Uf,Wd.line+1,function(qh){Qf&&Ef.collapsed&&!Qf.options.lineWrapping&&W1(qh)==Qf.display.maxLine&&(uh=!0),Ef.collapsed&&Uf!=Bd.line&&o1(qh,0),jg(qh,new r_(Ef,Uf==Bd.line?Bd.ch:null,Uf==Wd.line?Wd.ch:null),Ad.cm&&Ad.cm.curOp),++Uf}),Ef.collapsed&&Ad.iter(Bd.line,Wd.line+1,function(qh){c0(Ad,qh)&&o1(qh,0)}),Ef.clearOnEnter&&om(Ef,"beforeCursorEnter",function(){return Ef.clear()}),Ef.readOnly&&(W0(),(Ad.history.done.length||Ad.history.undone.length)&&Ad.clearHistory()),Ef.collapsed&&(Ef.id=++eE,Ef.atomic=!0),Qf){if(uh&&(Qf.curOp.updateMaxLine=!0),Ef.collapsed)J0(Qf,Bd.line,Wd.line+1);else if(Ef.className||Ef.startStyle||Ef.endStyle||Ef.css||Ef.attributes||Ef.title)for(var kh=Bd.line;kh<=Wd.line;kh++)xg(Qf,kh,"text");Ef.atomic&&FE(Qf.doc),Gd(Qf,"markerAdded",Qf,Ef)}return Ef}var Ub=function(Ad,Bd){this.markers=Ad,this.primary=Bd;for(var Wd=0;Wd<Ad.length;++Wd)Ad[Wd].parent=this};Ub.prototype.clear=function(){if(!this.explicitlyCleared){this.explicitlyCleared=!0;for(var Ad=0;Ad<this.markers.length;++Ad)this.markers[Ad].clear();Gd(this,"clear")}},Ub.prototype.find=function(Ad,Bd){return this.primary.find(Ad,Bd)},x1(Ub);function YE(Ad,Bd,Wd,zd,Qd){zd=mf(zd),zd.shared=!1;var Ef=[jb(Ad,Bd,Wd,zd,Qd)],Of=Ef[0],Uf=zd.widgetNode;return Rg(Ad,function(Qf){Uf&&(zd.widgetNode=Uf.cloneNode(!0)),Ef.push(jb(Qf,h1(Qf,Bd),h1(Qf,Wd),zd,Qd));for(var uh=0;uh<Qf.linked.length;++uh)if(Qf.linked[uh].isParent)return;Of=gh(Ef)}),new Ub(Ef,Of)}function KE(Ad){return Ad.findMarks(am(Ad.first,0),Ad.clipPos(am(Ad.lastLine())),function(Bd){return Bd.parent})}function XE(Ad,Bd){for(var Wd=0;Wd<Bd.length;Wd++){var zd=Bd[Wd],Qd=zd.find(),Ef=Ad.clipPos(Qd.from),Of=Ad.clipPos(Qd.to);if(Vm(Ef,Of)){var Uf=jb(Ad,Ef,Of,zd.primary,zd.primary.type);zd.markers.push(Uf),Uf.parent=zd}}}function P2(Ad){for(var Bd=function(zd){var Qd=Ad[zd],Ef=[Qd.primary.doc];Rg(Qd.primary.doc,function(Qf){return Ef.push(Qf)});for(var Of=0;Of<Qd.markers.length;Of++){var Uf=Qd.markers[Of];hh(Ef,Uf.doc)==-1&&(Uf.parent=null,Qd.markers.splice(Of--,1))}},Wd=0;Wd<Ad.length;Wd++)Bd(Wd)}var tE=0,Y0=function(Ad,Bd,Wd,zd,Qd){if(!(this instanceof Y0))return new Y0(Ad,Bd,Wd,zd,Qd);Wd==null&&(Wd=0),uv.call(this,[new lb([new Mf("",null)])]),this.first=Wd,this.scrollTop=this.scrollLeft=0,this.cantEdit=!1,this.cleanGeneration=1,this.modeFrontier=this.highlightFrontier=Wd;var Ef=am(Wd,0);this.sel=Ng(Ef),this.history=new kb(null),this.id=++tE,this.modeOption=Bd,this.lineSep=zd,this.direction=Qd=="rtl"?"rtl":"ltr",this.extend=!1,typeof Ad=="string"&&(Ad=this.splitLines(Ad)),Ib(this,{from:Ef,to:Ef,text:Ad}),q0(this,Ng(Ef),Jf)};Y0.prototype=Rh(uv.prototype,{constructor:Y0,iter:function(Ad,Bd,Wd){Wd?this.iterN(Ad-this.first,Bd-Ad,Wd):this.iterN(this.first,this.first+this.size,Ad)},insert:function(Ad,Bd){for(var Wd=0,zd=0;zd<Bd.length;++zd)Wd+=Bd[zd].height;this.insertInner(Ad-this.first,Bd,Wd)},remove:function(Ad,Bd){this.removeInner(Ad-this.first,Bd)},getValue:function(Ad){var Bd=u1(this,this.first,this.first+this.size);return Ad===!1?Bd:Bd.join(Ad||this.lineSeparator())},setValue:$0(function(Ad){var Bd=am(this.first,0),Wd=this.first+this.size-1;Pb(this,{from:Bd,to:am(Wd,Dm(this,Wd).text.length),text:this.splitLines(Ad),origin:"setValue",full:!0},!0),this.cm&&R0(this.cm,0,0),q0(this,Ng(Bd),Jf)}),replaceRange:function(Ad,Bd,Wd,zd){Bd=h1(this,Bd),Wd=Wd?h1(this,Wd):Bd,ob(this,Ad,Bd,Wd,zd)},getRange:function(Ad,Bd,Wd){var zd=v1(this,h1(this,Ad),h1(this,Bd));return Wd===!1?zd:Wd===""?zd.join(""):zd.join(Wd||this.lineSeparator())},getLine:function(Ad){var Bd=this.getLineHandle(Ad);return Bd&&Bd.text},getLineHandle:function(Ad){if(mm(this,Ad))return Dm(this,Ad)},getLineNumber:function(Ad){return oh(Ad)},getLineHandleVisualStart:function(Ad){return typeof Ad=="number"&&(Ad=Dm(this,Ad)),W1(Ad)},lineCount:function(){return this.size},firstLine:function(){return this.first},lastLine:function(){return this.first+this.size-1},clipPos:function(Ad){return h1(this,Ad)},getCursor:function(Ad){var Bd=this.sel.primary(),Wd;return Ad==null||Ad=="head"?Wd=Bd.head:Ad=="anchor"?Wd=Bd.anchor:Ad=="end"||Ad=="to"||Ad===!1?Wd=Bd.to():Wd=Bd.from(),Wd},listSelections:function(){return this.sel.ranges},somethingSelected:function(){return this.sel.somethingSelected()},setCursor:$0(function(Ad,Bd,Wd){qv(this,h1(this,typeof Ad=="number"?am(Ad,Bd||0):Ad),null,Wd)}),setSelection:$0(function(Ad,Bd,Wd){qv(this,h1(this,Ad),h1(this,Bd||Ad),Wd)}),extendSelection:$0(function(Ad,Bd,Wd){$b(this,h1(this,Ad),Bd&&h1(this,Bd),Wd)}),extendSelections:$0(function(Ad,Bd){Xy(this,j1(this,Ad),Bd)}),extendSelectionsBy:$0(function(Ad,Bd){var Wd=Nh(this.sel.ranges,Ad);Xy(this,j1(this,Wd),Bd)}),setSelections:$0(function(Ad,Bd,Wd){if(Ad.length){for(var zd=[],Qd=0;Qd<Ad.length;Qd++)zd[Qd]=new K1(h1(this,Ad[Qd].anchor),h1(this,Ad[Qd].head||Ad[Qd].anchor));Bd==null&&(Bd=Math.min(Ad.length-1,this.sel.primIndex)),q0(this,B_(this.cm,zd,Bd),Wd)}}),addSelection:$0(function(Ad,Bd,Wd){var zd=this.sel.ranges.slice(0);zd.push(new K1(h1(this,Ad),h1(this,Bd||Ad))),q0(this,B_(this.cm,zd,zd.length-1),Wd)}),getSelection:function(Ad){for(var Bd=this.sel.ranges,Wd,zd=0;zd<Bd.length;zd++){var Qd=v1(this,Bd[zd].from(),Bd[zd].to());Wd=Wd?Wd.concat(Qd):Qd}return Ad===!1?Wd:Wd.join(Ad||this.lineSeparator())},getSelections:function(Ad){for(var Bd=[],Wd=this.sel.ranges,zd=0;zd<Wd.length;zd++){var Qd=v1(this,Wd[zd].from(),Wd[zd].to());Ad!==!1&&(Qd=Qd.join(Ad||this.lineSeparator())),Bd[zd]=Qd}return Bd},replaceSelection:function(Ad,Bd,Wd){for(var zd=[],Qd=0;Qd<this.sel.ranges.length;Qd++)zd[Qd]=Ad;this.replaceSelections(zd,Bd,Wd||"+input")},replaceSelections:$0(function(Ad,Bd,Wd){for(var zd=[],Qd=this.sel,Ef=0;Ef<Qd.ranges.length;Ef++){var Of=Qd.ranges[Ef];zd[Ef]={from:Of.from(),to:Of.to(),text:this.splitLines(Ad[Ef]),origin:Wd}}for(var Uf=Bd&&Bd!="end"&&M2(this,zd,Bd),Qf=zd.length-1;Qf>=0;Qf--)Pb(this,zd[Qf]);Uf?Ig(this,Uf):this.cm&&u0(this.cm)}),undo:$0(function(){kg(this,"undo")}),redo:$0(function(){kg(this,"redo")}),undoSelection:$0(function(){kg(this,"undo",!0)}),redoSelection:$0(function(){kg(this,"redo",!0)}),setExtending:function(Ad){this.extend=Ad},getExtending:function(){return this.extend},historySize:function(){for(var Ad=this.history,Bd=0,Wd=0,zd=0;zd<Ad.done.length;zd++)Ad.done[zd].ranges||++Bd;for(var Qd=0;Qd<Ad.undone.length;Qd++)Ad.undone[Qd].ranges||++Wd;return{undo:Bd,redo:Wd}},clearHistory:function(){var Ad=this;this.history=new kb(this.history),Rg(this,function(Bd){return Bd.history=Ad.history},!0)},markClean:function(){this.cleanGeneration=this.changeGeneration(!0)},changeGeneration:function(Ad){return Ad&&(this.history.lastOp=this.history.lastSelOp=this.history.lastOrigin=null),this.history.generation},isClean:function(Ad){return this.history.generation==(Ad||this.cleanGeneration)},getHistory:function(){return{done:sb(this.history.done),undone:sb(this.history.undone)}},setHistory:function(Ad){var Bd=this.history=new kb(this.history);Bd.done=sb(Ad.done.slice(0),null,!0),Bd.undone=sb(Ad.undone.slice(0),null,!0)},setGutterMarker:$0(function(Ad,Bd,Wd){return Fb(this,Ad,"gutter",function(zd){var Qd=zd.gutterMarkers||(zd.gutterMarkers={});return Qd[Bd]=Wd,!Wd&&sm(Qd)&&(zd.gutterMarkers=null),!0})}),clearGutter:$0(function(Ad){var Bd=this;this.iter(function(Wd){Wd.gutterMarkers&&Wd.gutterMarkers[Ad]&&Fb(Bd,Wd,"gutter",function(){return Wd.gutterMarkers[Ad]=null,sm(Wd.gutterMarkers)&&(Wd.gutterMarkers=null),!0})})}),lineInfo:function(Ad){var Bd;if(typeof Ad=="number"){if(!mm(this,Ad)||(Bd=Ad,Ad=Dm(this,Ad),!Ad))return null}else if(Bd=oh(Ad),Bd==null)return null;return{line:Bd,handle:Ad,text:Ad.text,gutterMarkers:Ad.gutterMarkers,textClass:Ad.textClass,bgClass:Ad.bgClass,wrapClass:Ad.wrapClass,widgets:Ad.widgets}},addLineClass:$0(function(Ad,Bd,Wd){return Fb(this,Ad,Bd=="gutter"?"gutter":"class",function(zd){var Qd=Bd=="text"?"textClass":Bd=="background"?"bgClass":Bd=="gutter"?"gutterClass":"wrapClass";if(!zd[Qd])zd[Qd]=Wd;else{if(Vd(Wd).test(zd[Qd]))return!1;zd[Qd]+=" "+Wd}return!0})}),removeLineClass:$0(function(Ad,Bd,Wd){return Fb(this,Ad,Bd=="gutter"?"gutter":"class",function(zd){var Qd=Bd=="text"?"textClass":Bd=="background"?"bgClass":Bd=="gutter"?"gutterClass":"wrapClass",Ef=zd[Qd];if(Ef)if(Wd==null)zd[Qd]=null;else{var Of=Ef.match(Vd(Wd));if(!Of)return!1;var Uf=Of.index+Of[0].length;zd[Qd]=Ef.slice(0,Of.index)+(!Of.index||Uf==Ef.length?"":" ")+Ef.slice(Uf)||null}else return!1;return!0})}),addLineWidget:$0(function(Ad,Bd,Wd){return WE(this,Ad,Bd,Wd)}),removeLineWidget:function(Ad){Ad.clear()},markText:function(Ad,Bd,Wd){return jb(this,h1(this,Ad),h1(this,Bd),Wd,Wd&&Wd.type||"range")},setBookmark:function(Ad,Bd){var Wd={replacedWith:Bd&&(Bd.nodeType==null?Bd.widget:Bd),insertLeft:Bd&&Bd.insertLeft,clearWhenEmpty:!1,shared:Bd&&Bd.shared,handleMouseEvents:Bd&&Bd.handleMouseEvents};return Ad=h1(this,Ad),jb(this,Ad,Ad,Wd,"bookmark")},findMarksAt:function(Ad){Ad=h1(this,Ad);var Bd=[],Wd=Dm(this,Ad.line).markedSpans;if(Wd)for(var zd=0;zd<Wd.length;++zd){var Qd=Wd[zd];(Qd.from==null||Qd.from<=Ad.ch)&&(Qd.to==null||Qd.to>=Ad.ch)&&Bd.push(Qd.marker.parent||Qd.marker)}return Bd},findMarks:function(Ad,Bd,Wd){Ad=h1(this,Ad),Bd=h1(this,Bd);var zd=[],Qd=Ad.line;return this.iter(Ad.line,Bd.line+1,function(Ef){var Of=Ef.markedSpans;if(Of)for(var Uf=0;Uf<Of.length;Uf++){var Qf=Of[Uf];!(Qf.to!=null&&Qd==Ad.line&&Ad.ch>=Qf.to||Qf.from==null&&Qd!=Ad.line||Qf.from!=null&&Qd==Bd.line&&Qf.from>=Bd.ch)&&(!Wd||Wd(Qf.marker))&&zd.push(Qf.marker.parent||Qf.marker)}++Qd}),zd},getAllMarks:function(){var Ad=[];return this.iter(function(Bd){var Wd=Bd.markedSpans;if(Wd)for(var zd=0;zd<Wd.length;++zd)Wd[zd].from!=null&&Ad.push(Wd[zd].marker)}),Ad},posFromIndex:function(Ad){var Bd,Wd=this.first,zd=this.lineSeparator().length;return this.iter(function(Qd){var Ef=Qd.text.length+zd;if(Ef>Ad)return Bd=Ad,!0;Ad-=Ef,++Wd}),h1(this,am(Wd,Bd))},indexFromPos:function(Ad){Ad=h1(this,Ad);var Bd=Ad.ch;if(Ad.line<this.first||Ad.ch<0)return 0;var Wd=this.lineSeparator().length;return this.iter(this.first,Ad.line,function(zd){Bd+=zd.text.length+Wd}),Bd},copy:function(Ad){var Bd=new Y0(u1(this,this.first,this.first+this.size),this.modeOption,this.first,this.lineSep,this.direction);return Bd.scrollTop=this.scrollTop,Bd.scrollLeft=this.scrollLeft,Bd.sel=this.sel,Bd.extend=!1,Ad&&(Bd.history.undoDepth=this.history.undoDepth,Bd.setHistory(this.getHistory())),Bd},linkedDoc:function(Ad){Ad||(Ad={});var Bd=this.first,Wd=this.first+this.size;Ad.from!=null&&Ad.from>Bd&&(Bd=Ad.from),Ad.to!=null&&Ad.to<Wd&&(Wd=Ad.to);var zd=new Y0(u1(this,Bd,Wd),Ad.mode||this.modeOption,Bd,this.lineSep,this.direction);return Ad.sharedHist&&(zd.history=this.history),(this.linked||(this.linked=[])).push({doc:zd,sharedHist:Ad.sharedHist}),zd.linked=[{doc:this,isParent:!0,sharedHist:Ad.sharedHist}],XE(zd,KE(this)),zd},unlinkDoc:function(Ad){if(Ad instanceof G1&&(Ad=Ad.doc),this.linked)for(var Bd=0;Bd<this.linked.length;++Bd){var Wd=this.linked[Bd];if(Wd.doc==Ad){this.linked.splice(Bd,1),Ad.unlinkDoc(this),P2(KE(this));break}}if(Ad.history==this.history){var zd=[Ad.id];Rg(Ad,function(Qd){return zd.push(Qd.id)},!0),Ad.history=new kb(null),Ad.history.done=sb(this.history.done,zd),Ad.history.undone=sb(this.history.undone,zd)}},iterLinkedDocs:function(Ad){Rg(this,Ad)},getMode:function(){return this.mode},getEditor:function(){return this.cm},splitLines:function(Ad){return this.lineSep?Ad.split(this.lineSep):yf(Ad)},lineSeparator:function(){return this.lineSep||`
|
||
`},setDirection:$0(function(Ad){Ad!="rtl"&&(Ad="ltr"),Ad!=this.direction&&(this.direction=Ad,this.iter(function(Bd){return Bd.order=null}),this.cm&&hg(this.cm))})}),Y0.prototype.eachLine=Y0.prototype.iter;var zv=0;function F2(Ad){var Bd=this;if(QE(Bd),!(Jm(Bd,Ad)||Y1(Bd.display,Ad))){Xm(Ad),ld&&(zv=+new Date);var Wd=Y_(Bd,Ad,!0),zd=Ad.dataTransfer.files;if(!(!Wd||Bd.isReadOnly()))if(zd&&zd.length&&window.FileReader&&window.File)for(var Qd=zd.length,Ef=Array(Qd),Of=0,Uf=function(){++Of==Qd&&t0(Bd,function(){Wd=h1(Bd.doc,Wd);var Kh={from:Wd,to:Wd,text:Bd.doc.splitLines(Ef.filter(function(hm){return hm!=null}).join(Bd.doc.lineSeparator())),origin:"paste"};Pb(Bd.doc,Kh),Ig(Bd.doc,Ng(h1(Bd.doc,Wd),h1(Bd.doc,Dg(Kh))))})()},Qf=function(Kh,hm){if(Bd.options.allowDropFileTypes&&hh(Bd.options.allowDropFileTypes,Kh.type)==-1){Uf();return}var Nm=new FileReader;Nm.onerror=function(){return Uf()},Nm.onload=function(){var Hm=Nm.result;if(/[\x00-\x08\x0e-\x1f]{2}/.test(Hm)){Uf();return}Ef[hm]=Hm,Uf()},Nm.readAsText(Kh)},uh=0;uh<zd.length;uh++)Qf(zd[uh],uh);else{if(Bd.state.draggingText&&Bd.doc.sel.contains(Wd)>-1){Bd.state.draggingText(Ad),setTimeout(function(){return Bd.display.input.focus()},20);return}try{var kh=Ad.dataTransfer.getData("Text");if(kh){var qh;if(Bd.state.draggingText&&!Bd.state.draggingText.copy&&(qh=Bd.listSelections()),H0(Bd.doc,Ng(Wd,Wd)),qh)for(var Qh=0;Qh<qh.length;++Qh)ob(Bd.doc,"",qh[Qh].anchor,qh[Qh].head,"drag");Bd.replaceSelection(kh,"around","paste"),Bd.display.input.focus()}}catch{}}}}function rE(Ad,Bd){if(ld&&(!Ad.state.draggingText||+new Date-zv<100)){V1(Bd);return}if(!(Jm(Ad,Bd)||Y1(Ad.display,Bd))&&(Bd.dataTransfer.setData("Text",Ad.getSelection()),Bd.dataTransfer.effectAllowed="copyMove",Bd.dataTransfer.setDragImage&&!Td)){var Wd=Xd("img",null,null,"position: fixed; left: 0; top: 0;");Wd.src="data:image/gif;base64,R0lGODlhAQABAAAAACH5BAEKAAEALAAAAAABAAEAAAICTAEAOw==",Ed&&(Wd.width=Wd.height=1,Ad.display.wrapper.appendChild(Wd),Wd._top=Wd.offsetTop),Bd.dataTransfer.setDragImage(Wd,0,0),Ed&&Wd.parentNode.removeChild(Wd)}}function $1(Ad,Bd){var Wd=Y_(Ad,Bd);if(Wd){var zd=document.createDocumentFragment();$v(Ad,Wd,zd),Ad.display.dragCursor||(Ad.display.dragCursor=Xd("div",null,"CodeMirror-cursors CodeMirror-dragcursors"),Ad.display.lineSpace.insertBefore(Ad.display.dragCursor,Ad.display.cursorDiv)),pf(Ad.display.dragCursor,zd)}}function QE(Ad){Ad.display.dragCursor&&(Ad.display.lineSpace.removeChild(Ad.display.dragCursor),Ad.display.dragCursor=null)}function JE(Ad){if(document.getElementsByClassName){for(var Bd=document.getElementsByClassName("CodeMirror"),Wd=[],zd=0;zd<Bd.length;zd++){var Qd=Bd[zd].CodeMirror;Qd&&Wd.push(Qd)}Wd.length&&Wd[0].operation(function(){for(var Ef=0;Ef<Wd.length;Ef++)Ad(Wd[Ef])})}}var qb=!1;function ZE(){qb||(j2(),qb=!0)}function j2(){var Ad;om(window,"resize",function(){Ad==null&&(Ad=setTimeout(function(){Ad=null,JE(U2)},100))}),om(window,"blur",function(){return JE(Nb)})}function U2(Ad){var Bd=Ad.display;Bd.cachedCharWidth=Bd.cachedTextHeight=Bd.cachedPaddingH=null,Bd.scrollbarsClipped=!1,Ad.setSize()}for(var L0={3:"Pause",8:"Backspace",9:"Tab",13:"Enter",16:"Shift",17:"Ctrl",18:"Alt",19:"Pause",20:"CapsLock",27:"Esc",32:"Space",33:"PageUp",34:"PageDown",35:"End",36:"Home",37:"Left",38:"Up",39:"Right",40:"Down",44:"PrintScrn",45:"Insert",46:"Delete",59:";",61:"=",91:"Mod",92:"Mod",93:"Mod",106:"*",107:"=",109:"-",110:".",111:"/",145:"ScrollLock",173:"-",186:";",187:"=",188:",",189:"-",190:".",191:"/",192:"`",219:"[",220:"\\",221:"]",222:"'",224:"Mod",63232:"Up",63233:"Down",63234:"Left",63235:"Right",63272:"Delete",63273:"Home",63275:"End",63276:"PageUp",63277:"PageDown",63302:"Insert"},dv=0;dv<10;dv++)L0[dv+48]=L0[dv+96]=String(dv);for(var ub=65;ub<=90;ub++)L0[ub]=String.fromCharCode(ub);for(var db=1;db<=12;db++)L0[db+111]=L0[db+63235]="F"+db;var Q_={};Q_.basic={Left:"goCharLeft",Right:"goCharRight",Up:"goLineUp",Down:"goLineDown",End:"goLineEnd",Home:"goLineStartSmart",PageUp:"goPageUp",PageDown:"goPageDown",Delete:"delCharAfter",Backspace:"delCharBefore","Shift-Backspace":"delCharBefore",Tab:"defaultTab","Shift-Tab":"indentAuto",Enter:"newlineAndIndent",Insert:"toggleOverwrite",Esc:"singleSelection"},Q_.pcDefault={"Ctrl-A":"selectAll","Ctrl-D":"deleteLine","Ctrl-Z":"undo","Shift-Ctrl-Z":"redo","Ctrl-Y":"redo","Ctrl-Home":"goDocStart","Ctrl-End":"goDocEnd","Ctrl-Up":"goLineUp","Ctrl-Down":"goLineDown","Ctrl-Left":"goGroupLeft","Ctrl-Right":"goGroupRight","Alt-Left":"goLineStart","Alt-Right":"goLineEnd","Ctrl-Backspace":"delGroupBefore","Ctrl-Delete":"delGroupAfter","Ctrl-S":"save","Ctrl-F":"find","Ctrl-G":"findNext","Shift-Ctrl-G":"findPrev","Shift-Ctrl-F":"replace","Shift-Ctrl-R":"replaceAll","Ctrl-[":"indentLess","Ctrl-]":"indentMore","Ctrl-U":"undoSelection","Shift-Ctrl-U":"redoSelection","Alt-U":"redoSelection",fallthrough:"basic"},Q_.emacsy={"Ctrl-F":"goCharRight","Ctrl-B":"goCharLeft","Ctrl-P":"goLineUp","Ctrl-N":"goLineDown","Ctrl-A":"goLineStart","Ctrl-E":"goLineEnd","Ctrl-V":"goPageDown","Shift-Ctrl-V":"goPageUp","Ctrl-D":"delCharAfter","Ctrl-H":"delCharBefore","Alt-Backspace":"delWordBefore","Ctrl-K":"killLine","Ctrl-T":"transposeChars","Ctrl-O":"openLine"},Q_.macDefault={"Cmd-A":"selectAll","Cmd-D":"deleteLine","Cmd-Z":"undo","Shift-Cmd-Z":"redo","Cmd-Y":"redo","Cmd-Home":"goDocStart","Cmd-Up":"goDocStart","Cmd-End":"goDocEnd","Cmd-Down":"goDocEnd","Alt-Left":"goGroupLeft","Alt-Right":"goGroupRight","Cmd-Left":"goLineLeft","Cmd-Right":"goLineRight","Alt-Backspace":"delGroupBefore","Ctrl-Alt-Backspace":"delGroupAfter","Alt-Delete":"delGroupAfter","Cmd-S":"save","Cmd-F":"find","Cmd-G":"findNext","Shift-Cmd-G":"findPrev","Cmd-Alt-F":"replace","Shift-Cmd-Alt-F":"replaceAll","Cmd-[":"indentLess","Cmd-]":"indentMore","Cmd-Backspace":"delWrappedLineLeft","Cmd-Delete":"delWrappedLineRight","Cmd-U":"undoSelection","Shift-Cmd-U":"redoSelection","Ctrl-Up":"goDocStart","Ctrl-Down":"goDocEnd",fallthrough:["basic","emacsy"]},Q_.default=Ld?Q_.macDefault:Q_.pcDefault;function e2(Ad){var Bd=Ad.split(/-(?!$)/);Ad=Bd[Bd.length-1];for(var Wd,zd,Qd,Ef,Of=0;Of<Bd.length-1;Of++){var Uf=Bd[Of];if(/^(cmd|meta|m)$/i.test(Uf))Ef=!0;else if(/^a(lt)?$/i.test(Uf))Wd=!0;else if(/^(c|ctrl|control)$/i.test(Uf))zd=!0;else if(/^s(hift)?$/i.test(Uf))Qd=!0;else throw new Error("Unrecognized modifier name: "+Uf)}return Wd&&(Ad="Alt-"+Ad),zd&&(Ad="Ctrl-"+Ad),Ef&&(Ad="Cmd-"+Ad),Qd&&(Ad="Shift-"+Ad),Ad}function p_(Ad){var Bd={};for(var Wd in Ad)if(Ad.hasOwnProperty(Wd)){var zd=Ad[Wd];if(/^(name|fallthrough|(de|at)tach)$/.test(Wd))continue;if(zd=="..."){delete Ad[Wd];continue}for(var Qd=Nh(Wd.split(" "),e2),Ef=0;Ef<Qd.length;Ef++){var Of=void 0,Uf=void 0;Ef==Qd.length-1?(Uf=Qd.join(" "),Of=zd):(Uf=Qd.slice(0,Ef+1).join(" "),Of="...");var Qf=Bd[Uf];if(!Qf)Bd[Uf]=Of;else if(Qf!=Of)throw new Error("Inconsistent bindings for "+Uf)}delete Ad[Wd]}for(var uh in Bd)Ad[uh]=Bd[uh];return Ad}function f_(Ad,Bd,Wd,zd){Bd=fv(Bd);var Qd=Bd.call?Bd.call(Ad,zd):Bd[Ad];if(Qd===!1)return"nothing";if(Qd==="...")return"multi";if(Qd!=null&&Wd(Qd))return"handled";if(Bd.fallthrough){if(Object.prototype.toString.call(Bd.fallthrough)!="[object Array]")return f_(Ad,Bd.fallthrough,Wd,zd);for(var Ef=0;Ef<Bd.fallthrough.length;Ef++){var Of=f_(Ad,Bd.fallthrough[Ef],Wd,zd);if(Of)return Of}}}function Vv(Ad){var Bd=typeof Ad=="string"?Ad:L0[Ad.keyCode];return Bd=="Ctrl"||Bd=="Alt"||Bd=="Shift"||Bd=="Mod"}function nE(Ad,Bd,Wd){var zd=Ad;return Bd.altKey&&zd!="Alt"&&(Ad="Alt-"+Ad),(Ud?Bd.metaKey:Bd.ctrlKey)&&zd!="Ctrl"&&(Ad="Ctrl-"+Ad),(Ud?Bd.ctrlKey:Bd.metaKey)&&zd!="Mod"&&(Ad="Cmd-"+Ad),!Wd&&Bd.shiftKey&&zd!="Shift"&&(Ad="Shift-"+Ad),Ad}function pv(Ad,Bd){if(Ed&&Ad.keyCode==34&&Ad.char)return!1;var Wd=L0[Ad.keyCode];return Wd==null||Ad.altGraphKey?!1:(Ad.keyCode==3&&Ad.code&&(Wd=Ad.code),nE(Wd,Ad,Bd))}function fv(Ad){return typeof Ad=="string"?Q_[Ad]:Ad}function Og(Ad,Bd){for(var Wd=Ad.doc.sel.ranges,zd=[],Qd=0;Qd<Wd.length;Qd++){for(var Ef=Bd(Wd[Qd]);zd.length&&Vm(Ef.from,gh(zd).to)<=0;){var Of=zd.pop();if(Vm(Of.from,Ef.from)<0){Ef.from=Of.from;break}}zd.push(Ef)}z0(Ad,function(){for(var Uf=zd.length-1;Uf>=0;Uf--)ob(Ad.doc,"",zd[Uf].from,zd[Uf].to,"+delete");u0(Ad)})}function Wv(Ad,Bd,Wd){var zd=Rf(Ad.text,Bd+Wd,Wd);return zd<0||zd>Ad.text.length?null:zd}function hv(Ad,Bd,Wd){var zd=Wv(Ad,Bd.ch,Wd);return zd==null?null:new am(Bd.line,zd,Wd<0?"after":"before")}function mv(Ad,Bd,Wd,zd,Qd){if(Ad){Bd.doc.direction=="rtl"&&(Qd=-Qd);var Ef=Xh(Wd,Bd.doc.direction);if(Ef){var Of=Qd<0?gh(Ef):Ef[0],Uf=Qd<0==(Of.level==1),Qf=Uf?"after":"before",uh;if(Of.level>0||Bd.doc.direction=="rtl"){var kh=c_(Bd,Wd);uh=Qd<0?Wd.text.length-1:0;var qh=u_(Bd,kh,uh).top;uh=Df(function(Qh){return u_(Bd,kh,Qh).top==qh},Qd<0==(Of.level==1)?Of.from:Of.to-1,uh),Qf=="before"&&(uh=Wv(Wd,uh,1))}else uh=Qd<0?Of.to:Of.from;return new am(zd,uh,Qf)}}return new am(zd,Qd<0?Wd.text.length:0,Qd<0?"before":"after")}function $g(Ad,Bd,Wd,zd){var Qd=Xh(Bd,Ad.doc.direction);if(!Qd)return hv(Bd,Wd,zd);Wd.ch>=Bd.text.length?(Wd.ch=Bd.text.length,Wd.sticky="before"):Wd.ch<=0&&(Wd.ch=0,Wd.sticky="after");var Ef=Lh(Qd,Wd.ch,Wd.sticky),Of=Qd[Ef];if(Ad.doc.direction=="ltr"&&Of.level%2==0&&(zd>0?Of.to>Wd.ch:Of.from<Wd.ch))return hv(Bd,Wd,zd);var Uf=function(Km,Qm){return Wv(Bd,Km instanceof am?Km.ch:Km,Qm)},Qf,uh=function(Km){return Ad.options.lineWrapping?(Qf=Qf||c_(Ad,Bd),i0(Ad,Bd,Qf,Km)):{begin:0,end:Bd.text.length}},kh=uh(Wd.sticky=="before"?Uf(Wd,-1):Wd.ch);if(Ad.doc.direction=="rtl"||Of.level==1){var qh=Of.level==1==zd<0,Qh=Uf(Wd,qh?1:-1);if(Qh!=null&&(qh?Qh<=Of.to&&Qh<=kh.end:Qh>=Of.from&&Qh>=kh.begin)){var Kh=qh?"before":"after";return new am(Wd.line,Qh,Kh)}}var hm=function(Km,Qm,Ym){for(var e1=function(n0,y0){return y0?new am(Wd.line,Uf(n0,1),"before"):new am(Wd.line,n0,"after")};Km>=0&&Km<Qd.length;Km+=Qm){var c1=Qd[Km],l1=Qm>0==(c1.level!=1),D1=l1?Ym.begin:Uf(Ym.end,-1);if(c1.from<=D1&&D1<c1.to||(D1=l1?c1.from:Uf(c1.to,-1),Ym.begin<=D1&&D1<Ym.end))return e1(D1,l1)}},Nm=hm(Ef+zd,zd,kh);if(Nm)return Nm;var Hm=zd>0?kh.end:Uf(kh.begin,-1);return Hm!=null&&!(zd>0&&Hm==Bd.text.length)&&(Nm=hm(zd>0?0:Qd.length-1,zd,uh(Hm)),Nm)?Nm:null}var _v={selectAll:Bb,singleSelection:function(Ad){return Ad.setSelection(Ad.getCursor("anchor"),Ad.getCursor("head"),Jf)},killLine:function(Ad){return Og(Ad,function(Bd){if(Bd.empty()){var Wd=Dm(Ad.doc,Bd.head.line).text.length;return Bd.head.ch==Wd&&Bd.head.line<Ad.lastLine()?{from:Bd.head,to:am(Bd.head.line+1,0)}:{from:Bd.head,to:am(Bd.head.line,Wd)}}else return{from:Bd.from(),to:Bd.to()}})},deleteLine:function(Ad){return Og(Ad,function(Bd){return{from:am(Bd.from().line,0),to:h1(Ad.doc,am(Bd.to().line+1,0))}})},delLineLeft:function(Ad){return Og(Ad,function(Bd){return{from:am(Bd.from().line,0),to:Bd.from()}})},delWrappedLineLeft:function(Ad){return Og(Ad,function(Bd){var Wd=Ad.charCoords(Bd.head,"div").top+5,zd=Ad.coordsChar({left:0,top:Wd},"div");return{from:zd,to:Bd.from()}})},delWrappedLineRight:function(Ad){return Og(Ad,function(Bd){var Wd=Ad.charCoords(Bd.head,"div").top+5,zd=Ad.coordsChar({left:Ad.display.lineDiv.offsetWidth+100,top:Wd},"div");return{from:Bd.from(),to:zd}})},undo:function(Ad){return Ad.undo()},redo:function(Ad){return Ad.redo()},undoSelection:function(Ad){return Ad.undoSelection()},redoSelection:function(Ad){return Ad.redoSelection()},goDocStart:function(Ad){return Ad.extendSelection(am(Ad.firstLine(),0))},goDocEnd:function(Ad){return Ad.extendSelection(am(Ad.lastLine()))},goLineStart:function(Ad){return Ad.extendSelectionsBy(function(Bd){return _g(Ad,Bd.head.line)},{origin:"+move",bias:1})},goLineStartSmart:function(Ad){return Ad.extendSelectionsBy(function(Bd){return iE(Ad,Bd.head)},{origin:"+move",bias:1})},goLineEnd:function(Ad){return Ad.extendSelectionsBy(function(Bd){return t2(Ad,Bd.head.line)},{origin:"+move",bias:-1})},goLineRight:function(Ad){return Ad.extendSelectionsBy(function(Bd){var Wd=Ad.cursorCoords(Bd.head,"div").top+5;return Ad.coordsChar({left:Ad.display.lineDiv.offsetWidth+100,top:Wd},"div")},qf)},goLineLeft:function(Ad){return Ad.extendSelectionsBy(function(Bd){var Wd=Ad.cursorCoords(Bd.head,"div").top+5;return Ad.coordsChar({left:0,top:Wd},"div")},qf)},goLineLeftSmart:function(Ad){return Ad.extendSelectionsBy(function(Bd){var Wd=Ad.cursorCoords(Bd.head,"div").top+5,zd=Ad.coordsChar({left:0,top:Wd},"div");return zd.ch<Ad.getLine(zd.line).search(/\S/)?iE(Ad,Bd.head):zd},qf)},goLineUp:function(Ad){return Ad.moveV(-1,"line")},goLineDown:function(Ad){return Ad.moveV(1,"line")},goPageUp:function(Ad){return Ad.moveV(-1,"page")},goPageDown:function(Ad){return Ad.moveV(1,"page")},goCharLeft:function(Ad){return Ad.moveH(-1,"char")},goCharRight:function(Ad){return Ad.moveH(1,"char")},goColumnLeft:function(Ad){return Ad.moveH(-1,"column")},goColumnRight:function(Ad){return Ad.moveH(1,"column")},goWordLeft:function(Ad){return Ad.moveH(-1,"word")},goGroupRight:function(Ad){return Ad.moveH(1,"group")},goGroupLeft:function(Ad){return Ad.moveH(-1,"group")},goWordRight:function(Ad){return Ad.moveH(1,"word")},delCharBefore:function(Ad){return Ad.deleteH(-1,"codepoint")},delCharAfter:function(Ad){return Ad.deleteH(1,"char")},delWordBefore:function(Ad){return Ad.deleteH(-1,"word")},delWordAfter:function(Ad){return Ad.deleteH(1,"word")},delGroupBefore:function(Ad){return Ad.deleteH(-1,"group")},delGroupAfter:function(Ad){return Ad.deleteH(1,"group")},indentAuto:function(Ad){return Ad.indentSelection("smart")},indentMore:function(Ad){return Ad.indentSelection("add")},indentLess:function(Ad){return Ad.indentSelection("subtract")},insertTab:function(Ad){return Ad.replaceSelection(" ")},insertSoftTab:function(Ad){for(var Bd=[],Wd=Ad.listSelections(),zd=Ad.options.tabSize,Qd=0;Qd<Wd.length;Qd++){var Ef=Wd[Qd].from(),Of=$f(Ad.getLine(Ef.line),Ef.ch,zd);Bd.push(Pf(zd-Of%zd))}Ad.replaceSelections(Bd)},defaultTab:function(Ad){Ad.somethingSelected()?Ad.indentSelection("add"):Ad.execCommand("insertTab")},transposeChars:function(Ad){return z0(Ad,function(){for(var Bd=Ad.listSelections(),Wd=[],zd=0;zd<Bd.length;zd++)if(Bd[zd].empty()){var Qd=Bd[zd].head,Ef=Dm(Ad.doc,Qd.line).text;if(Ef){if(Qd.ch==Ef.length&&(Qd=new am(Qd.line,Qd.ch-1)),Qd.ch>0)Qd=new am(Qd.line,Qd.ch+1),Ad.replaceRange(Ef.charAt(Qd.ch-1)+Ef.charAt(Qd.ch-2),am(Qd.line,Qd.ch-2),Qd,"+transpose");else if(Qd.line>Ad.doc.first){var Of=Dm(Ad.doc,Qd.line-1).text;Of&&(Qd=new am(Qd.line,1),Ad.replaceRange(Ef.charAt(0)+Ad.doc.lineSeparator()+Of.charAt(Of.length-1),am(Qd.line-1,Of.length-1),Qd,"+transpose"))}}Wd.push(new K1(Qd,Qd))}Ad.setSelections(Wd)})},newlineAndIndent:function(Ad){return z0(Ad,function(){for(var Bd=Ad.listSelections(),Wd=Bd.length-1;Wd>=0;Wd--)Ad.replaceRange(Ad.doc.lineSeparator(),Bd[Wd].anchor,Bd[Wd].head,"+input");Bd=Ad.listSelections();for(var zd=0;zd<Bd.length;zd++)Ad.indentLine(Bd[zd].from().line,null,!0);u0(Ad)})},openLine:function(Ad){return Ad.replaceSelection(`
|
||
`,"start")},toggleOverwrite:function(Ad){return Ad.toggleOverwrite()}};function _g(Ad,Bd){var Wd=Dm(Ad.doc,Bd),zd=W1(Wd);return zd!=Wd&&(Bd=oh(zd)),mv(!0,Ad,zd,Bd,1)}function t2(Ad,Bd){var Wd=Dm(Ad.doc,Bd),zd=Vg(Wd);return zd!=Wd&&(Bd=oh(zd)),mv(!0,Ad,Wd,Bd,-1)}function iE(Ad,Bd){var Wd=_g(Ad,Bd.line),zd=Dm(Ad.doc,Wd.line),Qd=Xh(zd,Ad.doc.direction);if(!Qd||Qd[0].level==0){var Ef=Math.max(Wd.ch,zd.text.search(/\S/)),Of=Bd.line==Wd.line&&Bd.ch<=Ef&&Bd.ch;return am(Wd.line,Of?0:Ef,Wd.sticky)}return Wd}function Hb(Ad,Bd,Wd){if(typeof Bd=="string"&&(Bd=_v[Bd],!Bd))return!1;Ad.display.input.ensurePolled();var zd=Ad.display.shift,Qd=!1;try{Ad.isReadOnly()&&(Ad.state.suppressEdits=!0),Wd&&(Ad.display.shift=!1),Qd=Bd(Ad)!=kf}finally{Ad.display.shift=zd,Ad.state.suppressEdits=!1}return Qd}function q2(Ad,Bd,Wd){for(var zd=0;zd<Ad.state.keyMaps.length;zd++){var Qd=f_(Bd,Ad.state.keyMaps[zd],Wd,Ad);if(Qd)return Qd}return Ad.options.extraKeys&&f_(Bd,Ad.options.extraKeys,Wd,Ad)||f_(Bd,Ad.options.keyMap,Wd,Ad)}var H2=new zf;function gv(Ad,Bd,Wd,zd){var Qd=Ad.state.keySeq;if(Qd){if(Vv(Bd))return"handled";if(/\'$/.test(Bd)?Ad.state.keySeq=null:H2.set(50,function(){Ad.state.keySeq==Qd&&(Ad.state.keySeq=null,Ad.display.input.reset())}),aE(Ad,Qd+" "+Bd,Wd,zd))return!0}return aE(Ad,Bd,Wd,zd)}function aE(Ad,Bd,Wd,zd){var Qd=q2(Ad,Bd,zd);return Qd=="multi"&&(Ad.state.keySeq=Bd),Qd=="handled"&&Gd(Ad,"keyHandled",Ad,Bd,Wd),(Qd=="handled"||Qd=="multi")&&(Xm(Wd),Zg(Ad)),!!Qd}function sE(Ad,Bd){var Wd=pv(Bd,!0);return Wd?Bd.shiftKey&&!Ad.state.keySeq?gv(Ad,"Shift-"+Wd,Bd,function(zd){return Hb(Ad,zd,!0)})||gv(Ad,Wd,Bd,function(zd){if(typeof zd=="string"?/^go[A-Z]/.test(zd):zd.motion)return Hb(Ad,zd)}):gv(Ad,Wd,Bd,function(zd){return Hb(Ad,zd)}):!1}function G2(Ad,Bd,Wd){return gv(Ad,"'"+Wd+"'",Bd,function(zd){return Hb(Ad,zd,!0)})}var Yv=null;function oE(Ad){var Bd=this;if(!(Ad.target&&Ad.target!=Bd.display.input.getField())&&(Bd.curOp.focus=Lf(wf(Bd)),!Jm(Bd,Ad))){ld&&cd<11&&Ad.keyCode==27&&(Ad.returnValue=!1);var Wd=Ad.keyCode;Bd.display.shift=Wd==16||Ad.shiftKey;var zd=sE(Bd,Ad);Ed&&(Yv=zd?Wd:null,!zd&&Wd==88&&!sh&&(Ld?Ad.metaKey:Ad.ctrlKey)&&Bd.replaceSelection("",null,"cut")),td&&!Ld&&!zd&&Wd==46&&Ad.shiftKey&&!Ad.ctrlKey&&document.execCommand&&document.execCommand("cut"),Wd==18&&!/\bCodeMirror-crosshair\b/.test(Bd.display.lineDiv.className)&&a_(Bd)}}function a_(Ad){var Bd=Ad.display.lineDiv;Wf(Bd,"CodeMirror-crosshair");function Wd(zd){(zd.keyCode==18||!zd.altKey)&&(Jd(Bd,"CodeMirror-crosshair"),Fm(document,"keyup",Wd),Fm(document,"mouseover",Wd))}om(document,"keyup",Wd),om(document,"mouseover",Wd)}function j_(Ad){Ad.keyCode==16&&(this.doc.sel.shift=!1),Jm(this,Ad)}function r2(Ad){var Bd=this;if(!(Ad.target&&Ad.target!=Bd.display.input.getField())&&!(Y1(Bd.display,Ad)||Jm(Bd,Ad)||Ad.ctrlKey&&!Ad.altKey||Ld&&Ad.metaKey)){var Wd=Ad.keyCode,zd=Ad.charCode;if(Ed&&Wd==Yv){Yv=null,Xm(Ad);return}if(!(Ed&&(!Ad.which||Ad.which<10)&&sE(Bd,Ad))){var Qd=String.fromCharCode(zd??Wd);Qd!="\b"&&(G2(Bd,Ad,Qd)||Bd.display.input.onKeyPress(Ad))}}}var z2=400,Gb=function(Ad,Bd,Wd){this.time=Ad,this.pos=Bd,this.button=Wd};Gb.prototype.compare=function(Ad,Bd,Wd){return this.time+z2>Ad&&Vm(Bd,this.pos)==0&&Wd==this.button};var J_,zb;function n2(Ad,Bd){var Wd=+new Date;return zb&&zb.compare(Wd,Ad,Bd)?(J_=zb=null,"triple"):J_&&J_.compare(Wd,Ad,Bd)?(zb=new Gb(Wd,Ad,Bd),J_=null,"double"):(J_=new Gb(Wd,Ad,Bd),zb=null,"single")}function i2(Ad){var Bd=this,Wd=Bd.display;if(!(Jm(Bd,Ad)||Wd.activeTouch&&Wd.input.supportsTouch())){if(Wd.input.ensurePolled(),Wd.shift=Ad.shiftKey,Y1(Wd,Ad)){ud||(Wd.scroller.draggable=!1,setTimeout(function(){return Wd.scroller.draggable=!0},100));return}if(!Wb(Bd,Ad)){var zd=Y_(Bd,Ad),Qd=I1(Ad),Ef=zd?n2(zd,Qd):"single";Gf(Bd).focus(),Qd==1&&Bd.state.selectingText&&Bd.state.selectingText(Ad),!(zd&&a2(Bd,Qd,zd,Ef,Ad))&&(Qd==1?zd?bv(Bd,zd,Ef,Ad):Z1(Ad)==Wd.scroller&&Xm(Ad):Qd==2?(zd&&$b(Bd.doc,zd),setTimeout(function(){return Wd.input.focus()},20)):Qd==3&&(Hd?Bd.display.input.onContextMenu(Ad):Ab(Bd)))}}}function a2(Ad,Bd,Wd,zd,Qd){var Ef="Click";return zd=="double"?Ef="Double"+Ef:zd=="triple"&&(Ef="Triple"+Ef),Ef=(Bd==1?"Left":Bd==2?"Middle":"Right")+Ef,gv(Ad,nE(Ef,Qd),Qd,function(Of){if(typeof Of=="string"&&(Of=_v[Of]),!Of)return!1;var Uf=!1;try{Ad.isReadOnly()&&(Ad.state.suppressEdits=!0),Uf=Of(Ad,Wd)!=kf}finally{Ad.state.suppressEdits=!1}return Uf})}function Vb(Ad,Bd,Wd){var zd=Ad.getOption("configureMouse"),Qd=zd?zd(Ad,Bd,Wd):{};if(Qd.unit==null){var Ef=Pd?Wd.shiftKey&&Wd.metaKey:Wd.altKey;Qd.unit=Ef?"rectangle":Bd=="single"?"char":Bd=="double"?"word":"line"}return(Qd.extend==null||Ad.doc.extend)&&(Qd.extend=Ad.doc.extend||Wd.shiftKey),Qd.addNew==null&&(Qd.addNew=Ld?Wd.metaKey:Wd.ctrlKey),Qd.moveOnDrag==null&&(Qd.moveOnDrag=!(Ld?Wd.altKey:Wd.ctrlKey)),Qd}function bv(Ad,Bd,Wd,zd){ld?setTimeout(gf(wg,Ad),0):Ad.curOp.focus=Lf(wf(Ad));var Qd=Vb(Ad,Wd,zd),Ef=Ad.doc.sel,Of;Ad.options.dragDrop&&O1&&!Ad.isReadOnly()&&Wd=="single"&&(Of=Ef.contains(Bd))>-1&&(Vm((Of=Ef.ranges[Of]).from(),Bd)<0||Bd.xRel>0)&&(Vm(Of.to(),Bd)>0||Bd.xRel<0)?V2(Ad,zd,Bd,Qd):s2(Ad,zd,Bd,Qd)}function V2(Ad,Bd,Wd,zd){var Qd=Ad.display,Ef=!1,Of=t0(Ad,function(uh){ud&&(Qd.scroller.draggable=!1),Ad.state.draggingText=!1,Ad.state.delayingBlurEvent&&(Ad.hasFocus()?Ad.state.delayingBlurEvent=!1:Ab(Ad)),Fm(Qd.wrapper.ownerDocument,"mouseup",Of),Fm(Qd.wrapper.ownerDocument,"mousemove",Uf),Fm(Qd.scroller,"dragstart",Qf),Fm(Qd.scroller,"drop",Of),Ef||(Xm(uh),zd.addNew||$b(Ad.doc,Wd,null,null,zd.extend),ud&&!Td||ld&&cd==9?setTimeout(function(){Qd.wrapper.ownerDocument.body.focus({preventScroll:!0}),Qd.input.focus()},20):Qd.input.focus())}),Uf=function(uh){Ef=Ef||Math.abs(Bd.clientX-uh.clientX)+Math.abs(Bd.clientY-uh.clientY)>=10},Qf=function(){return Ef=!0};ud&&(Qd.scroller.draggable=!0),Ad.state.draggingText=Of,Of.copy=!zd.moveOnDrag,om(Qd.wrapper.ownerDocument,"mouseup",Of),om(Qd.wrapper.ownerDocument,"mousemove",Uf),om(Qd.scroller,"dragstart",Qf),om(Qd.scroller,"drop",Of),Ad.state.delayingBlurEvent=!0,setTimeout(function(){return Qd.input.focus()},20),Qd.scroller.dragDrop&&Qd.scroller.dragDrop()}function cE(Ad,Bd,Wd){if(Wd=="char")return new K1(Bd,Bd);if(Wd=="word")return Ad.findWordAt(Bd);if(Wd=="line")return new K1(am(Bd.line,0),h1(Ad.doc,am(Bd.line+1,0)));var zd=Wd(Ad,Bd);return new K1(zd.from,zd.to)}function s2(Ad,Bd,Wd,zd){ld&&Ab(Ad);var Qd=Ad.display,Ef=Ad.doc;Xm(Bd);var Of,Uf,Qf=Ef.sel,uh=Qf.ranges;if(zd.addNew&&!zd.extend?(Uf=Ef.sel.contains(Wd),Uf>-1?Of=uh[Uf]:Of=new K1(Wd,Wd)):(Of=Ef.sel.primary(),Uf=Ef.sel.primIndex),zd.unit=="rectangle")zd.addNew||(Of=new K1(Wd,Wd)),Wd=Y_(Ad,Bd,!0,!0),Uf=-1;else{var kh=cE(Ad,Wd,zd.unit);zd.extend?Of=M0(Of,kh.anchor,kh.head,zd.extend):Of=kh}zd.addNew?Uf==-1?(Uf=uh.length,q0(Ef,B_(Ad,uh.concat([Of]),Uf),{scroll:!1,origin:"*mouse"})):uh.length>1&&uh[Uf].empty()&&zd.unit=="char"&&!zd.extend?(q0(Ef,B_(Ad,uh.slice(0,Uf).concat(uh.slice(Uf+1)),0),{scroll:!1,origin:"*mouse"}),Qf=Ef.sel):T_(Ef,Uf,Of,Ch):(Uf=0,q0(Ef,new h0([Of],0),Ch),Qf=Ef.sel);var qh=Wd;function Qh(Ym){if(Vm(qh,Ym)!=0)if(qh=Ym,zd.unit=="rectangle"){for(var e1=[],c1=Ad.options.tabSize,l1=$f(Dm(Ef,Wd.line).text,Wd.ch,c1),D1=$f(Dm(Ef,Ym.line).text,Ym.ch,c1),n0=Math.min(l1,D1),y0=Math.max(l1,D1),o0=Math.min(Wd.line,Ym.line),m0=Math.min(Ad.lastLine(),Math.max(Wd.line,Ym.line));o0<=m0;o0++){var x0=Dm(Ef,o0).text,C0=Tf(x0,n0,c1);n0==y0?e1.push(new K1(am(o0,C0),am(o0,C0))):x0.length>C0&&e1.push(new K1(am(o0,C0),am(o0,Tf(x0,y0,c1))))}e1.length||e1.push(new K1(Wd,Wd)),q0(Ef,B_(Ad,Qf.ranges.slice(0,Uf).concat(e1),Uf),{origin:"*mouse",scroll:!1}),Ad.scrollIntoView(Ym)}else{var K0=Of,G0=cE(Ad,Ym,zd.unit),I0=K0.anchor,w0;Vm(G0.anchor,I0)>0?(w0=G0.head,I0=N0(K0.from(),G0.anchor)):(w0=G0.anchor,I0=q1(K0.to(),G0.head));var _0=Qf.ranges.slice(0);_0[Uf]=Kv(Ad,new K1(h1(Ef,I0),w0)),q0(Ef,B_(Ad,_0,Uf),Ch)}}var Kh=Qd.wrapper.getBoundingClientRect(),hm=0;function Nm(Ym){var e1=++hm,c1=Y_(Ad,Ym,!0,zd.unit=="rectangle");if(c1)if(Vm(c1,qh)!=0){Ad.curOp.focus=Lf(wf(Ad)),Qh(c1);var l1=eb(Qd,Ef);(c1.line>=l1.to||c1.line<l1.from)&&setTimeout(t0(Ad,function(){hm==e1&&Nm(Ym)}),150)}else{var D1=Ym.clientY<Kh.top?-20:Ym.clientY>Kh.bottom?20:0;D1&&setTimeout(t0(Ad,function(){hm==e1&&(Qd.scroller.scrollTop+=D1,Nm(Ym))}),50)}}function Hm(Ym){Ad.state.selectingText=!1,hm=1/0,Ym&&(Xm(Ym),Qd.input.focus()),Fm(Qd.wrapper.ownerDocument,"mousemove",Km),Fm(Qd.wrapper.ownerDocument,"mouseup",Qm),Ef.history.lastSelOrigin=null}var Km=t0(Ad,function(Ym){Ym.buttons===0||!I1(Ym)?Hm(Ym):Nm(Ym)}),Qm=t0(Ad,Hm);Ad.state.selectingText=Qm,om(Qd.wrapper.ownerDocument,"mousemove",Km),om(Qd.wrapper.ownerDocument,"mouseup",Qm)}function Kv(Ad,Bd){var Wd=Bd.anchor,zd=Bd.head,Qd=Dm(Ad.doc,Wd.line);if(Vm(Wd,zd)==0&&Wd.sticky==zd.sticky)return Bd;var Ef=Xh(Qd);if(!Ef)return Bd;var Of=Lh(Ef,Wd.ch,Wd.sticky),Uf=Ef[Of];if(Uf.from!=Wd.ch&&Uf.to!=Wd.ch)return Bd;var Qf=Of+(Uf.from==Wd.ch==(Uf.level!=1)?0:1);if(Qf==0||Qf==Ef.length)return Bd;var uh;if(zd.line!=Wd.line)uh=(zd.line-Wd.line)*(Ad.doc.direction=="ltr"?1:-1)>0;else{var kh=Lh(Ef,zd.ch,zd.sticky),qh=kh-Of||(zd.ch-Wd.ch)*(Uf.level==1?-1:1);kh==Qf-1||kh==Qf?uh=qh<0:uh=qh>0}var Qh=Ef[Qf+(uh?-1:0)],Kh=uh==(Qh.level==1),hm=Kh?Qh.from:Qh.to,Nm=Kh?"after":"before";return Wd.ch==hm&&Wd.sticky==Nm?Bd:new K1(new am(Wd.line,hm,Nm),zd)}function uE(Ad,Bd,Wd,zd){var Qd,Ef;if(Bd.touches)Qd=Bd.touches[0].clientX,Ef=Bd.touches[0].clientY;else try{Qd=Bd.clientX,Ef=Bd.clientY}catch{return!1}if(Qd>=Math.floor(Ad.display.gutters.getBoundingClientRect().right))return!1;zd&&Xm(Bd);var Of=Ad.display,Uf=Of.lineDiv.getBoundingClientRect();if(Ef>Uf.bottom||!R1(Ad,Wd))return y1(Bd);Ef-=Uf.top-Of.viewOffset;for(var Qf=0;Qf<Ad.display.gutterSpecs.length;++Qf){var uh=Of.gutters.childNodes[Qf];if(uh&&uh.getBoundingClientRect().right>=Qd){var kh=Oh(Ad.doc,Ef),qh=Ad.display.gutterSpecs[Qf];return Om(Ad,Wd,Ad,kh,qh.className,Bd),y1(Bd)}}}function Wb(Ad,Bd){return uE(Ad,Bd,"gutterClick",!0)}function Xv(Ad,Bd){Y1(Ad.display,Bd)||Zv(Ad,Bd)||Jm(Ad,Bd,"contextmenu")||Hd||Ad.display.input.onContextMenu(Bd)}function Zv(Ad,Bd){return R1(Ad,"gutterContextMenu")?uE(Ad,Bd,"gutterContextMenu",!1):!1}function o2(Ad){Ad.display.wrapper.className=Ad.display.wrapper.className.replace(/\s*cm-s-\S+/g,"")+Ad.options.theme.replace(/(^|\s)\s*/g," cm-s-"),ev(Ad)}var pb={toString:function(){return"CodeMirror.Init"}},vv={},yv={};function dE(Ad){var Bd=Ad.optionHandlers;function Wd(zd,Qd,Ef,Of){Ad.defaults[zd]=Qd,Ef&&(Bd[zd]=Of?function(Uf,Qf,uh){uh!=pb&&Ef(Uf,Qf,uh)}:Ef)}Ad.defineOption=Wd,Ad.Init=pb,Wd("value","",function(zd,Qd){return zd.setValue(Qd)},!0),Wd("mode",null,function(zd,Qd){zd.doc.modeOption=Qd,Vy(zd)},!0),Wd("indentUnit",2,Vy,!0),Wd("indentWithTabs",!1),Wd("smartIndent",!0),Wd("tabSize",4,function(zd){ab(zd),ev(zd),J0(zd)},!0),Wd("lineSeparator",null,function(zd,Qd){if(zd.doc.lineSep=Qd,!!Qd){var Ef=[],Of=zd.doc.first;zd.doc.iter(function(Qf){for(var uh=0;;){var kh=Qf.text.indexOf(Qd,uh);if(kh==-1)break;uh=kh+Qd.length,Ef.push(am(Of,kh))}Of++});for(var Uf=Ef.length-1;Uf>=0;Uf--)ob(zd.doc,Qd,Ef[Uf],am(Ef[Uf].line,Ef[Uf].ch+Qd.length))}}),Wd("specialChars",/[\u0000-\u001f\u007f-\u009f\u00ad\u061c\u200b\u200e\u200f\u2028\u2029\u202d\u202e\u2066\u2067\u2069\ufeff\ufff9-\ufffc]/g,function(zd,Qd,Ef){zd.state.specialChars=new RegExp(Qd.source+(Qd.test(" ")?"":"| "),"g"),Ef!=pb&&zd.refresh()}),Wd("specialCharPlaceholder",l_,function(zd){return zd.refresh()},!0),Wd("electricChars",!0),Wd("inputStyle",Md?"contenteditable":"textarea",function(){throw new Error("inputStyle can not (yet) be changed in a running editor")},!0),Wd("spellcheck",!1,function(zd,Qd){return zd.getInputField().spellcheck=Qd},!0),Wd("autocorrect",!1,function(zd,Qd){return zd.getInputField().autocorrect=Qd},!0),Wd("autocapitalize",!1,function(zd,Qd){return zd.getInputField().autocapitalize=Qd},!0),Wd("rtlMoveVisually",!qd),Wd("wholeLineUpdateBefore",!0),Wd("theme","default",function(zd){o2(zd),ov(zd)},!0),Wd("keyMap","default",function(zd,Qd,Ef){var Of=fv(Qd),Uf=Ef!=pb&&fv(Ef);Uf&&Uf.detach&&Uf.detach(zd,Of),Of.attach&&Of.attach(zd,Uf||null)}),Wd("extraKeys",null),Wd("configureMouse",null),Wd("lineWrapping",!1,l2,!0),Wd("gutters",[],function(zd,Qd){zd.display.gutterSpecs=L_(Qd,zd.options.lineNumbers),ov(zd)},!0),Wd("fixedGutter",!0,function(zd,Qd){zd.display.gutters.style.left=Qd?J1(zd.display)+"px":"0",zd.refresh()},!0),Wd("coverGutterNextToScrollbar",!1,function(zd){return K_(zd)},!0),Wd("scrollbarStyle","native",function(zd){NE(zd),K_(zd),zd.display.scrollbars.setScrollTop(zd.doc.scrollTop),zd.display.scrollbars.setScrollLeft(zd.doc.scrollLeft)},!0),Wd("lineNumbers",!1,function(zd,Qd){zd.display.gutterSpecs=L_(zd.options.gutters,Qd),ov(zd)},!0),Wd("firstLineNumber",1,ov,!0),Wd("lineNumberFormatter",function(zd){return zd},ov,!0),Wd("showCursorWhenSelecting",!1,Cg,!0),Wd("resetSelectionOnContextMenu",!0),Wd("lineWiseCopyCut",!0),Wd("pasteLinesPerSelection",!0),Wd("selectionsMayTouch",!1),Wd("readOnly",!1,function(zd,Qd){Qd=="nocursor"&&(Nb(zd),zd.display.input.blur()),zd.display.input.readOnlyChanged(Qd)}),Wd("screenReaderLabel",null,function(zd,Qd){Qd=Qd===""?null:Qd,zd.display.input.screenReaderLabelChanged(Qd)}),Wd("disableInput",!1,function(zd,Qd){Qd||zd.display.input.reset()},!0),Wd("dragDrop",!0,W2),Wd("allowDropFileTypes",null),Wd("cursorBlinkRate",530),Wd("cursorScrollMargin",0),Wd("cursorHeight",1,Cg,!0),Wd("singleCursorHeightPerLine",!0,Cg,!0),Wd("workTime",100),Wd("workDelay",100),Wd("flattenSpans",!0,ab,!0),Wd("addModeClass",!1,ab,!0),Wd("pollInterval",100),Wd("undoDepth",200,function(zd,Qd){return zd.doc.history.undoDepth=Qd}),Wd("historyEventDelay",1250),Wd("viewportMargin",10,function(zd){return zd.refresh()},!0),Wd("maxHighlightLength",1e4,ab,!0),Wd("moveInputWithCursor",!0,function(zd,Qd){Qd||zd.display.input.resetPosition()}),Wd("tabindex",null,function(zd,Qd){return zd.display.input.getField().tabIndex=Qd||""}),Wd("autofocus",null),Wd("direction","ltr",function(zd,Qd){return zd.doc.setDirection(Qd)},!0),Wd("phrases",null)}function W2(Ad,Bd,Wd){var zd=Wd&&Wd!=pb;if(!Bd!=!zd){var Qd=Ad.display.dragFunctions,Ef=Bd?om:Fm;Ef(Ad.display.scroller,"dragstart",Qd.start),Ef(Ad.display.scroller,"dragenter",Qd.enter),Ef(Ad.display.scroller,"dragover",Qd.over),Ef(Ad.display.scroller,"dragleave",Qd.leave),Ef(Ad.display.scroller,"drop",Qd.drop)}}function l2(Ad){Ad.options.lineWrapping?(Wf(Ad.display.wrapper,"CodeMirror-wrap"),Ad.display.sizer.style.minWidth="",Ad.display.sizerWidth=null):(Jd(Ad.display.wrapper,"CodeMirror-wrap"),Cf(Ad)),kv(Ad),J0(Ad),ev(Ad),setTimeout(function(){return K_(Ad)},100)}function G1(Ad,Bd){var Wd=this;if(!(this instanceof G1))return new G1(Ad,Bd);this.options=Bd=Bd?mf(Bd):{},mf(vv,Bd,!1);var zd=Bd.value;typeof zd=="string"?zd=new Y0(zd,Bd.mode,null,Bd.lineSeparator,Bd.direction):Bd.mode&&(zd.modeOption=Bd.mode),this.doc=zd;var Qd=new G1.inputStyles[Bd.inputStyle](this),Ef=this.display=new O2(Ad,zd,Qd,Bd);Ef.wrapper.CodeMirror=this,o2(this),Bd.lineWrapping&&(this.display.wrapper.className+=" CodeMirror-wrap"),NE(this),this.state={keyMaps:[],overlays:[],modeGen:0,overwrite:!1,delayingBlurEvent:!1,focused:!1,suppressEdits:!1,pasteIncoming:-1,cutIncoming:-1,selectingText:!1,draggingText:!1,highlight:new zf,keySeq:null,specialChars:null},Bd.autofocus&&!Md&&Ef.input.focus(),ld&&cd<11&&setTimeout(function(){return Wd.display.input.reset(!0)},20),c2(this),ZE(),nb(this),this.curOp.forceUpdate=!0,Wy(this,zd),Bd.autofocus&&!Md||this.hasFocus()?setTimeout(function(){Wd.hasFocus()&&!Wd.state.focused&&By(Wd)},20):Nb(this);for(var Of in yv)yv.hasOwnProperty(Of)&&yv[Of](this,Bd[Of],pb);kE(this),Bd.finishInit&&Bd.finishInit(this);for(var Uf=0;Uf<ey.length;++Uf)ey[Uf](this);ib(this),ud&&Bd.lineWrapping&&getComputedStyle(Ef.lineDiv).textRendering=="optimizelegibility"&&(Ef.lineDiv.style.textRendering="auto")}G1.defaults=vv,G1.optionHandlers=yv;function c2(Ad){var Bd=Ad.display;om(Bd.scroller,"mousedown",t0(Ad,i2)),ld&&cd<11?om(Bd.scroller,"dblclick",t0(Ad,function(Qf){if(!Jm(Ad,Qf)){var uh=Y_(Ad,Qf);if(!(!uh||Wb(Ad,Qf)||Y1(Ad.display,Qf))){Xm(Qf);var kh=Ad.findWordAt(uh);$b(Ad.doc,kh.anchor,kh.head)}}})):om(Bd.scroller,"dblclick",function(Qf){return Jm(Ad,Qf)||Xm(Qf)}),om(Bd.scroller,"contextmenu",function(Qf){return Xv(Ad,Qf)}),om(Bd.input.getField(),"contextmenu",function(Qf){Bd.scroller.contains(Qf.target)||Xv(Ad,Qf)});var Wd,zd={end:0};function Qd(){Bd.activeTouch&&(Wd=setTimeout(function(){return Bd.activeTouch=null},1e3),zd=Bd.activeTouch,zd.end=+new Date)}function Ef(Qf){if(Qf.touches.length!=1)return!1;var uh=Qf.touches[0];return uh.radiusX<=1&&uh.radiusY<=1}function Of(Qf,uh){if(uh.left==null)return!0;var kh=uh.left-Qf.left,qh=uh.top-Qf.top;return kh*kh+qh*qh>20*20}om(Bd.scroller,"touchstart",function(Qf){if(!Jm(Ad,Qf)&&!Ef(Qf)&&!Wb(Ad,Qf)){Bd.input.ensurePolled(),clearTimeout(Wd);var uh=+new Date;Bd.activeTouch={start:uh,moved:!1,prev:uh-zd.end<=300?zd:null},Qf.touches.length==1&&(Bd.activeTouch.left=Qf.touches[0].pageX,Bd.activeTouch.top=Qf.touches[0].pageY)}}),om(Bd.scroller,"touchmove",function(){Bd.activeTouch&&(Bd.activeTouch.moved=!0)}),om(Bd.scroller,"touchend",function(Qf){var uh=Bd.activeTouch;if(uh&&!Y1(Bd,Qf)&&uh.left!=null&&!uh.moved&&new Date-uh.start<300){var kh=Ad.coordsChar(Bd.activeTouch,"page"),qh;!uh.prev||Of(uh,uh.prev)?qh=new K1(kh,kh):!uh.prev.prev||Of(uh,uh.prev.prev)?qh=Ad.findWordAt(kh):qh=new K1(am(kh.line,0),h1(Ad.doc,am(kh.line+1,0))),Ad.setSelection(qh.anchor,qh.head),Ad.focus(),Xm(Qf)}Qd()}),om(Bd.scroller,"touchcancel",Qd),om(Bd.scroller,"scroll",function(){Bd.scroller.clientHeight&&(nv(Ad,Bd.scroller.scrollTop),$_(Ad,Bd.scroller.scrollLeft,!0),Om(Ad,"scroll",Ad))}),om(Bd.scroller,"mousewheel",function(Qf){return $E(Ad,Qf)}),om(Bd.scroller,"DOMMouseScroll",function(Qf){return $E(Ad,Qf)}),om(Bd.wrapper,"scroll",function(){return Bd.wrapper.scrollTop=Bd.wrapper.scrollLeft=0}),Bd.dragFunctions={enter:function(Qf){Jm(Ad,Qf)||V1(Qf)},over:function(Qf){Jm(Ad,Qf)||($1(Ad,Qf),V1(Qf))},start:function(Qf){return rE(Ad,Qf)},drop:t0(Ad,F2),leave:function(Qf){Jm(Ad,Qf)||QE(Ad)}};var Uf=Bd.input.getField();om(Uf,"keyup",function(Qf){return j_.call(Ad,Qf)}),om(Uf,"keydown",t0(Ad,oE)),om(Uf,"keypress",t0(Ad,r2)),om(Uf,"focus",function(Qf){return By(Ad,Qf)}),om(Uf,"blur",function(Qf){return Nb(Ad,Qf)})}var ey=[];G1.defineInitHook=function(Ad){return ey.push(Ad)};function Ev(Ad,Bd,Wd,zd){var Qd=Ad.doc,Ef;Wd==null&&(Wd="add"),Wd=="smart"&&(Qd.mode.indent?Ef=T0(Ad,Bd).state:Wd="prev");var Of=Ad.options.tabSize,Uf=Dm(Qd,Bd),Qf=$f(Uf.text,null,Of);Uf.stateAfter&&(Uf.stateAfter=null);var uh=Uf.text.match(/^\s*/)[0],kh;if(!zd&&!/\S/.test(Uf.text))kh=0,Wd="not";else if(Wd=="smart"&&(kh=Qd.mode.indent(Ef,Uf.text.slice(uh.length),Uf.text),kh==kf||kh>150)){if(!zd)return;Wd="prev"}Wd=="prev"?Bd>Qd.first?kh=$f(Dm(Qd,Bd-1).text,null,Of):kh=0:Wd=="add"?kh=Qf+Ad.options.indentUnit:Wd=="subtract"?kh=Qf-Ad.options.indentUnit:typeof Wd=="number"&&(kh=Qf+Wd),kh=Math.max(0,kh);var qh="",Qh=0;if(Ad.options.indentWithTabs)for(var Kh=Math.floor(kh/Of);Kh;--Kh)Qh+=Of,qh+=" ";if(Qh<kh&&(qh+=Pf(kh-Qh)),qh!=uh)return ob(Qd,qh,am(Bd,0),am(Bd,uh.length),"+input"),Uf.stateAfter=null,!0;for(var hm=0;hm<Qd.sel.ranges.length;hm++){var Nm=Qd.sel.ranges[hm];if(Nm.head.line==Bd&&Nm.head.ch<uh.length){var Hm=am(Bd,uh.length);T_(Qd,hm,new K1(Hm,Hm));break}}}var S_=null;function ty(Ad){S_=Ad}function pE(Ad,Bd,Wd,zd,Qd){var Ef=Ad.doc;Ad.display.shift=!1,zd||(zd=Ef.sel);var Of=+new Date-200,Uf=Qd=="paste"||Ad.state.pasteIncoming>Of,Qf=yf(Bd),uh=null;if(Uf&&zd.ranges.length>1)if(S_&&S_.text.join(`
|
||
`)==Bd){if(zd.ranges.length%S_.text.length==0){uh=[];for(var kh=0;kh<S_.text.length;kh++)uh.push(Ef.splitLines(S_.text[kh]))}}else Qf.length==zd.ranges.length&&Ad.options.pasteLinesPerSelection&&(uh=Nh(Qf,function(Km){return[Km]}));for(var qh=Ad.curOp.updateInput,Qh=zd.ranges.length-1;Qh>=0;Qh--){var Kh=zd.ranges[Qh],hm=Kh.from(),Nm=Kh.to();Kh.empty()&&(Wd&&Wd>0?hm=am(hm.line,hm.ch-Wd):Ad.state.overwrite&&!Uf?Nm=am(Nm.line,Math.min(Dm(Ef,Nm.line).text.length,Nm.ch+gh(Qf).length)):Uf&&S_&&S_.lineWise&&S_.text.join(`
|
||
`)==Qf.join(`
|
||
`)&&(hm=Nm=am(hm.line,0)));var Hm={from:hm,to:Nm,text:uh?uh[Qh%uh.length]:Qf,origin:Qd||(Uf?"paste":Ad.state.cutIncoming>Of?"cut":"+input")};Pb(Ad.doc,Hm),Gd(Ad,"inputRead",Ad,Hm)}Bd&&!Uf&&ry(Ad,Bd),u0(Ad),Ad.curOp.updateInput<2&&(Ad.curOp.updateInput=qh),Ad.curOp.typing=!0,Ad.state.pasteIncoming=Ad.state.cutIncoming=-1}function Z_(Ad,Bd){var Wd=Ad.clipboardData&&Ad.clipboardData.getData("Text");if(Wd)return Ad.preventDefault(),!Bd.isReadOnly()&&!Bd.options.disableInput&&Bd.hasFocus()&&z0(Bd,function(){return pE(Bd,Wd,0,null,"paste")}),!0}function ry(Ad,Bd){if(!(!Ad.options.electricChars||!Ad.options.smartIndent))for(var Wd=Ad.doc.sel,zd=Wd.ranges.length-1;zd>=0;zd--){var Qd=Wd.ranges[zd];if(!(Qd.head.ch>100||zd&&Wd.ranges[zd-1].head.line==Qd.head.line)){var Ef=Ad.getModeAt(Qd.head),Of=!1;if(Ef.electricChars){for(var Uf=0;Uf<Ef.electricChars.length;Uf++)if(Bd.indexOf(Ef.electricChars.charAt(Uf))>-1){Of=Ev(Ad,Qd.head.line,"smart");break}}else Ef.electricInput&&Ef.electricInput.test(Dm(Ad.doc,Qd.head.line).text.slice(0,Qd.head.ch))&&(Of=Ev(Ad,Qd.head.line,"smart"));Of&&Gd(Ad,"electricInput",Ad,Qd.head.line)}}}function ny(Ad){for(var Bd=[],Wd=[],zd=0;zd<Ad.doc.sel.ranges.length;zd++){var Qd=Ad.doc.sel.ranges[zd].head.line,Ef={anchor:am(Qd,0),head:am(Qd+1,0)};Wd.push(Ef),Bd.push(Ad.getRange(Ef.anchor,Ef.head))}return{text:Bd,ranges:Wd}}function Tv(Ad,Bd,Wd,zd){Ad.setAttribute("autocorrect",Wd?"on":"off"),Ad.setAttribute("autocapitalize",zd?"on":"off"),Ad.setAttribute("spellcheck",!!Bd)}function Sv(){var Ad=Xd("textarea",null,null,"position: absolute; bottom: -1em; padding: 0; width: 1px; height: 1em; min-height: 1em; outline: none"),Bd=Xd("div",[Ad],null,"overflow: hidden; position: relative; width: 3px; height: 0px;");return ud?Ad.style.width="1000px":Ad.setAttribute("wrap","off"),Nd&&(Ad.style.border="1px solid black"),Bd}function Yb(Ad){var Bd=Ad.optionHandlers,Wd=Ad.helpers={};Ad.prototype={constructor:Ad,focus:function(){Gf(this).focus(),this.display.input.focus()},setOption:function(zd,Qd){var Ef=this.options,Of=Ef[zd];Ef[zd]==Qd&&zd!="mode"||(Ef[zd]=Qd,Bd.hasOwnProperty(zd)&&t0(this,Bd[zd])(this,Qd,Of),Om(this,"optionChange",this,zd))},getOption:function(zd){return this.options[zd]},getDoc:function(){return this.doc},addKeyMap:function(zd,Qd){this.state.keyMaps[Qd?"push":"unshift"](fv(zd))},removeKeyMap:function(zd){for(var Qd=this.state.keyMaps,Ef=0;Ef<Qd.length;++Ef)if(Qd[Ef]==zd||Qd[Ef].name==zd)return Qd.splice(Ef,1),!0},addOverlay:b1(function(zd,Qd){var Ef=zd.token?zd:Ad.getMode(this.options,zd);if(Ef.startState)throw new Error("Overlays may not be stateful.");dh(this.state.overlays,{mode:Ef,modeSpec:zd,opaque:Qd&&Qd.opaque,priority:Qd&&Qd.priority||0},function(Of){return Of.priority}),this.state.modeGen++,J0(this)}),removeOverlay:b1(function(zd){for(var Qd=this.state.overlays,Ef=0;Ef<Qd.length;++Ef){var Of=Qd[Ef].modeSpec;if(Of==zd||typeof zd=="string"&&Of.name==zd){Qd.splice(Ef,1),this.state.modeGen++,J0(this);return}}}),indentLine:b1(function(zd,Qd,Ef){typeof Qd!="string"&&typeof Qd!="number"&&(Qd==null?Qd=this.options.smartIndent?"smart":"prev":Qd=Qd?"add":"subtract"),mm(this.doc,zd)&&Ev(this,zd,Qd,Ef)}),indentSelection:b1(function(zd){for(var Qd=this.doc.sel.ranges,Ef=-1,Of=0;Of<Qd.length;Of++){var Uf=Qd[Of];if(Uf.empty())Uf.head.line>Ef&&(Ev(this,Uf.head.line,zd,!0),Ef=Uf.head.line,Of==this.doc.sel.primIndex&&u0(this));else{var Qf=Uf.from(),uh=Uf.to(),kh=Math.max(Ef,Qf.line);Ef=Math.min(this.lastLine(),uh.line-(uh.ch?0:1))+1;for(var qh=kh;qh<Ef;++qh)Ev(this,qh,zd);var Qh=this.doc.sel.ranges;Qf.ch==0&&Qd.length==Qh.length&&Qh[Of].from().ch>0&&T_(this.doc,Of,new K1(Qf,Qh[Of].to()),Jf)}}}),getTokenAt:function(zd,Qd){return __(this,zd,Qd)},getLineTokens:function(zd,Qd){return __(this,am(zd),Qd,!0)},getTokenTypeAt:function(zd){zd=h1(this.doc,zd);var Qd=m_(this,Dm(this.doc,zd.line)),Ef=0,Of=(Qd.length-1)/2,Uf=zd.ch,Qf;if(Uf==0)Qf=Qd[2];else for(;;){var uh=Ef+Of>>1;if((uh?Qd[uh*2-1]:0)>=Uf)Of=uh;else if(Qd[uh*2+1]<Uf)Ef=uh+1;else{Qf=Qd[uh*2+2];break}}var kh=Qf?Qf.indexOf("overlay "):-1;return kh<0?Qf:kh==0?null:Qf.slice(0,kh-1)},getModeAt:function(zd){var Qd=this.doc.mode;return Qd.innerMode?Ad.innerMode(Qd,this.getTokenAt(zd).state).mode:Qd},getHelper:function(zd,Qd){return this.getHelpers(zd,Qd)[0]},getHelpers:function(zd,Qd){var Ef=[];if(!Wd.hasOwnProperty(Qd))return Ef;var Of=Wd[Qd],Uf=this.getModeAt(zd);if(typeof Uf[Qd]=="string")Of[Uf[Qd]]&&Ef.push(Of[Uf[Qd]]);else if(Uf[Qd])for(var Qf=0;Qf<Uf[Qd].length;Qf++){var uh=Of[Uf[Qd][Qf]];uh&&Ef.push(uh)}else Uf.helperType&&Of[Uf.helperType]?Ef.push(Of[Uf.helperType]):Of[Uf.name]&&Ef.push(Of[Uf.name]);for(var kh=0;kh<Of._global.length;kh++){var qh=Of._global[kh];qh.pred(Uf,this)&&hh(Ef,qh.val)==-1&&Ef.push(qh.val)}return Ef},getStateAfter:function(zd,Qd){var Ef=this.doc;return zd=g0(Ef,zd??Ef.first+Ef.size-1),T0(this,zd+1,Qd).state},cursorCoords:function(zd,Qd){var Ef,Of=this.doc.sel.primary();return zd==null?Ef=Of.head:typeof zd=="object"?Ef=h1(this.doc,zd):Ef=zd?Of.from():Of.to(),dm(this,Ef,Qd||"page")},charCoords:function(zd,Qd){return Tm(this,h1(this.doc,zd),Qd||"page")},coordsChar:function(zd,Qd){return zd=Jh(this,zd,Qd||"page"),H1(this,zd.left,zd.top)},lineAtHeight:function(zd,Qd){return zd=Jh(this,{top:zd,left:0},Qd||"page").top,Oh(this.doc,zd+this.display.viewOffset)},heightAtLine:function(zd,Qd,Ef){var Of=!1,Uf;if(typeof zd=="number"){var Qf=this.doc.first+this.doc.size-1;zd<this.doc.first?zd=this.doc.first:zd>Qf&&(zd=Qf,Of=!0),Uf=Dm(this.doc,zd)}else Uf=zd;return ug(this,Uf,{top:0,left:0},Qd||"page",Ef||Of).top+(Of?this.doc.height-i_(Uf):0)},defaultTextHeight:function(){return I_(this.display)},defaultCharWidth:function(){return dg(this.display)},getViewport:function(){return{from:this.display.viewFrom,to:this.display.viewTo}},addWidget:function(zd,Qd,Ef,Of,Uf){var Qf=this.display;zd=dm(this,h1(this.doc,zd));var uh=zd.bottom,kh=zd.left;if(Qd.style.position="absolute",Qd.setAttribute("cm-ignore-events","true"),this.display.input.setUneditable(Qd),Qf.sizer.appendChild(Qd),Of=="over")uh=zd.top;else if(Of=="above"||Of=="near"){var qh=Math.max(Qf.wrapper.clientHeight,this.doc.height),Qh=Math.max(Qf.sizer.clientWidth,Qf.lineSpace.clientWidth);(Of=="above"||zd.bottom+Qd.offsetHeight>qh)&&zd.top>Qd.offsetHeight?uh=zd.top-Qd.offsetHeight:zd.bottom+Qd.offsetHeight<=qh&&(uh=zd.bottom),kh+Qd.offsetWidth>Qh&&(kh=Qh-Qd.offsetWidth)}Qd.style.top=uh+"px",Qd.style.left=Qd.style.right="",Uf=="right"?(kh=Qf.sizer.clientWidth-Qd.offsetWidth,Qd.style.right="0px"):(Uf=="left"?kh=0:Uf=="middle"&&(kh=(Qf.sizer.clientWidth-Qd.offsetWidth)/2),Qd.style.left=kh+"px"),Ef&&AE(this,{left:kh,top:uh,right:kh+Qd.offsetWidth,bottom:uh+Qd.offsetHeight})},triggerOnKeyDown:b1(oE),triggerOnKeyPress:b1(r2),triggerOnKeyUp:j_,triggerOnMouseDown:b1(i2),execCommand:function(zd){if(_v.hasOwnProperty(zd))return _v[zd].call(null,this)},triggerElectric:b1(function(zd){ry(this,zd)}),findPosH:function(zd,Qd,Ef,Of){var Uf=1;Qd<0&&(Uf=-1,Qd=-Qd);for(var Qf=h1(this.doc,zd),uh=0;uh<Qd&&(Qf=_1(this.doc,Qf,Uf,Ef,Of),!Qf.hitSide);++uh);return Qf},moveH:b1(function(zd,Qd){var Ef=this;this.extendSelectionsBy(function(Of){return Ef.display.shift||Ef.doc.extend||Of.empty()?_1(Ef.doc,Of.head,zd,Qd,Ef.options.rtlMoveVisually):zd<0?Of.from():Of.to()},qf)}),deleteH:b1(function(zd,Qd){var Ef=this.doc.sel,Of=this.doc;Ef.somethingSelected()?Of.replaceSelection("",null,"+delete"):Og(this,function(Uf){var Qf=_1(Of,Uf.head,zd,Qd,!1);return zd<0?{from:Qf,to:Uf.head}:{from:Uf.head,to:Qf}})}),findPosV:function(zd,Qd,Ef,Of){var Uf=1,Qf=Of;Qd<0&&(Uf=-1,Qd=-Qd);for(var uh=h1(this.doc,zd),kh=0;kh<Qd;++kh){var qh=dm(this,uh,"div");if(Qf==null?Qf=qh.left:qh.left=Qf,uh=xv(this,qh,Uf,Ef),uh.hitSide)break}return uh},moveV:b1(function(zd,Qd){var Ef=this,Of=this.doc,Uf=[],Qf=!this.display.shift&&!Of.extend&&Of.sel.somethingSelected();if(Of.extendSelectionsBy(function(kh){if(Qf)return zd<0?kh.from():kh.to();var qh=dm(Ef,kh.head,"div");kh.goalColumn!=null&&(qh.left=kh.goalColumn),Uf.push(qh.left);var Qh=xv(Ef,qh,zd,Qd);return Qd=="page"&&kh==Of.sel.primary()&&jy(Ef,Tm(Ef,Qh,"div").top-qh.top),Qh},qf),Uf.length)for(var uh=0;uh<Of.sel.ranges.length;uh++)Of.sel.ranges[uh].goalColumn=Uf[uh]}),findWordAt:function(zd){var Qd=this.doc,Ef=Dm(Qd,zd.line).text,Of=zd.ch,Uf=zd.ch;if(Ef){var Qf=this.getHelper(zd,"wordChars");(zd.sticky=="before"||Uf==Ef.length)&&Of?--Of:++Uf;for(var uh=Ef.charAt(Of),kh=Wh(uh,Qf)?function(qh){return Wh(qh,Qf)}:/\s/.test(uh)?function(qh){return/\s/.test(qh)}:function(qh){return!/\s/.test(qh)&&!Wh(qh)};Of>0&&kh(Ef.charAt(Of-1));)--Of;for(;Uf<Ef.length&&kh(Ef.charAt(Uf));)++Uf}return new K1(am(zd.line,Of),am(zd.line,Uf))},toggleOverwrite:function(zd){zd!=null&&zd==this.state.overwrite||((this.state.overwrite=!this.state.overwrite)?Wf(this.display.cursorDiv,"CodeMirror-overwrite"):Jd(this.display.cursorDiv,"CodeMirror-overwrite"),Om(this,"overwriteToggle",this,this.state.overwrite))},hasFocus:function(){return this.display.input.getField()==Lf(wf(this))},isReadOnly:function(){return!!(this.options.readOnly||this.doc.cantEdit)},scrollTo:b1(function(zd,Qd){R0(this,zd,Qd)}),getScrollInfo:function(){var zd=this.display.scroller;return{left:zd.scrollLeft,top:zd.scrollTop,height:zd.scrollHeight-W_(this)-this.display.barHeight,width:zd.scrollWidth-W_(this)-this.display.barWidth,clientHeight:Iy(this),clientWidth:Kg(this)}},scrollIntoView:b1(function(zd,Qd){zd==null?(zd={from:this.doc.sel.primary().head,to:null},Qd==null&&(Qd=this.options.cursorScrollMargin)):typeof zd=="number"?zd={from:am(zd,0),to:null}:zd.from==null&&(zd={from:zd,to:null}),zd.to||(zd.to=zd.from),zd.margin=Qd||0,zd.from.line!=null?C2(this,zd):Uy(this,zd.from,zd.to,zd.margin)}),setSize:b1(function(zd,Qd){var Ef=this,Of=function(Qf){return typeof Qf=="number"||/^\d+$/.test(String(Qf))?Qf+"px":Qf};zd!=null&&(this.display.wrapper.style.width=Of(zd)),Qd!=null&&(this.display.wrapper.style.height=Of(Qd)),this.options.lineWrapping&&TE(this);var Uf=this.display.viewFrom;this.doc.iter(Uf,this.display.viewTo,function(Qf){if(Qf.widgets){for(var uh=0;uh<Qf.widgets.length;uh++)if(Qf.widgets[uh].noHScroll){xg(Ef,Uf,"widget");break}}++Uf}),this.curOp.forceUpdate=!0,Om(this,"refresh",this)}),operation:function(zd){return z0(this,zd)},startOperation:function(){return nb(this)},endOperation:function(){return ib(this)},refresh:b1(function(){var zd=this.display.cachedTextHeight;J0(this),this.curOp.forceUpdate=!0,ev(this),R0(this,this.doc.scrollLeft,this.doc.scrollTop),Hy(this.display),(zd==null||Math.abs(zd-I_(this.display))>.5||this.options.lineWrapping)&&kv(this),Om(this,"refresh",this)}),swapDoc:b1(function(zd){var Qd=this.doc;return Qd.cm=null,this.state.selectingText&&this.state.selectingText(),Wy(this,zd),ev(this),this.display.input.reset(),R0(this,zd.scrollLeft,zd.scrollTop),this.curOp.forceScroll=!0,Gd(this,"swapDoc",this,Qd),Qd}),phrase:function(zd){var Qd=this.options.phrases;return Qd&&Object.prototype.hasOwnProperty.call(Qd,zd)?Qd[zd]:zd},getInputField:function(){return this.display.input.getField()},getWrapperElement:function(){return this.display.wrapper},getScrollerElement:function(){return this.display.scroller},getGutterElement:function(){return this.display.gutters}},x1(Ad),Ad.registerHelper=function(zd,Qd,Ef){Wd.hasOwnProperty(zd)||(Wd[zd]=Ad[zd]={_global:[]}),Wd[zd][Qd]=Ef},Ad.registerGlobalHelper=function(zd,Qd,Ef,Of){Ad.registerHelper(zd,Qd,Of),Wd[zd]._global.push({pred:Ef,val:Of})}}function _1(Ad,Bd,Wd,zd,Qd){var Ef=Bd,Of=Wd,Uf=Dm(Ad,Bd.line),Qf=Qd&&Ad.direction=="rtl"?-Wd:Wd;function uh(){var Qm=Bd.line+Qf;return Qm<Ad.first||Qm>=Ad.first+Ad.size?!1:(Bd=new am(Qm,Bd.ch,Bd.sticky),Uf=Dm(Ad,Qm))}function kh(Qm){var Ym;if(zd=="codepoint"){var e1=Uf.text.charCodeAt(Bd.ch+(Wd>0?0:-1));if(isNaN(e1))Ym=null;else{var c1=Wd>0?e1>=55296&&e1<56320:e1>=56320&&e1<57343;Ym=new am(Bd.line,Math.max(0,Math.min(Uf.text.length,Bd.ch+Wd*(c1?2:1))),-Wd)}}else Qd?Ym=$g(Ad.cm,Uf,Bd,Wd):Ym=hv(Uf,Bd,Wd);if(Ym==null)if(!Qm&&uh())Bd=mv(Qd,Ad.cm,Uf,Bd.line,Qf);else return!1;else Bd=Ym;return!0}if(zd=="char"||zd=="codepoint")kh();else if(zd=="column")kh(!0);else if(zd=="word"||zd=="group")for(var qh=null,Qh=zd=="group",Kh=Ad.cm&&Ad.cm.getHelper(Bd,"wordChars"),hm=!0;!(Wd<0&&!kh(!hm));hm=!1){var Nm=Uf.text.charAt(Bd.ch)||`
|
||
`,Hm=Wh(Nm,Kh)?"w":Qh&&Nm==`
|
||
`?"n":!Qh||/\s/.test(Nm)?null:"p";if(Qh&&!hm&&!Hm&&(Hm="s"),qh&&qh!=Hm){Wd<0&&(Wd=1,kh(),Bd.sticky="after");break}if(Hm&&(qh=Hm),Wd>0&&!kh(!hm))break}var Km=Lb(Ad,Bd,Ef,Of,!0);return E1(Ef,Km)&&(Km.hitSide=!0),Km}function xv(Ad,Bd,Wd,zd){var Qd=Ad.doc,Ef=Bd.left,Of;if(zd=="page"){var Uf=Math.min(Ad.display.wrapper.clientHeight,Gf(Ad).innerHeight||Qd(Ad).documentElement.clientHeight),Qf=Math.max(Uf-.5*I_(Ad.display),3);Of=(Wd>0?Bd.bottom:Bd.top)+Wd*Qf}else zd=="line"&&(Of=Wd>0?Bd.bottom+3:Bd.top-3);for(var uh;uh=H1(Ad,Ef,Of),!!uh.outside;){if(Wd<0?Of<=0:Of>=Qd.height){uh.hitSide=!0;break}Of+=Wd*5}return uh}var X1=function(Ad){this.cm=Ad,this.lastAnchorNode=this.lastAnchorOffset=this.lastFocusNode=this.lastFocusOffset=null,this.polling=new zf,this.composing=null,this.gracePeriod=!1,this.readDOMTimeout=null};X1.prototype.init=function(Ad){var Bd=this,Wd=this,zd=Wd.cm,Qd=Wd.div=Ad.lineDiv;Qd.contentEditable=!0,Tv(Qd,zd.options.spellcheck,zd.options.autocorrect,zd.options.autocapitalize);function Ef(Uf){for(var Qf=Uf.target;Qf;Qf=Qf.parentNode){if(Qf==Qd)return!0;if(/\bCodeMirror-(?:line)?widget\b/.test(Qf.className))break}return!1}om(Qd,"paste",function(Uf){!Ef(Uf)||Jm(zd,Uf)||Z_(Uf,zd)||cd<=11&&setTimeout(t0(zd,function(){return Bd.updateFromDOM()}),20)}),om(Qd,"compositionstart",function(Uf){Bd.composing={data:Uf.data,done:!1}}),om(Qd,"compositionupdate",function(Uf){Bd.composing||(Bd.composing={data:Uf.data,done:!1})}),om(Qd,"compositionend",function(Uf){Bd.composing&&(Uf.data!=Bd.composing.data&&Bd.readFromDOMSoon(),Bd.composing.done=!0)}),om(Qd,"touchstart",function(){return Wd.forceCompositionEnd()}),om(Qd,"input",function(){Bd.composing||Bd.readFromDOMSoon()});function Of(Uf){if(!(!Ef(Uf)||Jm(zd,Uf))){if(zd.somethingSelected())ty({lineWise:!1,text:zd.getSelections()}),Uf.type=="cut"&&zd.replaceSelection("",null,"cut");else if(zd.options.lineWiseCopyCut){var Qf=ny(zd);ty({lineWise:!0,text:Qf.text}),Uf.type=="cut"&&zd.operation(function(){zd.setSelections(Qf.ranges,0,Jf),zd.replaceSelection("",null,"cut")})}else return;if(Uf.clipboardData){Uf.clipboardData.clearData();var uh=S_.text.join(`
|
||
`);if(Uf.clipboardData.setData("Text",uh),Uf.clipboardData.getData("Text")==uh){Uf.preventDefault();return}}var kh=Sv(),qh=kh.firstChild;Tv(qh),zd.display.lineSpace.insertBefore(kh,zd.display.lineSpace.firstChild),qh.value=S_.text.join(`
|
||
`);var Qh=Lf(Kf(Qd));If(qh),setTimeout(function(){zd.display.lineSpace.removeChild(kh),Qh.focus(),Qh==Qd&&Wd.showPrimarySelection()},50)}}om(Qd,"copy",Of),om(Qd,"cut",Of)},X1.prototype.screenReaderLabelChanged=function(Ad){Ad?this.div.setAttribute("aria-label",Ad):this.div.removeAttribute("aria-label")},X1.prototype.prepareSelection=function(){var Ad=Ly(this.cm,!1);return Ad.focus=Lf(Kf(this.div))==this.div,Ad},X1.prototype.showSelection=function(Ad,Bd){!Ad||!this.cm.display.view.length||((Ad.focus||Bd)&&this.showPrimarySelection(),this.showMultipleSelections(Ad))},X1.prototype.getSelection=function(){return this.cm.display.wrapper.ownerDocument.getSelection()},X1.prototype.showPrimarySelection=function(){var Ad=this.getSelection(),Bd=this.cm,Wd=Bd.doc.sel.primary(),zd=Wd.from(),Qd=Wd.to();if(Bd.display.viewTo==Bd.display.viewFrom||zd.line>=Bd.display.viewTo||Qd.line<Bd.display.viewFrom){Ad.removeAllRanges();return}var Ef=B0(Bd,Ad.anchorNode,Ad.anchorOffset),Of=B0(Bd,Ad.focusNode,Ad.focusOffset);if(!(Ef&&!Ef.bad&&Of&&!Of.bad&&Vm(N0(Ef,Of),zd)==0&&Vm(q1(Ef,Of),Qd)==0)){var Uf=Bd.display.view,Qf=zd.line>=Bd.display.viewFrom&&Mg(Bd,zd)||{node:Uf[0].measure.map[2],offset:0},uh=Qd.line<Bd.display.viewTo&&Mg(Bd,Qd);if(!uh){var kh=Uf[Uf.length-1].measure,qh=kh.maps?kh.maps[kh.maps.length-1]:kh.map;uh={node:qh[qh.length-1],offset:qh[qh.length-2]-qh[qh.length-3]}}if(!Qf||!uh){Ad.removeAllRanges();return}var Qh=Ad.rangeCount&&Ad.getRangeAt(0),Kh;try{Kh=_f(Qf.node,Qf.offset,uh.offset,uh.node)}catch{}Kh&&(!td&&Bd.state.focused?(Ad.collapse(Qf.node,Qf.offset),Kh.collapsed||(Ad.removeAllRanges(),Ad.addRange(Kh))):(Ad.removeAllRanges(),Ad.addRange(Kh)),Qh&&Ad.anchorNode==null?Ad.addRange(Qh):td&&this.startGracePeriod()),this.rememberSelection()}},X1.prototype.startGracePeriod=function(){var Ad=this;clearTimeout(this.gracePeriod),this.gracePeriod=setTimeout(function(){Ad.gracePeriod=!1,Ad.selectionChanged()&&Ad.cm.operation(function(){return Ad.cm.curOp.selectionChanged=!0})},20)},X1.prototype.showMultipleSelections=function(Ad){pf(this.cm.display.cursorDiv,Ad.cursors),pf(this.cm.display.selectionDiv,Ad.selection)},X1.prototype.rememberSelection=function(){var Ad=this.getSelection();this.lastAnchorNode=Ad.anchorNode,this.lastAnchorOffset=Ad.anchorOffset,this.lastFocusNode=Ad.focusNode,this.lastFocusOffset=Ad.focusOffset},X1.prototype.selectionInEditor=function(){var Ad=this.getSelection();if(!Ad.rangeCount)return!1;var Bd=Ad.getRangeAt(0).commonAncestorContainer;return xf(this.div,Bd)},X1.prototype.focus=function(){this.cm.options.readOnly!="nocursor"&&((!this.selectionInEditor()||Lf(Kf(this.div))!=this.div)&&this.showSelection(this.prepareSelection(),!0),this.div.focus())},X1.prototype.blur=function(){this.div.blur()},X1.prototype.getField=function(){return this.div},X1.prototype.supportsTouch=function(){return!0},X1.prototype.receivedFocus=function(){var Ad=this,Bd=this;this.selectionInEditor()?setTimeout(function(){return Ad.pollSelection()},20):z0(this.cm,function(){return Bd.cm.curOp.selectionChanged=!0});function Wd(){Bd.cm.state.focused&&(Bd.pollSelection(),Bd.polling.set(Bd.cm.options.pollInterval,Wd))}this.polling.set(this.cm.options.pollInterval,Wd)},X1.prototype.selectionChanged=function(){var Ad=this.getSelection();return Ad.anchorNode!=this.lastAnchorNode||Ad.anchorOffset!=this.lastAnchorOffset||Ad.focusNode!=this.lastFocusNode||Ad.focusOffset!=this.lastFocusOffset},X1.prototype.pollSelection=function(){if(!(this.readDOMTimeout!=null||this.gracePeriod||!this.selectionChanged())){var Ad=this.getSelection(),Bd=this.cm;if(Id&&yd&&this.cm.display.gutterSpecs.length&&Y2(Ad.anchorNode)){this.cm.triggerOnKeyDown({type:"keydown",keyCode:8,preventDefault:Math.abs}),this.blur(),this.focus();return}if(!this.composing){this.rememberSelection();var Wd=B0(Bd,Ad.anchorNode,Ad.anchorOffset),zd=B0(Bd,Ad.focusNode,Ad.focusOffset);Wd&&zd&&z0(Bd,function(){q0(Bd.doc,Ng(Wd,zd),Jf),(Wd.bad||zd.bad)&&(Bd.curOp.selectionChanged=!0)})}}},X1.prototype.pollContent=function(){this.readDOMTimeout!=null&&(clearTimeout(this.readDOMTimeout),this.readDOMTimeout=null);var Ad=this.cm,Bd=Ad.display,Wd=Ad.doc.sel.primary(),zd=Wd.from(),Qd=Wd.to();if(zd.ch==0&&zd.line>Ad.firstLine()&&(zd=am(zd.line-1,Dm(Ad.doc,zd.line-1).length)),Qd.ch==Dm(Ad.doc,Qd.line).text.length&&Qd.line<Ad.lastLine()&&(Qd=am(Qd.line+1,0)),zd.line<Bd.viewFrom||Qd.line>Bd.viewTo-1)return!1;var Ef,Of,Uf;zd.line==Bd.viewFrom||(Ef=Qg(Ad,zd.line))==0?(Of=oh(Bd.view[0].line),Uf=Bd.view[0].node):(Of=oh(Bd.view[Ef].line),Uf=Bd.view[Ef-1].node.nextSibling);var Qf=Qg(Ad,Qd.line),uh,kh;if(Qf==Bd.view.length-1?(uh=Bd.viewTo-1,kh=Bd.lineDiv.lastChild):(uh=oh(Bd.view[Qf+1].line)-1,kh=Bd.view[Qf+1].node.previousSibling),!Uf)return!1;for(var qh=Ad.doc.splitLines(u2(Ad,Uf,kh,Of,uh)),Qh=v1(Ad.doc,am(Of,0),am(uh,Dm(Ad.doc,uh).text.length));qh.length>1&&Qh.length>1;)if(gh(qh)==gh(Qh))qh.pop(),Qh.pop(),uh--;else if(qh[0]==Qh[0])qh.shift(),Qh.shift(),Of++;else break;for(var Kh=0,hm=0,Nm=qh[0],Hm=Qh[0],Km=Math.min(Nm.length,Hm.length);Kh<Km&&Nm.charCodeAt(Kh)==Hm.charCodeAt(Kh);)++Kh;for(var Qm=gh(qh),Ym=gh(Qh),e1=Math.min(Qm.length-(qh.length==1?Kh:0),Ym.length-(Qh.length==1?Kh:0));hm<e1&&Qm.charCodeAt(Qm.length-hm-1)==Ym.charCodeAt(Ym.length-hm-1);)++hm;if(qh.length==1&&Qh.length==1&&Of==zd.line)for(;Kh&&Kh>zd.ch&&Qm.charCodeAt(Qm.length-hm-1)==Ym.charCodeAt(Ym.length-hm-1);)Kh--,hm++;qh[qh.length-1]=Qm.slice(0,Qm.length-hm).replace(/^\u200b+/,""),qh[0]=qh[0].slice(Kh).replace(/\u200b+$/,"");var c1=am(Of,Kh),l1=am(uh,Qh.length?gh(Qh).length-hm:0);if(qh.length>1||qh[0]||Vm(c1,l1))return ob(Ad.doc,qh,c1,l1,"+input"),!0},X1.prototype.ensurePolled=function(){this.forceCompositionEnd()},X1.prototype.reset=function(){this.forceCompositionEnd()},X1.prototype.forceCompositionEnd=function(){this.composing&&(clearTimeout(this.readDOMTimeout),this.composing=null,this.updateFromDOM(),this.div.blur(),this.div.focus())},X1.prototype.readFromDOMSoon=function(){var Ad=this;this.readDOMTimeout==null&&(this.readDOMTimeout=setTimeout(function(){if(Ad.readDOMTimeout=null,Ad.composing)if(Ad.composing.done)Ad.composing=null;else return;Ad.updateFromDOM()},80))},X1.prototype.updateFromDOM=function(){var Ad=this;(this.cm.isReadOnly()||!this.pollContent())&&z0(this.cm,function(){return J0(Ad.cm)})},X1.prototype.setUneditable=function(Ad){Ad.contentEditable="false"},X1.prototype.onKeyPress=function(Ad){Ad.charCode==0||this.composing||(Ad.preventDefault(),this.cm.isReadOnly()||t0(this.cm,pE)(this.cm,String.fromCharCode(Ad.charCode==null?Ad.keyCode:Ad.charCode),0))},X1.prototype.readOnlyChanged=function(Ad){this.div.contentEditable=String(Ad!="nocursor")},X1.prototype.onContextMenu=function(){},X1.prototype.resetPosition=function(){},X1.prototype.needsContentAttribute=!0;function Mg(Ad,Bd){var Wd=Rv(Ad,Bd.line);if(!Wd||Wd.hidden)return null;var zd=Dm(Ad.doc,Bd.line),Qd=bE(Wd,zd,Bd.line),Ef=Xh(zd,Ad.doc.direction),Of="left";if(Ef){var Uf=Lh(Ef,Bd.ch);Of=Uf%2?"right":"left"}var Qf=yE(Qd.map,Bd.ch,Of);return Qf.offset=Qf.collapse=="right"?Qf.end:Qf.start,Qf}function Y2(Ad){for(var Bd=Ad;Bd;Bd=Bd.parentNode)if(/CodeMirror-gutter-wrapper/.test(Bd.className))return!0;return!1}function Lg(Ad,Bd){return Bd&&(Ad.bad=!0),Ad}function u2(Ad,Bd,Wd,zd,Qd){var Ef="",Of=!1,Uf=Ad.doc.lineSeparator(),Qf=!1;function uh(Kh){return function(hm){return hm.id==Kh}}function kh(){Of&&(Ef+=Uf,Qf&&(Ef+=Uf),Of=Qf=!1)}function qh(Kh){Kh&&(kh(),Ef+=Kh)}function Qh(Kh){if(Kh.nodeType==1){var hm=Kh.getAttribute("cm-text");if(hm){qh(hm);return}var Nm=Kh.getAttribute("cm-marker"),Hm;if(Nm){var Km=Ad.findMarks(am(zd,0),am(Qd+1,0),uh(+Nm));Km.length&&(Hm=Km[0].find(0))&&qh(v1(Ad.doc,Hm.from,Hm.to).join(Uf));return}if(Kh.getAttribute("contenteditable")=="false")return;var Qm=/^(pre|div|p|li|table|br)$/i.test(Kh.nodeName);if(!/^br$/i.test(Kh.nodeName)&&Kh.textContent.length==0)return;Qm&&kh();for(var Ym=0;Ym<Kh.childNodes.length;Ym++)Qh(Kh.childNodes[Ym]);/^(pre|p)$/i.test(Kh.nodeName)&&(Qf=!0),Qm&&(Of=!0)}else Kh.nodeType==3&&qh(Kh.nodeValue.replace(/\u200b/g,"").replace(/\u00a0/g," "))}for(;Qh(Bd),Bd!=Wd;)Bd=Bd.nextSibling,Qf=!1;return Ef}function B0(Ad,Bd,Wd){var zd;if(Bd==Ad.display.lineDiv){if(zd=Ad.display.lineDiv.childNodes[Wd],!zd)return Lg(Ad.clipPos(am(Ad.display.viewTo-1)),!0);Bd=null,Wd=0}else for(zd=Bd;;zd=zd.parentNode){if(!zd||zd==Ad.display.lineDiv)return null;if(zd.parentNode&&zd.parentNode==Ad.display.lineDiv)break}for(var Qd=0;Qd<Ad.display.view.length;Qd++){var Ef=Ad.display.view[Qd];if(Ef.node==zd)return K2(Ef,Bd,Wd)}}function K2(Ad,Bd,Wd){var zd=Ad.text.firstChild,Qd=!1;if(!Bd||!xf(zd,Bd))return Lg(am(oh(Ad.line),0),!0);if(Bd==zd&&(Qd=!0,Bd=zd.childNodes[Wd],Wd=0,!Bd)){var Ef=Ad.rest?gh(Ad.rest):Ad.line;return Lg(am(oh(Ef),Ef.text.length),Qd)}var Of=Bd.nodeType==3?Bd:null,Uf=Bd;for(!Of&&Bd.childNodes.length==1&&Bd.firstChild.nodeType==3&&(Of=Bd.firstChild,Wd&&(Wd=Of.nodeValue.length));Uf.parentNode!=zd;)Uf=Uf.parentNode;var Qf=Ad.measure,uh=Qf.maps;function kh(Hm,Km,Qm){for(var Ym=-1;Ym<(uh?uh.length:0);Ym++)for(var e1=Ym<0?Qf.map:uh[Ym],c1=0;c1<e1.length;c1+=3){var l1=e1[c1+2];if(l1==Hm||l1==Km){var D1=oh(Ym<0?Ad.line:Ad.rest[Ym]),n0=e1[c1]+Qm;return(Qm<0||l1!=Hm)&&(n0=e1[c1+(Qm?1:0)]),am(D1,n0)}}}var qh=kh(Of,Uf,Wd);if(qh)return Lg(qh,Qd);for(var Qh=Uf.nextSibling,Kh=Of?Of.nodeValue.length-Wd:0;Qh;Qh=Qh.nextSibling){if(qh=kh(Qh,Qh.firstChild,0),qh)return Lg(am(qh.line,qh.ch-Kh),Qd);Kh+=Qh.textContent.length}for(var hm=Uf.previousSibling,Nm=Wd;hm;hm=hm.previousSibling){if(qh=kh(hm,hm.firstChild,-1),qh)return Lg(am(qh.line,qh.ch+Nm),Qd);Nm+=hm.textContent.length}}var v0=function(Ad){this.cm=Ad,this.prevInput="",this.pollingFast=!1,this.polling=new zf,this.hasSelection=!1,this.composing=null,this.resetting=!1};v0.prototype.init=function(Ad){var Bd=this,Wd=this,zd=this.cm;this.createField(Ad);var Qd=this.textarea;Ad.wrapper.insertBefore(this.wrapper,Ad.wrapper.firstChild),Nd&&(Qd.style.width="0px"),om(Qd,"input",function(){ld&&cd>=9&&Bd.hasSelection&&(Bd.hasSelection=null),Wd.poll()}),om(Qd,"paste",function(Of){Jm(zd,Of)||Z_(Of,zd)||(zd.state.pasteIncoming=+new Date,Wd.fastPoll())});function Ef(Of){if(!Jm(zd,Of)){if(zd.somethingSelected())ty({lineWise:!1,text:zd.getSelections()});else if(zd.options.lineWiseCopyCut){var Uf=ny(zd);ty({lineWise:!0,text:Uf.text}),Of.type=="cut"?zd.setSelections(Uf.ranges,null,Jf):(Wd.prevInput="",Qd.value=Uf.text.join(`
|
||
`),If(Qd))}else return;Of.type=="cut"&&(zd.state.cutIncoming=+new Date)}}om(Qd,"cut",Ef),om(Qd,"copy",Ef),om(Ad.scroller,"paste",function(Of){if(!(Y1(Ad,Of)||Jm(zd,Of))){if(!Qd.dispatchEvent){zd.state.pasteIncoming=+new Date,Wd.focus();return}var Uf=new Event("paste");Uf.clipboardData=Of.clipboardData,Qd.dispatchEvent(Uf)}}),om(Ad.lineSpace,"selectstart",function(Of){Y1(Ad,Of)||Xm(Of)}),om(Qd,"compositionstart",function(){var Of=zd.getCursor("from");Wd.composing&&Wd.composing.range.clear(),Wd.composing={start:Of,range:zd.markText(Of,zd.getCursor("to"),{className:"CodeMirror-composing"})}}),om(Qd,"compositionend",function(){Wd.composing&&(Wd.poll(),Wd.composing.range.clear(),Wd.composing=null)})},v0.prototype.createField=function(Ad){this.wrapper=Sv(),this.textarea=this.wrapper.firstChild;var Bd=this.cm.options;Tv(this.textarea,Bd.spellcheck,Bd.autocorrect,Bd.autocapitalize)},v0.prototype.screenReaderLabelChanged=function(Ad){Ad?this.textarea.setAttribute("aria-label",Ad):this.textarea.removeAttribute("aria-label")},v0.prototype.prepareSelection=function(){var Ad=this.cm,Bd=Ad.display,Wd=Ad.doc,zd=Ly(Ad);if(Ad.options.moveInputWithCursor){var Qd=dm(Ad,Wd.sel.primary().head,"div"),Ef=Bd.wrapper.getBoundingClientRect(),Of=Bd.lineDiv.getBoundingClientRect();zd.teTop=Math.max(0,Math.min(Bd.wrapper.clientHeight-10,Qd.top+Of.top-Ef.top)),zd.teLeft=Math.max(0,Math.min(Bd.wrapper.clientWidth-10,Qd.left+Of.left-Ef.left))}return zd},v0.prototype.showSelection=function(Ad){var Bd=this.cm,Wd=Bd.display;pf(Wd.cursorDiv,Ad.cursors),pf(Wd.selectionDiv,Ad.selection),Ad.teTop!=null&&(this.wrapper.style.top=Ad.teTop+"px",this.wrapper.style.left=Ad.teLeft+"px")},v0.prototype.reset=function(Ad){if(!(this.contextMenuPending||this.composing&&Ad)){var Bd=this.cm;if(this.resetting=!0,Bd.somethingSelected()){this.prevInput="";var Wd=Bd.getSelection();this.textarea.value=Wd,Bd.state.focused&&If(this.textarea),ld&&cd>=9&&(this.hasSelection=Wd)}else Ad||(this.prevInput=this.textarea.value="",ld&&cd>=9&&(this.hasSelection=null));this.resetting=!1}},v0.prototype.getField=function(){return this.textarea},v0.prototype.supportsTouch=function(){return!1},v0.prototype.focus=function(){if(this.cm.options.readOnly!="nocursor"&&(!Md||Lf(Kf(this.textarea))!=this.textarea))try{this.textarea.focus()}catch{}},v0.prototype.blur=function(){this.textarea.blur()},v0.prototype.resetPosition=function(){this.wrapper.style.top=this.wrapper.style.left=0},v0.prototype.receivedFocus=function(){this.slowPoll()},v0.prototype.slowPoll=function(){var Ad=this;this.pollingFast||this.polling.set(this.cm.options.pollInterval,function(){Ad.poll(),Ad.cm.state.focused&&Ad.slowPoll()})},v0.prototype.fastPoll=function(){var Ad=!1,Bd=this;Bd.pollingFast=!0;function Wd(){var zd=Bd.poll();!zd&&!Ad?(Ad=!0,Bd.polling.set(60,Wd)):(Bd.pollingFast=!1,Bd.slowPoll())}Bd.polling.set(20,Wd)},v0.prototype.poll=function(){var Ad=this,Bd=this.cm,Wd=this.textarea,zd=this.prevInput;if(this.contextMenuPending||this.resetting||!Bd.state.focused||ph(Wd)&&!zd&&!this.composing||Bd.isReadOnly()||Bd.options.disableInput||Bd.state.keySeq)return!1;var Qd=Wd.value;if(Qd==zd&&!Bd.somethingSelected())return!1;if(ld&&cd>=9&&this.hasSelection===Qd||Ld&&/[\uf700-\uf7ff]/.test(Qd))return Bd.display.input.reset(),!1;if(Bd.doc.sel==Bd.display.selForContextMenu){var Ef=Qd.charCodeAt(0);if(Ef==8203&&!zd&&(zd=""),Ef==8666)return this.reset(),this.cm.execCommand("undo")}for(var Of=0,Uf=Math.min(zd.length,Qd.length);Of<Uf&&zd.charCodeAt(Of)==Qd.charCodeAt(Of);)++Of;return z0(Bd,function(){pE(Bd,Qd.slice(Of),zd.length-Of,null,Ad.composing?"*compose":null),Qd.length>1e3||Qd.indexOf(`
|
||
`)>-1?Wd.value=Ad.prevInput="":Ad.prevInput=Qd,Ad.composing&&(Ad.composing.range.clear(),Ad.composing.range=Bd.markText(Ad.composing.start,Bd.getCursor("to"),{className:"CodeMirror-composing"}))}),!0},v0.prototype.ensurePolled=function(){this.pollingFast&&this.poll()&&(this.pollingFast=!1)},v0.prototype.onKeyPress=function(){ld&&cd>=9&&(this.hasSelection=null),this.fastPoll()},v0.prototype.onContextMenu=function(Ad){var Bd=this,Wd=Bd.cm,zd=Wd.display,Qd=Bd.textarea;Bd.contextMenuPending&&Bd.contextMenuPending();var Ef=Y_(Wd,Ad),Of=zd.scroller.scrollTop;if(!Ef||Ed)return;var Uf=Wd.options.resetSelectionOnContextMenu;Uf&&Wd.doc.sel.contains(Ef)==-1&&t0(Wd,q0)(Wd.doc,Ng(Ef),Jf);var Qf=Qd.style.cssText,uh=Bd.wrapper.style.cssText,kh=Bd.wrapper.offsetParent.getBoundingClientRect();Bd.wrapper.style.cssText="position: static",Qd.style.cssText=`position: absolute; width: 30px; height: 30px;
|
||
top: `+(Ad.clientY-kh.top-5)+"px; left: "+(Ad.clientX-kh.left-5)+`px;
|
||
z-index: 1000; background: `+(ld?"rgba(255, 255, 255, .05)":"transparent")+`;
|
||
outline: none; border-width: 0; outline: none; overflow: hidden; opacity: .05; filter: alpha(opacity=5);`;var qh;ud&&(qh=Qd.ownerDocument.defaultView.scrollY),zd.input.focus(),ud&&Qd.ownerDocument.defaultView.scrollTo(null,qh),zd.input.reset(),Wd.somethingSelected()||(Qd.value=Bd.prevInput=" "),Bd.contextMenuPending=Kh,zd.selForContextMenu=Wd.doc.sel,clearTimeout(zd.detectingSelectAll);function Qh(){if(Qd.selectionStart!=null){var Nm=Wd.somethingSelected(),Hm=""+(Nm?Qd.value:"");Qd.value="⇚",Qd.value=Hm,Bd.prevInput=Nm?"":"",Qd.selectionStart=1,Qd.selectionEnd=Hm.length,zd.selForContextMenu=Wd.doc.sel}}function Kh(){if(Bd.contextMenuPending==Kh&&(Bd.contextMenuPending=!1,Bd.wrapper.style.cssText=uh,Qd.style.cssText=Qf,ld&&cd<9&&zd.scrollbars.setScrollTop(zd.scroller.scrollTop=Of),Qd.selectionStart!=null)){(!ld||ld&&cd<9)&&Qh();var Nm=0,Hm=function(){zd.selForContextMenu==Wd.doc.sel&&Qd.selectionStart==0&&Qd.selectionEnd>0&&Bd.prevInput==""?t0(Wd,Bb)(Wd):Nm++<10?zd.detectingSelectAll=setTimeout(Hm,500):(zd.selForContextMenu=null,zd.input.reset())};zd.detectingSelectAll=setTimeout(Hm,200)}}if(ld&&cd>=9&&Qh(),Hd){V1(Ad);var hm=function(){Fm(window,"mouseup",hm),setTimeout(Kh,20)};om(window,"mouseup",hm)}else setTimeout(Kh,50)},v0.prototype.readOnlyChanged=function(Ad){Ad||this.reset(),this.textarea.disabled=Ad=="nocursor",this.textarea.readOnly=!!Ad},v0.prototype.setUneditable=function(){},v0.prototype.needsContentAttribute=!1;function d2(Ad,Bd){if(Bd=Bd?mf(Bd):{},Bd.value=Ad.value,!Bd.tabindex&&Ad.tabIndex&&(Bd.tabindex=Ad.tabIndex),!Bd.placeholder&&Ad.placeholder&&(Bd.placeholder=Ad.placeholder),Bd.autofocus==null){var Wd=Lf(Kf(Ad));Bd.autofocus=Wd==Ad||Ad.getAttribute("autofocus")!=null&&Wd==document.body}function zd(){Ad.value=Uf.getValue()}var Qd;if(Ad.form&&(om(Ad.form,"submit",zd),!Bd.leaveSubmitMethodAlone)){var Ef=Ad.form;Qd=Ef.submit;try{var Of=Ef.submit=function(){zd(),Ef.submit=Qd,Ef.submit(),Ef.submit=Of}}catch{}}Bd.finishInit=function(Qf){Qf.save=zd,Qf.getTextArea=function(){return Ad},Qf.toTextArea=function(){Qf.toTextArea=isNaN,zd(),Ad.parentNode.removeChild(Qf.getWrapperElement()),Ad.style.display="",Ad.form&&(Fm(Ad.form,"submit",zd),!Bd.leaveSubmitMethodAlone&&typeof Ad.form.submit=="function"&&(Ad.form.submit=Qd))}},Ad.style.display="none";var Uf=G1(function(Qf){return Ad.parentNode.insertBefore(Qf,Ad.nextSibling)},Bd);return Uf}function X2(Ad){Ad.off=Fm,Ad.on=om,Ad.wheelEventPixels=$2,Ad.Doc=Y0,Ad.splitLines=yf,Ad.countColumn=$f,Ad.findColumn=Tf,Ad.isWordChar=Zh,Ad.Pass=kf,Ad.signal=Om,Ad.Line=Mf,Ad.changeEnd=Dg,Ad.scrollbarModel=rb,Ad.Pos=am,Ad.cmpPos=Vm,Ad.modes=Mh,Ad.mimeModes=Gh,Ad.resolveMode=cm,Ad.getMode=um,Ad.modeExtensions=_m,Ad.extendMode=Ff,Ad.copyState=$m,Ad.startState=f1,Ad.innerMode=m1,Ad.commands=_v,Ad.keyMap=Q_,Ad.keyName=pv,Ad.isModifierKey=Vv,Ad.lookupKey=f_,Ad.normalizeKeyMap=p_,Ad.StringStream=a1,Ad.SharedTextMarker=Ub,Ad.TextMarker=F_,Ad.LineWidget=cb,Ad.e_preventDefault=Xm,Ad.e_stopPropagation=F1,Ad.e_stop=V1,Ad.addClass=Wf,Ad.contains=xf,Ad.rmClass=Jd,Ad.keyNames=L0}dE(G1),Yb(G1);var p2="iter insert remove copy getEditor constructor".split(" ");for(var iy in Y0.prototype)Y0.prototype.hasOwnProperty(iy)&&hh(p2,iy)<0&&(G1.prototype[iy]=function(Ad){return function(){return Ad.apply(this.doc,arguments)}}(Y0.prototype[iy]));return x1(Y0),G1.inputStyles={textarea:v0,contenteditable:X1},G1.defineMode=function(Ad){!G1.defaults.mode&&Ad!="null"&&(G1.defaults.mode=Ad),Fh.apply(this,arguments)},G1.defineMIME=Ah,G1.defineMode("null",function(){return{token:function(Ad){return Ad.skipToEnd()}}}),G1.defineMIME("text/plain","null"),G1.defineExtension=function(Ad,Bd){G1.prototype[Ad]=Bd},G1.defineDocExtension=function(Ad,Bd){Y0.prototype[Ad]=Bd},G1.fromTextArea=d2,X2(G1),G1.version="5.65.17",G1})}(codemirror)),codemirror.exports}var codemirrorExports=requireCodemirror();const CodeMirror=getDefaultExportFromCjs(codemirrorExports);function tryOnScopeDispose$1(Ra){return getCurrentScope()?(onScopeDispose(Ra),!0):!1}function toValue$2(Ra){return typeof Ra=="function"?Ra():unref(Ra)}const isClient$1=typeof window<"u"&&typeof document<"u";typeof WorkerGlobalScope<"u"&&globalThis instanceof WorkerGlobalScope;const toString$3=Object.prototype.toString,isObject$4=Ra=>toString$3.call(Ra)==="[object Object]",noop$6=()=>{};function createFilterWrapper$1(Ra,qa){function Ja(...ed){return new Promise((td,rd)=>{Promise.resolve(Ra(()=>qa.apply(this,ed),{fn:qa,thisArg:this,args:ed})).then(td).catch(rd)})}return Ja}const bypassFilter=Ra=>Ra();function pausableFilter(Ra=bypassFilter){const qa=ref$1(!0);function Ja(){qa.value=!1}function ed(){qa.value=!0}const td=(...rd)=>{qa.value&&Ra(...rd)};return{isActive:readonly(qa),pause:Ja,resume:ed,eventFilter:td}}function getLifeCycleTarget(Ra){return getCurrentInstance()}function toRef(...Ra){if(Ra.length!==1)return toRef$1(...Ra);const qa=Ra[0];return typeof qa=="function"?readonly(customRef(()=>({get:qa,set:noop$6}))):ref$1(qa)}function watchWithFilter(Ra,qa,Ja={}){const{eventFilter:ed=bypassFilter,...td}=Ja;return watch(Ra,createFilterWrapper$1(ed,qa),td)}function watchPausable(Ra,qa,Ja={}){const{eventFilter:ed,...td}=Ja,{eventFilter:rd,pause:sd,resume:od,isActive:ld}=pausableFilter(ed);return{stop:watchWithFilter(Ra,qa,{...td,eventFilter:rd}),pause:sd,resume:od,isActive:ld}}function tryOnMounted$1(Ra,qa=!0,Ja){getLifeCycleTarget()?onMounted(Ra,Ja):qa?Ra():nextTick$1(Ra)}function useToggle(Ra=!1,qa={}){const{truthyValue:Ja=!0,falsyValue:ed=!1}=qa,td=isRef(Ra),rd=ref$1(Ra);function sd(od){if(arguments.length)return rd.value=od,rd.value;{const ld=toValue$2(Ja);return rd.value=rd.value===ld?toValue$2(ed):ld,rd.value}}return td?sd:[rd,sd]}function unrefElement$1(Ra){var qa;const Ja=toValue$2(Ra);return(qa=Ja==null?void 0:Ja.$el)!=null?qa:Ja}const defaultWindow$1=isClient$1?window:void 0;function useEventListener$1(...Ra){let qa,Ja,ed,td;if(typeof Ra[0]=="string"||Array.isArray(Ra[0])?([Ja,ed,td]=Ra,qa=defaultWindow$1):[qa,Ja,ed,td]=Ra,!qa)return noop$6;Array.isArray(Ja)||(Ja=[Ja]),Array.isArray(ed)||(ed=[ed]);const rd=[],sd=()=>{rd.forEach(ud=>ud()),rd.length=0},od=(ud,_d,yd,gd)=>(ud.addEventListener(_d,yd,gd),()=>ud.removeEventListener(_d,yd,gd)),ld=watch(()=>[unrefElement$1(qa),toValue$2(td)],([ud,_d])=>{if(sd(),!ud)return;const yd=isObject$4(_d)?{..._d}:_d;rd.push(...Ja.flatMap(gd=>ed.map(Ed=>od(ud,gd,Ed,yd))))},{immediate:!0,flush:"post"}),cd=()=>{ld(),sd()};return tryOnScopeDispose$1(cd),cd}function useMounted(){const Ra=ref$1(!1),qa=getCurrentInstance();return qa&&onMounted(()=>{Ra.value=!0},qa),Ra}function useSupported$1(Ra){const qa=useMounted();return computed(()=>(qa.value,!!Ra()))}function useMediaQuery(Ra,qa={}){const{window:Ja=defaultWindow$1}=qa,ed=useSupported$1(()=>Ja&&"matchMedia"in Ja&&typeof Ja.matchMedia=="function");let td;const rd=ref$1(!1),sd=cd=>{rd.value=cd.matches},od=()=>{td&&("removeEventListener"in td?td.removeEventListener("change",sd):td.removeListener(sd))},ld=watchEffect(()=>{ed.value&&(od(),td=Ja.matchMedia(toValue$2(Ra)),"addEventListener"in td?td.addEventListener("change",sd):td.addListener(sd),rd.value=td.matches)});return tryOnScopeDispose$1(()=>{ld(),od(),td=void 0}),rd}const _global$2=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global$1<"u"?global$1:typeof self<"u"?self:{},globalKey$1="__vueuse_ssr_handlers__",handlers=getHandlers();function getHandlers(){return globalKey$1 in _global$2||(_global$2[globalKey$1]=_global$2[globalKey$1]||{}),_global$2[globalKey$1]}function getSSRHandler(Ra,qa){return handlers[Ra]||qa}function guessSerializerType(Ra){return Ra==null?"any":Ra instanceof Set?"set":Ra instanceof Map?"map":Ra instanceof Date?"date":typeof Ra=="boolean"?"boolean":typeof Ra=="string"?"string":typeof Ra=="object"?"object":Number.isNaN(Ra)?"any":"number"}const StorageSerializers={boolean:{read:Ra=>Ra==="true",write:Ra=>String(Ra)},object:{read:Ra=>JSON.parse(Ra),write:Ra=>JSON.stringify(Ra)},number:{read:Ra=>Number.parseFloat(Ra),write:Ra=>String(Ra)},any:{read:Ra=>Ra,write:Ra=>String(Ra)},string:{read:Ra=>Ra,write:Ra=>String(Ra)},map:{read:Ra=>new Map(JSON.parse(Ra)),write:Ra=>JSON.stringify(Array.from(Ra.entries()))},set:{read:Ra=>new Set(JSON.parse(Ra)),write:Ra=>JSON.stringify(Array.from(Ra))},date:{read:Ra=>new Date(Ra),write:Ra=>Ra.toISOString()}},customStorageEventName="vueuse-storage";function useStorage(Ra,qa,Ja,ed={}){var td;const{flush:rd="pre",deep:sd=!0,listenToStorageChanges:od=!0,writeDefaults:ld=!0,mergeDefaults:cd=!1,shallow:ud,window:_d=defaultWindow$1,eventFilter:yd,onError:gd=Hd=>{console.error(Hd)},initOnMounted:Ed}=ed,Td=(ud?shallowRef:ref$1)(typeof qa=="function"?qa():qa);if(!Ja)try{Ja=getSSRHandler("getDefaultStorage",()=>{var Hd;return(Hd=defaultWindow$1)==null?void 0:Hd.localStorage})()}catch(Hd){gd(Hd)}if(!Ja)return Td;const kd=toValue$2(qa),Rd=guessSerializerType(kd),Nd=(td=ed.serializer)!=null?td:StorageSerializers[Rd],{pause:Id,resume:Md}=watchPausable(Td,()=>Pd(Td.value),{flush:rd,deep:sd,eventFilter:yd});_d&&od&&tryOnMounted$1(()=>{Ja instanceof Storage?useEventListener$1(_d,"storage",Yd):useEventListener$1(_d,customStorageEventName,Ud),Ed&&Yd()}),Ed||Yd();function Ld(Hd,Vd){if(_d){const Jd={key:Ra,oldValue:Hd,newValue:Vd,storageArea:Ja};_d.dispatchEvent(Ja instanceof Storage?new StorageEvent("storage",Jd):new CustomEvent(customStorageEventName,{detail:Jd}))}}function Pd(Hd){try{const Vd=Ja.getItem(Ra);if(Hd==null)Ld(Vd,null),Ja.removeItem(Ra);else{const Jd=Nd.write(Hd);Vd!==Jd&&(Ja.setItem(Ra,Jd),Ld(Vd,Jd))}}catch(Vd){gd(Vd)}}function qd(Hd){const Vd=Hd?Hd.newValue:Ja.getItem(Ra);if(Vd==null)return ld&&kd!=null&&Ja.setItem(Ra,Nd.write(kd)),kd;if(!Hd&&cd){const Jd=Nd.read(Vd);return typeof cd=="function"?cd(Jd,kd):Rd==="object"&&!Array.isArray(Jd)?{...kd,...Jd}:Jd}else return typeof Vd!="string"?Vd:Nd.read(Vd)}function Yd(Hd){if(!(Hd&&Hd.storageArea!==Ja)){if(Hd&&Hd.key==null){Td.value=kd;return}if(!(Hd&&Hd.key!==Ra)){Id();try{(Hd==null?void 0:Hd.newValue)!==Nd.write(Td.value)&&(Td.value=qd(Hd))}catch(Vd){gd(Vd)}finally{Hd?nextTick$1(Md):Md()}}}}function Ud(Hd){Yd(Hd.detail)}return Td}function usePreferredDark(Ra){return useMediaQuery("(prefers-color-scheme: dark)",Ra)}const CSS_DISABLE_TRANS="*,*::before,*::after{-webkit-transition:none!important;-moz-transition:none!important;-o-transition:none!important;-ms-transition:none!important;transition:none!important}";function useColorMode(Ra={}){const{selector:qa="html",attribute:Ja="class",initialValue:ed="auto",window:td=defaultWindow$1,storage:rd,storageKey:sd="vueuse-color-scheme",listenToStorageChanges:od=!0,storageRef:ld,emitAuto:cd,disableTransition:ud=!0}=Ra,_d={auto:"",light:"light",dark:"dark",...Ra.modes||{}},yd=usePreferredDark({window:td}),gd=computed(()=>yd.value?"dark":"light"),Ed=ld||(sd==null?toRef(ed):useStorage(sd,ed,rd,{window:td,listenToStorageChanges:od})),Td=computed(()=>Ed.value==="auto"?gd.value:Ed.value),kd=getSSRHandler("updateHTMLAttrs",(Md,Ld,Pd)=>{const qd=typeof Md=="string"?td==null?void 0:td.document.querySelector(Md):unrefElement$1(Md);if(!qd)return;const Yd=new Set,Ud=new Set;let Hd=null;if(Ld==="class"){const Jd=Pd.split(/\s/g);Object.values(_d).flatMap(Zd=>(Zd||"").split(/\s/g)).filter(Boolean).forEach(Zd=>{Jd.includes(Zd)?Yd.add(Zd):Ud.add(Zd)})}else Hd={key:Ld,value:Pd};if(Yd.size===0&&Ud.size===0&&Hd===null)return;let Vd;ud&&(Vd=td.document.createElement("style"),Vd.appendChild(document.createTextNode(CSS_DISABLE_TRANS)),td.document.head.appendChild(Vd));for(const Jd of Yd)qd.classList.add(Jd);for(const Jd of Ud)qd.classList.remove(Jd);Hd&&qd.setAttribute(Hd.key,Hd.value),ud&&(td.getComputedStyle(Vd).opacity,document.head.removeChild(Vd))});function Rd(Md){var Ld;kd(qa,Ja,(Ld=_d[Md])!=null?Ld:Md)}function Nd(Md){Ra.onChanged?Ra.onChanged(Md,Rd):Rd(Md)}watch(Td,Nd,{flush:"post",immediate:!0}),tryOnMounted$1(()=>Nd(Td.value));const Id=computed({get(){return cd?Ed.value:Td.value},set(Md){Ed.value=Md}});try{return Object.assign(Id,{store:Ed,system:gd,state:Td})}catch{return Id}}function useDark(Ra={}){const{valueDark:qa="dark",valueLight:Ja="",window:ed=defaultWindow$1}=Ra,td=useColorMode({...Ra,onChanged:(od,ld)=>{var cd;Ra.onChanged?(cd=Ra.onChanged)==null||cd.call(Ra,od==="dark",ld,od):ld(od)},modes:{dark:qa,light:Ja}}),rd=computed(()=>td.system?td.system.value:usePreferredDark({window:ed}).value?"dark":"light");return computed({get(){return td.value==="dark"},set(od){const ld=od?"dark":"light";rd.value===ld?td.value="auto":td.value=ld}})}const FOCUSABLE_ELEMENT_SELECTORS='a[href],button:not([disabled]),button:not([hidden]),:not([tabindex="-1"]),input:not([disabled]),input:not([type="hidden"]),select:not([disabled]),textarea:not([disabled])',isVisible=Ra=>getComputedStyle(Ra).position==="fixed"?!1:Ra.offsetParent!==null,obtainAllFocusableElements$1=Ra=>Array.from(Ra.querySelectorAll(FOCUSABLE_ELEMENT_SELECTORS)).filter(qa=>isFocusable(qa)&&isVisible(qa)),isFocusable=Ra=>{if(Ra.tabIndex>0||Ra.tabIndex===0&&Ra.getAttribute("tabIndex")!==null)return!0;if(Ra.disabled)return!1;switch(Ra.nodeName){case"A":return!!Ra.href&&Ra.rel!=="ignore";case"INPUT":return!(Ra.type==="hidden"||Ra.type==="file");case"BUTTON":case"SELECT":case"TEXTAREA":return!0;default:return!1}},composeEventHandlers=(Ra,qa,{checkForDefaultPrevented:Ja=!0}={})=>td=>{const rd=Ra==null?void 0:Ra(td);if(Ja===!1||!rd)return qa==null?void 0:qa(td)};var __defProp$9=Object.defineProperty,__defProps$6=Object.defineProperties,__getOwnPropDescs$6=Object.getOwnPropertyDescriptors,__getOwnPropSymbols$b=Object.getOwnPropertySymbols,__hasOwnProp$b=Object.prototype.hasOwnProperty,__propIsEnum$b=Object.prototype.propertyIsEnumerable,__defNormalProp$9=(Ra,qa,Ja)=>qa in Ra?__defProp$9(Ra,qa,{enumerable:!0,configurable:!0,writable:!0,value:Ja}):Ra[qa]=Ja,__spreadValues$9=(Ra,qa)=>{for(var Ja in qa||(qa={}))__hasOwnProp$b.call(qa,Ja)&&__defNormalProp$9(Ra,Ja,qa[Ja]);if(__getOwnPropSymbols$b)for(var Ja of __getOwnPropSymbols$b(qa))__propIsEnum$b.call(qa,Ja)&&__defNormalProp$9(Ra,Ja,qa[Ja]);return Ra},__spreadProps$6=(Ra,qa)=>__defProps$6(Ra,__getOwnPropDescs$6(qa));function computedEager(Ra,qa){var Ja;const ed=shallowRef();return watchEffect(()=>{ed.value=Ra()},__spreadProps$6(__spreadValues$9({},qa),{flush:(Ja=void 0)!=null?Ja:"sync"})),readonly(ed)}var _a$3;const isClient=typeof window<"u",isDef=Ra=>typeof Ra<"u",isFunction$3=Ra=>typeof Ra=="function",isString$2=Ra=>typeof Ra=="string",noop$5=()=>{},isIOS$1=isClient&&((_a$3=window==null?void 0:window.navigator)==null?void 0:_a$3.userAgent)&&/iP(ad|hone|od)/.test(window.navigator.userAgent);function resolveUnref(Ra){return typeof Ra=="function"?Ra():unref(Ra)}function createFilterWrapper(Ra,qa){function Ja(...ed){return new Promise((td,rd)=>{Promise.resolve(Ra(()=>qa.apply(this,ed),{fn:qa,thisArg:this,args:ed})).then(td).catch(rd)})}return Ja}function debounceFilter(Ra,qa={}){let Ja,ed,td=noop$5;const rd=od=>{clearTimeout(od),td(),td=noop$5};return od=>{const ld=resolveUnref(Ra),cd=resolveUnref(qa.maxWait);return Ja&&rd(Ja),ld<=0||cd!==void 0&&cd<=0?(ed&&(rd(ed),ed=null),Promise.resolve(od())):new Promise((ud,_d)=>{td=qa.rejectOnCancel?_d:ud,cd&&!ed&&(ed=setTimeout(()=>{Ja&&rd(Ja),ed=null,ud(od())},cd)),Ja=setTimeout(()=>{ed&&rd(ed),ed=null,ud(od())},ld)})}}function identity$2(Ra){return Ra}function tryOnScopeDispose(Ra){return getCurrentScope()?(onScopeDispose(Ra),!0):!1}function useDebounceFn(Ra,qa=200,Ja={}){return createFilterWrapper(debounceFilter(qa,Ja),Ra)}function refDebounced(Ra,qa=200,Ja={}){const ed=ref$1(Ra.value),td=useDebounceFn(()=>{ed.value=Ra.value},qa,Ja);return watch(Ra,()=>td()),ed}function tryOnMounted(Ra,qa=!0){getCurrentInstance()?onMounted(Ra):qa?Ra():nextTick$1(Ra)}function useTimeoutFn(Ra,qa,Ja={}){const{immediate:ed=!0}=Ja,td=ref$1(!1);let rd=null;function sd(){rd&&(clearTimeout(rd),rd=null)}function od(){td.value=!1,sd()}function ld(...cd){sd(),td.value=!0,rd=setTimeout(()=>{td.value=!1,rd=null,Ra(...cd)},resolveUnref(qa))}return ed&&(td.value=!0,isClient&&ld()),tryOnScopeDispose(od),{isPending:readonly(td),start:ld,stop:od}}function unrefElement(Ra){var qa;const Ja=resolveUnref(Ra);return(qa=Ja==null?void 0:Ja.$el)!=null?qa:Ja}const defaultWindow=isClient?window:void 0,defaultDocument=isClient?window.document:void 0;function useEventListener(...Ra){let qa,Ja,ed,td;if(isString$2(Ra[0])||Array.isArray(Ra[0])?([Ja,ed,td]=Ra,qa=defaultWindow):[qa,Ja,ed,td]=Ra,!qa)return noop$5;Array.isArray(Ja)||(Ja=[Ja]),Array.isArray(ed)||(ed=[ed]);const rd=[],sd=()=>{rd.forEach(ud=>ud()),rd.length=0},od=(ud,_d,yd,gd)=>(ud.addEventListener(_d,yd,gd),()=>ud.removeEventListener(_d,yd,gd)),ld=watch(()=>[unrefElement(qa),resolveUnref(td)],([ud,_d])=>{sd(),ud&&rd.push(...Ja.flatMap(yd=>ed.map(gd=>od(ud,yd,gd,_d))))},{immediate:!0,flush:"post"}),cd=()=>{ld(),sd()};return tryOnScopeDispose(cd),cd}let _iOSWorkaround=!1;function onClickOutside(Ra,qa,Ja={}){const{window:ed=defaultWindow,ignore:td=[],capture:rd=!0,detectIframe:sd=!1}=Ja;if(!ed)return;isIOS$1&&!_iOSWorkaround&&(_iOSWorkaround=!0,Array.from(ed.document.body.children).forEach(yd=>yd.addEventListener("click",noop$5)));let od=!0;const ld=yd=>td.some(gd=>{if(typeof gd=="string")return Array.from(ed.document.querySelectorAll(gd)).some(Ed=>Ed===yd.target||yd.composedPath().includes(Ed));{const Ed=unrefElement(gd);return Ed&&(yd.target===Ed||yd.composedPath().includes(Ed))}}),ud=[useEventListener(ed,"click",yd=>{const gd=unrefElement(Ra);if(!(!gd||gd===yd.target||yd.composedPath().includes(gd))){if(yd.detail===0&&(od=!ld(yd)),!od){od=!0;return}qa(yd)}},{passive:!0,capture:rd}),useEventListener(ed,"pointerdown",yd=>{const gd=unrefElement(Ra);gd&&(od=!yd.composedPath().includes(gd)&&!ld(yd))},{passive:!0}),sd&&useEventListener(ed,"blur",yd=>{var gd;const Ed=unrefElement(Ra);((gd=ed.document.activeElement)==null?void 0:gd.tagName)==="IFRAME"&&!(Ed!=null&&Ed.contains(ed.document.activeElement))&&qa(yd)})].filter(Boolean);return()=>ud.forEach(yd=>yd())}function useSupported(Ra,qa=!1){const Ja=ref$1(),ed=()=>Ja.value=!!Ra();return ed(),tryOnMounted(ed,qa),Ja}function cloneFnJSON(Ra){return JSON.parse(JSON.stringify(Ra))}const _global$1=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global$1<"u"?global$1:typeof self<"u"?self:{},globalKey="__vueuse_ssr_handlers__";_global$1[globalKey]=_global$1[globalKey]||{};_global$1[globalKey];function useDocumentVisibility({document:Ra=defaultDocument}={}){if(!Ra)return ref$1("visible");const qa=ref$1(Ra.visibilityState);return useEventListener(Ra,"visibilitychange",()=>{qa.value=Ra.visibilityState}),qa}var __getOwnPropSymbols$g=Object.getOwnPropertySymbols,__hasOwnProp$g=Object.prototype.hasOwnProperty,__propIsEnum$g=Object.prototype.propertyIsEnumerable,__objRest$2=(Ra,qa)=>{var Ja={};for(var ed in Ra)__hasOwnProp$g.call(Ra,ed)&&qa.indexOf(ed)<0&&(Ja[ed]=Ra[ed]);if(Ra!=null&&__getOwnPropSymbols$g)for(var ed of __getOwnPropSymbols$g(Ra))qa.indexOf(ed)<0&&__propIsEnum$g.call(Ra,ed)&&(Ja[ed]=Ra[ed]);return Ja};function useResizeObserver(Ra,qa,Ja={}){const ed=Ja,{window:td=defaultWindow}=ed,rd=__objRest$2(ed,["window"]);let sd;const od=useSupported(()=>td&&"ResizeObserver"in td),ld=()=>{sd&&(sd.disconnect(),sd=void 0)},cd=watch(()=>unrefElement(Ra),_d=>{ld(),od.value&&td&&_d&&(sd=new ResizeObserver(qa),sd.observe(_d,rd))},{immediate:!0,flush:"post"}),ud=()=>{ld(),cd()};return tryOnScopeDispose(ud),{isSupported:od,stop:ud}}var __getOwnPropSymbols$8=Object.getOwnPropertySymbols,__hasOwnProp$8=Object.prototype.hasOwnProperty,__propIsEnum$8=Object.prototype.propertyIsEnumerable,__objRest$1=(Ra,qa)=>{var Ja={};for(var ed in Ra)__hasOwnProp$8.call(Ra,ed)&&qa.indexOf(ed)<0&&(Ja[ed]=Ra[ed]);if(Ra!=null&&__getOwnPropSymbols$8)for(var ed of __getOwnPropSymbols$8(Ra))qa.indexOf(ed)<0&&__propIsEnum$8.call(Ra,ed)&&(Ja[ed]=Ra[ed]);return Ja};function useMutationObserver(Ra,qa,Ja={}){const ed=Ja,{window:td=defaultWindow}=ed,rd=__objRest$1(ed,["window"]);let sd;const od=useSupported(()=>td&&"MutationObserver"in td),ld=()=>{sd&&(sd.disconnect(),sd=void 0)},cd=watch(()=>unrefElement(Ra),_d=>{ld(),od.value&&td&&_d&&(sd=new MutationObserver(qa),sd.observe(_d,rd))},{immediate:!0}),ud=()=>{ld(),cd()};return tryOnScopeDispose(ud),{isSupported:od,stop:ud}}var SwipeDirection;(function(Ra){Ra.UP="UP",Ra.RIGHT="RIGHT",Ra.DOWN="DOWN",Ra.LEFT="LEFT",Ra.NONE="NONE"})(SwipeDirection||(SwipeDirection={}));var __defProp$1=Object.defineProperty,__getOwnPropSymbols=Object.getOwnPropertySymbols,__hasOwnProp=Object.prototype.hasOwnProperty,__propIsEnum=Object.prototype.propertyIsEnumerable,__defNormalProp=(Ra,qa,Ja)=>qa in Ra?__defProp$1(Ra,qa,{enumerable:!0,configurable:!0,writable:!0,value:Ja}):Ra[qa]=Ja,__spreadValues=(Ra,qa)=>{for(var Ja in qa||(qa={}))__hasOwnProp.call(qa,Ja)&&__defNormalProp(Ra,Ja,qa[Ja]);if(__getOwnPropSymbols)for(var Ja of __getOwnPropSymbols(qa))__propIsEnum.call(qa,Ja)&&__defNormalProp(Ra,Ja,qa[Ja]);return Ra};const _TransitionPresets={easeInSine:[.12,0,.39,0],easeOutSine:[.61,1,.88,1],easeInOutSine:[.37,0,.63,1],easeInQuad:[.11,0,.5,0],easeOutQuad:[.5,1,.89,1],easeInOutQuad:[.45,0,.55,1],easeInCubic:[.32,0,.67,0],easeOutCubic:[.33,1,.68,1],easeInOutCubic:[.65,0,.35,1],easeInQuart:[.5,0,.75,0],easeOutQuart:[.25,1,.5,1],easeInOutQuart:[.76,0,.24,1],easeInQuint:[.64,0,.78,0],easeOutQuint:[.22,1,.36,1],easeInOutQuint:[.83,0,.17,1],easeInExpo:[.7,0,.84,0],easeOutExpo:[.16,1,.3,1],easeInOutExpo:[.87,0,.13,1],easeInCirc:[.55,0,1,.45],easeOutCirc:[0,.55,.45,1],easeInOutCirc:[.85,0,.15,1],easeInBack:[.36,0,.66,-.56],easeOutBack:[.34,1.56,.64,1],easeInOutBack:[.68,-.6,.32,1.6]};__spreadValues({linear:identity$2},_TransitionPresets);function useVModel(Ra,qa,Ja,ed={}){var td,rd,sd;const{clone:od=!1,passive:ld=!1,eventName:cd,deep:ud=!1,defaultValue:_d}=ed,yd=getCurrentInstance(),gd=(yd==null?void 0:yd.emit)||((td=yd==null?void 0:yd.$emit)==null?void 0:td.bind(yd))||((sd=(rd=yd==null?void 0:yd.proxy)==null?void 0:rd.$emit)==null?void 0:sd.bind(yd==null?void 0:yd.proxy));let Ed=cd;Ed=cd||Ed||`update:${qa.toString()}`;const Td=Rd=>od?isFunction$3(od)?od(Rd):cloneFnJSON(Rd):Rd,kd=()=>isDef(Ra[qa])?Td(Ra[qa]):_d;if(ld){const Rd=kd(),Nd=ref$1(Rd);return watch(()=>Ra[qa],Id=>Nd.value=Td(Id)),watch(Nd,Id=>{(Id!==Ra[qa]||ud)&&gd(Ed,Id)},{deep:ud}),Nd}else return computed({get(){return kd()},set(Rd){gd(Ed,Rd)}})}function useWindowFocus({window:Ra=defaultWindow}={}){if(!Ra)return ref$1(!1);const qa=ref$1(Ra.document.hasFocus());return useEventListener(Ra,"blur",()=>{qa.value=!1}),useEventListener(Ra,"focus",()=>{qa.value=!0}),qa}const isFirefox=()=>isClient&&/firefox/i.test(window.navigator.userAgent),getClientXY=Ra=>{let qa,Ja;return Ra.type==="touchend"?(Ja=Ra.changedTouches[0].clientY,qa=Ra.changedTouches[0].clientX):Ra.type.startsWith("touch")?(Ja=Ra.touches[0].clientY,qa=Ra.touches[0].clientX):(Ja=Ra.clientY,qa=Ra.clientX),{clientX:qa,clientY:Ja}};var freeGlobal=typeof global$1=="object"&&global$1&&global$1.Object===Object&&global$1,freeSelf=typeof self=="object"&&self&&self.Object===Object&&self,root$1=freeGlobal||freeSelf||Function("return this")(),Symbol$1=root$1.Symbol,objectProto$g=Object.prototype,hasOwnProperty$g=objectProto$g.hasOwnProperty,nativeObjectToString$1=objectProto$g.toString,symToStringTag$1=Symbol$1?Symbol$1.toStringTag:void 0;function getRawTag(Ra){var qa=hasOwnProperty$g.call(Ra,symToStringTag$1),Ja=Ra[symToStringTag$1];try{Ra[symToStringTag$1]=void 0;var ed=!0}catch{}var td=nativeObjectToString$1.call(Ra);return ed&&(qa?Ra[symToStringTag$1]=Ja:delete Ra[symToStringTag$1]),td}var objectProto$f=Object.prototype,nativeObjectToString=objectProto$f.toString;function objectToString(Ra){return nativeObjectToString.call(Ra)}var nullTag="[object Null]",undefinedTag="[object Undefined]",symToStringTag=Symbol$1?Symbol$1.toStringTag:void 0;function baseGetTag(Ra){return Ra==null?Ra===void 0?undefinedTag:nullTag:symToStringTag&&symToStringTag in Object(Ra)?getRawTag(Ra):objectToString(Ra)}function isObjectLike$1(Ra){return Ra!=null&&typeof Ra=="object"}var symbolTag$3="[object Symbol]";function isSymbol(Ra){return typeof Ra=="symbol"||isObjectLike$1(Ra)&&baseGetTag(Ra)==symbolTag$3}function arrayMap(Ra,qa){for(var Ja=-1,ed=Ra==null?0:Ra.length,td=Array(ed);++Ja<ed;)td[Ja]=qa(Ra[Ja],Ja,Ra);return td}var isArray$1=Array.isArray,INFINITY$1=1/0,symbolProto$2=Symbol$1?Symbol$1.prototype:void 0,symbolToString=symbolProto$2?symbolProto$2.toString:void 0;function baseToString(Ra){if(typeof Ra=="string")return Ra;if(isArray$1(Ra))return arrayMap(Ra,baseToString)+"";if(isSymbol(Ra))return symbolToString?symbolToString.call(Ra):"";var qa=Ra+"";return qa=="0"&&1/Ra==-INFINITY$1?"-0":qa}var reWhitespace=/\s/;function trimmedEndIndex(Ra){for(var qa=Ra.length;qa--&&reWhitespace.test(Ra.charAt(qa)););return qa}var reTrimStart=/^\s+/;function baseTrim(Ra){return Ra&&Ra.slice(0,trimmedEndIndex(Ra)+1).replace(reTrimStart,"")}function isObject$3(Ra){var qa=typeof Ra;return Ra!=null&&(qa=="object"||qa=="function")}var NAN=NaN,reIsBadHex=/^[-+]0x[0-9a-f]+$/i,reIsBinary=/^0b[01]+$/i,reIsOctal=/^0o[0-7]+$/i,freeParseInt=parseInt;function toNumber$2(Ra){if(typeof Ra=="number")return Ra;if(isSymbol(Ra))return NAN;if(isObject$3(Ra)){var qa=typeof Ra.valueOf=="function"?Ra.valueOf():Ra;Ra=isObject$3(qa)?qa+"":qa}if(typeof Ra!="string")return Ra===0?Ra:+Ra;Ra=baseTrim(Ra);var Ja=reIsBinary.test(Ra);return Ja||reIsOctal.test(Ra)?freeParseInt(Ra.slice(2),Ja?2:8):reIsBadHex.test(Ra)?NAN:+Ra}function identity$1(Ra){return Ra}var asyncTag="[object AsyncFunction]",funcTag$2="[object Function]",genTag$1="[object GeneratorFunction]",proxyTag="[object Proxy]";function isFunction$2(Ra){if(!isObject$3(Ra))return!1;var qa=baseGetTag(Ra);return qa==funcTag$2||qa==genTag$1||qa==asyncTag||qa==proxyTag}var coreJsData=root$1["__core-js_shared__"],maskSrcKey=function(){var Ra=/[^.]+$/.exec(coreJsData&&coreJsData.keys&&coreJsData.keys.IE_PROTO||"");return Ra?"Symbol(src)_1."+Ra:""}();function isMasked(Ra){return!!maskSrcKey&&maskSrcKey in Ra}var funcProto$2=Function.prototype,funcToString$2=funcProto$2.toString;function toSource(Ra){if(Ra!=null){try{return funcToString$2.call(Ra)}catch{}try{return Ra+""}catch{}}return""}var reRegExpChar=/[\\^$.*+?()[\]{}|]/g,reIsHostCtor=/^\[object .+?Constructor\]$/,funcProto$1=Function.prototype,objectProto$e=Object.prototype,funcToString$1=funcProto$1.toString,hasOwnProperty$f=objectProto$e.hasOwnProperty,reIsNative=RegExp("^"+funcToString$1.call(hasOwnProperty$f).replace(reRegExpChar,"\\$&").replace(/hasOwnProperty|(function).*?(?=\\\()| for .+?(?=\\\])/g,"$1.*?")+"$");function baseIsNative(Ra){if(!isObject$3(Ra)||isMasked(Ra))return!1;var qa=isFunction$2(Ra)?reIsNative:reIsHostCtor;return qa.test(toSource(Ra))}function getValue$1(Ra,qa){return Ra==null?void 0:Ra[qa]}function getNative(Ra,qa){var Ja=getValue$1(Ra,qa);return baseIsNative(Ja)?Ja:void 0}var WeakMap$1=getNative(root$1,"WeakMap"),objectCreate=Object.create,baseCreate=function(){function Ra(){}return function(qa){if(!isObject$3(qa))return{};if(objectCreate)return objectCreate(qa);Ra.prototype=qa;var Ja=new Ra;return Ra.prototype=void 0,Ja}}();function apply$2(Ra,qa,Ja){switch(Ja.length){case 0:return Ra.call(qa);case 1:return Ra.call(qa,Ja[0]);case 2:return Ra.call(qa,Ja[0],Ja[1]);case 3:return Ra.call(qa,Ja[0],Ja[1],Ja[2])}return Ra.apply(qa,Ja)}function copyArray(Ra,qa){var Ja=-1,ed=Ra.length;for(qa||(qa=Array(ed));++Ja<ed;)qa[Ja]=Ra[Ja];return qa}var HOT_COUNT=800,HOT_SPAN=16,nativeNow=Date.now;function shortOut(Ra){var qa=0,Ja=0;return function(){var ed=nativeNow(),td=HOT_SPAN-(ed-Ja);if(Ja=ed,td>0){if(++qa>=HOT_COUNT)return arguments[0]}else qa=0;return Ra.apply(void 0,arguments)}}function constant$5(Ra){return function(){return Ra}}var defineProperty=function(){try{var Ra=getNative(Object,"defineProperty");return Ra({},"",{}),Ra}catch{}}(),baseSetToString=defineProperty?function(Ra,qa){return defineProperty(Ra,"toString",{configurable:!0,enumerable:!1,value:constant$5(qa),writable:!0})}:identity$1,setToString=shortOut(baseSetToString);function arrayEach(Ra,qa){for(var Ja=-1,ed=Ra==null?0:Ra.length;++Ja<ed&&qa(Ra[Ja],Ja,Ra)!==!1;);return Ra}function baseFindIndex(Ra,qa,Ja,ed){for(var td=Ra.length,rd=Ja+(ed?1:-1);ed?rd--:++rd<td;)if(qa(Ra[rd],rd,Ra))return rd;return-1}var MAX_SAFE_INTEGER$1=9007199254740991,reIsUint=/^(?:0|[1-9]\d*)$/;function isIndex(Ra,qa){var Ja=typeof Ra;return qa=qa??MAX_SAFE_INTEGER$1,!!qa&&(Ja=="number"||Ja!="symbol"&&reIsUint.test(Ra))&&Ra>-1&&Ra%1==0&&Ra<qa}function baseAssignValue(Ra,qa,Ja){qa=="__proto__"&&defineProperty?defineProperty(Ra,qa,{configurable:!0,enumerable:!0,value:Ja,writable:!0}):Ra[qa]=Ja}function eq(Ra,qa){return Ra===qa||Ra!==Ra&&qa!==qa}var objectProto$d=Object.prototype,hasOwnProperty$e=objectProto$d.hasOwnProperty;function assignValue(Ra,qa,Ja){var ed=Ra[qa];(!(hasOwnProperty$e.call(Ra,qa)&&eq(ed,Ja))||Ja===void 0&&!(qa in Ra))&&baseAssignValue(Ra,qa,Ja)}function copyObject(Ra,qa,Ja,ed){var td=!Ja;Ja||(Ja={});for(var rd=-1,sd=qa.length;++rd<sd;){var od=qa[rd],ld=void 0;ld===void 0&&(ld=Ra[od]),td?baseAssignValue(Ja,od,ld):assignValue(Ja,od,ld)}return Ja}var nativeMax$1=Math.max;function overRest(Ra,qa,Ja){return qa=nativeMax$1(qa===void 0?Ra.length-1:qa,0),function(){for(var ed=arguments,td=-1,rd=nativeMax$1(ed.length-qa,0),sd=Array(rd);++td<rd;)sd[td]=ed[qa+td];td=-1;for(var od=Array(qa+1);++td<qa;)od[td]=ed[td];return od[qa]=Ja(sd),apply$2(Ra,this,od)}}function baseRest(Ra,qa){return setToString(overRest(Ra,qa,identity$1),Ra+"")}var MAX_SAFE_INTEGER=9007199254740991;function isLength$1(Ra){return typeof Ra=="number"&&Ra>-1&&Ra%1==0&&Ra<=MAX_SAFE_INTEGER}function isArrayLike$1(Ra){return Ra!=null&&isLength$1(Ra.length)&&!isFunction$2(Ra)}function isIterateeCall(Ra,qa,Ja){if(!isObject$3(Ja))return!1;var ed=typeof qa;return(ed=="number"?isArrayLike$1(Ja)&&isIndex(qa,Ja.length):ed=="string"&&qa in Ja)?eq(Ja[qa],Ra):!1}function createAssigner(Ra){return baseRest(function(qa,Ja){var ed=-1,td=Ja.length,rd=td>1?Ja[td-1]:void 0,sd=td>2?Ja[2]:void 0;for(rd=Ra.length>3&&typeof rd=="function"?(td--,rd):void 0,sd&&isIterateeCall(Ja[0],Ja[1],sd)&&(rd=td<3?void 0:rd,td=1),qa=Object(qa);++ed<td;){var od=Ja[ed];od&&Ra(qa,od,ed,rd)}return qa})}var objectProto$c=Object.prototype;function isPrototype(Ra){var qa=Ra&&Ra.constructor,Ja=typeof qa=="function"&&qa.prototype||objectProto$c;return Ra===Ja}function baseTimes(Ra,qa){for(var Ja=-1,ed=Array(Ra);++Ja<Ra;)ed[Ja]=qa(Ja);return ed}var argsTag$3="[object Arguments]";function baseIsArguments(Ra){return isObjectLike$1(Ra)&&baseGetTag(Ra)==argsTag$3}var objectProto$b=Object.prototype,hasOwnProperty$d=objectProto$b.hasOwnProperty,propertyIsEnumerable$1=objectProto$b.propertyIsEnumerable,isArguments$1=baseIsArguments(function(){return arguments}())?baseIsArguments:function(Ra){return isObjectLike$1(Ra)&&hasOwnProperty$d.call(Ra,"callee")&&!propertyIsEnumerable$1.call(Ra,"callee")};function stubFalse(){return!1}var freeExports$2=typeof exports=="object"&&exports&&!exports.nodeType&&exports,freeModule$2=freeExports$2&&typeof module=="object"&&module&&!module.nodeType&&module,moduleExports$2=freeModule$2&&freeModule$2.exports===freeExports$2,Buffer$4=moduleExports$2?root$1.Buffer:void 0,nativeIsBuffer=Buffer$4?Buffer$4.isBuffer:void 0,isBuffer$1=nativeIsBuffer||stubFalse,argsTag$2="[object Arguments]",arrayTag$2="[object Array]",boolTag$3="[object Boolean]",dateTag$3="[object Date]",errorTag$2="[object Error]",funcTag$1="[object Function]",mapTag$6="[object Map]",numberTag$3="[object Number]",objectTag$4="[object Object]",regexpTag$3="[object RegExp]",setTag$6="[object Set]",stringTag$3="[object String]",weakMapTag$2="[object WeakMap]",arrayBufferTag$3="[object ArrayBuffer]",dataViewTag$4="[object DataView]",float32Tag$2="[object Float32Array]",float64Tag$2="[object Float64Array]",int8Tag$2="[object Int8Array]",int16Tag$2="[object Int16Array]",int32Tag$2="[object Int32Array]",uint8Tag$2="[object Uint8Array]",uint8ClampedTag$2="[object Uint8ClampedArray]",uint16Tag$2="[object Uint16Array]",uint32Tag$2="[object Uint32Array]",typedArrayTags={};typedArrayTags[float32Tag$2]=typedArrayTags[float64Tag$2]=typedArrayTags[int8Tag$2]=typedArrayTags[int16Tag$2]=typedArrayTags[int32Tag$2]=typedArrayTags[uint8Tag$2]=typedArrayTags[uint8ClampedTag$2]=typedArrayTags[uint16Tag$2]=typedArrayTags[uint32Tag$2]=!0;typedArrayTags[argsTag$2]=typedArrayTags[arrayTag$2]=typedArrayTags[arrayBufferTag$3]=typedArrayTags[boolTag$3]=typedArrayTags[dataViewTag$4]=typedArrayTags[dateTag$3]=typedArrayTags[errorTag$2]=typedArrayTags[funcTag$1]=typedArrayTags[mapTag$6]=typedArrayTags[numberTag$3]=typedArrayTags[objectTag$4]=typedArrayTags[regexpTag$3]=typedArrayTags[setTag$6]=typedArrayTags[stringTag$3]=typedArrayTags[weakMapTag$2]=!1;function baseIsTypedArray(Ra){return isObjectLike$1(Ra)&&isLength$1(Ra.length)&&!!typedArrayTags[baseGetTag(Ra)]}function baseUnary(Ra){return function(qa){return Ra(qa)}}var freeExports$1=typeof exports=="object"&&exports&&!exports.nodeType&&exports,freeModule$1=freeExports$1&&typeof module=="object"&&module&&!module.nodeType&&module,moduleExports$1=freeModule$1&&freeModule$1.exports===freeExports$1,freeProcess=moduleExports$1&&freeGlobal.process,nodeUtil=function(){try{var Ra=freeModule$1&&freeModule$1.require&&freeModule$1.require("util").types;return Ra||freeProcess&&freeProcess.binding&&freeProcess.binding("util")}catch{}}(),nodeIsTypedArray=nodeUtil&&nodeUtil.isTypedArray,isTypedArray$3=nodeIsTypedArray?baseUnary(nodeIsTypedArray):baseIsTypedArray,objectProto$a=Object.prototype,hasOwnProperty$c=objectProto$a.hasOwnProperty;function arrayLikeKeys(Ra,qa){var Ja=isArray$1(Ra),ed=!Ja&&isArguments$1(Ra),td=!Ja&&!ed&&isBuffer$1(Ra),rd=!Ja&&!ed&&!td&&isTypedArray$3(Ra),sd=Ja||ed||td||rd,od=sd?baseTimes(Ra.length,String):[],ld=od.length;for(var cd in Ra)(qa||hasOwnProperty$c.call(Ra,cd))&&!(sd&&(cd=="length"||td&&(cd=="offset"||cd=="parent")||rd&&(cd=="buffer"||cd=="byteLength"||cd=="byteOffset")||isIndex(cd,ld)))&&od.push(cd);return od}function overArg(Ra,qa){return function(Ja){return Ra(qa(Ja))}}var nativeKeys=overArg(Object.keys,Object),objectProto$9=Object.prototype,hasOwnProperty$b=objectProto$9.hasOwnProperty;function baseKeys(Ra){if(!isPrototype(Ra))return nativeKeys(Ra);var qa=[];for(var Ja in Object(Ra))hasOwnProperty$b.call(Ra,Ja)&&Ja!="constructor"&&qa.push(Ja);return qa}function keys(Ra){return isArrayLike$1(Ra)?arrayLikeKeys(Ra):baseKeys(Ra)}function nativeKeysIn(Ra){var qa=[];if(Ra!=null)for(var Ja in Object(Ra))qa.push(Ja);return qa}var objectProto$8=Object.prototype,hasOwnProperty$a=objectProto$8.hasOwnProperty;function baseKeysIn(Ra){if(!isObject$3(Ra))return nativeKeysIn(Ra);var qa=isPrototype(Ra),Ja=[];for(var ed in Ra)ed=="constructor"&&(qa||!hasOwnProperty$a.call(Ra,ed))||Ja.push(ed);return Ja}function keysIn(Ra){return isArrayLike$1(Ra)?arrayLikeKeys(Ra,!0):baseKeysIn(Ra)}var reIsDeepProp=/\.|\[(?:[^[\]]*|(["'])(?:(?!\1)[^\\]|\\.)*?\1)\]/,reIsPlainProp=/^\w*$/;function isKey(Ra,qa){if(isArray$1(Ra))return!1;var Ja=typeof Ra;return Ja=="number"||Ja=="symbol"||Ja=="boolean"||Ra==null||isSymbol(Ra)?!0:reIsPlainProp.test(Ra)||!reIsDeepProp.test(Ra)||qa!=null&&Ra in Object(qa)}var nativeCreate=getNative(Object,"create");function hashClear(){this.__data__=nativeCreate?nativeCreate(null):{},this.size=0}function hashDelete(Ra){var qa=this.has(Ra)&&delete this.__data__[Ra];return this.size-=qa?1:0,qa}var HASH_UNDEFINED$2="__lodash_hash_undefined__",objectProto$7=Object.prototype,hasOwnProperty$9=objectProto$7.hasOwnProperty;function hashGet(Ra){var qa=this.__data__;if(nativeCreate){var Ja=qa[Ra];return Ja===HASH_UNDEFINED$2?void 0:Ja}return hasOwnProperty$9.call(qa,Ra)?qa[Ra]:void 0}var objectProto$6=Object.prototype,hasOwnProperty$8=objectProto$6.hasOwnProperty;function hashHas(Ra){var qa=this.__data__;return nativeCreate?qa[Ra]!==void 0:hasOwnProperty$8.call(qa,Ra)}var HASH_UNDEFINED$1="__lodash_hash_undefined__";function hashSet(Ra,qa){var Ja=this.__data__;return this.size+=this.has(Ra)?0:1,Ja[Ra]=nativeCreate&&qa===void 0?HASH_UNDEFINED$1:qa,this}function Hash(Ra){var qa=-1,Ja=Ra==null?0:Ra.length;for(this.clear();++qa<Ja;){var ed=Ra[qa];this.set(ed[0],ed[1])}}Hash.prototype.clear=hashClear;Hash.prototype.delete=hashDelete;Hash.prototype.get=hashGet;Hash.prototype.has=hashHas;Hash.prototype.set=hashSet;function listCacheClear(){this.__data__=[],this.size=0}function assocIndexOf(Ra,qa){for(var Ja=Ra.length;Ja--;)if(eq(Ra[Ja][0],qa))return Ja;return-1}var arrayProto=Array.prototype,splice=arrayProto.splice;function listCacheDelete(Ra){var qa=this.__data__,Ja=assocIndexOf(qa,Ra);if(Ja<0)return!1;var ed=qa.length-1;return Ja==ed?qa.pop():splice.call(qa,Ja,1),--this.size,!0}function listCacheGet(Ra){var qa=this.__data__,Ja=assocIndexOf(qa,Ra);return Ja<0?void 0:qa[Ja][1]}function listCacheHas(Ra){return assocIndexOf(this.__data__,Ra)>-1}function listCacheSet(Ra,qa){var Ja=this.__data__,ed=assocIndexOf(Ja,Ra);return ed<0?(++this.size,Ja.push([Ra,qa])):Ja[ed][1]=qa,this}function ListCache(Ra){var qa=-1,Ja=Ra==null?0:Ra.length;for(this.clear();++qa<Ja;){var ed=Ra[qa];this.set(ed[0],ed[1])}}ListCache.prototype.clear=listCacheClear;ListCache.prototype.delete=listCacheDelete;ListCache.prototype.get=listCacheGet;ListCache.prototype.has=listCacheHas;ListCache.prototype.set=listCacheSet;var Map$1=getNative(root$1,"Map");function mapCacheClear(){this.size=0,this.__data__={hash:new Hash,map:new(Map$1||ListCache),string:new Hash}}function isKeyable(Ra){var qa=typeof Ra;return qa=="string"||qa=="number"||qa=="symbol"||qa=="boolean"?Ra!=="__proto__":Ra===null}function getMapData(Ra,qa){var Ja=Ra.__data__;return isKeyable(qa)?Ja[typeof qa=="string"?"string":"hash"]:Ja.map}function mapCacheDelete(Ra){var qa=getMapData(this,Ra).delete(Ra);return this.size-=qa?1:0,qa}function mapCacheGet(Ra){return getMapData(this,Ra).get(Ra)}function mapCacheHas(Ra){return getMapData(this,Ra).has(Ra)}function mapCacheSet(Ra,qa){var Ja=getMapData(this,Ra),ed=Ja.size;return Ja.set(Ra,qa),this.size+=Ja.size==ed?0:1,this}function MapCache(Ra){var qa=-1,Ja=Ra==null?0:Ra.length;for(this.clear();++qa<Ja;){var ed=Ra[qa];this.set(ed[0],ed[1])}}MapCache.prototype.clear=mapCacheClear;MapCache.prototype.delete=mapCacheDelete;MapCache.prototype.get=mapCacheGet;MapCache.prototype.has=mapCacheHas;MapCache.prototype.set=mapCacheSet;var FUNC_ERROR_TEXT$1="Expected a function";function memoize$1(Ra,qa){if(typeof Ra!="function"||qa!=null&&typeof qa!="function")throw new TypeError(FUNC_ERROR_TEXT$1);var Ja=function(){var ed=arguments,td=qa?qa.apply(this,ed):ed[0],rd=Ja.cache;if(rd.has(td))return rd.get(td);var sd=Ra.apply(this,ed);return Ja.cache=rd.set(td,sd)||rd,sd};return Ja.cache=new(memoize$1.Cache||MapCache),Ja}memoize$1.Cache=MapCache;var MAX_MEMOIZE_SIZE=500;function memoizeCapped(Ra){var qa=memoize$1(Ra,function(ed){return Ja.size===MAX_MEMOIZE_SIZE&&Ja.clear(),ed}),Ja=qa.cache;return qa}var rePropName$1=/[^.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\\]|\\.)*?)\2)\]|(?=(?:\.|\[\])(?:\.|\[\]|$))/g,reEscapeChar$1=/\\(\\)?/g,stringToPath$1=memoizeCapped(function(Ra){var qa=[];return Ra.charCodeAt(0)===46&&qa.push(""),Ra.replace(rePropName$1,function(Ja,ed,td,rd){qa.push(td?rd.replace(reEscapeChar$1,"$1"):ed||Ja)}),qa});function toString$2(Ra){return Ra==null?"":baseToString(Ra)}function castPath(Ra,qa){return isArray$1(Ra)?Ra:isKey(Ra,qa)?[Ra]:stringToPath$1(toString$2(Ra))}var INFINITY=1/0;function toKey(Ra){if(typeof Ra=="string"||isSymbol(Ra))return Ra;var qa=Ra+"";return qa=="0"&&1/Ra==-INFINITY?"-0":qa}function baseGet(Ra,qa){qa=castPath(qa,Ra);for(var Ja=0,ed=qa.length;Ra!=null&&Ja<ed;)Ra=Ra[toKey(qa[Ja++])];return Ja&&Ja==ed?Ra:void 0}function get$2(Ra,qa,Ja){var ed=Ra==null?void 0:baseGet(Ra,qa);return ed===void 0?Ja:ed}function arrayPush$1(Ra,qa){for(var Ja=-1,ed=qa.length,td=Ra.length;++Ja<ed;)Ra[td+Ja]=qa[Ja];return Ra}var spreadableSymbol=Symbol$1?Symbol$1.isConcatSpreadable:void 0;function isFlattenable(Ra){return isArray$1(Ra)||isArguments$1(Ra)||!!(spreadableSymbol&&Ra&&Ra[spreadableSymbol])}function baseFlatten(Ra,qa,Ja,ed,td){var rd=-1,sd=Ra.length;for(Ja||(Ja=isFlattenable),td||(td=[]);++rd<sd;){var od=Ra[rd];Ja(od)?arrayPush$1(td,od):ed||(td[td.length]=od)}return td}function flatten(Ra){var qa=Ra==null?0:Ra.length;return qa?baseFlatten(Ra):[]}function flatRest(Ra){return setToString(overRest(Ra,void 0,flatten),Ra+"")}var getPrototype=overArg(Object.getPrototypeOf,Object),objectTag$3="[object Object]",funcProto=Function.prototype,objectProto$5=Object.prototype,funcToString=funcProto.toString,hasOwnProperty$7=objectProto$5.hasOwnProperty,objectCtorString=funcToString.call(Object);function isPlainObject$1(Ra){if(!isObjectLike$1(Ra)||baseGetTag(Ra)!=objectTag$3)return!1;var qa=getPrototype(Ra);if(qa===null)return!0;var Ja=hasOwnProperty$7.call(qa,"constructor")&&qa.constructor;return typeof Ja=="function"&&Ja instanceof Ja&&funcToString.call(Ja)==objectCtorString}function castArray(){if(!arguments.length)return[];var Ra=arguments[0];return isArray$1(Ra)?Ra:[Ra]}function stackClear(){this.__data__=new ListCache,this.size=0}function stackDelete(Ra){var qa=this.__data__,Ja=qa.delete(Ra);return this.size=qa.size,Ja}function stackGet(Ra){return this.__data__.get(Ra)}function stackHas(Ra){return this.__data__.has(Ra)}var LARGE_ARRAY_SIZE=200;function stackSet(Ra,qa){var Ja=this.__data__;if(Ja instanceof ListCache){var ed=Ja.__data__;if(!Map$1||ed.length<LARGE_ARRAY_SIZE-1)return ed.push([Ra,qa]),this.size=++Ja.size,this;Ja=this.__data__=new MapCache(ed)}return Ja.set(Ra,qa),this.size=Ja.size,this}function Stack(Ra){var qa=this.__data__=new ListCache(Ra);this.size=qa.size}Stack.prototype.clear=stackClear;Stack.prototype.delete=stackDelete;Stack.prototype.get=stackGet;Stack.prototype.has=stackHas;Stack.prototype.set=stackSet;function baseAssign(Ra,qa){return Ra&©Object(qa,keys(qa),Ra)}function baseAssignIn(Ra,qa){return Ra&©Object(qa,keysIn(qa),Ra)}var freeExports=typeof exports=="object"&&exports&&!exports.nodeType&&exports,freeModule=freeExports&&typeof module=="object"&&module&&!module.nodeType&&module,moduleExports=freeModule&&freeModule.exports===freeExports,Buffer$3=moduleExports?root$1.Buffer:void 0,allocUnsafe=Buffer$3?Buffer$3.allocUnsafe:void 0;function cloneBuffer(Ra,qa){if(qa)return Ra.slice();var Ja=Ra.length,ed=allocUnsafe?allocUnsafe(Ja):new Ra.constructor(Ja);return Ra.copy(ed),ed}function arrayFilter(Ra,qa){for(var Ja=-1,ed=Ra==null?0:Ra.length,td=0,rd=[];++Ja<ed;){var sd=Ra[Ja];qa(sd,Ja,Ra)&&(rd[td++]=sd)}return rd}function stubArray(){return[]}var objectProto$4=Object.prototype,propertyIsEnumerable=objectProto$4.propertyIsEnumerable,nativeGetSymbols$1=Object.getOwnPropertySymbols,getSymbols=nativeGetSymbols$1?function(Ra){return Ra==null?[]:(Ra=Object(Ra),arrayFilter(nativeGetSymbols$1(Ra),function(qa){return propertyIsEnumerable.call(Ra,qa)}))}:stubArray;function copySymbols(Ra,qa){return copyObject(Ra,getSymbols(Ra),qa)}var nativeGetSymbols=Object.getOwnPropertySymbols,getSymbolsIn=nativeGetSymbols?function(Ra){for(var qa=[];Ra;)arrayPush$1(qa,getSymbols(Ra)),Ra=getPrototype(Ra);return qa}:stubArray;function copySymbolsIn(Ra,qa){return copyObject(Ra,getSymbolsIn(Ra),qa)}function baseGetAllKeys(Ra,qa,Ja){var ed=qa(Ra);return isArray$1(Ra)?ed:arrayPush$1(ed,Ja(Ra))}function getAllKeys(Ra){return baseGetAllKeys(Ra,keys,getSymbols)}function getAllKeysIn(Ra){return baseGetAllKeys(Ra,keysIn,getSymbolsIn)}var DataView$1=getNative(root$1,"DataView"),Promise$1=getNative(root$1,"Promise"),Set$1=getNative(root$1,"Set"),mapTag$5="[object Map]",objectTag$2="[object Object]",promiseTag="[object Promise]",setTag$5="[object Set]",weakMapTag$1="[object WeakMap]",dataViewTag$3="[object DataView]",dataViewCtorString=toSource(DataView$1),mapCtorString=toSource(Map$1),promiseCtorString=toSource(Promise$1),setCtorString=toSource(Set$1),weakMapCtorString=toSource(WeakMap$1),getTag=baseGetTag;(DataView$1&&getTag(new DataView$1(new ArrayBuffer(1)))!=dataViewTag$3||Map$1&&getTag(new Map$1)!=mapTag$5||Promise$1&&getTag(Promise$1.resolve())!=promiseTag||Set$1&&getTag(new Set$1)!=setTag$5||WeakMap$1&&getTag(new WeakMap$1)!=weakMapTag$1)&&(getTag=function(Ra){var qa=baseGetTag(Ra),Ja=qa==objectTag$2?Ra.constructor:void 0,ed=Ja?toSource(Ja):"";if(ed)switch(ed){case dataViewCtorString:return dataViewTag$3;case mapCtorString:return mapTag$5;case promiseCtorString:return promiseTag;case setCtorString:return setTag$5;case weakMapCtorString:return weakMapTag$1}return qa});var objectProto$3=Object.prototype,hasOwnProperty$6=objectProto$3.hasOwnProperty;function initCloneArray(Ra){var qa=Ra.length,Ja=new Ra.constructor(qa);return qa&&typeof Ra[0]=="string"&&hasOwnProperty$6.call(Ra,"index")&&(Ja.index=Ra.index,Ja.input=Ra.input),Ja}var Uint8Array$1=root$1.Uint8Array;function cloneArrayBuffer(Ra){var qa=new Ra.constructor(Ra.byteLength);return new Uint8Array$1(qa).set(new Uint8Array$1(Ra)),qa}function cloneDataView(Ra,qa){var Ja=qa?cloneArrayBuffer(Ra.buffer):Ra.buffer;return new Ra.constructor(Ja,Ra.byteOffset,Ra.byteLength)}var reFlags=/\w*$/;function cloneRegExp(Ra){var qa=new Ra.constructor(Ra.source,reFlags.exec(Ra));return qa.lastIndex=Ra.lastIndex,qa}var symbolProto$1=Symbol$1?Symbol$1.prototype:void 0,symbolValueOf$1=symbolProto$1?symbolProto$1.valueOf:void 0;function cloneSymbol(Ra){return symbolValueOf$1?Object(symbolValueOf$1.call(Ra)):{}}function cloneTypedArray(Ra,qa){var Ja=qa?cloneArrayBuffer(Ra.buffer):Ra.buffer;return new Ra.constructor(Ja,Ra.byteOffset,Ra.length)}var boolTag$2="[object Boolean]",dateTag$2="[object Date]",mapTag$4="[object Map]",numberTag$2="[object Number]",regexpTag$2="[object RegExp]",setTag$4="[object Set]",stringTag$2="[object String]",symbolTag$2="[object Symbol]",arrayBufferTag$2="[object ArrayBuffer]",dataViewTag$2="[object DataView]",float32Tag$1="[object Float32Array]",float64Tag$1="[object Float64Array]",int8Tag$1="[object Int8Array]",int16Tag$1="[object Int16Array]",int32Tag$1="[object Int32Array]",uint8Tag$1="[object Uint8Array]",uint8ClampedTag$1="[object Uint8ClampedArray]",uint16Tag$1="[object Uint16Array]",uint32Tag$1="[object Uint32Array]";function initCloneByTag(Ra,qa,Ja){var ed=Ra.constructor;switch(qa){case arrayBufferTag$2:return cloneArrayBuffer(Ra);case boolTag$2:case dateTag$2:return new ed(+Ra);case dataViewTag$2:return cloneDataView(Ra,Ja);case float32Tag$1:case float64Tag$1:case int8Tag$1:case int16Tag$1:case int32Tag$1:case uint8Tag$1:case uint8ClampedTag$1:case uint16Tag$1:case uint32Tag$1:return cloneTypedArray(Ra,Ja);case mapTag$4:return new ed;case numberTag$2:case stringTag$2:return new ed(Ra);case regexpTag$2:return cloneRegExp(Ra);case setTag$4:return new ed;case symbolTag$2:return cloneSymbol(Ra)}}function initCloneObject(Ra){return typeof Ra.constructor=="function"&&!isPrototype(Ra)?baseCreate(getPrototype(Ra)):{}}var mapTag$3="[object Map]";function baseIsMap(Ra){return isObjectLike$1(Ra)&&getTag(Ra)==mapTag$3}var nodeIsMap=nodeUtil&&nodeUtil.isMap,isMap=nodeIsMap?baseUnary(nodeIsMap):baseIsMap,setTag$3="[object Set]";function baseIsSet(Ra){return isObjectLike$1(Ra)&&getTag(Ra)==setTag$3}var nodeIsSet=nodeUtil&&nodeUtil.isSet,isSet=nodeIsSet?baseUnary(nodeIsSet):baseIsSet,CLONE_DEEP_FLAG$1=1,CLONE_FLAT_FLAG=2,CLONE_SYMBOLS_FLAG$2=4,argsTag$1="[object Arguments]",arrayTag$1="[object Array]",boolTag$1="[object Boolean]",dateTag$1="[object Date]",errorTag$1="[object Error]",funcTag="[object Function]",genTag="[object GeneratorFunction]",mapTag$2="[object Map]",numberTag$1="[object Number]",objectTag$1="[object Object]",regexpTag$1="[object RegExp]",setTag$2="[object Set]",stringTag$1="[object String]",symbolTag$1="[object Symbol]",weakMapTag="[object WeakMap]",arrayBufferTag$1="[object ArrayBuffer]",dataViewTag$1="[object DataView]",float32Tag="[object Float32Array]",float64Tag="[object Float64Array]",int8Tag="[object Int8Array]",int16Tag="[object Int16Array]",int32Tag="[object Int32Array]",uint8Tag="[object Uint8Array]",uint8ClampedTag="[object Uint8ClampedArray]",uint16Tag="[object Uint16Array]",uint32Tag="[object Uint32Array]",cloneableTags={};cloneableTags[argsTag$1]=cloneableTags[arrayTag$1]=cloneableTags[arrayBufferTag$1]=cloneableTags[dataViewTag$1]=cloneableTags[boolTag$1]=cloneableTags[dateTag$1]=cloneableTags[float32Tag]=cloneableTags[float64Tag]=cloneableTags[int8Tag]=cloneableTags[int16Tag]=cloneableTags[int32Tag]=cloneableTags[mapTag$2]=cloneableTags[numberTag$1]=cloneableTags[objectTag$1]=cloneableTags[regexpTag$1]=cloneableTags[setTag$2]=cloneableTags[stringTag$1]=cloneableTags[symbolTag$1]=cloneableTags[uint8Tag]=cloneableTags[uint8ClampedTag]=cloneableTags[uint16Tag]=cloneableTags[uint32Tag]=!0;cloneableTags[errorTag$1]=cloneableTags[funcTag]=cloneableTags[weakMapTag]=!1;function baseClone(Ra,qa,Ja,ed,td,rd){var sd,od=qa&CLONE_DEEP_FLAG$1,ld=qa&CLONE_FLAT_FLAG,cd=qa&CLONE_SYMBOLS_FLAG$2;if(sd!==void 0)return sd;if(!isObject$3(Ra))return Ra;var ud=isArray$1(Ra);if(ud){if(sd=initCloneArray(Ra),!od)return copyArray(Ra,sd)}else{var _d=getTag(Ra),yd=_d==funcTag||_d==genTag;if(isBuffer$1(Ra))return cloneBuffer(Ra,od);if(_d==objectTag$1||_d==argsTag$1||yd&&!td){if(sd=ld||yd?{}:initCloneObject(Ra),!od)return ld?copySymbolsIn(Ra,baseAssignIn(sd,Ra)):copySymbols(Ra,baseAssign(sd,Ra))}else{if(!cloneableTags[_d])return td?Ra:{};sd=initCloneByTag(Ra,_d,od)}}rd||(rd=new Stack);var gd=rd.get(Ra);if(gd)return gd;rd.set(Ra,sd),isSet(Ra)?Ra.forEach(function(kd){sd.add(baseClone(kd,qa,Ja,kd,Ra,rd))}):isMap(Ra)&&Ra.forEach(function(kd,Rd){sd.set(Rd,baseClone(kd,qa,Ja,Rd,Ra,rd))});var Ed=cd?ld?getAllKeysIn:getAllKeys:ld?keysIn:keys,Td=ud?void 0:Ed(Ra);return arrayEach(Td||Ra,function(kd,Rd){Td&&(Rd=kd,kd=Ra[Rd]),assignValue(sd,Rd,baseClone(kd,qa,Ja,Rd,Ra,rd))}),sd}var CLONE_SYMBOLS_FLAG$1=4;function clone$1(Ra){return baseClone(Ra,CLONE_SYMBOLS_FLAG$1)}var CLONE_DEEP_FLAG=1,CLONE_SYMBOLS_FLAG=4;function cloneDeep$1(Ra){return baseClone(Ra,CLONE_DEEP_FLAG|CLONE_SYMBOLS_FLAG)}var HASH_UNDEFINED="__lodash_hash_undefined__";function setCacheAdd(Ra){return this.__data__.set(Ra,HASH_UNDEFINED),this}function setCacheHas(Ra){return this.__data__.has(Ra)}function SetCache(Ra){var qa=-1,Ja=Ra==null?0:Ra.length;for(this.__data__=new MapCache;++qa<Ja;)this.add(Ra[qa])}SetCache.prototype.add=SetCache.prototype.push=setCacheAdd;SetCache.prototype.has=setCacheHas;function arraySome(Ra,qa){for(var Ja=-1,ed=Ra==null?0:Ra.length;++Ja<ed;)if(qa(Ra[Ja],Ja,Ra))return!0;return!1}function cacheHas(Ra,qa){return Ra.has(qa)}var COMPARE_PARTIAL_FLAG$5=1,COMPARE_UNORDERED_FLAG$3=2;function equalArrays(Ra,qa,Ja,ed,td,rd){var sd=Ja&COMPARE_PARTIAL_FLAG$5,od=Ra.length,ld=qa.length;if(od!=ld&&!(sd&&ld>od))return!1;var cd=rd.get(Ra),ud=rd.get(qa);if(cd&&ud)return cd==qa&&ud==Ra;var _d=-1,yd=!0,gd=Ja&COMPARE_UNORDERED_FLAG$3?new SetCache:void 0;for(rd.set(Ra,qa),rd.set(qa,Ra);++_d<od;){var Ed=Ra[_d],Td=qa[_d];if(ed)var kd=sd?ed(Td,Ed,_d,qa,Ra,rd):ed(Ed,Td,_d,Ra,qa,rd);if(kd!==void 0){if(kd)continue;yd=!1;break}if(gd){if(!arraySome(qa,function(Rd,Nd){if(!cacheHas(gd,Nd)&&(Ed===Rd||td(Ed,Rd,Ja,ed,rd)))return gd.push(Nd)})){yd=!1;break}}else if(!(Ed===Td||td(Ed,Td,Ja,ed,rd))){yd=!1;break}}return rd.delete(Ra),rd.delete(qa),yd}function mapToArray(Ra){var qa=-1,Ja=Array(Ra.size);return Ra.forEach(function(ed,td){Ja[++qa]=[td,ed]}),Ja}function setToArray(Ra){var qa=-1,Ja=Array(Ra.size);return Ra.forEach(function(ed){Ja[++qa]=ed}),Ja}var COMPARE_PARTIAL_FLAG$4=1,COMPARE_UNORDERED_FLAG$2=2,boolTag="[object Boolean]",dateTag="[object Date]",errorTag="[object Error]",mapTag$1="[object Map]",numberTag="[object Number]",regexpTag="[object RegExp]",setTag$1="[object Set]",stringTag="[object String]",symbolTag="[object Symbol]",arrayBufferTag="[object ArrayBuffer]",dataViewTag="[object DataView]",symbolProto=Symbol$1?Symbol$1.prototype:void 0,symbolValueOf=symbolProto?symbolProto.valueOf:void 0;function equalByTag(Ra,qa,Ja,ed,td,rd,sd){switch(Ja){case dataViewTag:if(Ra.byteLength!=qa.byteLength||Ra.byteOffset!=qa.byteOffset)return!1;Ra=Ra.buffer,qa=qa.buffer;case arrayBufferTag:return!(Ra.byteLength!=qa.byteLength||!rd(new Uint8Array$1(Ra),new Uint8Array$1(qa)));case boolTag:case dateTag:case numberTag:return eq(+Ra,+qa);case errorTag:return Ra.name==qa.name&&Ra.message==qa.message;case regexpTag:case stringTag:return Ra==qa+"";case mapTag$1:var od=mapToArray;case setTag$1:var ld=ed&COMPARE_PARTIAL_FLAG$4;if(od||(od=setToArray),Ra.size!=qa.size&&!ld)return!1;var cd=sd.get(Ra);if(cd)return cd==qa;ed|=COMPARE_UNORDERED_FLAG$2,sd.set(Ra,qa);var ud=equalArrays(od(Ra),od(qa),ed,td,rd,sd);return sd.delete(Ra),ud;case symbolTag:if(symbolValueOf)return symbolValueOf.call(Ra)==symbolValueOf.call(qa)}return!1}var COMPARE_PARTIAL_FLAG$3=1,objectProto$2=Object.prototype,hasOwnProperty$5=objectProto$2.hasOwnProperty;function equalObjects(Ra,qa,Ja,ed,td,rd){var sd=Ja&COMPARE_PARTIAL_FLAG$3,od=getAllKeys(Ra),ld=od.length,cd=getAllKeys(qa),ud=cd.length;if(ld!=ud&&!sd)return!1;for(var _d=ld;_d--;){var yd=od[_d];if(!(sd?yd in qa:hasOwnProperty$5.call(qa,yd)))return!1}var gd=rd.get(Ra),Ed=rd.get(qa);if(gd&&Ed)return gd==qa&&Ed==Ra;var Td=!0;rd.set(Ra,qa),rd.set(qa,Ra);for(var kd=sd;++_d<ld;){yd=od[_d];var Rd=Ra[yd],Nd=qa[yd];if(ed)var Id=sd?ed(Nd,Rd,yd,qa,Ra,rd):ed(Rd,Nd,yd,Ra,qa,rd);if(!(Id===void 0?Rd===Nd||td(Rd,Nd,Ja,ed,rd):Id)){Td=!1;break}kd||(kd=yd=="constructor")}if(Td&&!kd){var Md=Ra.constructor,Ld=qa.constructor;Md!=Ld&&"constructor"in Ra&&"constructor"in qa&&!(typeof Md=="function"&&Md instanceof Md&&typeof Ld=="function"&&Ld instanceof Ld)&&(Td=!1)}return rd.delete(Ra),rd.delete(qa),Td}var COMPARE_PARTIAL_FLAG$2=1,argsTag="[object Arguments]",arrayTag="[object Array]",objectTag="[object Object]",objectProto$1=Object.prototype,hasOwnProperty$4=objectProto$1.hasOwnProperty;function baseIsEqualDeep(Ra,qa,Ja,ed,td,rd){var sd=isArray$1(Ra),od=isArray$1(qa),ld=sd?arrayTag:getTag(Ra),cd=od?arrayTag:getTag(qa);ld=ld==argsTag?objectTag:ld,cd=cd==argsTag?objectTag:cd;var ud=ld==objectTag,_d=cd==objectTag,yd=ld==cd;if(yd&&isBuffer$1(Ra)){if(!isBuffer$1(qa))return!1;sd=!0,ud=!1}if(yd&&!ud)return rd||(rd=new Stack),sd||isTypedArray$3(Ra)?equalArrays(Ra,qa,Ja,ed,td,rd):equalByTag(Ra,qa,ld,Ja,ed,td,rd);if(!(Ja&COMPARE_PARTIAL_FLAG$2)){var gd=ud&&hasOwnProperty$4.call(Ra,"__wrapped__"),Ed=_d&&hasOwnProperty$4.call(qa,"__wrapped__");if(gd||Ed){var Td=gd?Ra.value():Ra,kd=Ed?qa.value():qa;return rd||(rd=new Stack),td(Td,kd,Ja,ed,rd)}}return yd?(rd||(rd=new Stack),equalObjects(Ra,qa,Ja,ed,td,rd)):!1}function baseIsEqual(Ra,qa,Ja,ed,td){return Ra===qa?!0:Ra==null||qa==null||!isObjectLike$1(Ra)&&!isObjectLike$1(qa)?Ra!==Ra&&qa!==qa:baseIsEqualDeep(Ra,qa,Ja,ed,baseIsEqual,td)}var COMPARE_PARTIAL_FLAG$1=1,COMPARE_UNORDERED_FLAG$1=2;function baseIsMatch(Ra,qa,Ja,ed){var td=Ja.length,rd=td;if(Ra==null)return!rd;for(Ra=Object(Ra);td--;){var sd=Ja[td];if(sd[2]?sd[1]!==Ra[sd[0]]:!(sd[0]in Ra))return!1}for(;++td<rd;){sd=Ja[td];var od=sd[0],ld=Ra[od],cd=sd[1];if(sd[2]){if(ld===void 0&&!(od in Ra))return!1}else{var ud=new Stack,_d;if(!(_d===void 0?baseIsEqual(cd,ld,COMPARE_PARTIAL_FLAG$1|COMPARE_UNORDERED_FLAG$1,ed,ud):_d))return!1}}return!0}function isStrictComparable(Ra){return Ra===Ra&&!isObject$3(Ra)}function getMatchData(Ra){for(var qa=keys(Ra),Ja=qa.length;Ja--;){var ed=qa[Ja],td=Ra[ed];qa[Ja]=[ed,td,isStrictComparable(td)]}return qa}function matchesStrictComparable(Ra,qa){return function(Ja){return Ja==null?!1:Ja[Ra]===qa&&(qa!==void 0||Ra in Object(Ja))}}function baseMatches(Ra){var qa=getMatchData(Ra);return qa.length==1&&qa[0][2]?matchesStrictComparable(qa[0][0],qa[0][1]):function(Ja){return Ja===Ra||baseIsMatch(Ja,Ra,qa)}}function baseHasIn(Ra,qa){return Ra!=null&&qa in Object(Ra)}function hasPath(Ra,qa,Ja){qa=castPath(qa,Ra);for(var ed=-1,td=qa.length,rd=!1;++ed<td;){var sd=toKey(qa[ed]);if(!(rd=Ra!=null&&Ja(Ra,sd)))break;Ra=Ra[sd]}return rd||++ed!=td?rd:(td=Ra==null?0:Ra.length,!!td&&isLength$1(td)&&isIndex(sd,td)&&(isArray$1(Ra)||isArguments$1(Ra)))}function hasIn(Ra,qa){return Ra!=null&&hasPath(Ra,qa,baseHasIn)}var COMPARE_PARTIAL_FLAG=1,COMPARE_UNORDERED_FLAG=2;function baseMatchesProperty(Ra,qa){return isKey(Ra)&&isStrictComparable(qa)?matchesStrictComparable(toKey(Ra),qa):function(Ja){var ed=get$2(Ja,Ra);return ed===void 0&&ed===qa?hasIn(Ja,Ra):baseIsEqual(qa,ed,COMPARE_PARTIAL_FLAG|COMPARE_UNORDERED_FLAG)}}function baseProperty(Ra){return function(qa){return qa==null?void 0:qa[Ra]}}function basePropertyDeep(Ra){return function(qa){return baseGet(qa,Ra)}}function property$1(Ra){return isKey(Ra)?baseProperty(toKey(Ra)):basePropertyDeep(Ra)}function baseIteratee(Ra){return typeof Ra=="function"?Ra:Ra==null?identity$1:typeof Ra=="object"?isArray$1(Ra)?baseMatchesProperty(Ra[0],Ra[1]):baseMatches(Ra):property$1(Ra)}function createBaseFor(Ra){return function(qa,Ja,ed){for(var td=-1,rd=Object(qa),sd=ed(qa),od=sd.length;od--;){var ld=sd[++td];if(Ja(rd[ld],ld,rd)===!1)break}return qa}}var baseFor=createBaseFor(),now$1=function(){return root$1.Date.now()},FUNC_ERROR_TEXT="Expected a function",nativeMax=Math.max,nativeMin=Math.min;function debounce(Ra,qa,Ja){var ed,td,rd,sd,od,ld,cd=0,ud=!1,_d=!1,yd=!0;if(typeof Ra!="function")throw new TypeError(FUNC_ERROR_TEXT);qa=toNumber$2(qa)||0,isObject$3(Ja)&&(ud=!!Ja.leading,_d="maxWait"in Ja,rd=_d?nativeMax(toNumber$2(Ja.maxWait)||0,qa):rd,yd="trailing"in Ja?!!Ja.trailing:yd);function gd(Pd){var qd=ed,Yd=td;return ed=td=void 0,cd=Pd,sd=Ra.apply(Yd,qd),sd}function Ed(Pd){return cd=Pd,od=setTimeout(Rd,qa),ud?gd(Pd):sd}function Td(Pd){var qd=Pd-ld,Yd=Pd-cd,Ud=qa-qd;return _d?nativeMin(Ud,rd-Yd):Ud}function kd(Pd){var qd=Pd-ld,Yd=Pd-cd;return ld===void 0||qd>=qa||qd<0||_d&&Yd>=rd}function Rd(){var Pd=now$1();if(kd(Pd))return Nd(Pd);od=setTimeout(Rd,Td(Pd))}function Nd(Pd){return od=void 0,yd&&ed?gd(Pd):(ed=td=void 0,sd)}function Id(){od!==void 0&&clearTimeout(od),cd=0,ed=ld=td=od=void 0}function Md(){return od===void 0?sd:Nd(now$1())}function Ld(){var Pd=now$1(),qd=kd(Pd);if(ed=arguments,td=this,ld=Pd,qd){if(od===void 0)return Ed(ld);if(_d)return clearTimeout(od),od=setTimeout(Rd,qa),gd(ld)}return od===void 0&&(od=setTimeout(Rd,qa)),sd}return Ld.cancel=Id,Ld.flush=Md,Ld}function assignMergeValue(Ra,qa,Ja){(Ja!==void 0&&!eq(Ra[qa],Ja)||Ja===void 0&&!(qa in Ra))&&baseAssignValue(Ra,qa,Ja)}function isArrayLikeObject(Ra){return isObjectLike$1(Ra)&&isArrayLike$1(Ra)}function safeGet(Ra,qa){if(!(qa==="constructor"&&typeof Ra[qa]=="function")&&qa!="__proto__")return Ra[qa]}function toPlainObject(Ra){return copyObject(Ra,keysIn(Ra))}function baseMergeDeep(Ra,qa,Ja,ed,td,rd,sd){var od=safeGet(Ra,Ja),ld=safeGet(qa,Ja),cd=sd.get(ld);if(cd){assignMergeValue(Ra,Ja,cd);return}var ud=rd?rd(od,ld,Ja+"",Ra,qa,sd):void 0,_d=ud===void 0;if(_d){var yd=isArray$1(ld),gd=!yd&&isBuffer$1(ld),Ed=!yd&&!gd&&isTypedArray$3(ld);ud=ld,yd||gd||Ed?isArray$1(od)?ud=od:isArrayLikeObject(od)?ud=copyArray(od):gd?(_d=!1,ud=cloneBuffer(ld,!0)):Ed?(_d=!1,ud=cloneTypedArray(ld,!0)):ud=[]:isPlainObject$1(ld)||isArguments$1(ld)?(ud=od,isArguments$1(od)?ud=toPlainObject(od):(!isObject$3(od)||isFunction$2(od))&&(ud=initCloneObject(ld))):_d=!1}_d&&(sd.set(ld,ud),td(ud,ld,ed,rd,sd),sd.delete(ld)),assignMergeValue(Ra,Ja,ud)}function baseMerge(Ra,qa,Ja,ed,td){Ra!==qa&&baseFor(qa,function(rd,sd){if(td||(td=new Stack),isObject$3(rd))baseMergeDeep(Ra,qa,sd,Ja,baseMerge,ed,td);else{var od=ed?ed(safeGet(Ra,sd),rd,sd+"",Ra,qa,td):void 0;od===void 0&&(od=rd),assignMergeValue(Ra,sd,od)}},keysIn)}function findLastIndex(Ra,qa,Ja){var ed=Ra==null?0:Ra.length;if(!ed)return-1;var td=ed-1;return baseFindIndex(Ra,baseIteratee(qa),td,!0)}function fromPairs(Ra){for(var qa=-1,Ja=Ra==null?0:Ra.length,ed={};++qa<Ja;){var td=Ra[qa];ed[td[0]]=td[1]}return ed}var mapTag="[object Map]",setTag="[object Set]",objectProto=Object.prototype,hasOwnProperty$3=objectProto.hasOwnProperty;function isEmpty$1(Ra){if(Ra==null)return!0;if(isArrayLike$1(Ra)&&(isArray$1(Ra)||typeof Ra=="string"||typeof Ra.splice=="function"||isBuffer$1(Ra)||isTypedArray$3(Ra)||isArguments$1(Ra)))return!Ra.length;var qa=getTag(Ra);if(qa==mapTag||qa==setTag)return!Ra.size;if(isPrototype(Ra))return!baseKeys(Ra).length;for(var Ja in Ra)if(hasOwnProperty$3.call(Ra,Ja))return!1;return!0}function isEqual(Ra,qa){return baseIsEqual(Ra,qa)}function isNil(Ra){return Ra==null}function isUndefined$2(Ra){return Ra===void 0}var merge$3=createAssigner(function(Ra,qa,Ja){baseMerge(Ra,qa,Ja)});function baseSet(Ra,qa,Ja,ed){if(!isObject$3(Ra))return Ra;qa=castPath(qa,Ra);for(var td=-1,rd=qa.length,sd=rd-1,od=Ra;od!=null&&++td<rd;){var ld=toKey(qa[td]),cd=Ja;if(ld==="__proto__"||ld==="constructor"||ld==="prototype")return Ra;if(td!=sd){var ud=od[ld];cd=void 0,cd===void 0&&(cd=isObject$3(ud)?ud:isIndex(qa[td+1])?[]:{})}assignValue(od,ld,cd),od=od[ld]}return Ra}function basePickBy(Ra,qa,Ja){for(var ed=-1,td=qa.length,rd={};++ed<td;){var sd=qa[ed],od=baseGet(Ra,sd);Ja(od,sd)&&baseSet(rd,castPath(sd,Ra),od)}return rd}function basePick(Ra,qa){return basePickBy(Ra,qa,function(Ja,ed){return hasIn(Ra,ed)})}var pick=flatRest(function(Ra,qa){return Ra==null?{}:basePick(Ra,qa)});function set$3(Ra,qa,Ja){return Ra==null?Ra:baseSet(Ra,qa,Ja)}const isUndefined$1=Ra=>Ra===void 0,isBoolean$3=Ra=>typeof Ra=="boolean",isNumber$3=Ra=>typeof Ra=="number",isElement$2=Ra=>typeof Element>"u"?!1:Ra instanceof Element,isStringNumber=Ra=>isString$3(Ra)?!Number.isNaN(Number(Ra)):!1,escapeStringRegexp=(Ra="")=>Ra.replace(/[|\\{}()[\]^$+*?.]/g,"\\$&").replace(/-/g,"\\x2d"),capitalize=Ra=>capitalize$1(Ra),keysOf=Ra=>Object.keys(Ra),entriesOf=Ra=>Object.entries(Ra),getProp=(Ra,qa,Ja)=>({get value(){return get$2(Ra,qa,Ja)},set value(ed){set$3(Ra,qa,ed)}});class ElementPlusError extends Error{constructor(qa){super(qa),this.name="ElementPlusError"}}function throwError$1(Ra,qa){throw new ElementPlusError(`[${Ra}] ${qa}`)}function debugWarn(Ra,qa){}const classNameToArray=(Ra="")=>Ra.split(" ").filter(qa=>!!qa.trim()),hasClass=(Ra,qa)=>{if(!Ra||!qa)return!1;if(qa.includes(" "))throw new Error("className should not contain space.");return Ra.classList.contains(qa)},addClass=(Ra,qa)=>{!Ra||!qa.trim()||Ra.classList.add(...classNameToArray(qa))},removeClass=(Ra,qa)=>{!Ra||!qa.trim()||Ra.classList.remove(...classNameToArray(qa))},getStyle=(Ra,qa)=>{var Ja;if(!isClient||!Ra||!qa)return"";let ed=camelize(qa);ed==="float"&&(ed="cssFloat");try{const td=Ra.style[ed];if(td)return td;const rd=(Ja=document.defaultView)==null?void 0:Ja.getComputedStyle(Ra,"");return rd?rd[ed]:""}catch{return Ra.style[ed]}};function addUnit(Ra,qa="px"){if(!Ra)return"";if(isNumber$3(Ra)||isStringNumber(Ra))return`${Ra}${qa}`;if(isString$3(Ra))return Ra}let scrollBarWidth;const getScrollBarWidth=Ra=>{var qa;if(!isClient)return 0;if(scrollBarWidth!==void 0)return scrollBarWidth;const Ja=document.createElement("div");Ja.className=`${Ra}-scrollbar__wrap`,Ja.style.visibility="hidden",Ja.style.width="100px",Ja.style.position="absolute",Ja.style.top="-9999px",document.body.appendChild(Ja);const ed=Ja.offsetWidth;Ja.style.overflow="scroll";const td=document.createElement("div");td.style.width="100%",Ja.appendChild(td);const rd=td.offsetWidth;return(qa=Ja.parentNode)==null||qa.removeChild(Ja),scrollBarWidth=ed-rd,scrollBarWidth};function scrollIntoView(Ra,qa){if(!isClient)return;if(!qa){Ra.scrollTop=0;return}const Ja=[];let ed=qa.offsetParent;for(;ed!==null&&Ra!==ed&&Ra.contains(ed);)Ja.push(ed),ed=ed.offsetParent;const td=qa.offsetTop+Ja.reduce((ld,cd)=>ld+cd.offsetTop,0),rd=td+qa.offsetHeight,sd=Ra.scrollTop,od=sd+Ra.clientHeight;td<sd?Ra.scrollTop=td:rd>od&&(Ra.scrollTop=rd-Ra.clientHeight)}/*! Element Plus Icons Vue v2.3.1 */var add_location_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"AddLocation",__name:"add-location",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 896h448q32 0 32 32t-32 32H288q-32 0-32-32t32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M800 416a288 288 0 1 0-576 0c0 118.144 94.528 272.128 288 456.576C705.472 688.128 800 534.144 800 416M512 960C277.312 746.688 160 565.312 160 416a352 352 0 0 1 704 0c0 149.312-117.312 330.688-352 544"}),createBaseVNode("path",{fill:"currentColor",d:"M544 384h96a32 32 0 1 1 0 64h-96v96a32 32 0 0 1-64 0v-96h-96a32 32 0 0 1 0-64h96v-96a32 32 0 0 1 64 0z"})]))}}),add_location_default=add_location_vue_vue_type_script_setup_true_lang_default,aim_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Aim",__name:"aim",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M512 96a32 32 0 0 1 32 32v192a32 32 0 0 1-64 0V128a32 32 0 0 1 32-32m0 576a32 32 0 0 1 32 32v192a32 32 0 1 1-64 0V704a32 32 0 0 1 32-32M96 512a32 32 0 0 1 32-32h192a32 32 0 0 1 0 64H128a32 32 0 0 1-32-32m576 0a32 32 0 0 1 32-32h192a32 32 0 1 1 0 64H704a32 32 0 0 1-32-32"})]))}}),aim_default=aim_vue_vue_type_script_setup_true_lang_default,alarm_clock_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"AlarmClock",__name:"alarm-clock",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 832a320 320 0 1 0 0-640 320 320 0 0 0 0 640m0 64a384 384 0 1 1 0-768 384 384 0 0 1 0 768"}),createBaseVNode("path",{fill:"currentColor",d:"m292.288 824.576 55.424 32-48 83.136a32 32 0 1 1-55.424-32zm439.424 0-55.424 32 48 83.136a32 32 0 1 0 55.424-32zM512 512h160a32 32 0 1 1 0 64H480a32 32 0 0 1-32-32V320a32 32 0 0 1 64 0zM90.496 312.256A160 160 0 0 1 312.32 90.496l-46.848 46.848a96 96 0 0 0-128 128L90.56 312.256zm835.264 0A160 160 0 0 0 704 90.496l46.848 46.848a96 96 0 0 1 128 128z"})]))}}),alarm_clock_default=alarm_clock_vue_vue_type_script_setup_true_lang_default,apple_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Apple",__name:"apple",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M599.872 203.776a189.44 189.44 0 0 1 64.384-4.672l2.624.128c31.168 1.024 51.2 4.096 79.488 16.32 37.632 16.128 74.496 45.056 111.488 89.344 96.384 115.264 82.752 372.8-34.752 521.728-7.68 9.728-32 41.6-30.72 39.936a426.624 426.624 0 0 1-30.08 35.776c-31.232 32.576-65.28 49.216-110.08 50.048-31.36.64-53.568-5.312-84.288-18.752l-6.528-2.88c-20.992-9.216-30.592-11.904-47.296-11.904-18.112 0-28.608 2.88-51.136 12.672l-6.464 2.816c-28.416 12.224-48.32 18.048-76.16 19.2-74.112 2.752-116.928-38.08-180.672-132.16-96.64-142.08-132.608-349.312-55.04-486.4 46.272-81.92 129.92-133.632 220.672-135.04 32.832-.576 60.288 6.848 99.648 22.72 27.136 10.88 34.752 13.76 37.376 14.272 16.256-20.16 27.776-36.992 34.56-50.24 13.568-26.304 27.2-59.968 40.704-100.8a32 32 0 1 1 60.8 20.224c-12.608 37.888-25.408 70.4-38.528 97.664zm-51.52 78.08c-14.528 17.792-31.808 37.376-51.904 58.816a32 32 0 1 1-46.72-43.776l12.288-13.248c-28.032-11.2-61.248-26.688-95.68-26.112-70.4 1.088-135.296 41.6-171.648 105.792C121.6 492.608 176 684.16 247.296 788.992c34.816 51.328 76.352 108.992 130.944 106.944 52.48-2.112 72.32-34.688 135.872-34.688 63.552 0 81.28 34.688 136.96 33.536 56.448-1.088 75.776-39.04 126.848-103.872 107.904-136.768 107.904-362.752 35.776-449.088-72.192-86.272-124.672-84.096-151.68-85.12-41.472-4.288-81.6 12.544-113.664 25.152z"})]))}}),apple_default=apple_vue_vue_type_script_setup_true_lang_default,arrow_down_bold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowDownBold",__name:"arrow-down-bold",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M104.704 338.752a64 64 0 0 1 90.496 0l316.8 316.8 316.8-316.8a64 64 0 0 1 90.496 90.496L557.248 791.296a64 64 0 0 1-90.496 0L104.704 429.248a64 64 0 0 1 0-90.496z"})]))}}),arrow_down_bold_default=arrow_down_bold_vue_vue_type_script_setup_true_lang_default,arrow_down_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowDown",__name:"arrow-down",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M831.872 340.864 512 652.672 192.128 340.864a30.592 30.592 0 0 0-42.752 0 29.12 29.12 0 0 0 0 41.6L489.664 714.24a32 32 0 0 0 44.672 0l340.288-331.712a29.12 29.12 0 0 0 0-41.728 30.592 30.592 0 0 0-42.752 0z"})]))}}),arrow_down_default=arrow_down_vue_vue_type_script_setup_true_lang_default,arrow_left_bold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowLeftBold",__name:"arrow-left-bold",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M685.248 104.704a64 64 0 0 1 0 90.496L368.448 512l316.8 316.8a64 64 0 0 1-90.496 90.496L232.704 557.248a64 64 0 0 1 0-90.496l362.048-362.048a64 64 0 0 1 90.496 0z"})]))}}),arrow_left_bold_default=arrow_left_bold_vue_vue_type_script_setup_true_lang_default,arrow_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowLeft",__name:"arrow-left",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M609.408 149.376 277.76 489.6a32 32 0 0 0 0 44.672l331.648 340.352a29.12 29.12 0 0 0 41.728 0 30.592 30.592 0 0 0 0-42.752L339.264 511.936l311.872-319.872a30.592 30.592 0 0 0 0-42.688 29.12 29.12 0 0 0-41.728 0z"})]))}}),arrow_left_default=arrow_left_vue_vue_type_script_setup_true_lang_default,arrow_right_bold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowRightBold",__name:"arrow-right-bold",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M338.752 104.704a64 64 0 0 0 0 90.496l316.8 316.8-316.8 316.8a64 64 0 0 0 90.496 90.496l362.048-362.048a64 64 0 0 0 0-90.496L429.248 104.704a64 64 0 0 0-90.496 0z"})]))}}),arrow_right_bold_default=arrow_right_bold_vue_vue_type_script_setup_true_lang_default,arrow_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowRight",__name:"arrow-right",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M340.864 149.312a30.592 30.592 0 0 0 0 42.752L652.736 512 340.864 831.872a30.592 30.592 0 0 0 0 42.752 29.12 29.12 0 0 0 41.728 0L714.24 534.336a32 32 0 0 0 0-44.672L382.592 149.376a29.12 29.12 0 0 0-41.728 0z"})]))}}),arrow_right_default=arrow_right_vue_vue_type_script_setup_true_lang_default,arrow_up_bold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowUpBold",__name:"arrow-up-bold",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M104.704 685.248a64 64 0 0 0 90.496 0l316.8-316.8 316.8 316.8a64 64 0 0 0 90.496-90.496L557.248 232.704a64 64 0 0 0-90.496 0L104.704 594.752a64 64 0 0 0 0 90.496z"})]))}}),arrow_up_bold_default=arrow_up_bold_vue_vue_type_script_setup_true_lang_default,arrow_up_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ArrowUp",__name:"arrow-up",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m488.832 344.32-339.84 356.672a32 32 0 0 0 0 44.16l.384.384a29.44 29.44 0 0 0 42.688 0l320-335.872 319.872 335.872a29.44 29.44 0 0 0 42.688 0l.384-.384a32 32 0 0 0 0-44.16L535.168 344.32a32 32 0 0 0-46.336 0"})]))}}),arrow_up_default=arrow_up_vue_vue_type_script_setup_true_lang_default,avatar_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Avatar",__name:"avatar",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M628.736 528.896A416 416 0 0 1 928 928H96a415.872 415.872 0 0 1 299.264-399.104L512 704zM720 304a208 208 0 1 1-416 0 208 208 0 0 1 416 0"})]))}}),avatar_default=avatar_vue_vue_type_script_setup_true_lang_default,back_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Back",__name:"back",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 480h640a32 32 0 1 1 0 64H224a32 32 0 0 1 0-64"}),createBaseVNode("path",{fill:"currentColor",d:"m237.248 512 265.408 265.344a32 32 0 0 1-45.312 45.312l-288-288a32 32 0 0 1 0-45.312l288-288a32 32 0 1 1 45.312 45.312z"})]))}}),back_default=back_vue_vue_type_script_setup_true_lang_default,baseball_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Baseball",__name:"baseball",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M195.2 828.8a448 448 0 1 1 633.6-633.6 448 448 0 0 1-633.6 633.6zm45.248-45.248a384 384 0 1 0 543.104-543.104 384 384 0 0 0-543.104 543.104"}),createBaseVNode("path",{fill:"currentColor",d:"M497.472 96.896c22.784 4.672 44.416 9.472 64.896 14.528a256.128 256.128 0 0 0 350.208 350.208c5.056 20.48 9.856 42.112 14.528 64.896A320.128 320.128 0 0 1 497.472 96.896zM108.48 491.904a320.128 320.128 0 0 1 423.616 423.68c-23.04-3.648-44.992-7.424-65.728-11.52a256.128 256.128 0 0 0-346.496-346.432 1736.64 1736.64 0 0 1-11.392-65.728z"})]))}}),baseball_default=baseball_vue_vue_type_script_setup_true_lang_default,basketball_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Basketball",__name:"basketball",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M778.752 788.224a382.464 382.464 0 0 0 116.032-245.632 256.512 256.512 0 0 0-241.728-13.952 762.88 762.88 0 0 1 125.696 259.584zm-55.04 44.224a699.648 699.648 0 0 0-125.056-269.632 256.128 256.128 0 0 0-56.064 331.968 382.72 382.72 0 0 0 181.12-62.336m-254.08 61.248A320.128 320.128 0 0 1 557.76 513.6a715.84 715.84 0 0 0-48.192-48.128 320.128 320.128 0 0 1-379.264 88.384 382.4 382.4 0 0 0 110.144 229.696 382.4 382.4 0 0 0 229.184 110.08zM129.28 481.088a256.128 256.128 0 0 0 331.072-56.448 699.648 699.648 0 0 0-268.8-124.352 382.656 382.656 0 0 0-62.272 180.8m106.56-235.84a762.88 762.88 0 0 1 258.688 125.056 256.512 256.512 0 0 0-13.44-241.088A382.464 382.464 0 0 0 235.84 245.248zm318.08-114.944c40.576 89.536 37.76 193.92-8.448 281.344a779.84 779.84 0 0 1 66.176 66.112 320.832 320.832 0 0 1 282.112-8.128 382.4 382.4 0 0 0-110.144-229.12 382.4 382.4 0 0 0-229.632-110.208zM828.8 828.8a448 448 0 1 1-633.6-633.6 448 448 0 0 1 633.6 633.6"})]))}}),basketball_default=basketball_vue_vue_type_script_setup_true_lang_default,bell_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"BellFilled",__name:"bell-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 832a128 128 0 0 1-256 0zm192-64H134.4a38.4 38.4 0 0 1 0-76.8H192V448c0-154.88 110.08-284.16 256.32-313.6a64 64 0 1 1 127.36 0A320.128 320.128 0 0 1 832 448v243.2h57.6a38.4 38.4 0 0 1 0 76.8z"})]))}}),bell_filled_default=bell_filled_vue_vue_type_script_setup_true_lang_default,bell_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Bell",__name:"bell",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a64 64 0 0 1 64 64v64H448v-64a64 64 0 0 1 64-64"}),createBaseVNode("path",{fill:"currentColor",d:"M256 768h512V448a256 256 0 1 0-512 0zm256-640a320 320 0 0 1 320 320v384H192V448a320 320 0 0 1 320-320"}),createBaseVNode("path",{fill:"currentColor",d:"M96 768h832q32 0 32 32t-32 32H96q-32 0-32-32t32-32m352 128h128a64 64 0 0 1-128 0"})]))}}),bell_default=bell_vue_vue_type_script_setup_true_lang_default,bicycle_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Bicycle",__name:"bicycle",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 832a128 128 0 1 0 0-256 128 128 0 0 0 0 256m0 64a192 192 0 1 1 0-384 192 192 0 0 1 0 384"}),createBaseVNode("path",{fill:"currentColor",d:"M288 672h320q32 0 32 32t-32 32H288q-32 0-32-32t32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M768 832a128 128 0 1 0 0-256 128 128 0 0 0 0 256m0 64a192 192 0 1 1 0-384 192 192 0 0 1 0 384"}),createBaseVNode("path",{fill:"currentColor",d:"M480 192a32 32 0 0 1 0-64h160a32 32 0 0 1 31.04 24.256l96 384a32 32 0 0 1-62.08 15.488L615.04 192zM96 384a32 32 0 0 1 0-64h128a32 32 0 0 1 30.336 21.888l64 192a32 32 0 1 1-60.672 20.224L200.96 384z"}),createBaseVNode("path",{fill:"currentColor",d:"m373.376 599.808-42.752-47.616 320-288 42.752 47.616z"})]))}}),bicycle_default=bicycle_vue_vue_type_script_setup_true_lang_default,bottom_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"BottomLeft",__name:"bottom-left",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 768h416a32 32 0 1 1 0 64H224a32 32 0 0 1-32-32V352a32 32 0 0 1 64 0z"}),createBaseVNode("path",{fill:"currentColor",d:"M246.656 822.656a32 32 0 0 1-45.312-45.312l544-544a32 32 0 0 1 45.312 45.312l-544 544z"})]))}}),bottom_left_default=bottom_left_vue_vue_type_script_setup_true_lang_default,bottom_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"BottomRight",__name:"bottom-right",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 768a32 32 0 1 0 0 64h448a32 32 0 0 0 32-32V352a32 32 0 0 0-64 0v416z"}),createBaseVNode("path",{fill:"currentColor",d:"M777.344 822.656a32 32 0 0 0 45.312-45.312l-544-544a32 32 0 0 0-45.312 45.312z"})]))}}),bottom_right_default=bottom_right_vue_vue_type_script_setup_true_lang_default,bottom_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Bottom",__name:"bottom",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 805.888V168a32 32 0 1 0-64 0v637.888L246.656 557.952a30.72 30.72 0 0 0-45.312 0 35.52 35.52 0 0 0 0 48.064l288 306.048a30.72 30.72 0 0 0 45.312 0l288-306.048a35.52 35.52 0 0 0 0-48 30.72 30.72 0 0 0-45.312 0L544 805.824z"})]))}}),bottom_default=bottom_vue_vue_type_script_setup_true_lang_default,bowl_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Bowl",__name:"bowl",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M714.432 704a351.744 351.744 0 0 0 148.16-256H161.408a351.744 351.744 0 0 0 148.16 256zM288 766.592A415.68 415.68 0 0 1 96 416a32 32 0 0 1 32-32h768a32 32 0 0 1 32 32 415.68 415.68 0 0 1-192 350.592V832a64 64 0 0 1-64 64H352a64 64 0 0 1-64-64zM493.248 320h-90.496l254.4-254.4a32 32 0 1 1 45.248 45.248zm187.328 0h-128l269.696-155.712a32 32 0 0 1 32 55.424zM352 768v64h320v-64z"})]))}}),bowl_default=bowl_vue_vue_type_script_setup_true_lang_default,box_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Box",__name:"box",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M317.056 128 128 344.064V896h768V344.064L706.944 128zm-14.528-64h418.944a32 32 0 0 1 24.064 10.88l206.528 236.096A32 32 0 0 1 960 332.032V928a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V332.032a32 32 0 0 1 7.936-21.12L278.4 75.008A32 32 0 0 1 302.528 64z"}),createBaseVNode("path",{fill:"currentColor",d:"M64 320h896v64H64z"}),createBaseVNode("path",{fill:"currentColor",d:"M448 327.872V640h128V327.872L526.08 128h-28.16zM448 64h128l64 256v352a32 32 0 0 1-32 32H416a32 32 0 0 1-32-32V320z"})]))}}),box_default=box_vue_vue_type_script_setup_true_lang_default,briefcase_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Briefcase",__name:"briefcase",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M320 320V128h384v192h192v192H128V320zM128 576h768v320H128zm256-256h256.064V192H384z"})]))}}),briefcase_default=briefcase_vue_vue_type_script_setup_true_lang_default,brush_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"BrushFilled",__name:"brush-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M608 704v160a96 96 0 0 1-192 0V704h-96a128 128 0 0 1-128-128h640a128 128 0 0 1-128 128zM192 512V128.064h640V512z"})]))}}),brush_filled_default=brush_filled_vue_vue_type_script_setup_true_lang_default,brush_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Brush",__name:"brush",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M896 448H128v192a64 64 0 0 0 64 64h192v192h256V704h192a64 64 0 0 0 64-64zm-770.752-64c0-47.552 5.248-90.24 15.552-128 14.72-54.016 42.496-107.392 83.2-160h417.28l-15.36 70.336L736 96h211.2c-24.832 42.88-41.92 96.256-51.2 160a663.872 663.872 0 0 0-6.144 128H960v256a128 128 0 0 1-128 128H704v160a32 32 0 0 1-32 32H352a32 32 0 0 1-32-32V768H192A128 128 0 0 1 64 640V384h61.248zm64 0h636.544c-2.048-45.824.256-91.584 6.848-137.216 4.48-30.848 10.688-59.776 18.688-86.784h-96.64l-221.12 141.248L561.92 160H256.512c-25.856 37.888-43.776 75.456-53.952 112.832-8.768 32.064-13.248 69.12-13.312 111.168z"})]))}}),brush_default=brush_vue_vue_type_script_setup_true_lang_default,burger_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Burger",__name:"burger",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 512a32 32 0 0 0-32 32v64a32 32 0 0 0 30.08 32H864a32 32 0 0 0 32-32v-64a32 32 0 0 0-32-32zm736-58.56A96 96 0 0 1 960 544v64a96 96 0 0 1-51.968 85.312L855.36 833.6a96 96 0 0 1-89.856 62.272H258.496A96 96 0 0 1 168.64 833.6l-52.608-140.224A96 96 0 0 1 64 608v-64a96 96 0 0 1 64-90.56V448a384 384 0 1 1 768 5.44M832 448a320 320 0 0 0-640 0zM512 704H188.352l40.192 107.136a32 32 0 0 0 29.952 20.736h507.008a32 32 0 0 0 29.952-20.736L835.648 704z"})]))}}),burger_default=burger_vue_vue_type_script_setup_true_lang_default,calendar_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Calendar",__name:"calendar",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 384v512h768V192H768v32a32 32 0 1 1-64 0v-32H320v32a32 32 0 0 1-64 0v-32H128v128h768v64zm192-256h384V96a32 32 0 1 1 64 0v32h160a32 32 0 0 1 32 32v768a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32h160V96a32 32 0 0 1 64 0zm-32 384h64a32 32 0 0 1 0 64h-64a32 32 0 0 1 0-64m0 192h64a32 32 0 1 1 0 64h-64a32 32 0 1 1 0-64m192-192h64a32 32 0 0 1 0 64h-64a32 32 0 0 1 0-64m0 192h64a32 32 0 1 1 0 64h-64a32 32 0 1 1 0-64m192-192h64a32 32 0 1 1 0 64h-64a32 32 0 1 1 0-64m0 192h64a32 32 0 1 1 0 64h-64a32 32 0 1 1 0-64"})]))}}),calendar_default=calendar_vue_vue_type_script_setup_true_lang_default,camera_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CameraFilled",__name:"camera-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 224a64 64 0 0 0-64 64v512a64 64 0 0 0 64 64h704a64 64 0 0 0 64-64V288a64 64 0 0 0-64-64H748.416l-46.464-92.672A64 64 0 0 0 644.736 96H379.328a64 64 0 0 0-57.216 35.392L275.776 224zm352 435.2a115.2 115.2 0 1 0 0-230.4 115.2 115.2 0 0 0 0 230.4m0 140.8a256 256 0 1 1 0-512 256 256 0 0 1 0 512"})]))}}),camera_filled_default=camera_filled_vue_vue_type_script_setup_true_lang_default,camera_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Camera",__name:"camera",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M896 256H128v576h768zm-199.424-64-32.064-64h-304.96l-32 64zM96 192h160l46.336-92.608A64 64 0 0 1 359.552 64h304.96a64 64 0 0 1 57.216 35.328L768.192 192H928a32 32 0 0 1 32 32v640a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V224a32 32 0 0 1 32-32m416 512a160 160 0 1 0 0-320 160 160 0 0 0 0 320m0 64a224 224 0 1 1 0-448 224 224 0 0 1 0 448"})]))}}),camera_default=camera_vue_vue_type_script_setup_true_lang_default,caret_bottom_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CaretBottom",__name:"caret-bottom",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m192 384 320 384 320-384z"})]))}}),caret_bottom_default=caret_bottom_vue_vue_type_script_setup_true_lang_default,caret_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CaretLeft",__name:"caret-left",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M672 192 288 511.936 672 832z"})]))}}),caret_left_default=caret_left_vue_vue_type_script_setup_true_lang_default,caret_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CaretRight",__name:"caret-right",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 192v640l384-320.064z"})]))}}),caret_right_default=caret_right_vue_vue_type_script_setup_true_lang_default,caret_top_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CaretTop",__name:"caret-top",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 320 192 704h639.936z"})]))}}),caret_top_default=caret_top_vue_vue_type_script_setup_true_lang_default,cellphone_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Cellphone",__name:"cellphone",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 128a64 64 0 0 0-64 64v640a64 64 0 0 0 64 64h512a64 64 0 0 0 64-64V192a64 64 0 0 0-64-64zm0-64h512a128 128 0 0 1 128 128v640a128 128 0 0 1-128 128H256a128 128 0 0 1-128-128V192A128 128 0 0 1 256 64m128 128h256a32 32 0 1 1 0 64H384a32 32 0 0 1 0-64m128 640a64 64 0 1 1 0-128 64 64 0 0 1 0 128"})]))}}),cellphone_default=cellphone_vue_vue_type_script_setup_true_lang_default,chat_dot_round_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatDotRound",__name:"chat-dot-round",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m174.72 855.68 135.296-45.12 23.68 11.84C388.096 849.536 448.576 864 512 864c211.84 0 384-166.784 384-352S723.84 160 512 160 128 326.784 128 512c0 69.12 24.96 139.264 70.848 199.232l22.08 28.8-46.272 115.584zm-45.248 82.56A32 32 0 0 1 89.6 896l58.368-145.92C94.72 680.32 64 596.864 64 512 64 299.904 256 96 512 96s448 203.904 448 416-192 416-448 416a461.056 461.056 0 0 1-206.912-48.384l-175.616 58.56z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 563.2a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4m192 0a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4m-384 0a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4"})]))}}),chat_dot_round_default=chat_dot_round_vue_vue_type_script_setup_true_lang_default,chat_dot_square_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatDotSquare",__name:"chat-dot-square",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M273.536 736H800a64 64 0 0 0 64-64V256a64 64 0 0 0-64-64H224a64 64 0 0 0-64 64v570.88zM296 800 147.968 918.4A32 32 0 0 1 96 893.44V256a128 128 0 0 1 128-128h576a128 128 0 0 1 128 128v416a128 128 0 0 1-128 128z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 499.2a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4zm192 0a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4zm-384 0a51.2 51.2 0 1 1 0-102.4 51.2 51.2 0 0 1 0 102.4z"})]))}}),chat_dot_square_default=chat_dot_square_vue_vue_type_script_setup_true_lang_default,chat_line_round_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatLineRound",__name:"chat-line-round",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m174.72 855.68 135.296-45.12 23.68 11.84C388.096 849.536 448.576 864 512 864c211.84 0 384-166.784 384-352S723.84 160 512 160 128 326.784 128 512c0 69.12 24.96 139.264 70.848 199.232l22.08 28.8-46.272 115.584zm-45.248 82.56A32 32 0 0 1 89.6 896l58.368-145.92C94.72 680.32 64 596.864 64 512 64 299.904 256 96 512 96s448 203.904 448 416-192 416-448 416a461.056 461.056 0 0 1-206.912-48.384l-175.616 58.56z"}),createBaseVNode("path",{fill:"currentColor",d:"M352 576h320q32 0 32 32t-32 32H352q-32 0-32-32t32-32m32-192h256q32 0 32 32t-32 32H384q-32 0-32-32t32-32"})]))}}),chat_line_round_default=chat_line_round_vue_vue_type_script_setup_true_lang_default,chat_line_square_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatLineSquare",__name:"chat-line-square",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 826.88 273.536 736H800a64 64 0 0 0 64-64V256a64 64 0 0 0-64-64H224a64 64 0 0 0-64 64zM296 800 147.968 918.4A32 32 0 0 1 96 893.44V256a128 128 0 0 1 128-128h576a128 128 0 0 1 128 128v416a128 128 0 0 1-128 128z"}),createBaseVNode("path",{fill:"currentColor",d:"M352 512h320q32 0 32 32t-32 32H352q-32 0-32-32t32-32m0-192h320q32 0 32 32t-32 32H352q-32 0-32-32t32-32"})]))}}),chat_line_square_default=chat_line_square_vue_vue_type_script_setup_true_lang_default,chat_round_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatRound",__name:"chat-round",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m174.72 855.68 130.048-43.392 23.424 11.392C382.4 849.984 444.352 864 512 864c223.744 0 384-159.872 384-352 0-192.832-159.104-352-384-352S128 319.168 128 512a341.12 341.12 0 0 0 69.248 204.288l21.632 28.8-44.16 110.528zm-45.248 82.56A32 32 0 0 1 89.6 896l56.512-141.248A405.12 405.12 0 0 1 64 512C64 299.904 235.648 96 512 96s448 203.904 448 416-173.44 416-448 416c-79.68 0-150.848-17.152-211.712-46.72l-170.88 56.96z"})]))}}),chat_round_default=chat_round_vue_vue_type_script_setup_true_lang_default,chat_square_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChatSquare",__name:"chat-square",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M273.536 736H800a64 64 0 0 0 64-64V256a64 64 0 0 0-64-64H224a64 64 0 0 0-64 64v570.88zM296 800 147.968 918.4A32 32 0 0 1 96 893.44V256a128 128 0 0 1 128-128h576a128 128 0 0 1 128 128v416a128 128 0 0 1-128 128z"})]))}}),chat_square_default=chat_square_vue_vue_type_script_setup_true_lang_default,check_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Check",__name:"check",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M406.656 706.944 195.84 496.256a32 32 0 1 0-45.248 45.248l256 256 512-512a32 32 0 0 0-45.248-45.248L406.592 706.944z"})]))}}),check_default=check_vue_vue_type_script_setup_true_lang_default,checked_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Checked",__name:"checked",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 192h160v736H160V192h160.064v64H704zM311.616 537.28l-45.312 45.248L447.36 763.52l316.8-316.8-45.312-45.184L447.36 673.024zM384 192V96h256v96z"})]))}}),checked_default=checked_vue_vue_type_script_setup_true_lang_default,cherry_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Cherry",__name:"cherry",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M261.056 449.6c13.824-69.696 34.88-128.96 63.36-177.728 23.744-40.832 61.12-88.64 112.256-143.872H320a32 32 0 0 1 0-64h384a32 32 0 1 1 0 64H554.752c14.912 39.168 41.344 86.592 79.552 141.76 47.36 68.48 84.8 106.752 106.304 114.304a224 224 0 1 1-84.992 14.784c-22.656-22.912-47.04-53.76-73.92-92.608-38.848-56.128-67.008-105.792-84.352-149.312-55.296 58.24-94.528 107.52-117.76 147.2-23.168 39.744-41.088 88.768-53.568 147.072a224.064 224.064 0 1 1-64.96-1.6zM288 832a160 160 0 1 0 0-320 160 160 0 0 0 0 320m448-64a160 160 0 1 0 0-320 160 160 0 0 0 0 320"})]))}}),cherry_default=cherry_vue_vue_type_script_setup_true_lang_default,chicken_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Chicken",__name:"chicken",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M349.952 716.992 478.72 588.16a106.688 106.688 0 0 1-26.176-19.072 106.688 106.688 0 0 1-19.072-26.176L304.704 671.744c.768 3.072 1.472 6.144 2.048 9.216l2.048 31.936 31.872 1.984c3.136.64 6.208 1.28 9.28 2.112zm57.344 33.152a128 128 0 1 1-216.32 114.432l-1.92-32-32-1.92a128 128 0 1 1 114.432-216.32L416.64 469.248c-2.432-101.44 58.112-239.104 149.056-330.048 107.328-107.328 231.296-85.504 316.8 0 85.44 85.44 107.328 209.408 0 316.8-91.008 90.88-228.672 151.424-330.112 149.056L407.296 750.08zm90.496-226.304c49.536 49.536 233.344-7.04 339.392-113.088 78.208-78.208 63.232-163.072 0-226.304-63.168-63.232-148.032-78.208-226.24 0C504.896 290.496 448.32 474.368 497.792 523.84M244.864 708.928a64 64 0 1 0-59.84 59.84l56.32-3.52zm8.064 127.68a64 64 0 1 0 59.84-59.84l-56.32 3.52-3.52 56.32z"})]))}}),chicken_default=chicken_vue_vue_type_script_setup_true_lang_default,chrome_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ChromeFilled",__name:"chrome-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M938.67 512.01c0-44.59-6.82-87.6-19.54-128H682.67a212.372 212.372 0 0 1 42.67 128c.06 38.71-10.45 76.7-30.42 109.87l-182.91 316.8c235.65-.01 426.66-191.02 426.66-426.67z"}),createBaseVNode("path",{fill:"currentColor",d:"M576.79 401.63a127.92 127.92 0 0 0-63.56-17.6c-22.36-.22-44.39 5.43-63.89 16.38s-35.79 26.82-47.25 46.02a128.005 128.005 0 0 0-2.16 127.44l1.24 2.13a127.906 127.906 0 0 0 46.36 46.61 127.907 127.907 0 0 0 63.38 17.44c22.29.2 44.24-5.43 63.68-16.33a127.94 127.94 0 0 0 47.16-45.79v-.01l1.11-1.92a127.984 127.984 0 0 0 .29-127.46 127.957 127.957 0 0 0-46.36-46.91"}),createBaseVNode("path",{fill:"currentColor",d:"M394.45 333.96A213.336 213.336 0 0 1 512 298.67h369.58A426.503 426.503 0 0 0 512 85.34a425.598 425.598 0 0 0-171.74 35.98 425.644 425.644 0 0 0-142.62 102.22l118.14 204.63a213.397 213.397 0 0 1 78.67-94.21m117.56 604.72H512zm-97.25-236.73a213.284 213.284 0 0 1-89.54-86.81L142.48 298.6c-36.35 62.81-57.13 135.68-57.13 213.42 0 203.81 142.93 374.22 333.95 416.55h.04l118.19-204.71a213.315 213.315 0 0 1-122.77-21.91z"})]))}}),chrome_filled_default=chrome_filled_vue_vue_type_script_setup_true_lang_default,circle_check_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CircleCheckFilled",__name:"circle-check-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m-55.808 536.384-99.52-99.584a38.4 38.4 0 1 0-54.336 54.336l126.72 126.72a38.272 38.272 0 0 0 54.336 0l262.4-262.464a38.4 38.4 0 1 0-54.272-54.336z"})]))}}),circle_check_filled_default=circle_check_filled_vue_vue_type_script_setup_true_lang_default,circle_check_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CircleCheck",__name:"circle-check",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M745.344 361.344a32 32 0 0 1 45.312 45.312l-288 288a32 32 0 0 1-45.312 0l-160-160a32 32 0 1 1 45.312-45.312L480 626.752l265.344-265.408z"})]))}}),circle_check_default=circle_check_vue_vue_type_script_setup_true_lang_default,circle_close_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CircleCloseFilled",__name:"circle-close-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m0 393.664L407.936 353.6a38.4 38.4 0 1 0-54.336 54.336L457.664 512 353.6 616.064a38.4 38.4 0 1 0 54.336 54.336L512 566.336 616.064 670.4a38.4 38.4 0 1 0 54.336-54.336L566.336 512 670.4 407.936a38.4 38.4 0 1 0-54.336-54.336z"})]))}}),circle_close_filled_default=circle_close_filled_vue_vue_type_script_setup_true_lang_default,circle_close_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CircleClose",__name:"circle-close",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m466.752 512-90.496-90.496a32 32 0 0 1 45.248-45.248L512 466.752l90.496-90.496a32 32 0 1 1 45.248 45.248L557.248 512l90.496 90.496a32 32 0 1 1-45.248 45.248L512 557.248l-90.496 90.496a32 32 0 0 1-45.248-45.248z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"})]))}}),circle_close_default=circle_close_vue_vue_type_script_setup_true_lang_default,circle_plus_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CirclePlusFilled",__name:"circle-plus-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m-38.4 409.6H326.4a38.4 38.4 0 1 0 0 76.8h147.2v147.2a38.4 38.4 0 0 0 76.8 0V550.4h147.2a38.4 38.4 0 0 0 0-76.8H550.4V326.4a38.4 38.4 0 1 0-76.8 0v147.2z"})]))}}),circle_plus_filled_default=circle_plus_filled_vue_vue_type_script_setup_true_lang_default,circle_plus_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CirclePlus",__name:"circle-plus",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 480h320a32 32 0 1 1 0 64H352a32 32 0 0 1 0-64"}),createBaseVNode("path",{fill:"currentColor",d:"M480 672V352a32 32 0 1 1 64 0v320a32 32 0 0 1-64 0"}),createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"})]))}}),circle_plus_default=circle_plus_vue_vue_type_script_setup_true_lang_default,clock_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Clock",__name:"clock",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M480 256a32 32 0 0 1 32 32v256a32 32 0 0 1-64 0V288a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M480 512h256q32 0 32 32t-32 32H480q-32 0-32-32t32-32"})]))}}),clock_default=clock_vue_vue_type_script_setup_true_lang_default,close_bold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CloseBold",__name:"close-bold",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M195.2 195.2a64 64 0 0 1 90.496 0L512 421.504 738.304 195.2a64 64 0 0 1 90.496 90.496L602.496 512 828.8 738.304a64 64 0 0 1-90.496 90.496L512 602.496 285.696 828.8a64 64 0 0 1-90.496-90.496L421.504 512 195.2 285.696a64 64 0 0 1 0-90.496z"})]))}}),close_bold_default=close_bold_vue_vue_type_script_setup_true_lang_default,close_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Close",__name:"close",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M764.288 214.592 512 466.88 259.712 214.592a31.936 31.936 0 0 0-45.12 45.12L466.752 512 214.528 764.224a31.936 31.936 0 1 0 45.12 45.184L512 557.184l252.288 252.288a31.936 31.936 0 0 0 45.12-45.12L557.12 512.064l252.288-252.352a31.936 31.936 0 1 0-45.12-45.184z"})]))}}),close_default=close_vue_vue_type_script_setup_true_lang_default,cloudy_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Cloudy",__name:"cloudy",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M598.4 831.872H328.192a256 256 0 0 1-34.496-510.528A352 352 0 1 1 598.4 831.872m-271.36-64h272.256a288 288 0 1 0-248.512-417.664L335.04 381.44l-34.816 3.584a192 192 0 0 0 26.88 382.848z"})]))}}),cloudy_default=cloudy_vue_vue_type_script_setup_true_lang_default,coffee_cup_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CoffeeCup",__name:"coffee-cup",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M768 192a192 192 0 1 1-8 383.808A256.128 256.128 0 0 1 512 768H320A256 256 0 0 1 64 512V160a32 32 0 0 1 32-32h640a32 32 0 0 1 32 32zm0 64v256a128 128 0 1 0 0-256M96 832h640a32 32 0 1 1 0 64H96a32 32 0 1 1 0-64m32-640v320a192 192 0 0 0 192 192h192a192 192 0 0 0 192-192V192z"})]))}}),coffee_cup_default=coffee_cup_vue_vue_type_script_setup_true_lang_default,coffee_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Coffee",__name:"coffee",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M822.592 192h14.272a32 32 0 0 1 31.616 26.752l21.312 128A32 32 0 0 1 858.24 384h-49.344l-39.04 546.304A32 32 0 0 1 737.92 960H285.824a32 32 0 0 1-32-29.696L214.912 384H165.76a32 32 0 0 1-31.552-37.248l21.312-128A32 32 0 0 1 187.136 192h14.016l-6.72-93.696A32 32 0 0 1 226.368 64h571.008a32 32 0 0 1 31.936 34.304zm-64.128 0 4.544-64H260.736l4.544 64h493.184m-548.16 128H820.48l-10.688-64H214.208l-10.688 64h6.784m68.736 64 36.544 512H708.16l36.544-512z"})]))}}),coffee_default=coffee_vue_vue_type_script_setup_true_lang_default,coin_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Coin",__name:"coin",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m161.92 580.736 29.888 58.88C171.328 659.776 160 681.728 160 704c0 82.304 155.328 160 352 160s352-77.696 352-160c0-22.272-11.392-44.16-31.808-64.32l30.464-58.432C903.936 615.808 928 657.664 928 704c0 129.728-188.544 224-416 224S96 833.728 96 704c0-46.592 24.32-88.576 65.92-123.264z"}),createBaseVNode("path",{fill:"currentColor",d:"m161.92 388.736 29.888 58.88C171.328 467.84 160 489.792 160 512c0 82.304 155.328 160 352 160s352-77.696 352-160c0-22.272-11.392-44.16-31.808-64.32l30.464-58.432C903.936 423.808 928 465.664 928 512c0 129.728-188.544 224-416 224S96 641.728 96 512c0-46.592 24.32-88.576 65.92-123.264z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 544c-227.456 0-416-94.272-416-224S284.544 96 512 96s416 94.272 416 224-188.544 224-416 224m0-64c196.672 0 352-77.696 352-160S708.672 160 512 160s-352 77.696-352 160 155.328 160 352 160"})]))}}),coin_default=coin_vue_vue_type_script_setup_true_lang_default,cold_drink_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ColdDrink",__name:"cold-drink",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M768 64a192 192 0 1 1-69.952 370.88L480 725.376V896h96a32 32 0 1 1 0 64H320a32 32 0 1 1 0-64h96V725.376L76.8 273.536a64 64 0 0 1-12.8-38.4v-10.688a32 32 0 0 1 32-32h71.808l-65.536-83.84a32 32 0 0 1 50.432-39.424l96.256 123.264h337.728A192.064 192.064 0 0 1 768 64M656.896 192.448H800a32 32 0 0 1 32 32v10.624a64 64 0 0 1-12.8 38.4l-80.448 107.2a128 128 0 1 0-81.92-188.16v-.064zm-357.888 64 129.472 165.76a32 32 0 0 1-50.432 39.36l-160.256-205.12H144l304 404.928 304-404.928z"})]))}}),cold_drink_default=cold_drink_vue_vue_type_script_setup_true_lang_default,collection_tag_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CollectionTag",__name:"collection-tag",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 128v698.88l196.032-156.864a96 96 0 0 1 119.936 0L768 826.816V128zm-32-64h576a32 32 0 0 1 32 32v797.44a32 32 0 0 1-51.968 24.96L531.968 720a32 32 0 0 0-39.936 0L243.968 918.4A32 32 0 0 1 192 893.44V96a32 32 0 0 1 32-32"})]))}}),collection_tag_default=collection_tag_vue_vue_type_script_setup_true_lang_default,collection_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Collection",__name:"collection",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 736h640V128H256a64 64 0 0 0-64 64zm64-672h608a32 32 0 0 1 32 32v672a32 32 0 0 1-32 32H160l-32 57.536V192A128 128 0 0 1 256 64"}),createBaseVNode("path",{fill:"currentColor",d:"M240 800a48 48 0 1 0 0 96h592v-96zm0-64h656v160a64 64 0 0 1-64 64H240a112 112 0 0 1 0-224m144-608v250.88l96-76.8 96 76.8V128zm-64-64h320v381.44a32 32 0 0 1-51.968 24.96L480 384l-108.032 86.4A32 32 0 0 1 320 445.44z"})]))}}),collection_default=collection_vue_vue_type_script_setup_true_lang_default,comment_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Comment",__name:"comment",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M736 504a56 56 0 1 1 0-112 56 56 0 0 1 0 112m-224 0a56 56 0 1 1 0-112 56 56 0 0 1 0 112m-224 0a56 56 0 1 1 0-112 56 56 0 0 1 0 112M128 128v640h192v160l224-160h352V128z"})]))}}),comment_default=comment_vue_vue_type_script_setup_true_lang_default,compass_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Compass",__name:"compass",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M725.888 315.008C676.48 428.672 624 513.28 568.576 568.64c-55.424 55.424-139.968 107.904-253.568 157.312a12.8 12.8 0 0 1-16.896-16.832c49.536-113.728 102.016-198.272 157.312-253.632 55.36-55.296 139.904-107.776 253.632-157.312a12.8 12.8 0 0 1 16.832 16.832"})]))}}),compass_default=compass_vue_vue_type_script_setup_true_lang_default,connection_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Connection",__name:"connection",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 384v64H448a128 128 0 0 0-128 128v128a128 128 0 0 0 128 128h320a128 128 0 0 0 128-128V576a128 128 0 0 0-64-110.848V394.88c74.56 26.368 128 97.472 128 181.056v128a192 192 0 0 1-192 192H448a192 192 0 0 1-192-192V576a192 192 0 0 1 192-192z"}),createBaseVNode("path",{fill:"currentColor",d:"M384 640v-64h192a128 128 0 0 0 128-128V320a128 128 0 0 0-128-128H256a128 128 0 0 0-128 128v128a128 128 0 0 0 64 110.848v70.272A192.064 192.064 0 0 1 64 448V320a192 192 0 0 1 192-192h320a192 192 0 0 1 192 192v128a192 192 0 0 1-192 192z"})]))}}),connection_default=connection_vue_vue_type_script_setup_true_lang_default,coordinate_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Coordinate",__name:"coordinate",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 512h64v320h-64z"}),createBaseVNode("path",{fill:"currentColor",d:"M192 896h640a64 64 0 0 0-64-64H256a64 64 0 0 0-64 64m64-128h512a128 128 0 0 1 128 128v64H128v-64a128 128 0 0 1 128-128m256-256a192 192 0 1 0 0-384 192 192 0 0 0 0 384m0 64a256 256 0 1 1 0-512 256 256 0 0 1 0 512"})]))}}),coordinate_default=coordinate_vue_vue_type_script_setup_true_lang_default,copy_document_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CopyDocument",__name:"copy-document",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M768 832a128 128 0 0 1-128 128H192A128 128 0 0 1 64 832V384a128 128 0 0 1 128-128v64a64 64 0 0 0-64 64v448a64 64 0 0 0 64 64h448a64 64 0 0 0 64-64z"}),createBaseVNode("path",{fill:"currentColor",d:"M384 128a64 64 0 0 0-64 64v448a64 64 0 0 0 64 64h448a64 64 0 0 0 64-64V192a64 64 0 0 0-64-64zm0-64h448a128 128 0 0 1 128 128v448a128 128 0 0 1-128 128H384a128 128 0 0 1-128-128V192A128 128 0 0 1 384 64"})]))}}),copy_document_default=copy_document_vue_vue_type_script_setup_true_lang_default,cpu_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Cpu",__name:"cpu",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M320 256a64 64 0 0 0-64 64v384a64 64 0 0 0 64 64h384a64 64 0 0 0 64-64V320a64 64 0 0 0-64-64zm0-64h384a128 128 0 0 1 128 128v384a128 128 0 0 1-128 128H320a128 128 0 0 1-128-128V320a128 128 0 0 1 128-128"}),createBaseVNode("path",{fill:"currentColor",d:"M512 64a32 32 0 0 1 32 32v128h-64V96a32 32 0 0 1 32-32m160 0a32 32 0 0 1 32 32v128h-64V96a32 32 0 0 1 32-32m-320 0a32 32 0 0 1 32 32v128h-64V96a32 32 0 0 1 32-32m160 896a32 32 0 0 1-32-32V800h64v128a32 32 0 0 1-32 32m160 0a32 32 0 0 1-32-32V800h64v128a32 32 0 0 1-32 32m-320 0a32 32 0 0 1-32-32V800h64v128a32 32 0 0 1-32 32M64 512a32 32 0 0 1 32-32h128v64H96a32 32 0 0 1-32-32m0-160a32 32 0 0 1 32-32h128v64H96a32 32 0 0 1-32-32m0 320a32 32 0 0 1 32-32h128v64H96a32 32 0 0 1-32-32m896-160a32 32 0 0 1-32 32H800v-64h128a32 32 0 0 1 32 32m0-160a32 32 0 0 1-32 32H800v-64h128a32 32 0 0 1 32 32m0 320a32 32 0 0 1-32 32H800v-64h128a32 32 0 0 1 32 32"})]))}}),cpu_default=cpu_vue_vue_type_script_setup_true_lang_default,credit_card_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"CreditCard",__name:"credit-card",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M896 324.096c0-42.368-2.496-55.296-9.536-68.48a52.352 52.352 0 0 0-22.144-22.08c-13.12-7.04-26.048-9.536-68.416-9.536H228.096c-42.368 0-55.296 2.496-68.48 9.536a52.352 52.352 0 0 0-22.08 22.144c-7.04 13.12-9.536 26.048-9.536 68.416v375.808c0 42.368 2.496 55.296 9.536 68.48a52.352 52.352 0 0 0 22.144 22.08c13.12 7.04 26.048 9.536 68.416 9.536h567.808c42.368 0 55.296-2.496 68.48-9.536a52.352 52.352 0 0 0 22.08-22.144c7.04-13.12 9.536-26.048 9.536-68.416zm64 0v375.808c0 57.088-5.952 77.76-17.088 98.56-11.136 20.928-27.52 37.312-48.384 48.448-20.864 11.136-41.6 17.088-98.56 17.088H228.032c-57.088 0-77.76-5.952-98.56-17.088a116.288 116.288 0 0 1-48.448-48.384c-11.136-20.864-17.088-41.6-17.088-98.56V324.032c0-57.088 5.952-77.76 17.088-98.56 11.136-20.928 27.52-37.312 48.384-48.448 20.864-11.136 41.6-17.088 98.56-17.088H795.84c57.088 0 77.76 5.952 98.56 17.088 20.928 11.136 37.312 27.52 48.448 48.384 11.136 20.864 17.088 41.6 17.088 98.56z"}),createBaseVNode("path",{fill:"currentColor",d:"M64 320h896v64H64zm0 128h896v64H64zm128 192h256v64H192z"})]))}}),credit_card_default=credit_card_vue_vue_type_script_setup_true_lang_default,crop_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Crop",__name:"crop",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 768h672a32 32 0 1 1 0 64H224a32 32 0 0 1-32-32V96a32 32 0 0 1 64 0z"}),createBaseVNode("path",{fill:"currentColor",d:"M832 224v704a32 32 0 1 1-64 0V256H96a32 32 0 0 1 0-64h704a32 32 0 0 1 32 32"})]))}}),crop_default=crop_vue_vue_type_script_setup_true_lang_default,d_arrow_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DArrowLeft",__name:"d-arrow-left",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M529.408 149.376a29.12 29.12 0 0 1 41.728 0 30.592 30.592 0 0 1 0 42.688L259.264 511.936l311.872 319.936a30.592 30.592 0 0 1-.512 43.264 29.12 29.12 0 0 1-41.216-.512L197.76 534.272a32 32 0 0 1 0-44.672l331.648-340.224zm256 0a29.12 29.12 0 0 1 41.728 0 30.592 30.592 0 0 1 0 42.688L515.264 511.936l311.872 319.936a30.592 30.592 0 0 1-.512 43.264 29.12 29.12 0 0 1-41.216-.512L453.76 534.272a32 32 0 0 1 0-44.672l331.648-340.224z"})]))}}),d_arrow_left_default=d_arrow_left_vue_vue_type_script_setup_true_lang_default,d_arrow_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DArrowRight",__name:"d-arrow-right",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M452.864 149.312a29.12 29.12 0 0 1 41.728.064L826.24 489.664a32 32 0 0 1 0 44.672L494.592 874.624a29.12 29.12 0 0 1-41.728 0 30.592 30.592 0 0 1 0-42.752L764.736 512 452.864 192a30.592 30.592 0 0 1 0-42.688m-256 0a29.12 29.12 0 0 1 41.728.064L570.24 489.664a32 32 0 0 1 0 44.672L238.592 874.624a29.12 29.12 0 0 1-41.728 0 30.592 30.592 0 0 1 0-42.752L508.736 512 196.864 192a30.592 30.592 0 0 1 0-42.688z"})]))}}),d_arrow_right_default=d_arrow_right_vue_vue_type_script_setup_true_lang_default,d_caret_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DCaret",__name:"d-caret",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m512 128 288 320H224zM224 576h576L512 896z"})]))}}),d_caret_default=d_caret_vue_vue_type_script_setup_true_lang_default,data_analysis_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DataAnalysis",__name:"data-analysis",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m665.216 768 110.848 192h-73.856L591.36 768H433.024L322.176 960H248.32l110.848-192H160a32 32 0 0 1-32-32V192H64a32 32 0 0 1 0-64h896a32 32 0 1 1 0 64h-64v544a32 32 0 0 1-32 32zM832 192H192v512h640zM352 448a32 32 0 0 1 32 32v64a32 32 0 0 1-64 0v-64a32 32 0 0 1 32-32m160-64a32 32 0 0 1 32 32v128a32 32 0 0 1-64 0V416a32 32 0 0 1 32-32m160-64a32 32 0 0 1 32 32v192a32 32 0 1 1-64 0V352a32 32 0 0 1 32-32"})]))}}),data_analysis_default=data_analysis_vue_vue_type_script_setup_true_lang_default,data_board_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DataBoard",__name:"data-board",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M32 128h960v64H32z"}),createBaseVNode("path",{fill:"currentColor",d:"M192 192v512h640V192zm-64-64h768v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32z"}),createBaseVNode("path",{fill:"currentColor",d:"M322.176 960H248.32l144.64-250.56 55.424 32zm453.888 0h-73.856L576 741.44l55.424-32z"})]))}}),data_board_default=data_board_vue_vue_type_script_setup_true_lang_default,data_line_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DataLine",__name:"data-line",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M359.168 768H160a32 32 0 0 1-32-32V192H64a32 32 0 0 1 0-64h896a32 32 0 1 1 0 64h-64v544a32 32 0 0 1-32 32H665.216l110.848 192h-73.856L591.36 768H433.024L322.176 960H248.32zM832 192H192v512h640zM342.656 534.656a32 32 0 1 1-45.312-45.312L444.992 341.76l125.44 94.08L679.04 300.032a32 32 0 1 1 49.92 39.936L581.632 524.224 451.008 426.24 342.656 534.592z"})]))}}),data_line_default=data_line_vue_vue_type_script_setup_true_lang_default,delete_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DeleteFilled",__name:"delete-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 192V95.936a32 32 0 0 1 32-32h256a32 32 0 0 1 32 32V192h256a32 32 0 1 1 0 64H96a32 32 0 0 1 0-64zm64 0h192v-64H416zM192 960a32 32 0 0 1-32-32V256h704v672a32 32 0 0 1-32 32zm224-192a32 32 0 0 0 32-32V416a32 32 0 0 0-64 0v320a32 32 0 0 0 32 32m192 0a32 32 0 0 0 32-32V416a32 32 0 0 0-64 0v320a32 32 0 0 0 32 32"})]))}}),delete_filled_default=delete_filled_vue_vue_type_script_setup_true_lang_default,delete_location_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DeleteLocation",__name:"delete-location",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 896h448q32 0 32 32t-32 32H288q-32 0-32-32t32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M800 416a288 288 0 1 0-576 0c0 118.144 94.528 272.128 288 456.576C705.472 688.128 800 534.144 800 416M512 960C277.312 746.688 160 565.312 160 416a352 352 0 0 1 704 0c0 149.312-117.312 330.688-352 544"}),createBaseVNode("path",{fill:"currentColor",d:"M384 384h256q32 0 32 32t-32 32H384q-32 0-32-32t32-32"})]))}}),delete_location_default=delete_location_vue_vue_type_script_setup_true_lang_default,delete_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Delete",__name:"delete",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 256H96a32 32 0 0 1 0-64h256V95.936a32 32 0 0 1 32-32h256a32 32 0 0 1 32 32V192h256a32 32 0 1 1 0 64h-64v672a32 32 0 0 1-32 32H192a32 32 0 0 1-32-32zm448-64v-64H416v64zM224 896h576V256H224zm192-128a32 32 0 0 1-32-32V416a32 32 0 0 1 64 0v320a32 32 0 0 1-32 32m192 0a32 32 0 0 1-32-32V416a32 32 0 0 1 64 0v320a32 32 0 0 1-32 32"})]))}}),delete_default=delete_vue_vue_type_script_setup_true_lang_default,dessert_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Dessert",__name:"dessert",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 416v-48a144 144 0 0 1 168.64-141.888 224.128 224.128 0 0 1 430.72 0A144 144 0 0 1 896 368v48a384 384 0 0 1-352 382.72V896h-64v-97.28A384 384 0 0 1 128 416m287.104-32.064h193.792a143.808 143.808 0 0 1 58.88-132.736 160.064 160.064 0 0 0-311.552 0 143.808 143.808 0 0 1 58.88 132.8zm-72.896 0a72 72 0 1 0-140.48 0h140.48m339.584 0h140.416a72 72 0 1 0-140.48 0zM512 736a320 320 0 0 0 318.4-288.064H193.6A320 320 0 0 0 512 736M384 896.064h256a32 32 0 1 1 0 64H384a32 32 0 1 1 0-64"})]))}}),dessert_default=dessert_vue_vue_type_script_setup_true_lang_default,discount_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Discount",__name:"discount",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 704h576V318.336L552.512 115.84a64 64 0 0 0-81.024 0L224 318.336zm0 64v128h576V768zM593.024 66.304l259.2 212.096A32 32 0 0 1 864 303.168V928a32 32 0 0 1-32 32H192a32 32 0 0 1-32-32V303.168a32 32 0 0 1 11.712-24.768l259.2-212.096a128 128 0 0 1 162.112 0"}),createBaseVNode("path",{fill:"currentColor",d:"M512 448a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"})]))}}),discount_default=discount_vue_vue_type_script_setup_true_lang_default,dish_dot_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DishDot",__name:"dish-dot",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m384.064 274.56.064-50.688A128 128 0 0 1 512.128 96c70.528 0 127.68 57.152 127.68 127.68v50.752A448.192 448.192 0 0 1 955.392 768H68.544A448.192 448.192 0 0 1 384 274.56zM96 832h832a32 32 0 1 1 0 64H96a32 32 0 1 1 0-64m32-128h768a384 384 0 1 0-768 0m447.808-448v-32.32a63.68 63.68 0 0 0-63.68-63.68 64 64 0 0 0-64 63.936V256z"})]))}}),dish_dot_default=dish_dot_vue_vue_type_script_setup_true_lang_default,dish_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Dish",__name:"dish",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 257.152V192h-96a32 32 0 0 1 0-64h256a32 32 0 1 1 0 64h-96v65.152A448 448 0 0 1 955.52 768H68.48A448 448 0 0 1 480 257.152M128 704h768a384 384 0 1 0-768 0M96 832h832a32 32 0 1 1 0 64H96a32 32 0 1 1 0-64"})]))}}),dish_default=dish_vue_vue_type_script_setup_true_lang_default,document_add_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DocumentAdd",__name:"document-add",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M832 384H576V128H192v768h640zm-26.496-64L640 154.496V320zM160 64h480l256 256v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m320 512V448h64v128h128v64H544v128h-64V640H352v-64z"})]))}}),document_add_default=document_add_vue_vue_type_script_setup_true_lang_default,document_checked_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DocumentChecked",__name:"document-checked",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M805.504 320 640 154.496V320zM832 384H576V128H192v768h640zM160 64h480l256 256v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m318.4 582.144 180.992-180.992L704.64 510.4 478.4 736.64 320 578.304l45.248-45.312z"})]))}}),document_checked_default=document_checked_vue_vue_type_script_setup_true_lang_default,document_copy_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DocumentCopy",__name:"document-copy",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 320v576h576V320zm-32-64h640a32 32 0 0 1 32 32v640a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V288a32 32 0 0 1 32-32M960 96v704a32 32 0 0 1-32 32h-96v-64h64V128H384v64h-64V96a32 32 0 0 1 32-32h576a32 32 0 0 1 32 32M256 672h320v64H256zm0-192h320v64H256z"})]))}}),document_copy_default=document_copy_vue_vue_type_script_setup_true_lang_default,document_delete_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DocumentDelete",__name:"document-delete",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M805.504 320 640 154.496V320zM832 384H576V128H192v768h640zM160 64h480l256 256v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m308.992 546.304-90.496-90.624 45.248-45.248 90.56 90.496 90.496-90.432 45.248 45.248-90.496 90.56 90.496 90.496-45.248 45.248-90.496-90.496-90.56 90.496-45.248-45.248 90.496-90.496z"})]))}}),document_delete_default=document_delete_vue_vue_type_script_setup_true_lang_default,document_remove_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"DocumentRemove",__name:"document-remove",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M805.504 320 640 154.496V320zM832 384H576V128H192v768h640zM160 64h480l256 256v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m192 512h320v64H352z"})]))}}),document_remove_default=document_remove_vue_vue_type_script_setup_true_lang_default,document_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Document",__name:"document",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M832 384H576V128H192v768h640zm-26.496-64L640 154.496V320zM160 64h480l256 256v608a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m160 448h384v64H320zm0-192h160v64H320zm0 384h384v64H320z"})]))}}),document_default=document_vue_vue_type_script_setup_true_lang_default,download_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Download",__name:"download",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 832h704a32 32 0 1 1 0 64H160a32 32 0 1 1 0-64m384-253.696 236.288-236.352 45.248 45.248L508.8 704 192 387.2l45.248-45.248L480 584.704V128h64z"})]))}}),download_default=download_vue_vue_type_script_setup_true_lang_default,drizzling_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Drizzling",__name:"drizzling",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m739.328 291.328-35.2-6.592-12.8-33.408a192.064 192.064 0 0 0-365.952 23.232l-9.92 40.896-41.472 7.04a176.32 176.32 0 0 0-146.24 173.568c0 97.28 78.72 175.936 175.808 175.936h400a192 192 0 0 0 35.776-380.672zM959.552 480a256 256 0 0 1-256 256h-400A239.808 239.808 0 0 1 63.744 496.192a240.32 240.32 0 0 1 199.488-236.8 256.128 256.128 0 0 1 487.872-30.976A256.064 256.064 0 0 1 959.552 480M288 800h64v64h-64zm192 0h64v64h-64zm-96 96h64v64h-64zm192 0h64v64h-64zm96-96h64v64h-64z"})]))}}),drizzling_default=drizzling_vue_vue_type_script_setup_true_lang_default,edit_pen_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"EditPen",__name:"edit-pen",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m199.04 672.64 193.984 112 224-387.968-193.92-112-224 388.032zm-23.872 60.16 32.896 148.288 144.896-45.696zM455.04 229.248l193.92 112 56.704-98.112-193.984-112-56.64 98.112zM104.32 708.8l384-665.024 304.768 175.936L409.152 884.8h.064l-248.448 78.336zm384 254.272v-64h448v64h-448z"})]))}}),edit_pen_default=edit_pen_vue_vue_type_script_setup_true_lang_default,edit_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Edit",__name:"edit",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M832 512a32 32 0 1 1 64 0v352a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32h352a32 32 0 0 1 0 64H192v640h640z"}),createBaseVNode("path",{fill:"currentColor",d:"m469.952 554.24 52.8-7.552L847.104 222.4a32 32 0 1 0-45.248-45.248L477.44 501.44l-7.552 52.8zm422.4-422.4a96 96 0 0 1 0 135.808l-331.84 331.84a32 32 0 0 1-18.112 9.088L436.8 623.68a32 32 0 0 1-36.224-36.224l15.104-105.6a32 32 0 0 1 9.024-18.112l331.904-331.84a96 96 0 0 1 135.744 0z"})]))}}),edit_default=edit_vue_vue_type_script_setup_true_lang_default,eleme_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ElemeFilled",__name:"eleme-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M176 64h672c61.824 0 112 50.176 112 112v672a112 112 0 0 1-112 112H176A112 112 0 0 1 64 848V176c0-61.824 50.176-112 112-112m150.528 173.568c-152.896 99.968-196.544 304.064-97.408 456.96a330.688 330.688 0 0 0 456.96 96.64c9.216-5.888 17.6-11.776 25.152-18.56a18.24 18.24 0 0 0 4.224-24.32L700.352 724.8a47.552 47.552 0 0 0-65.536-14.272A234.56 234.56 0 0 1 310.592 641.6C240 533.248 271.104 387.968 379.456 316.48a234.304 234.304 0 0 1 276.352 15.168c1.664.832 2.56 2.56 3.392 4.224 5.888 8.384 3.328 19.328-5.12 25.216L456.832 489.6a47.552 47.552 0 0 0-14.336 65.472l16 24.384c5.888 8.384 16.768 10.88 25.216 5.056l308.224-199.936a19.584 19.584 0 0 0 6.72-23.488v-.896c-4.992-9.216-10.048-17.6-15.104-26.88-99.968-151.168-304.064-194.88-456.96-95.744zM786.88 504.704l-62.208 40.32c-8.32 5.888-10.88 16.768-4.992 25.216L760 632.32c5.888 8.448 16.768 11.008 25.152 5.12l31.104-20.16a55.36 55.36 0 0 0 16-76.48l-20.224-31.04a19.52 19.52 0 0 0-25.152-5.12z"})]))}}),eleme_filled_default=eleme_filled_vue_vue_type_script_setup_true_lang_default,eleme_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Eleme",__name:"eleme",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M300.032 188.8c174.72-113.28 408-63.36 522.24 109.44 5.76 10.56 11.52 20.16 17.28 30.72v.96a22.4 22.4 0 0 1-7.68 26.88l-352.32 228.48c-9.6 6.72-22.08 3.84-28.8-5.76l-18.24-27.84a54.336 54.336 0 0 1 16.32-74.88l225.6-146.88c9.6-6.72 12.48-19.2 5.76-28.8-.96-1.92-1.92-3.84-3.84-4.8a267.84 267.84 0 0 0-315.84-17.28c-123.84 81.6-159.36 247.68-78.72 371.52a268.096 268.096 0 0 0 370.56 78.72 54.336 54.336 0 0 1 74.88 16.32l17.28 26.88c5.76 9.6 3.84 21.12-4.8 27.84-8.64 7.68-18.24 14.4-28.8 21.12a377.92 377.92 0 0 1-522.24-110.4c-113.28-174.72-63.36-408 111.36-522.24zm526.08 305.28a22.336 22.336 0 0 1 28.8 5.76l23.04 35.52a63.232 63.232 0 0 1-18.24 87.36l-35.52 23.04c-9.6 6.72-22.08 3.84-28.8-5.76l-46.08-71.04c-6.72-9.6-3.84-22.08 5.76-28.8l71.04-46.08z"})]))}}),eleme_default=eleme_vue_vue_type_script_setup_true_lang_default,element_plus_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ElementPlus",__name:"element-plus",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M839.7 734.7c0 33.3-17.9 41-17.9 41S519.7 949.8 499.2 960c-10.2 5.1-20.5 5.1-30.7 0 0 0-314.9-184.3-325.1-192-5.1-5.1-10.2-12.8-12.8-20.5V368.6c0-17.9 20.5-28.2 20.5-28.2L466 158.6c12.8-5.1 25.6-5.1 38.4 0 0 0 279 161.3 309.8 179.2 17.9 7.7 28.2 25.6 25.6 46.1-.1-5-.1 317.5-.1 350.8M714.2 371.2c-64-35.8-217.6-125.4-217.6-125.4-7.7-5.1-20.5-5.1-30.7 0L217.6 389.1s-17.9 10.2-17.9 23v297c0 5.1 5.1 12.8 7.7 17.9 7.7 5.1 256 148.5 256 148.5 7.7 5.1 17.9 5.1 25.6 0 15.4-7.7 250.9-145.9 250.9-145.9s12.8-5.1 12.8-30.7v-74.2l-276.5 169v-64c0-17.9 7.7-30.7 20.5-46.1L745 535c5.1-7.7 10.2-20.5 10.2-30.7v-66.6l-279 169v-69.1c0-15.4 5.1-30.7 17.9-38.4l220.1-128zM919 135.7c0-5.1-5.1-7.7-7.7-7.7h-58.9V66.6c0-5.1-5.1-5.1-10.2-5.1l-30.7 5.1c-5.1 0-5.1 2.6-5.1 5.1V128h-56.3c-5.1 0-5.1 5.1-7.7 5.1v38.4h69.1v64c0 5.1 5.1 5.1 10.2 5.1l30.7-5.1c5.1 0 5.1-2.6 5.1-5.1v-56.3h64l-2.5-38.4z"})]))}}),element_plus_default=element_plus_vue_vue_type_script_setup_true_lang_default,expand_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Expand",__name:"expand",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192h768v128H128zm0 256h512v128H128zm0 256h768v128H128zm576-352 192 160-192 128z"})]))}}),expand_default=expand_vue_vue_type_script_setup_true_lang_default,failed_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Failed",__name:"failed",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m557.248 608 135.744-135.744-45.248-45.248-135.68 135.744-135.808-135.68-45.248 45.184L466.752 608l-135.68 135.68 45.184 45.312L512 653.248l135.744 135.744 45.248-45.248L557.312 608zM704 192h160v736H160V192h160v64h384zm-320 0V96h256v96z"})]))}}),failed_default=failed_vue_vue_type_script_setup_true_lang_default,female_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Female",__name:"female",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 640a256 256 0 1 0 0-512 256 256 0 0 0 0 512m0 64a320 320 0 1 1 0-640 320 320 0 0 1 0 640"}),createBaseVNode("path",{fill:"currentColor",d:"M512 640q32 0 32 32v256q0 32-32 32t-32-32V672q0-32 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M352 800h320q32 0 32 32t-32 32H352q-32 0-32-32t32-32"})]))}}),female_default=female_vue_vue_type_script_setup_true_lang_default,files_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Files",__name:"files",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 384v448h768V384zm-32-64h832a32 32 0 0 1 32 32v512a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V352a32 32 0 0 1 32-32m64-128h704v64H160zm96-128h512v64H256z"})]))}}),files_default=files_vue_vue_type_script_setup_true_lang_default,film_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Film",__name:"film",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 160v704h704V160zm-32-64h768a32 32 0 0 1 32 32v768a32 32 0 0 1-32 32H128a32 32 0 0 1-32-32V128a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M320 288V128h64v352h256V128h64v160h160v64H704v128h160v64H704v128h160v64H704v160h-64V544H384v352h-64V736H128v-64h192V544H128v-64h192V352H128v-64z"})]))}}),film_default=film_vue_vue_type_script_setup_true_lang_default,filter_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Filter",__name:"filter",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 523.392V928a32 32 0 0 0 46.336 28.608l192-96A32 32 0 0 0 640 832V523.392l280.768-343.104a32 32 0 1 0-49.536-40.576l-288 352A32 32 0 0 0 576 512v300.224l-128 64V512a32 32 0 0 0-7.232-20.288L195.52 192H704a32 32 0 1 0 0-64H128a32 32 0 0 0-24.768 52.288z"})]))}}),filter_default=filter_vue_vue_type_script_setup_true_lang_default,finished_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Finished",__name:"finished",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M280.768 753.728 691.456 167.04a32 32 0 1 1 52.416 36.672L314.24 817.472a32 32 0 0 1-45.44 7.296l-230.4-172.8a32 32 0 0 1 38.4-51.2l203.968 152.96zM736 448a32 32 0 1 1 0-64h192a32 32 0 1 1 0 64zM608 640a32 32 0 0 1 0-64h319.936a32 32 0 1 1 0 64zM480 832a32 32 0 1 1 0-64h447.936a32 32 0 1 1 0 64z"})]))}}),finished_default=finished_vue_vue_type_script_setup_true_lang_default,first_aid_kit_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FirstAidKit",__name:"first-aid-kit",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 256a64 64 0 0 0-64 64v448a64 64 0 0 0 64 64h640a64 64 0 0 0 64-64V320a64 64 0 0 0-64-64zm0-64h640a128 128 0 0 1 128 128v448a128 128 0 0 1-128 128H192A128 128 0 0 1 64 768V320a128 128 0 0 1 128-128"}),createBaseVNode("path",{fill:"currentColor",d:"M544 512h96a32 32 0 0 1 0 64h-96v96a32 32 0 0 1-64 0v-96h-96a32 32 0 0 1 0-64h96v-96a32 32 0 0 1 64 0zM352 128v64h320v-64zm-32-64h384a32 32 0 0 1 32 32v128a32 32 0 0 1-32 32H320a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32"})]))}}),first_aid_kit_default=first_aid_kit_vue_vue_type_script_setup_true_lang_default,flag_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Flag",__name:"flag",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 128h608L736 384l160 256H288v320h-96V64h96z"})]))}}),flag_default=flag_vue_vue_type_script_setup_true_lang_default,fold_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Fold",__name:"fold",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M896 192H128v128h768zm0 256H384v128h512zm0 256H128v128h768zM320 384 128 512l192 128z"})]))}}),fold_default=fold_vue_vue_type_script_setup_true_lang_default,folder_add_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FolderAdd",__name:"folder-add",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192v640h768V320H485.76L357.504 192zm-32-64h287.872l128.384 128H928a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32m384 416V416h64v128h128v64H544v128h-64V608H352v-64z"})]))}}),folder_add_default=folder_add_vue_vue_type_script_setup_true_lang_default,folder_checked_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FolderChecked",__name:"folder-checked",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192v640h768V320H485.76L357.504 192zm-32-64h287.872l128.384 128H928a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32m414.08 502.144 180.992-180.992L736.32 494.4 510.08 720.64l-158.4-158.336 45.248-45.312z"})]))}}),folder_checked_default=folder_checked_vue_vue_type_script_setup_true_lang_default,folder_delete_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FolderDelete",__name:"folder-delete",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192v640h768V320H485.76L357.504 192zm-32-64h287.872l128.384 128H928a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32m370.752 448-90.496-90.496 45.248-45.248L512 530.752l90.496-90.496 45.248 45.248L557.248 576l90.496 90.496-45.248 45.248L512 621.248l-90.496 90.496-45.248-45.248z"})]))}}),folder_delete_default=folder_delete_vue_vue_type_script_setup_true_lang_default,folder_opened_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FolderOpened",__name:"folder-opened",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M878.08 448H241.92l-96 384h636.16l96-384zM832 384v-64H485.76L357.504 192H128v448l57.92-231.744A32 32 0 0 1 216.96 384zm-24.96 512H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32h287.872l128.384 128H864a32 32 0 0 1 32 32v96h23.04a32 32 0 0 1 31.04 39.744l-112 448A32 32 0 0 1 807.04 896"})]))}}),folder_opened_default=folder_opened_vue_vue_type_script_setup_true_lang_default,folder_remove_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FolderRemove",__name:"folder-remove",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192v640h768V320H485.76L357.504 192zm-32-64h287.872l128.384 128H928a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32m256 416h320v64H352z"})]))}}),folder_remove_default=folder_remove_vue_vue_type_script_setup_true_lang_default,folder_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Folder",__name:"folder",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 192v640h768V320H485.76L357.504 192zm-32-64h287.872l128.384 128H928a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32"})]))}}),folder_default=folder_vue_vue_type_script_setup_true_lang_default,food_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Food",__name:"food",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 352.576V352a288 288 0 0 1 491.072-204.224 192 192 0 0 1 274.24 204.48 64 64 0 0 1 57.216 74.24C921.6 600.512 850.048 710.656 736 756.992V800a96 96 0 0 1-96 96H384a96 96 0 0 1-96-96v-43.008c-114.048-46.336-185.6-156.48-214.528-330.496A64 64 0 0 1 128 352.64zm64-.576h64a160 160 0 0 1 320 0h64a224 224 0 0 0-448 0m128 0h192a96 96 0 0 0-192 0m439.424 0h68.544A128.256 128.256 0 0 0 704 192c-15.36 0-29.952 2.688-43.52 7.616 11.328 18.176 20.672 37.76 27.84 58.304A64.128 64.128 0 0 1 759.424 352M672 768H352v32a32 32 0 0 0 32 32h256a32 32 0 0 0 32-32zm-342.528-64h365.056c101.504-32.64 165.76-124.928 192.896-288H136.576c27.136 163.072 91.392 255.36 192.896 288"})]))}}),food_default=food_vue_vue_type_script_setup_true_lang_default,football_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Football",__name:"football",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 960a448 448 0 1 1 0-896 448 448 0 0 1 0 896m0-64a384 384 0 1 0 0-768 384 384 0 0 0 0 768"}),createBaseVNode("path",{fill:"currentColor",d:"M186.816 268.288c16-16.384 31.616-31.744 46.976-46.08 17.472 30.656 39.808 58.112 65.984 81.28l-32.512 56.448a385.984 385.984 0 0 1-80.448-91.648zm653.696-5.312a385.92 385.92 0 0 1-83.776 96.96l-32.512-56.384a322.923 322.923 0 0 0 68.48-85.76c15.552 14.08 31.488 29.12 47.808 45.184zM465.984 445.248l11.136-63.104a323.584 323.584 0 0 0 69.76 0l11.136 63.104a387.968 387.968 0 0 1-92.032 0m-62.72-12.8A381.824 381.824 0 0 1 320 396.544l32-55.424a319.885 319.885 0 0 0 62.464 27.712l-11.2 63.488zm300.8-35.84a381.824 381.824 0 0 1-83.328 35.84l-11.2-63.552A319.885 319.885 0 0 0 672 341.184l32 55.424zm-520.768 364.8a385.92 385.92 0 0 1 83.968-97.28l32.512 56.32c-26.88 23.936-49.856 52.352-67.52 84.032-16-13.44-32.32-27.712-48.96-43.072zm657.536.128a1442.759 1442.759 0 0 1-49.024 43.072 321.408 321.408 0 0 0-67.584-84.16l32.512-56.32c33.216 27.456 61.696 60.352 84.096 97.408zM465.92 578.752a387.968 387.968 0 0 1 92.032 0l-11.136 63.104a323.584 323.584 0 0 0-69.76 0zm-62.72 12.8 11.2 63.552a319.885 319.885 0 0 0-62.464 27.712L320 627.392a381.824 381.824 0 0 1 83.264-35.84zm300.8 35.84-32 55.424a318.272 318.272 0 0 0-62.528-27.712l11.2-63.488c29.44 8.64 57.28 20.736 83.264 35.776z"})]))}}),football_default=football_vue_vue_type_script_setup_true_lang_default,fork_spoon_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ForkSpoon",__name:"fork-spoon",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 410.304V96a32 32 0 0 1 64 0v314.304a96 96 0 0 0 64-90.56V96a32 32 0 0 1 64 0v223.744a160 160 0 0 1-128 156.8V928a32 32 0 1 1-64 0V476.544a160 160 0 0 1-128-156.8V96a32 32 0 0 1 64 0v223.744a96 96 0 0 0 64 90.56zM672 572.48C581.184 552.128 512 446.848 512 320c0-141.44 85.952-256 192-256s192 114.56 192 256c0 126.848-69.184 232.128-160 252.48V928a32 32 0 1 1-64 0zM704 512c66.048 0 128-82.56 128-192s-61.952-192-128-192-128 82.56-128 192 61.952 192 128 192"})]))}}),fork_spoon_default=fork_spoon_vue_vue_type_script_setup_true_lang_default,fries_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Fries",__name:"fries",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M608 224v-64a32 32 0 0 0-64 0v336h26.88A64 64 0 0 0 608 484.096zm101.12 160A64 64 0 0 0 672 395.904V384h64V224a32 32 0 1 0-64 0v160zm74.88 0a92.928 92.928 0 0 1 91.328 110.08l-60.672 323.584A96 96 0 0 1 720.32 896H303.68a96 96 0 0 1-94.336-78.336L148.672 494.08A92.928 92.928 0 0 1 240 384h-16V224a96 96 0 0 1 188.608-25.28A95.744 95.744 0 0 1 480 197.44V160a96 96 0 0 1 188.608-25.28A96 96 0 0 1 800 224v160zM670.784 512a128 128 0 0 1-99.904 48H453.12a128 128 0 0 1-99.84-48H352v-1.536a128.128 128.128 0 0 1-9.984-14.976L314.88 448H240a28.928 28.928 0 0 0-28.48 34.304L241.088 640h541.824l29.568-157.696A28.928 28.928 0 0 0 784 448h-74.88l-27.136 47.488A132.405 132.405 0 0 1 672 510.464V512zM480 288a32 32 0 0 0-64 0v196.096A64 64 0 0 0 453.12 496H480zm-128 96V224a32 32 0 0 0-64 0v160zh-37.12A64 64 0 0 1 352 395.904zm-98.88 320 19.072 101.888A32 32 0 0 0 303.68 832h416.64a32 32 0 0 0 31.488-26.112L770.88 704z"})]))}}),fries_default=fries_vue_vue_type_script_setup_true_lang_default,full_screen_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"FullScreen",__name:"full-screen",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m160 96.064 192 .192a32 32 0 0 1 0 64l-192-.192V352a32 32 0 0 1-64 0V96h64zm0 831.872V928H96V672a32 32 0 1 1 64 0v191.936l192-.192a32 32 0 1 1 0 64zM864 96.064V96h64v256a32 32 0 1 1-64 0V160.064l-192 .192a32 32 0 1 1 0-64l192-.192zm0 831.872-192-.192a32 32 0 0 1 0-64l192 .192V672a32 32 0 1 1 64 0v256h-64z"})]))}}),full_screen_default=full_screen_vue_vue_type_script_setup_true_lang_default,goblet_full_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"GobletFull",__name:"goblet-full",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 320h512c0-78.592-12.608-142.4-36.928-192h-434.24C269.504 192.384 256 256.256 256 320m503.936 64H264.064a256.128 256.128 0 0 0 495.872 0zM544 638.4V896h96a32 32 0 1 1 0 64H384a32 32 0 1 1 0-64h96V638.4A320 320 0 0 1 192 320c0-85.632 21.312-170.944 64-256h512c42.688 64.32 64 149.632 64 256a320 320 0 0 1-288 318.4"})]))}}),goblet_full_default=goblet_full_vue_vue_type_script_setup_true_lang_default,goblet_square_full_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"GobletSquareFull",__name:"goblet-square-full",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 270.912c10.048 6.72 22.464 14.912 28.992 18.624a220.16 220.16 0 0 0 114.752 30.72c30.592 0 49.408-9.472 91.072-41.152l.64-.448c52.928-40.32 82.368-55.04 132.288-54.656 55.552.448 99.584 20.8 142.72 57.408l1.536 1.28V128H256v142.912zm.96 76.288C266.368 482.176 346.88 575.872 512 576c157.44.064 237.952-85.056 253.248-209.984a952.32 952.32 0 0 1-40.192-35.712c-32.704-27.776-63.36-41.92-101.888-42.24-31.552-.256-50.624 9.28-93.12 41.6l-.576.448c-52.096 39.616-81.024 54.208-129.792 54.208-54.784 0-100.48-13.376-142.784-37.056zM480 638.848C250.624 623.424 192 442.496 192 319.68V96a32 32 0 0 1 32-32h576a32 32 0 0 1 32 32v224c0 122.816-58.624 303.68-288 318.912V896h96a32 32 0 1 1 0 64H384a32 32 0 1 1 0-64h96z"})]))}}),goblet_square_full_default=goblet_square_full_vue_vue_type_script_setup_true_lang_default,goblet_square_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"GobletSquare",__name:"goblet-square",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 638.912V896h96a32 32 0 1 1 0 64H384a32 32 0 1 1 0-64h96V638.848C250.624 623.424 192 442.496 192 319.68V96a32 32 0 0 1 32-32h576a32 32 0 0 1 32 32v224c0 122.816-58.624 303.68-288 318.912M256 319.68c0 149.568 80 256.192 256 256.256C688.128 576 768 469.568 768 320V128H256z"})]))}}),goblet_square_default=goblet_square_vue_vue_type_script_setup_true_lang_default,goblet_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Goblet",__name:"goblet",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 638.4V896h96a32 32 0 1 1 0 64H384a32 32 0 1 1 0-64h96V638.4A320 320 0 0 1 192 320c0-85.632 21.312-170.944 64-256h512c42.688 64.32 64 149.632 64 256a320 320 0 0 1-288 318.4M256 320a256 256 0 1 0 512 0c0-78.592-12.608-142.4-36.928-192h-434.24C269.504 192.384 256 256.256 256 320"})]))}}),goblet_default=goblet_vue_vue_type_script_setup_true_lang_default,gold_medal_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"GoldMedal",__name:"gold-medal",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m772.13 452.84 53.86-351.81c1.32-10.01-1.17-18.68-7.49-26.02S804.35 64 795.01 64H228.99v-.01h-.06c-9.33 0-17.15 3.67-23.49 11.01s-8.83 16.01-7.49 26.02l53.87 351.89C213.54 505.73 193.59 568.09 192 640c2 90.67 33.17 166.17 93.5 226.5S421.33 957.99 512 960c90.67-2 166.17-33.17 226.5-93.5 60.33-60.34 91.49-135.83 93.5-226.5-1.59-71.94-21.56-134.32-59.87-187.16zM640.01 128h117.02l-39.01 254.02c-20.75-10.64-40.74-19.73-59.94-27.28-5.92-3-11.95-5.8-18.08-8.41V128h.01zM576 128v198.76c-13.18-2.58-26.74-4.43-40.67-5.55-8.07-.8-15.85-1.2-23.33-1.2-10.54 0-21.09.66-31.64 1.96a359.844 359.844 0 0 0-32.36 4.79V128zm-192 0h.04v218.3c-6.22 2.66-12.34 5.5-18.36 8.56-19.13 7.54-39.02 16.6-59.66 27.16L267.01 128zm308.99 692.99c-48 48-108.33 73-180.99 75.01-72.66-2.01-132.99-27.01-180.99-75.01S258.01 712.66 256 640c2.01-72.66 27.01-132.99 75.01-180.99 19.67-19.67 41.41-35.47 65.22-47.41 38.33-15.04 71.15-23.92 98.44-26.65 5.07-.41 10.2-.7 15.39-.88.63-.01 1.28-.03 1.91-.03.66 0 1.35.03 2.02.04 5.11.17 10.15.46 15.13.86 27.4 2.71 60.37 11.65 98.91 26.79 23.71 11.93 45.36 27.69 64.96 47.29 48 48 73 108.33 75.01 180.99-2.01 72.65-27.01 132.98-75.01 180.98z"}),createBaseVNode("path",{fill:"currentColor",d:"M544 480H416v64h64v192h-64v64h192v-64h-64z"})]))}}),gold_medal_default=gold_medal_vue_vue_type_script_setup_true_lang_default,goods_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"GoodsFilled",__name:"goods-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 352h640l64 544H128zm128 224h64V448h-64zm320 0h64V448h-64zM384 288h-64a192 192 0 1 1 384 0h-64a128 128 0 1 0-256 0"})]))}}),goods_filled_default=goods_filled_vue_vue_type_script_setup_true_lang_default,goods_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Goods",__name:"goods",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M320 288v-22.336C320 154.688 405.504 64 512 64s192 90.688 192 201.664v22.4h131.072a32 32 0 0 1 31.808 28.8l57.6 576a32 32 0 0 1-31.808 35.2H131.328a32 32 0 0 1-31.808-35.2l57.6-576a32 32 0 0 1 31.808-28.8H320zm64 0h256v-22.336C640 189.248 582.272 128 512 128c-70.272 0-128 61.248-128 137.664v22.4zm-64 64H217.92l-51.2 512h690.56l-51.264-512H704v96a32 32 0 1 1-64 0v-96H384v96a32 32 0 0 1-64 0z"})]))}}),goods_default=goods_vue_vue_type_script_setup_true_lang_default,grape_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Grape",__name:"grape",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 195.2a160 160 0 0 1 96 60.8 160 160 0 1 1 146.24 254.976 160 160 0 0 1-128 224 160 160 0 1 1-292.48 0 160 160 0 0 1-128-224A160 160 0 1 1 384 256a160 160 0 0 1 96-60.8V128h-64a32 32 0 0 1 0-64h192a32 32 0 0 1 0 64h-64zM512 448a96 96 0 1 0 0-192 96 96 0 0 0 0 192m-256 0a96 96 0 1 0 0-192 96 96 0 0 0 0 192m128 224a96 96 0 1 0 0-192 96 96 0 0 0 0 192m128 224a96 96 0 1 0 0-192 96 96 0 0 0 0 192m128-224a96 96 0 1 0 0-192 96 96 0 0 0 0 192m128-224a96 96 0 1 0 0-192 96 96 0 0 0 0 192"})]))}}),grape_default=grape_vue_vue_type_script_setup_true_lang_default,grid_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Grid",__name:"grid",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 384v256H384V384zm64 0h192v256H704zm-64 512H384V704h256zm64 0V704h192v192zm-64-768v192H384V128zm64 0h192v192H704zM320 384v256H128V384zm0 512H128V704h192zm0-768v192H128V128z"})]))}}),grid_default=grid_vue_vue_type_script_setup_true_lang_default,guide_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Guide",__name:"guide",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 608h-64V416h64zm0 160v160a32 32 0 0 1-32 32H416a32 32 0 0 1-32-32V768h64v128h128V768zM384 608V416h64v192zm256-352h-64V128H448v128h-64V96a32 32 0 0 1 32-32h192a32 32 0 0 1 32 32z"}),createBaseVNode("path",{fill:"currentColor",d:"m220.8 256-71.232 80 71.168 80H768V256H220.8zm-14.4-64H800a32 32 0 0 1 32 32v224a32 32 0 0 1-32 32H206.4a32 32 0 0 1-23.936-10.752l-99.584-112a32 32 0 0 1 0-42.496l99.584-112A32 32 0 0 1 206.4 192m678.784 496-71.104 80H266.816V608h547.2l71.168 80zm-56.768-144H234.88a32 32 0 0 0-32 32v224a32 32 0 0 0 32 32h593.6a32 32 0 0 0 23.936-10.752l99.584-112a32 32 0 0 0 0-42.496l-99.584-112A32 32 0 0 0 828.48 544z"})]))}}),guide_default=guide_vue_vue_type_script_setup_true_lang_default,handbag_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Handbag",__name:"handbag",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M887.01 264.99c-6-5.99-13.67-8.99-23.01-8.99H704c-1.34-54.68-20.01-100.01-56-136s-81.32-54.66-136-56c-54.68 1.34-100.01 20.01-136 56s-54.66 81.32-56 136H160c-9.35 0-17.02 3-23.01 8.99-5.99 6-8.99 13.67-8.99 23.01v640c0 9.35 2.99 17.02 8.99 23.01S150.66 960 160 960h704c9.35 0 17.02-2.99 23.01-8.99S896 937.34 896 928V288c0-9.35-2.99-17.02-8.99-23.01M421.5 165.5c24.32-24.34 54.49-36.84 90.5-37.5 35.99.68 66.16 13.18 90.5 37.5s36.84 54.49 37.5 90.5H384c.68-35.99 13.18-66.16 37.5-90.5M832 896H192V320h128v128h64V320h256v128h64V320h128z"})]))}}),handbag_default=handbag_vue_vue_type_script_setup_true_lang_default,headset_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Headset",__name:"headset",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M896 529.152V512a384 384 0 1 0-768 0v17.152A128 128 0 0 1 320 640v128a128 128 0 1 1-256 0V512a448 448 0 1 1 896 0v256a128 128 0 1 1-256 0V640a128 128 0 0 1 192-110.848M896 640a64 64 0 0 0-128 0v128a64 64 0 0 0 128 0zm-768 0v128a64 64 0 0 0 128 0V640a64 64 0 1 0-128 0"})]))}}),headset_default=headset_vue_vue_type_script_setup_true_lang_default,help_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"HelpFilled",__name:"help-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M926.784 480H701.312A192.512 192.512 0 0 0 544 322.688V97.216A416.064 416.064 0 0 1 926.784 480m0 64A416.064 416.064 0 0 1 544 926.784V701.312A192.512 192.512 0 0 0 701.312 544zM97.28 544h225.472A192.512 192.512 0 0 0 480 701.312v225.472A416.064 416.064 0 0 1 97.216 544zm0-64A416.064 416.064 0 0 1 480 97.216v225.472A192.512 192.512 0 0 0 322.688 480H97.216z"})]))}}),help_filled_default=help_filled_vue_vue_type_script_setup_true_lang_default,help_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Help",__name:"help",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m759.936 805.248-90.944-91.008A254.912 254.912 0 0 1 512 768a254.912 254.912 0 0 1-156.992-53.76l-90.944 91.008A382.464 382.464 0 0 0 512 896c94.528 0 181.12-34.176 247.936-90.752m45.312-45.312A382.464 382.464 0 0 0 896 512c0-94.528-34.176-181.12-90.752-247.936l-91.008 90.944C747.904 398.4 768 452.864 768 512c0 59.136-20.096 113.6-53.76 156.992l91.008 90.944zm-45.312-541.184A382.464 382.464 0 0 0 512 128c-94.528 0-181.12 34.176-247.936 90.752l90.944 91.008A254.912 254.912 0 0 1 512 256c59.136 0 113.6 20.096 156.992 53.76l90.944-91.008zm-541.184 45.312A382.464 382.464 0 0 0 128 512c0 94.528 34.176 181.12 90.752 247.936l91.008-90.944A254.912 254.912 0 0 1 256 512c0-59.136 20.096-113.6 53.76-156.992zm417.28 394.496a194.56 194.56 0 0 0 22.528-22.528C686.912 602.56 704 559.232 704 512a191.232 191.232 0 0 0-67.968-146.56A191.296 191.296 0 0 0 512 320a191.232 191.232 0 0 0-146.56 67.968C337.088 421.44 320 464.768 320 512a191.232 191.232 0 0 0 67.968 146.56C421.44 686.912 464.768 704 512 704c47.296 0 90.56-17.088 124.032-45.44zM512 960a448 448 0 1 1 0-896 448 448 0 0 1 0 896"})]))}}),help_default=help_vue_vue_type_script_setup_true_lang_default,hide_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Hide",__name:"hide",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M876.8 156.8c0-9.6-3.2-16-9.6-22.4-6.4-6.4-12.8-9.6-22.4-9.6-9.6 0-16 3.2-22.4 9.6L736 220.8c-64-32-137.6-51.2-224-60.8-160 16-288 73.6-377.6 176C44.8 438.4 0 496 0 512s48 73.6 134.4 176c22.4 25.6 44.8 48 73.6 67.2l-86.4 89.6c-6.4 6.4-9.6 12.8-9.6 22.4 0 9.6 3.2 16 9.6 22.4 6.4 6.4 12.8 9.6 22.4 9.6 9.6 0 16-3.2 22.4-9.6l704-710.4c3.2-6.4 6.4-12.8 6.4-22.4Zm-646.4 528c-76.8-70.4-128-128-153.6-172.8 28.8-48 80-105.6 153.6-172.8C304 272 400 230.4 512 224c64 3.2 124.8 19.2 176 44.8l-54.4 54.4C598.4 300.8 560 288 512 288c-64 0-115.2 22.4-160 64s-64 96-64 160c0 48 12.8 89.6 35.2 124.8L256 707.2c-9.6-6.4-19.2-16-25.6-22.4Zm140.8-96c-12.8-22.4-19.2-48-19.2-76.8 0-44.8 16-83.2 48-112 32-28.8 67.2-48 112-48 28.8 0 54.4 6.4 73.6 19.2zM889.599 336c-12.8-16-28.8-28.8-41.6-41.6l-48 48c73.6 67.2 124.8 124.8 150.4 169.6-28.8 48-80 105.6-153.6 172.8-73.6 67.2-172.8 108.8-284.8 115.2-51.2-3.2-99.2-12.8-140.8-28.8l-48 48c57.6 22.4 118.4 38.4 188.8 44.8 160-16 288-73.6 377.6-176C979.199 585.6 1024 528 1024 512s-48.001-73.6-134.401-176Z"}),createBaseVNode("path",{fill:"currentColor",d:"M511.998 672c-12.8 0-25.6-3.2-38.4-6.4l-51.2 51.2c28.8 12.8 57.6 19.2 89.6 19.2 64 0 115.2-22.4 160-64 41.6-41.6 64-96 64-160 0-32-6.4-64-19.2-89.6l-51.2 51.2c3.2 12.8 6.4 25.6 6.4 38.4 0 44.8-16 83.2-48 112-32 28.8-67.2 48-112 48Z"})]))}}),hide_default=hide_vue_vue_type_script_setup_true_lang_default,histogram_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Histogram",__name:"histogram",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M416 896V128h192v768zm-288 0V448h192v448zm576 0V320h192v576z"})]))}}),histogram_default=histogram_vue_vue_type_script_setup_true_lang_default,home_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"HomeFilled",__name:"home-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 128 128 447.936V896h255.936V640H640v256h255.936V447.936z"})]))}}),home_filled_default=home_filled_vue_vue_type_script_setup_true_lang_default,hot_water_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"HotWater",__name:"hot-water",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M273.067 477.867h477.866V409.6H273.067zm0 68.266v51.2A187.733 187.733 0 0 0 460.8 785.067h102.4a187.733 187.733 0 0 0 187.733-187.734v-51.2H273.067zm-34.134-204.8h546.134a34.133 34.133 0 0 1 34.133 34.134v221.866a256 256 0 0 1-256 256H460.8a256 256 0 0 1-256-256V375.467a34.133 34.133 0 0 1 34.133-34.134zM512 34.133a34.133 34.133 0 0 1 34.133 34.134v170.666a34.133 34.133 0 0 1-68.266 0V68.267A34.133 34.133 0 0 1 512 34.133zM375.467 102.4a34.133 34.133 0 0 1 34.133 34.133v102.4a34.133 34.133 0 0 1-68.267 0v-102.4a34.133 34.133 0 0 1 34.134-34.133m273.066 0a34.133 34.133 0 0 1 34.134 34.133v102.4a34.133 34.133 0 1 1-68.267 0v-102.4a34.133 34.133 0 0 1 34.133-34.133M170.667 921.668h682.666a34.133 34.133 0 1 1 0 68.267H170.667a34.133 34.133 0 1 1 0-68.267z"})]))}}),hot_water_default=hot_water_vue_vue_type_script_setup_true_lang_default,house_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"House",__name:"house",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 413.952V896h640V413.952L512 147.328zM139.52 374.4l352-293.312a32 32 0 0 1 40.96 0l352 293.312A32 32 0 0 1 896 398.976V928a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V398.976a32 32 0 0 1 11.52-24.576"})]))}}),house_default=house_vue_vue_type_script_setup_true_lang_default,ice_cream_round_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"IceCreamRound",__name:"ice-cream-round",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m308.352 489.344 226.304 226.304a32 32 0 0 0 45.248 0L783.552 512A192 192 0 1 0 512 240.448L308.352 444.16a32 32 0 0 0 0 45.248zm135.744 226.304L308.352 851.392a96 96 0 0 1-135.744-135.744l135.744-135.744-45.248-45.248a96 96 0 0 1 0-135.808L466.752 195.2A256 256 0 0 1 828.8 557.248L625.152 760.96a96 96 0 0 1-135.808 0l-45.248-45.248zM398.848 670.4 353.6 625.152 217.856 760.896a32 32 0 0 0 45.248 45.248zm248.96-384.64a32 32 0 0 1 0 45.248L466.624 512a32 32 0 1 1-45.184-45.248l180.992-181.056a32 32 0 0 1 45.248 0zm90.496 90.496a32 32 0 0 1 0 45.248L557.248 602.496A32 32 0 1 1 512 557.248l180.992-180.992a32 32 0 0 1 45.312 0z"})]))}}),ice_cream_round_default=ice_cream_round_vue_vue_type_script_setup_true_lang_default,ice_cream_square_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"IceCreamSquare",__name:"ice-cream-square",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M416 640h256a32 32 0 0 0 32-32V160a32 32 0 0 0-32-32H352a32 32 0 0 0-32 32v448a32 32 0 0 0 32 32zm192 64v160a96 96 0 0 1-192 0V704h-64a96 96 0 0 1-96-96V160a96 96 0 0 1 96-96h320a96 96 0 0 1 96 96v448a96 96 0 0 1-96 96zm-64 0h-64v160a32 32 0 1 0 64 0z"})]))}}),ice_cream_square_default=ice_cream_square_vue_vue_type_script_setup_true_lang_default,ice_cream_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"IceCream",__name:"ice-cream",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128.64 448a208 208 0 0 1 193.536-191.552 224 224 0 0 1 445.248 15.488A208.128 208.128 0 0 1 894.784 448H896L548.8 983.68a32 32 0 0 1-53.248.704L128 448zm64.256 0h286.208a144 144 0 0 0-286.208 0zm351.36 0h286.272a144 144 0 0 0-286.272 0zm-294.848 64 271.808 396.608L778.24 512H249.408zM511.68 352.64a207.872 207.872 0 0 1 189.184-96.192 160 160 0 0 0-314.752 5.632c52.608 12.992 97.28 46.08 125.568 90.56"})]))}}),ice_cream_default=ice_cream_vue_vue_type_script_setup_true_lang_default,ice_drink_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"IceDrink",__name:"ice-drink",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 448v128h239.68l16.064-128zm-64 0H256.256l16.064 128H448zm64-255.36V384h247.744A256.128 256.128 0 0 0 512 192.64m-64 8.064A256.448 256.448 0 0 0 264.256 384H448zm64-72.064A320.128 320.128 0 0 1 825.472 384H896a32 32 0 1 1 0 64h-64v1.92l-56.96 454.016A64 64 0 0 1 711.552 960H312.448a64 64 0 0 1-63.488-56.064L192 449.92V448h-64a32 32 0 0 1 0-64h70.528A320.384 320.384 0 0 1 448 135.04V96a96 96 0 0 1 96-96h128a32 32 0 1 1 0 64H544a32 32 0 0 0-32 32zM743.68 640H280.32l32.128 256h399.104z"})]))}}),ice_drink_default=ice_drink_vue_vue_type_script_setup_true_lang_default,ice_tea_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"IceTea",__name:"ice-tea",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M197.696 259.648a320.128 320.128 0 0 1 628.608 0A96 96 0 0 1 896 352v64a96 96 0 0 1-71.616 92.864l-49.408 395.072A64 64 0 0 1 711.488 960H312.512a64 64 0 0 1-63.488-56.064l-49.408-395.072A96 96 0 0 1 128 416v-64a96 96 0 0 1 69.696-92.352M264.064 256h495.872a256.128 256.128 0 0 0-495.872 0m495.424 256H264.512l48 384h398.976zM224 448h576a32 32 0 0 0 32-32v-64a32 32 0 0 0-32-32H224a32 32 0 0 0-32 32v64a32 32 0 0 0 32 32m160 192h64v64h-64zm192 64h64v64h-64zm-128 64h64v64h-64zm64-192h64v64h-64z"})]))}}),ice_tea_default=ice_tea_vue_vue_type_script_setup_true_lang_default,info_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"InfoFilled",__name:"info-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896.064A448 448 0 0 1 512 64m67.2 275.072c33.28 0 60.288-23.104 60.288-57.344s-27.072-57.344-60.288-57.344c-33.28 0-60.16 23.104-60.16 57.344s26.88 57.344 60.16 57.344M590.912 699.2c0-6.848 2.368-24.64 1.024-34.752l-52.608 60.544c-10.88 11.456-24.512 19.392-30.912 17.28a12.992 12.992 0 0 1-8.256-14.72l87.68-276.992c7.168-35.136-12.544-67.2-54.336-71.296-44.096 0-108.992 44.736-148.48 101.504 0 6.784-1.28 23.68.064 33.792l52.544-60.608c10.88-11.328 23.552-19.328 29.952-17.152a12.8 12.8 0 0 1 7.808 16.128L388.48 728.576c-10.048 32.256 8.96 63.872 55.04 71.04 67.84 0 107.904-43.648 147.456-100.416z"})]))}}),info_filled_default=info_filled_vue_vue_type_script_setup_true_lang_default,iphone_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Iphone",__name:"iphone",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 768v96.064a64 64 0 0 0 64 64h448a64 64 0 0 0 64-64V768zm0-64h576V160a64 64 0 0 0-64-64H288a64 64 0 0 0-64 64zm32 288a96 96 0 0 1-96-96V128a96 96 0 0 1 96-96h512a96 96 0 0 1 96 96v768a96 96 0 0 1-96 96zm304-144a48 48 0 1 1-96 0 48 48 0 0 1 96 0"})]))}}),iphone_default=iphone_vue_vue_type_script_setup_true_lang_default,key_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Key",__name:"key",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M448 456.064V96a32 32 0 0 1 32-32.064L672 64a32 32 0 0 1 0 64H512v128h160a32 32 0 0 1 0 64H512v128a256 256 0 1 1-64 8.064M512 896a192 192 0 1 0 0-384 192 192 0 0 0 0 384"})]))}}),key_default=key_vue_vue_type_script_setup_true_lang_default,knife_fork_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"KnifeFork",__name:"knife-fork",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 410.56V96a32 32 0 0 1 64 0v314.56A96 96 0 0 0 384 320V96a32 32 0 0 1 64 0v224a160 160 0 0 1-128 156.8V928a32 32 0 1 1-64 0V476.8A160 160 0 0 1 128 320V96a32 32 0 0 1 64 0v224a96 96 0 0 0 64 90.56m384-250.24V544h126.72c-3.328-78.72-12.928-147.968-28.608-207.744-14.336-54.528-46.848-113.344-98.112-175.872zM640 608v320a32 32 0 1 1-64 0V64h64c85.312 89.472 138.688 174.848 160 256 21.312 81.152 32 177.152 32 288z"})]))}}),knife_fork_default=knife_fork_vue_vue_type_script_setup_true_lang_default,lightning_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Lightning",__name:"lightning",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 671.36v64.128A239.808 239.808 0 0 1 63.744 496.192a240.32 240.32 0 0 1 199.488-236.8 256.128 256.128 0 0 1 487.872-30.976A256.064 256.064 0 0 1 736 734.016v-64.768a192 192 0 0 0 3.328-377.92l-35.2-6.592-12.8-33.408a192.064 192.064 0 0 0-365.952 23.232l-9.92 40.896-41.472 7.04a176.32 176.32 0 0 0-146.24 173.568c0 91.968 70.464 167.36 160.256 175.232z"}),createBaseVNode("path",{fill:"currentColor",d:"M416 736a32 32 0 0 1-27.776-47.872l128-224a32 32 0 1 1 55.552 31.744L471.168 672H608a32 32 0 0 1 27.776 47.872l-128 224a32 32 0 1 1-55.68-31.744L552.96 736z"})]))}}),lightning_default=lightning_vue_vue_type_script_setup_true_lang_default,link_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Link",__name:"link",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M715.648 625.152 670.4 579.904l90.496-90.56c75.008-74.944 85.12-186.368 22.656-248.896-62.528-62.464-173.952-52.352-248.96 22.656L444.16 353.6l-45.248-45.248 90.496-90.496c100.032-99.968 251.968-110.08 339.456-22.656 87.488 87.488 77.312 239.424-22.656 339.456l-90.496 90.496zm-90.496 90.496-90.496 90.496C434.624 906.112 282.688 916.224 195.2 828.8c-87.488-87.488-77.312-239.424 22.656-339.456l90.496-90.496 45.248 45.248-90.496 90.56c-75.008 74.944-85.12 186.368-22.656 248.896 62.528 62.464 173.952 52.352 248.96-22.656l90.496-90.496zm0-362.048 45.248 45.248L398.848 670.4 353.6 625.152z"})]))}}),link_default=link_vue_vue_type_script_setup_true_lang_default,list_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"List",__name:"list",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 192h160v736H160V192h160v64h384zM288 512h448v-64H288zm0 256h448v-64H288zm96-576V96h256v96z"})]))}}),list_default=list_vue_vue_type_script_setup_true_lang_default,loading_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Loading",__name:"loading",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a32 32 0 0 1 32 32v192a32 32 0 0 1-64 0V96a32 32 0 0 1 32-32m0 640a32 32 0 0 1 32 32v192a32 32 0 1 1-64 0V736a32 32 0 0 1 32-32m448-192a32 32 0 0 1-32 32H736a32 32 0 1 1 0-64h192a32 32 0 0 1 32 32m-640 0a32 32 0 0 1-32 32H96a32 32 0 0 1 0-64h192a32 32 0 0 1 32 32M195.2 195.2a32 32 0 0 1 45.248 0L376.32 331.008a32 32 0 0 1-45.248 45.248L195.2 240.448a32 32 0 0 1 0-45.248zm452.544 452.544a32 32 0 0 1 45.248 0L828.8 783.552a32 32 0 0 1-45.248 45.248L647.744 692.992a32 32 0 0 1 0-45.248zM828.8 195.264a32 32 0 0 1 0 45.184L692.992 376.32a32 32 0 0 1-45.248-45.248l135.808-135.808a32 32 0 0 1 45.248 0m-452.544 452.48a32 32 0 0 1 0 45.248L240.448 828.8a32 32 0 0 1-45.248-45.248l135.808-135.808a32 32 0 0 1 45.248 0z"})]))}}),loading_default=loading_vue_vue_type_script_setup_true_lang_default,location_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"LocationFilled",__name:"location-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 928c23.936 0 117.504-68.352 192.064-153.152C803.456 661.888 864 535.808 864 416c0-189.632-155.84-320-352-320S160 226.368 160 416c0 120.32 60.544 246.4 159.936 359.232C394.432 859.84 488 928 512 928m0-435.2a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 140.8a204.8 204.8 0 1 1 0-409.6 204.8 204.8 0 0 1 0 409.6"})]))}}),location_filled_default=location_filled_vue_vue_type_script_setup_true_lang_default,location_information_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"LocationInformation",__name:"location-information",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 896h448q32 0 32 32t-32 32H288q-32 0-32-32t32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M800 416a288 288 0 1 0-576 0c0 118.144 94.528 272.128 288 456.576C705.472 688.128 800 534.144 800 416M512 960C277.312 746.688 160 565.312 160 416a352 352 0 0 1 704 0c0 149.312-117.312 330.688-352 544"}),createBaseVNode("path",{fill:"currentColor",d:"M512 512a96 96 0 1 0 0-192 96 96 0 0 0 0 192m0 64a160 160 0 1 1 0-320 160 160 0 0 1 0 320"})]))}}),location_information_default=location_information_vue_vue_type_script_setup_true_lang_default,location_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Location",__name:"location",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M800 416a288 288 0 1 0-576 0c0 118.144 94.528 272.128 288 456.576C705.472 688.128 800 534.144 800 416M512 960C277.312 746.688 160 565.312 160 416a352 352 0 0 1 704 0c0 149.312-117.312 330.688-352 544"}),createBaseVNode("path",{fill:"currentColor",d:"M512 512a96 96 0 1 0 0-192 96 96 0 0 0 0 192m0 64a160 160 0 1 1 0-320 160 160 0 0 1 0 320"})]))}}),location_default=location_vue_vue_type_script_setup_true_lang_default,lock_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Lock",__name:"lock",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 448a32 32 0 0 0-32 32v384a32 32 0 0 0 32 32h576a32 32 0 0 0 32-32V480a32 32 0 0 0-32-32zm0-64h576a96 96 0 0 1 96 96v384a96 96 0 0 1-96 96H224a96 96 0 0 1-96-96V480a96 96 0 0 1 96-96"}),createBaseVNode("path",{fill:"currentColor",d:"M512 544a32 32 0 0 1 32 32v192a32 32 0 1 1-64 0V576a32 32 0 0 1 32-32m192-160v-64a192 192 0 1 0-384 0v64zM512 64a256 256 0 0 1 256 256v128H256V320A256 256 0 0 1 512 64"})]))}}),lock_default=lock_vue_vue_type_script_setup_true_lang_default,lollipop_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Lollipop",__name:"lollipop",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M513.28 448a64 64 0 1 1 76.544 49.728A96 96 0 0 0 768 448h64a160 160 0 0 1-320 0zm-126.976-29.696a256 256 0 1 0 43.52-180.48A256 256 0 0 1 832 448h-64a192 192 0 0 0-381.696-29.696m105.664 249.472L285.696 874.048a96 96 0 0 1-135.68-135.744l206.208-206.272a320 320 0 1 1 135.744 135.744zm-54.464-36.032a321.92 321.92 0 0 1-45.248-45.248L195.2 783.552a32 32 0 1 0 45.248 45.248l197.056-197.12z"})]))}}),lollipop_default=lollipop_vue_vue_type_script_setup_true_lang_default,magic_stick_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MagicStick",__name:"magic-stick",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64h64v192h-64zm0 576h64v192h-64zM160 480v-64h192v64zm576 0v-64h192v64zM249.856 199.04l45.248-45.184L430.848 289.6 385.6 334.848 249.856 199.104zM657.152 606.4l45.248-45.248 135.744 135.744-45.248 45.248zM114.048 923.2 68.8 877.952l316.8-316.8 45.248 45.248zM702.4 334.848 657.152 289.6l135.744-135.744 45.248 45.248z"})]))}}),magic_stick_default=magic_stick_vue_vue_type_script_setup_true_lang_default,magnet_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Magnet",__name:"magnet",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M832 320V192H704v320a192 192 0 1 1-384 0V192H192v128h128v64H192v128a320 320 0 0 0 640 0V384H704v-64zM640 512V128h256v384a384 384 0 1 1-768 0V128h256v384a128 128 0 1 0 256 0"})]))}}),magnet_default=magnet_vue_vue_type_script_setup_true_lang_default,male_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Male",__name:"male",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M399.5 849.5a225 225 0 1 0 0-450 225 225 0 0 0 0 450m0 56.25a281.25 281.25 0 1 1 0-562.5 281.25 281.25 0 0 1 0 562.5m253.125-787.5h225q28.125 0 28.125 28.125T877.625 174.5h-225q-28.125 0-28.125-28.125t28.125-28.125"}),createBaseVNode("path",{fill:"currentColor",d:"M877.625 118.25q28.125 0 28.125 28.125v225q0 28.125-28.125 28.125T849.5 371.375v-225q0-28.125 28.125-28.125"}),createBaseVNode("path",{fill:"currentColor",d:"M604.813 458.9 565.1 419.131l292.613-292.668 39.825 39.824z"})]))}}),male_default=male_vue_vue_type_script_setup_true_lang_default,management_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Management",__name:"management",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M576 128v288l96-96 96 96V128h128v768H320V128zm-448 0h128v768H128z"})]))}}),management_default=management_vue_vue_type_script_setup_true_lang_default,map_location_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MapLocation",__name:"map-location",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M800 416a288 288 0 1 0-576 0c0 118.144 94.528 272.128 288 456.576C705.472 688.128 800 534.144 800 416M512 960C277.312 746.688 160 565.312 160 416a352 352 0 0 1 704 0c0 149.312-117.312 330.688-352 544"}),createBaseVNode("path",{fill:"currentColor",d:"M512 448a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256m345.6 192L960 960H672v-64H352v64H64l102.4-256zm-68.928 0H235.328l-76.8 192h706.944z"})]))}}),map_location_default=map_location_vue_vue_type_script_setup_true_lang_default,medal_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Medal",__name:"medal",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a256 256 0 1 0 0-512 256 256 0 0 0 0 512m0 64a320 320 0 1 1 0-640 320 320 0 0 1 0 640"}),createBaseVNode("path",{fill:"currentColor",d:"M576 128H448v200a286.72 286.72 0 0 1 64-8c19.52 0 40.832 2.688 64 8zm64 0v219.648c24.448 9.088 50.56 20.416 78.4 33.92L757.44 128zm-256 0H266.624l39.04 253.568c27.84-13.504 53.888-24.832 78.336-33.92V128zM229.312 64h565.376a32 32 0 0 1 31.616 36.864L768 480c-113.792-64-199.104-96-256-96-56.896 0-142.208 32-256 96l-58.304-379.136A32 32 0 0 1 229.312 64"})]))}}),medal_default=medal_vue_vue_type_script_setup_true_lang_default,memo_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Memo",__name:"memo",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 320h192c21.33 0 32-10.67 32-32s-10.67-32-32-32H480c-21.33 0-32 10.67-32 32s10.67 32 32 32"}),createBaseVNode("path",{fill:"currentColor",d:"M887.01 72.99C881.01 67 873.34 64 864 64H160c-9.35 0-17.02 3-23.01 8.99C131 78.99 128 86.66 128 96v832c0 9.35 2.99 17.02 8.99 23.01S150.66 960 160 960h704c9.35 0 17.02-2.99 23.01-8.99S896 937.34 896 928V96c0-9.35-3-17.02-8.99-23.01M192 896V128h96v768zm640 0H352V128h480z"}),createBaseVNode("path",{fill:"currentColor",d:"M480 512h192c21.33 0 32-10.67 32-32s-10.67-32-32-32H480c-21.33 0-32 10.67-32 32s10.67 32 32 32m0 192h192c21.33 0 32-10.67 32-32s-10.67-32-32-32H480c-21.33 0-32 10.67-32 32s10.67 32 32 32"})]))}}),memo_default=memo_vue_vue_type_script_setup_true_lang_default,menu_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Menu",__name:"menu",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 448a32 32 0 0 1-32-32V160.064a32 32 0 0 1 32-32h256a32 32 0 0 1 32 32V416a32 32 0 0 1-32 32zm448 0a32 32 0 0 1-32-32V160.064a32 32 0 0 1 32-32h255.936a32 32 0 0 1 32 32V416a32 32 0 0 1-32 32zM160 896a32 32 0 0 1-32-32V608a32 32 0 0 1 32-32h256a32 32 0 0 1 32 32v256a32 32 0 0 1-32 32zm448 0a32 32 0 0 1-32-32V608a32 32 0 0 1 32-32h255.936a32 32 0 0 1 32 32v256a32 32 0 0 1-32 32z"})]))}}),menu_default=menu_vue_vue_type_script_setup_true_lang_default,message_box_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MessageBox",__name:"message-box",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 384h448v64H288zm96-128h256v64H384zM131.456 512H384v128h256V512h252.544L721.856 192H302.144zM896 576H704v128H320V576H128v256h768zM275.776 128h472.448a32 32 0 0 1 28.608 17.664l179.84 359.552A32 32 0 0 1 960 519.552V864a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V519.552a32 32 0 0 1 3.392-14.336l179.776-359.552A32 32 0 0 1 275.776 128z"})]))}}),message_box_default=message_box_vue_vue_type_script_setup_true_lang_default,message_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Message",__name:"message",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 224v512a64 64 0 0 0 64 64h640a64 64 0 0 0 64-64V224zm0-64h768a64 64 0 0 1 64 64v512a128 128 0 0 1-128 128H192A128 128 0 0 1 64 736V224a64 64 0 0 1 64-64"}),createBaseVNode("path",{fill:"currentColor",d:"M904 224 656.512 506.88a192 192 0 0 1-289.024 0L120 224zm-698.944 0 210.56 240.704a128 128 0 0 0 192.704 0L818.944 224H205.056"})]))}}),message_default=message_vue_vue_type_script_setup_true_lang_default,mic_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Mic",__name:"mic",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 704h160a64 64 0 0 0 64-64v-32h-96a32 32 0 0 1 0-64h96v-96h-96a32 32 0 0 1 0-64h96v-96h-96a32 32 0 0 1 0-64h96v-32a64 64 0 0 0-64-64H384a64 64 0 0 0-64 64v32h96a32 32 0 0 1 0 64h-96v96h96a32 32 0 0 1 0 64h-96v96h96a32 32 0 0 1 0 64h-96v32a64 64 0 0 0 64 64zm64 64v128h192a32 32 0 1 1 0 64H288a32 32 0 1 1 0-64h192V768h-96a128 128 0 0 1-128-128V192A128 128 0 0 1 384 64h256a128 128 0 0 1 128 128v448a128 128 0 0 1-128 128z"})]))}}),mic_default=mic_vue_vue_type_script_setup_true_lang_default,microphone_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Microphone",__name:"microphone",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 128a128 128 0 0 0-128 128v256a128 128 0 1 0 256 0V256a128 128 0 0 0-128-128m0-64a192 192 0 0 1 192 192v256a192 192 0 1 1-384 0V256A192 192 0 0 1 512 64m-32 832v-64a288 288 0 0 1-288-288v-32a32 32 0 0 1 64 0v32a224 224 0 0 0 224 224h64a224 224 0 0 0 224-224v-32a32 32 0 1 1 64 0v32a288 288 0 0 1-288 288v64h64a32 32 0 1 1 0 64H416a32 32 0 1 1 0-64z"})]))}}),microphone_default=microphone_vue_vue_type_script_setup_true_lang_default,milk_tea_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MilkTea",__name:"milk-tea",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M416 128V96a96 96 0 0 1 96-96h128a32 32 0 1 1 0 64H512a32 32 0 0 0-32 32v32h320a96 96 0 0 1 11.712 191.296l-39.68 581.056A64 64 0 0 1 708.224 960H315.776a64 64 0 0 1-63.872-59.648l-39.616-581.056A96 96 0 0 1 224 128zM276.48 320l39.296 576h392.448l4.8-70.784a224.064 224.064 0 0 1 30.016-439.808L747.52 320zM224 256h576a32 32 0 1 0 0-64H224a32 32 0 0 0 0 64m493.44 503.872 21.12-309.12a160 160 0 0 0-21.12 309.12"})]))}}),milk_tea_default=milk_tea_vue_vue_type_script_setup_true_lang_default,minus_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Minus",__name:"minus",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 544h768a32 32 0 1 0 0-64H128a32 32 0 0 0 0 64"})]))}}),minus_default=minus_vue_vue_type_script_setup_true_lang_default,money_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Money",__name:"money",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 640v192h640V384H768v-64h150.976c14.272 0 19.456 1.472 24.64 4.288a29.056 29.056 0 0 1 12.16 12.096c2.752 5.184 4.224 10.368 4.224 24.64v493.952c0 14.272-1.472 19.456-4.288 24.64a29.056 29.056 0 0 1-12.096 12.16c-5.184 2.752-10.368 4.224-24.64 4.224H233.024c-14.272 0-19.456-1.472-24.64-4.288a29.056 29.056 0 0 1-12.16-12.096c-2.688-5.184-4.224-10.368-4.224-24.576V640z"}),createBaseVNode("path",{fill:"currentColor",d:"M768 192H128v448h640zm64-22.976v493.952c0 14.272-1.472 19.456-4.288 24.64a29.056 29.056 0 0 1-12.096 12.16c-5.184 2.752-10.368 4.224-24.64 4.224H105.024c-14.272 0-19.456-1.472-24.64-4.288a29.056 29.056 0 0 1-12.16-12.096C65.536 682.432 64 677.248 64 663.04V169.024c0-14.272 1.472-19.456 4.288-24.64a29.056 29.056 0 0 1 12.096-12.16C85.568 129.536 90.752 128 104.96 128h685.952c14.272 0 19.456 1.472 24.64 4.288a29.056 29.056 0 0 1 12.16 12.096c2.752 5.184 4.224 10.368 4.224 24.64z"}),createBaseVNode("path",{fill:"currentColor",d:"M448 576a160 160 0 1 1 0-320 160 160 0 0 1 0 320m0-64a96 96 0 1 0 0-192 96 96 0 0 0 0 192"})]))}}),money_default=money_vue_vue_type_script_setup_true_lang_default,monitor_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Monitor",__name:"monitor",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 768v128h192a32 32 0 1 1 0 64H288a32 32 0 1 1 0-64h192V768H192A128 128 0 0 1 64 640V256a128 128 0 0 1 128-128h640a128 128 0 0 1 128 128v384a128 128 0 0 1-128 128zM192 192a64 64 0 0 0-64 64v384a64 64 0 0 0 64 64h640a64 64 0 0 0 64-64V256a64 64 0 0 0-64-64z"})]))}}),monitor_default=monitor_vue_vue_type_script_setup_true_lang_default,moon_night_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MoonNight",__name:"moon-night",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 512a448 448 0 0 1 215.872-383.296A384 384 0 0 0 213.76 640h188.8A448.256 448.256 0 0 1 384 512M171.136 704a448 448 0 0 1 636.992-575.296A384 384 0 0 0 499.328 704h-328.32z"}),createBaseVNode("path",{fill:"currentColor",d:"M32 640h960q32 0 32 32t-32 32H32q-32 0-32-32t32-32m128 128h384a32 32 0 1 1 0 64H160a32 32 0 1 1 0-64m160 127.68 224 .256a32 32 0 0 1 32 32V928a32 32 0 0 1-32 32l-224-.384a32 32 0 0 1-32-32v-.064a32 32 0 0 1 32-32z"})]))}}),moon_night_default=moon_night_vue_vue_type_script_setup_true_lang_default,moon_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Moon",__name:"moon",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M240.448 240.448a384 384 0 1 0 559.424 525.696 448 448 0 0 1-542.016-542.08 390.592 390.592 0 0 0-17.408 16.384zm181.056 362.048a384 384 0 0 0 525.632 16.384A448 448 0 1 1 405.056 76.8a384 384 0 0 0 16.448 525.696"})]))}}),moon_default=moon_vue_vue_type_script_setup_true_lang_default,more_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MoreFilled",__name:"more-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M176 416a112 112 0 1 1 0 224 112 112 0 0 1 0-224m336 0a112 112 0 1 1 0 224 112 112 0 0 1 0-224m336 0a112 112 0 1 1 0 224 112 112 0 0 1 0-224"})]))}}),more_filled_default=more_filled_vue_vue_type_script_setup_true_lang_default,more_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"More",__name:"more",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M176 416a112 112 0 1 0 0 224 112 112 0 0 0 0-224m0 64a48 48 0 1 1 0 96 48 48 0 0 1 0-96m336-64a112 112 0 1 1 0 224 112 112 0 0 1 0-224m0 64a48 48 0 1 0 0 96 48 48 0 0 0 0-96m336-64a112 112 0 1 1 0 224 112 112 0 0 1 0-224m0 64a48 48 0 1 0 0 96 48 48 0 0 0 0-96"})]))}}),more_default=more_vue_vue_type_script_setup_true_lang_default,mostly_cloudy_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MostlyCloudy",__name:"mostly-cloudy",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M737.216 357.952 704 349.824l-11.776-32a192.064 192.064 0 0 0-367.424 23.04l-8.96 39.04-39.04 8.96A192.064 192.064 0 0 0 320 768h368a207.808 207.808 0 0 0 207.808-208 208.32 208.32 0 0 0-158.592-202.048m15.168-62.208A272.32 272.32 0 0 1 959.744 560a271.808 271.808 0 0 1-271.552 272H320a256 256 0 0 1-57.536-505.536 256.128 256.128 0 0 1 489.92-30.72"})]))}}),mostly_cloudy_default=mostly_cloudy_vue_vue_type_script_setup_true_lang_default,mouse_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Mouse",__name:"mouse",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M438.144 256c-68.352 0-92.736 4.672-117.76 18.112-20.096 10.752-35.52 26.176-46.272 46.272C260.672 345.408 256 369.792 256 438.144v275.712c0 68.352 4.672 92.736 18.112 117.76 10.752 20.096 26.176 35.52 46.272 46.272C345.408 891.328 369.792 896 438.144 896h147.712c68.352 0 92.736-4.672 117.76-18.112 20.096-10.752 35.52-26.176 46.272-46.272C763.328 806.592 768 782.208 768 713.856V438.144c0-68.352-4.672-92.736-18.112-117.76a110.464 110.464 0 0 0-46.272-46.272C678.592 260.672 654.208 256 585.856 256zm0-64h147.712c85.568 0 116.608 8.96 147.904 25.6 31.36 16.768 55.872 41.344 72.576 72.64C823.104 321.536 832 352.576 832 438.08v275.84c0 85.504-8.96 116.544-25.6 147.84a174.464 174.464 0 0 1-72.64 72.576C702.464 951.104 671.424 960 585.92 960H438.08c-85.504 0-116.544-8.96-147.84-25.6a174.464 174.464 0 0 1-72.64-72.704c-16.768-31.296-25.664-62.336-25.664-147.84v-275.84c0-85.504 8.96-116.544 25.6-147.84a174.464 174.464 0 0 1 72.768-72.576c31.232-16.704 62.272-25.6 147.776-25.6z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 320q32 0 32 32v128q0 32-32 32t-32-32V352q0-32 32-32m32-96a32 32 0 0 1-64 0v-64a32 32 0 0 0-32-32h-96a32 32 0 0 1 0-64h96a96 96 0 0 1 96 96z"})]))}}),mouse_default=mouse_vue_vue_type_script_setup_true_lang_default,mug_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Mug",__name:"mug",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M736 800V160H160v640a64 64 0 0 0 64 64h448a64 64 0 0 0 64-64m64-544h63.552a96 96 0 0 1 96 96v224a96 96 0 0 1-96 96H800v128a128 128 0 0 1-128 128H224A128 128 0 0 1 96 800V128a32 32 0 0 1 32-32h640a32 32 0 0 1 32 32zm0 64v288h63.552a32 32 0 0 0 32-32V352a32 32 0 0 0-32-32z"})]))}}),mug_default=mug_vue_vue_type_script_setup_true_lang_default,mute_notification_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"MuteNotification",__name:"mute-notification",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m241.216 832 63.616-64H768V448c0-42.368-10.24-82.304-28.48-117.504l46.912-47.232C815.36 331.392 832 387.84 832 448v320h96a32 32 0 1 1 0 64zm-90.24 0H96a32 32 0 1 1 0-64h96V448a320.128 320.128 0 0 1 256-313.6V128a64 64 0 1 1 128 0v6.4a319.552 319.552 0 0 1 171.648 97.088l-45.184 45.44A256 256 0 0 0 256 448v278.336L151.04 832zM448 896h128a64 64 0 0 1-128 0"}),createBaseVNode("path",{fill:"currentColor",d:"M150.72 859.072a32 32 0 0 1-45.44-45.056l704-708.544a32 32 0 0 1 45.44 45.056l-704 708.544z"})]))}}),mute_notification_default=mute_notification_vue_vue_type_script_setup_true_lang_default,mute_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Mute",__name:"mute",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m412.16 592.128-45.44 45.44A191.232 191.232 0 0 1 320 512V256a192 192 0 1 1 384 0v44.352l-64 64V256a128 128 0 1 0-256 0v256c0 30.336 10.56 58.24 28.16 80.128m51.968 38.592A128 128 0 0 0 640 512v-57.152l64-64V512a192 192 0 0 1-287.68 166.528zM314.88 779.968l46.144-46.08A222.976 222.976 0 0 0 480 768h64a224 224 0 0 0 224-224v-32a32 32 0 1 1 64 0v32a288 288 0 0 1-288 288v64h64a32 32 0 1 1 0 64H416a32 32 0 1 1 0-64h64v-64c-61.44 0-118.4-19.2-165.12-52.032M266.752 737.6A286.976 286.976 0 0 1 192 544v-32a32 32 0 0 1 64 0v32c0 56.832 21.184 108.8 56.064 148.288z"}),createBaseVNode("path",{fill:"currentColor",d:"M150.72 859.072a32 32 0 0 1-45.44-45.056l704-708.544a32 32 0 0 1 45.44 45.056l-704 708.544z"})]))}}),mute_default=mute_vue_vue_type_script_setup_true_lang_default,no_smoking_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"NoSmoking",__name:"no-smoking",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M440.256 576H256v128h56.256l-64 64H224a32 32 0 0 1-32-32V544a32 32 0 0 1 32-32h280.256zm143.488 128H704V583.744L775.744 512H928a32 32 0 0 1 32 32v192a32 32 0 0 1-32 32H519.744zM768 576v128h128V576zm-29.696-207.552 45.248 45.248-497.856 497.856-45.248-45.248zM256 64h64v320h-64zM128 192h64v192h-64zM64 512h64v256H64z"})]))}}),no_smoking_default=no_smoking_vue_vue_type_script_setup_true_lang_default,notebook_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Notebook",__name:"notebook",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 128v768h640V128zm-32-64h704a32 32 0 0 1 32 32v832a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M672 128h64v768h-64zM96 192h128q32 0 32 32t-32 32H96q-32 0-32-32t32-32m0 192h128q32 0 32 32t-32 32H96q-32 0-32-32t32-32m0 192h128q32 0 32 32t-32 32H96q-32 0-32-32t32-32m0 192h128q32 0 32 32t-32 32H96q-32 0-32-32t32-32"})]))}}),notebook_default=notebook_vue_vue_type_script_setup_true_lang_default,notification_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Notification",__name:"notification",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 128v64H256a64 64 0 0 0-64 64v512a64 64 0 0 0 64 64h512a64 64 0 0 0 64-64V512h64v256a128 128 0 0 1-128 128H256a128 128 0 0 1-128-128V256a128 128 0 0 1 128-128z"}),createBaseVNode("path",{fill:"currentColor",d:"M768 384a128 128 0 1 0 0-256 128 128 0 0 0 0 256m0 64a192 192 0 1 1 0-384 192 192 0 0 1 0 384"})]))}}),notification_default=notification_vue_vue_type_script_setup_true_lang_default,odometer_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Odometer",__name:"odometer",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M192 512a320 320 0 1 1 640 0 32 32 0 1 1-64 0 256 256 0 1 0-512 0 32 32 0 0 1-64 0"}),createBaseVNode("path",{fill:"currentColor",d:"M570.432 627.84A96 96 0 1 1 509.568 608l60.992-187.776A32 32 0 1 1 631.424 440l-60.992 187.776zM502.08 734.464a32 32 0 1 0 19.84-60.928 32 32 0 0 0-19.84 60.928"})]))}}),odometer_default=odometer_vue_vue_type_script_setup_true_lang_default,office_building_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"OfficeBuilding",__name:"office-building",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 128v704h384V128zm-32-64h448a32 32 0 0 1 32 32v768a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M256 256h256v64H256zm0 192h256v64H256zm0 192h256v64H256zm384-128h128v64H640zm0 128h128v64H640zM64 832h896v64H64z"}),createBaseVNode("path",{fill:"currentColor",d:"M640 384v448h192V384zm-32-64h256a32 32 0 0 1 32 32v512a32 32 0 0 1-32 32H608a32 32 0 0 1-32-32V352a32 32 0 0 1 32-32"})]))}}),office_building_default=office_building_vue_vue_type_script_setup_true_lang_default,open_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Open",__name:"open",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M329.956 257.138a254.862 254.862 0 0 0 0 509.724h364.088a254.862 254.862 0 0 0 0-509.724zm0-72.818h364.088a327.68 327.68 0 1 1 0 655.36H329.956a327.68 327.68 0 1 1 0-655.36z"}),createBaseVNode("path",{fill:"currentColor",d:"M694.044 621.227a109.227 109.227 0 1 0 0-218.454 109.227 109.227 0 0 0 0 218.454m0 72.817a182.044 182.044 0 1 1 0-364.088 182.044 182.044 0 0 1 0 364.088"})]))}}),open_default=open_vue_vue_type_script_setup_true_lang_default,operation_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Operation",__name:"operation",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M389.44 768a96.064 96.064 0 0 1 181.12 0H896v64H570.56a96.064 96.064 0 0 1-181.12 0H128v-64zm192-288a96.064 96.064 0 0 1 181.12 0H896v64H762.56a96.064 96.064 0 0 1-181.12 0H128v-64zm-320-288a96.064 96.064 0 0 1 181.12 0H896v64H442.56a96.064 96.064 0 0 1-181.12 0H128v-64z"})]))}}),operation_default=operation_vue_vue_type_script_setup_true_lang_default,opportunity_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Opportunity",__name:"opportunity",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 960v-64h192.064v64zm448-544a350.656 350.656 0 0 1-128.32 271.424C665.344 719.04 640 763.776 640 813.504V832H320v-14.336c0-48-19.392-95.36-57.216-124.992a351.552 351.552 0 0 1-128.448-344.256c25.344-136.448 133.888-248.128 269.76-276.48A352.384 352.384 0 0 1 832 416m-544 32c0-132.288 75.904-224 192-224v-64c-154.432 0-256 122.752-256 288z"})]))}}),opportunity_default=opportunity_vue_vue_type_script_setup_true_lang_default,orange_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Orange",__name:"orange",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 894.72a382.336 382.336 0 0 0 215.936-89.472L577.024 622.272c-10.24 6.016-21.248 10.688-33.024 13.696v258.688zm261.248-134.784A382.336 382.336 0 0 0 894.656 544H635.968c-3.008 11.776-7.68 22.848-13.696 33.024l182.976 182.912zM894.656 480a382.336 382.336 0 0 0-89.408-215.936L622.272 446.976c6.016 10.24 10.688 21.248 13.696 33.024h258.688zm-134.72-261.248A382.336 382.336 0 0 0 544 129.344v258.688c11.776 3.008 22.848 7.68 33.024 13.696zM480 129.344a382.336 382.336 0 0 0-215.936 89.408l182.912 182.976c10.24-6.016 21.248-10.688 33.024-13.696zm-261.248 134.72A382.336 382.336 0 0 0 129.344 480h258.688c3.008-11.776 7.68-22.848 13.696-33.024zM129.344 544a382.336 382.336 0 0 0 89.408 215.936l182.976-182.912A127.232 127.232 0 0 1 388.032 544zm134.72 261.248A382.336 382.336 0 0 0 480 894.656V635.968a127.232 127.232 0 0 1-33.024-13.696zM512 960a448 448 0 1 1 0-896 448 448 0 0 1 0 896m0-384a64 64 0 1 0 0-128 64 64 0 0 0 0 128"})]))}}),orange_default=orange_vue_vue_type_script_setup_true_lang_default,paperclip_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Paperclip",__name:"paperclip",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M602.496 240.448A192 192 0 1 1 874.048 512l-316.8 316.8A256 256 0 0 1 195.2 466.752L602.496 59.456l45.248 45.248L240.448 512A192 192 0 0 0 512 783.552l316.8-316.8a128 128 0 1 0-181.056-181.056L353.6 579.904a32 32 0 1 0 45.248 45.248l294.144-294.144 45.312 45.248L444.096 670.4a96 96 0 1 1-135.744-135.744l294.144-294.208z"})]))}}),paperclip_default=paperclip_vue_vue_type_script_setup_true_lang_default,partly_cloudy_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PartlyCloudy",__name:"partly-cloudy",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M598.4 895.872H328.192a256 256 0 0 1-34.496-510.528A352 352 0 1 1 598.4 895.872m-271.36-64h272.256a288 288 0 1 0-248.512-417.664L335.04 445.44l-34.816 3.584a192 192 0 0 0 26.88 382.848z"}),createBaseVNode("path",{fill:"currentColor",d:"M139.84 501.888a256 256 0 1 1 417.856-277.12c-17.728 2.176-38.208 8.448-61.504 18.816A192 192 0 1 0 189.12 460.48a6003.84 6003.84 0 0 0-49.28 41.408z"})]))}}),partly_cloudy_default=partly_cloudy_vue_vue_type_script_setup_true_lang_default,pear_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Pear",__name:"pear",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M542.336 258.816a443.255 443.255 0 0 0-9.024 25.088 32 32 0 1 1-60.8-20.032l1.088-3.328a162.688 162.688 0 0 0-122.048 131.392l-17.088 102.72-20.736 15.36C256.192 552.704 224 610.88 224 672c0 120.576 126.4 224 288 224s288-103.424 288-224c0-61.12-32.192-119.296-89.728-161.92l-20.736-15.424-17.088-102.72a162.688 162.688 0 0 0-130.112-133.12zm-40.128-66.56c7.936-15.552 16.576-30.08 25.92-43.776 23.296-33.92 49.408-59.776 78.528-77.12a32 32 0 1 1 32.704 55.04c-20.544 12.224-40.064 31.552-58.432 58.304a316.608 316.608 0 0 0-9.792 15.104 226.688 226.688 0 0 1 164.48 181.568l12.8 77.248C819.456 511.36 864 587.392 864 672c0 159.04-157.568 288-352 288S160 831.04 160 672c0-84.608 44.608-160.64 115.584-213.376l12.8-77.248a226.624 226.624 0 0 1 213.76-189.184z"})]))}}),pear_default=pear_vue_vue_type_script_setup_true_lang_default,phone_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PhoneFilled",__name:"phone-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M199.232 125.568 90.624 379.008a32 32 0 0 0 6.784 35.2l512.384 512.384a32 32 0 0 0 35.2 6.784l253.44-108.608a32 32 0 0 0 10.048-52.032L769.6 633.92a32 32 0 0 0-36.928-5.952l-130.176 65.088-271.488-271.552 65.024-130.176a32 32 0 0 0-5.952-36.928L251.2 115.52a32 32 0 0 0-51.968 10.048z"})]))}}),phone_filled_default=phone_filled_vue_vue_type_script_setup_true_lang_default,phone_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Phone",__name:"phone",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M79.36 432.256 591.744 944.64a32 32 0 0 0 35.2 6.784l253.44-108.544a32 32 0 0 0 9.984-52.032l-153.856-153.92a32 32 0 0 0-36.928-6.016l-69.888 34.944L358.08 394.24l35.008-69.888a32 32 0 0 0-5.952-36.928L233.152 133.568a32 32 0 0 0-52.032 10.048L72.512 397.056a32 32 0 0 0 6.784 35.2zm60.48-29.952 81.536-190.08L325.568 316.48l-24.64 49.216-20.608 41.216 32.576 32.64 271.552 271.552 32.64 32.64 41.216-20.672 49.28-24.576 104.192 104.128-190.08 81.472L139.84 402.304zM512 320v-64a256 256 0 0 1 256 256h-64a192 192 0 0 0-192-192m0-192V64a448 448 0 0 1 448 448h-64a384 384 0 0 0-384-384"})]))}}),phone_default=phone_vue_vue_type_script_setup_true_lang_default,picture_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PictureFilled",__name:"picture-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M96 896a32 32 0 0 1-32-32V160a32 32 0 0 1 32-32h832a32 32 0 0 1 32 32v704a32 32 0 0 1-32 32zm315.52-228.48-68.928-68.928a32 32 0 0 0-45.248 0L128 768.064h778.688l-242.112-290.56a32 32 0 0 0-49.216 0L458.752 665.408a32 32 0 0 1-47.232 2.112M256 384a96 96 0 1 0 192.064-.064A96 96 0 0 0 256 384"})]))}}),picture_filled_default=picture_filled_vue_vue_type_script_setup_true_lang_default,picture_rounded_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PictureRounded",__name:"picture-rounded",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 128a384 384 0 1 0 0 768 384 384 0 0 0 0-768m0-64a448 448 0 1 1 0 896 448 448 0 0 1 0-896"}),createBaseVNode("path",{fill:"currentColor",d:"M640 288q64 0 64 64t-64 64q-64 0-64-64t64-64M214.656 790.656l-45.312-45.312 185.664-185.6a96 96 0 0 1 123.712-10.24l138.24 98.688a32 32 0 0 0 39.872-2.176L906.688 422.4l42.624 47.744L699.52 693.696a96 96 0 0 1-119.808 6.592l-138.24-98.752a32 32 0 0 0-41.152 3.456l-185.664 185.6z"})]))}}),picture_rounded_default=picture_rounded_vue_vue_type_script_setup_true_lang_default,picture_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Picture",__name:"picture",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 160v704h704V160zm-32-64h768a32 32 0 0 1 32 32v768a32 32 0 0 1-32 32H128a32 32 0 0 1-32-32V128a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M384 288q64 0 64 64t-64 64q-64 0-64-64t64-64M185.408 876.992l-50.816-38.912L350.72 556.032a96 96 0 0 1 134.592-17.856l1.856 1.472 122.88 99.136a32 32 0 0 0 44.992-4.864l216-269.888 49.92 39.936-215.808 269.824-.256.32a96 96 0 0 1-135.04 14.464l-122.88-99.072-.64-.512a32 32 0 0 0-44.8 5.952z"})]))}}),picture_default=picture_vue_vue_type_script_setup_true_lang_default,pie_chart_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PieChart",__name:"pie-chart",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M448 68.48v64.832A384.128 384.128 0 0 0 512 896a384.128 384.128 0 0 0 378.688-320h64.768A448.128 448.128 0 0 1 64 512 448.128 448.128 0 0 1 448 68.48z"}),createBaseVNode("path",{fill:"currentColor",d:"M576 97.28V448h350.72A384.064 384.064 0 0 0 576 97.28zM512 64V33.152A448 448 0 0 1 990.848 512H512z"})]))}}),pie_chart_default=pie_chart_vue_vue_type_script_setup_true_lang_default,place_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Place",__name:"place",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 512a192 192 0 1 0 0-384 192 192 0 0 0 0 384m0 64a256 256 0 1 1 0-512 256 256 0 0 1 0 512"}),createBaseVNode("path",{fill:"currentColor",d:"M512 512a32 32 0 0 1 32 32v256a32 32 0 1 1-64 0V544a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M384 649.088v64.96C269.76 732.352 192 771.904 192 800c0 37.696 139.904 96 320 96s320-58.304 320-96c0-28.16-77.76-67.648-192-85.952v-64.96C789.12 671.04 896 730.368 896 800c0 88.32-171.904 160-384 160s-384-71.68-384-160c0-69.696 106.88-128.96 256-150.912"})]))}}),place_default=place_vue_vue_type_script_setup_true_lang_default,platform_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Platform",__name:"platform",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M448 832v-64h128v64h192v64H256v-64zM128 704V128h768v576z"})]))}}),platform_default=platform_vue_vue_type_script_setup_true_lang_default,plus_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Plus",__name:"plus",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 480V128a32 32 0 0 1 64 0v352h352a32 32 0 1 1 0 64H544v352a32 32 0 1 1-64 0V544H128a32 32 0 0 1 0-64z"})]))}}),plus_default=plus_vue_vue_type_script_setup_true_lang_default,pointer_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Pointer",__name:"pointer",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M511.552 128c-35.584 0-64.384 28.8-64.384 64.448v516.48L274.048 570.88a94.272 94.272 0 0 0-112.896-3.456 44.416 44.416 0 0 0-8.96 62.208L332.8 870.4A64 64 0 0 0 384 896h512V575.232a64 64 0 0 0-45.632-61.312l-205.952-61.76A96 96 0 0 1 576 360.192V192.448C576 156.8 547.2 128 511.552 128M359.04 556.8l24.128 19.2V192.448a128.448 128.448 0 1 1 256.832 0v167.744a32 32 0 0 0 22.784 30.656l206.016 61.76A128 128 0 0 1 960 575.232V896a64 64 0 0 1-64 64H384a128 128 0 0 1-102.4-51.2L101.056 668.032A108.416 108.416 0 0 1 128 512.512a158.272 158.272 0 0 1 185.984 8.32z"})]))}}),pointer_default=pointer_vue_vue_type_script_setup_true_lang_default,position_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Position",__name:"position",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m249.6 417.088 319.744 43.072 39.168 310.272L845.12 178.88 249.6 417.088zm-129.024 47.168a32 32 0 0 1-7.68-61.44l777.792-311.04a32 32 0 0 1 41.6 41.6l-310.336 775.68a32 32 0 0 1-61.44-7.808L512 516.992l-391.424-52.736z"})]))}}),position_default=position_vue_vue_type_script_setup_true_lang_default,postcard_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Postcard",__name:"postcard",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 224a32 32 0 0 0-32 32v512a32 32 0 0 0 32 32h704a32 32 0 0 0 32-32V256a32 32 0 0 0-32-32zm0-64h704a96 96 0 0 1 96 96v512a96 96 0 0 1-96 96H160a96 96 0 0 1-96-96V256a96 96 0 0 1 96-96"}),createBaseVNode("path",{fill:"currentColor",d:"M704 320a64 64 0 1 1 0 128 64 64 0 0 1 0-128M288 448h256q32 0 32 32t-32 32H288q-32 0-32-32t32-32m0 128h256q32 0 32 32t-32 32H288q-32 0-32-32t32-32"})]))}}),postcard_default=postcard_vue_vue_type_script_setup_true_lang_default,pouring_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Pouring",__name:"pouring",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m739.328 291.328-35.2-6.592-12.8-33.408a192.064 192.064 0 0 0-365.952 23.232l-9.92 40.896-41.472 7.04a176.32 176.32 0 0 0-146.24 173.568c0 97.28 78.72 175.936 175.808 175.936h400a192 192 0 0 0 35.776-380.672zM959.552 480a256 256 0 0 1-256 256h-400A239.808 239.808 0 0 1 63.744 496.192a240.32 240.32 0 0 1 199.488-236.8 256.128 256.128 0 0 1 487.872-30.976A256.064 256.064 0 0 1 959.552 480M224 800a32 32 0 0 1 32 32v96a32 32 0 1 1-64 0v-96a32 32 0 0 1 32-32m192 0a32 32 0 0 1 32 32v96a32 32 0 1 1-64 0v-96a32 32 0 0 1 32-32m192 0a32 32 0 0 1 32 32v96a32 32 0 1 1-64 0v-96a32 32 0 0 1 32-32m192 0a32 32 0 0 1 32 32v96a32 32 0 1 1-64 0v-96a32 32 0 0 1 32-32"})]))}}),pouring_default=pouring_vue_vue_type_script_setup_true_lang_default,present_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Present",__name:"present",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 896V640H192v-64h288V320H192v576zm64 0h288V320H544v256h288v64H544zM128 256h768v672a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32z"}),createBaseVNode("path",{fill:"currentColor",d:"M96 256h832q32 0 32 32t-32 32H96q-32 0-32-32t32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M416 256a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"}),createBaseVNode("path",{fill:"currentColor",d:"M608 256a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"})]))}}),present_default=present_vue_vue_type_script_setup_true_lang_default,price_tag_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"PriceTag",__name:"price-tag",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 318.336V896h576V318.336L552.512 115.84a64 64 0 0 0-81.024 0zM593.024 66.304l259.2 212.096A32 32 0 0 1 864 303.168V928a32 32 0 0 1-32 32H192a32 32 0 0 1-32-32V303.168a32 32 0 0 1 11.712-24.768l259.2-212.096a128 128 0 0 1 162.112 0z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 448a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"})]))}}),price_tag_default=price_tag_vue_vue_type_script_setup_true_lang_default,printer_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Printer",__name:"printer",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 768H105.024c-14.272 0-19.456-1.472-24.64-4.288a29.056 29.056 0 0 1-12.16-12.096C65.536 746.432 64 741.248 64 727.04V379.072c0-42.816 4.48-58.304 12.8-73.984 8.384-15.616 20.672-27.904 36.288-36.288 15.68-8.32 31.168-12.8 73.984-12.8H256V64h512v192h68.928c42.816 0 58.304 4.48 73.984 12.8 15.616 8.384 27.904 20.672 36.288 36.288 8.32 15.68 12.8 31.168 12.8 73.984v347.904c0 14.272-1.472 19.456-4.288 24.64a29.056 29.056 0 0 1-12.096 12.16c-5.184 2.752-10.368 4.224-24.64 4.224H768v192H256zm64-192v320h384V576zm-64 128V512h512v192h128V379.072c0-29.376-1.408-36.48-5.248-43.776a23.296 23.296 0 0 0-10.048-10.048c-7.232-3.84-14.4-5.248-43.776-5.248H187.072c-29.376 0-36.48 1.408-43.776 5.248a23.296 23.296 0 0 0-10.048 10.048c-3.84 7.232-5.248 14.4-5.248 43.776V704zm64-448h384V128H320zm-64 128h64v64h-64zm128 0h64v64h-64z"})]))}}),printer_default=printer_vue_vue_type_script_setup_true_lang_default,promotion_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Promotion",__name:"promotion",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m64 448 832-320-128 704-446.08-243.328L832 192 242.816 545.472zm256 512V657.024L512 768z"})]))}}),promotion_default=promotion_vue_vue_type_script_setup_true_lang_default,quartz_watch_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"QuartzWatch",__name:"quartz-watch",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M422.02 602.01v-.03c-6.68-5.99-14.35-8.83-23.01-8.51-8.67.32-16.17 3.66-22.5 10.02-6.33 6.36-9.5 13.7-9.5 22.02s3 15.82 8.99 22.5c8.68 8.68 19.02 11.35 31.01 8s19.49-10.85 22.5-22.5c3.01-11.65.51-22.15-7.49-31.49zM384 512c0-9.35-3-17.02-8.99-23.01-6-5.99-13.66-8.99-23.01-8.99-9.35 0-17.02 3-23.01 8.99-5.99 6-8.99 13.66-8.99 23.01s3 17.02 8.99 23.01c6 5.99 13.66 8.99 23.01 8.99 9.35 0 17.02-3 23.01-8.99 5.99-6 8.99-13.67 8.99-23.01m6.53-82.49c11.65 3.01 22.15.51 31.49-7.49h.04c5.99-6.68 8.83-14.34 8.51-23.01-.32-8.67-3.66-16.16-10.02-22.5-6.36-6.33-13.7-9.5-22.02-9.5s-15.82 3-22.5 8.99c-8.68 8.69-11.35 19.02-8 31.01 3.35 11.99 10.85 19.49 22.5 22.5zm242.94 0c11.67-3.03 19.01-10.37 22.02-22.02 3.01-11.65.51-22.15-7.49-31.49h.01c-6.68-5.99-14.18-8.99-22.5-8.99s-15.66 3.16-22.02 9.5c-6.36 6.34-9.7 13.84-10.02 22.5-.32 8.66 2.52 16.33 8.51 23.01 9.32 8.02 19.82 10.52 31.49 7.49M512 640c-9.35 0-17.02 3-23.01 8.99-5.99 6-8.99 13.66-8.99 23.01s3 17.02 8.99 23.01c6 5.99 13.67 8.99 23.01 8.99 9.35 0 17.02-3 23.01-8.99 5.99-6 8.99-13.66 8.99-23.01s-3-17.02-8.99-23.01c-6-5.99-13.66-8.99-23.01-8.99m183.01-151.01c-6-5.99-13.66-8.99-23.01-8.99s-17.02 3-23.01 8.99c-5.99 6-8.99 13.66-8.99 23.01s3 17.02 8.99 23.01c6 5.99 13.66 8.99 23.01 8.99s17.02-3 23.01-8.99c5.99-6 8.99-13.67 8.99-23.01 0-9.35-3-17.02-8.99-23.01"}),createBaseVNode("path",{fill:"currentColor",d:"M832 512c-2-90.67-33.17-166.17-93.5-226.5-20.43-20.42-42.6-37.49-66.5-51.23V64H352v170.26c-23.9 13.74-46.07 30.81-66.5 51.24-60.33 60.33-91.49 135.83-93.5 226.5 2 90.67 33.17 166.17 93.5 226.5 20.43 20.43 42.6 37.5 66.5 51.24V960h320V789.74c23.9-13.74 46.07-30.81 66.5-51.24 60.33-60.34 91.49-135.83 93.5-226.5M416 128h192v78.69c-29.85-9.03-61.85-13.93-96-14.69-34.15.75-66.15 5.65-96 14.68zm192 768H416v-78.68c29.85 9.03 61.85 13.93 96 14.68 34.15-.75 66.15-5.65 96-14.68zm-96-128c-72.66-2.01-132.99-27.01-180.99-75.01S258.01 584.66 256 512c2.01-72.66 27.01-132.99 75.01-180.99S439.34 258.01 512 256c72.66 2.01 132.99 27.01 180.99 75.01S765.99 439.34 768 512c-2.01 72.66-27.01 132.99-75.01 180.99S584.66 765.99 512 768"}),createBaseVNode("path",{fill:"currentColor",d:"M512 320c-9.35 0-17.02 3-23.01 8.99-5.99 6-8.99 13.66-8.99 23.01 0 9.35 3 17.02 8.99 23.01 6 5.99 13.67 8.99 23.01 8.99 9.35 0 17.02-3 23.01-8.99 5.99-6 8.99-13.66 8.99-23.01 0-9.35-3-17.02-8.99-23.01-6-5.99-13.66-8.99-23.01-8.99m112.99 273.5c-8.66-.32-16.33 2.52-23.01 8.51-7.98 9.32-10.48 19.82-7.49 31.49s10.49 19.17 22.5 22.5 22.35.66 31.01-8v.04c5.99-6.68 8.99-14.18 8.99-22.5s-3.16-15.66-9.5-22.02-13.84-9.7-22.5-10.02"})]))}}),quartz_watch_default=quartz_watch_vue_vue_type_script_setup_true_lang_default,question_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"QuestionFilled",__name:"question-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m23.744 191.488c-52.096 0-92.928 14.784-123.2 44.352-30.976 29.568-45.76 70.4-45.76 122.496h80.256c0-29.568 5.632-52.8 17.6-68.992 13.376-19.712 35.2-28.864 66.176-28.864 23.936 0 42.944 6.336 56.32 19.712 12.672 13.376 19.712 31.68 19.712 54.912 0 17.6-6.336 34.496-19.008 49.984l-8.448 9.856c-45.76 40.832-73.216 70.4-82.368 89.408-9.856 19.008-14.08 42.24-14.08 68.992v9.856h80.96v-9.856c0-16.896 3.52-31.68 10.56-45.76 6.336-12.672 15.488-24.64 28.16-35.2 33.792-29.568 54.208-48.576 60.544-55.616 16.896-22.528 26.048-51.392 26.048-86.592 0-42.944-14.08-76.736-42.24-101.376-28.16-25.344-65.472-37.312-111.232-37.312zm-12.672 406.208a54.272 54.272 0 0 0-38.72 14.784 49.408 49.408 0 0 0-15.488 38.016c0 15.488 4.928 28.16 15.488 38.016A54.848 54.848 0 0 0 523.072 768c15.488 0 28.16-4.928 38.72-14.784a51.52 51.52 0 0 0 16.192-38.72 51.968 51.968 0 0 0-15.488-38.016 55.936 55.936 0 0 0-39.424-14.784z"})]))}}),question_filled_default=question_filled_vue_vue_type_script_setup_true_lang_default,rank_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Rank",__name:"rank",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m186.496 544 41.408 41.344a32 32 0 1 1-45.248 45.312l-96-96a32 32 0 0 1 0-45.312l96-96a32 32 0 1 1 45.248 45.312L186.496 480h290.816V186.432l-41.472 41.472a32 32 0 1 1-45.248-45.184l96-96.128a32 32 0 0 1 45.312 0l96 96.064a32 32 0 0 1-45.248 45.184l-41.344-41.28V480H832l-41.344-41.344a32 32 0 0 1 45.248-45.312l96 96a32 32 0 0 1 0 45.312l-96 96a32 32 0 0 1-45.248-45.312L832 544H541.312v293.44l41.344-41.28a32 32 0 1 1 45.248 45.248l-96 96a32 32 0 0 1-45.312 0l-96-96a32 32 0 1 1 45.312-45.248l41.408 41.408V544H186.496z"})]))}}),rank_default=rank_vue_vue_type_script_setup_true_lang_default,reading_lamp_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ReadingLamp",__name:"reading-lamp",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 896h320q32 0 32 32t-32 32H352q-32 0-32-32t32-32m-44.672-768-99.52 448h608.384l-99.52-448zm-25.6-64h460.608a32 32 0 0 1 31.232 25.088l113.792 512A32 32 0 0 1 856.128 640H167.872a32 32 0 0 1-31.232-38.912l113.792-512A32 32 0 0 1 281.664 64z"}),createBaseVNode("path",{fill:"currentColor",d:"M672 576q32 0 32 32v128q0 32-32 32t-32-32V608q0-32 32-32m-192-.064h64V960h-64z"})]))}}),reading_lamp_default=reading_lamp_vue_vue_type_script_setup_true_lang_default,reading_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Reading",__name:"reading",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m512 863.36 384-54.848v-638.72L525.568 222.72a96 96 0 0 1-27.136 0L128 169.792v638.72zM137.024 106.432l370.432 52.928a32 32 0 0 0 9.088 0l370.432-52.928A64 64 0 0 1 960 169.792v638.72a64 64 0 0 1-54.976 63.36l-388.48 55.488a32 32 0 0 1-9.088 0l-388.48-55.488A64 64 0 0 1 64 808.512v-638.72a64 64 0 0 1 73.024-63.36z"}),createBaseVNode("path",{fill:"currentColor",d:"M480 192h64v704h-64z"})]))}}),reading_default=reading_vue_vue_type_script_setup_true_lang_default,refresh_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"RefreshLeft",__name:"refresh-left",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M289.088 296.704h92.992a32 32 0 0 1 0 64H232.96a32 32 0 0 1-32-32V179.712a32 32 0 0 1 64 0v50.56a384 384 0 0 1 643.84 282.88 384 384 0 0 1-383.936 384 384 384 0 0 1-384-384h64a320 320 0 1 0 640 0 320 320 0 0 0-555.712-216.448z"})]))}}),refresh_left_default=refresh_left_vue_vue_type_script_setup_true_lang_default,refresh_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"RefreshRight",__name:"refresh-right",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M784.512 230.272v-50.56a32 32 0 1 1 64 0v149.056a32 32 0 0 1-32 32H667.52a32 32 0 1 1 0-64h92.992A320 320 0 1 0 524.8 833.152a320 320 0 0 0 320-320h64a384 384 0 0 1-384 384 384 384 0 0 1-384-384 384 384 0 0 1 643.712-282.88z"})]))}}),refresh_right_default=refresh_right_vue_vue_type_script_setup_true_lang_default,refresh_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Refresh",__name:"refresh",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M771.776 794.88A384 384 0 0 1 128 512h64a320 320 0 0 0 555.712 216.448H654.72a32 32 0 1 1 0-64h149.056a32 32 0 0 1 32 32v148.928a32 32 0 1 1-64 0v-50.56zM276.288 295.616h92.992a32 32 0 0 1 0 64H220.16a32 32 0 0 1-32-32V178.56a32 32 0 0 1 64 0v50.56A384 384 0 0 1 896.128 512h-64a320 320 0 0 0-555.776-216.384z"})]))}}),refresh_default=refresh_vue_vue_type_script_setup_true_lang_default,refrigerator_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Refrigerator",__name:"refrigerator",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 448h512V160a32 32 0 0 0-32-32H288a32 32 0 0 0-32 32zm0 64v352a32 32 0 0 0 32 32h448a32 32 0 0 0 32-32V512zm32-448h448a96 96 0 0 1 96 96v704a96 96 0 0 1-96 96H288a96 96 0 0 1-96-96V160a96 96 0 0 1 96-96m32 224h64v96h-64zm0 288h64v96h-64z"})]))}}),refrigerator_default=refrigerator_vue_vue_type_script_setup_true_lang_default,remove_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"RemoveFilled",__name:"remove-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896M288 512a38.4 38.4 0 0 0 38.4 38.4h371.2a38.4 38.4 0 0 0 0-76.8H326.4A38.4 38.4 0 0 0 288 512"})]))}}),remove_filled_default=remove_filled_vue_vue_type_script_setup_true_lang_default,remove_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Remove",__name:"remove",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 480h320a32 32 0 1 1 0 64H352a32 32 0 0 1 0-64"}),createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"})]))}}),remove_default=remove_vue_vue_type_script_setup_true_lang_default,right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Right",__name:"right",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M754.752 480H160a32 32 0 1 0 0 64h594.752L521.344 777.344a32 32 0 0 0 45.312 45.312l288-288a32 32 0 0 0 0-45.312l-288-288a32 32 0 1 0-45.312 45.312z"})]))}}),right_default=right_vue_vue_type_script_setup_true_lang_default,scale_to_original_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ScaleToOriginal",__name:"scale-to-original",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M813.176 180.706a60.235 60.235 0 0 1 60.236 60.235v481.883a60.235 60.235 0 0 1-60.236 60.235H210.824a60.235 60.235 0 0 1-60.236-60.235V240.94a60.235 60.235 0 0 1 60.236-60.235h602.352zm0-60.235H210.824A120.47 120.47 0 0 0 90.353 240.94v481.883a120.47 120.47 0 0 0 120.47 120.47h602.353a120.47 120.47 0 0 0 120.471-120.47V240.94a120.47 120.47 0 0 0-120.47-120.47zm-120.47 180.705a30.118 30.118 0 0 0-30.118 30.118v301.177a30.118 30.118 0 0 0 60.236 0V331.294a30.118 30.118 0 0 0-30.118-30.118zm-361.412 0a30.118 30.118 0 0 0-30.118 30.118v301.177a30.118 30.118 0 1 0 60.236 0V331.294a30.118 30.118 0 0 0-30.118-30.118M512 361.412a30.118 30.118 0 0 0-30.118 30.117v30.118a30.118 30.118 0 0 0 60.236 0V391.53A30.118 30.118 0 0 0 512 361.412M512 512a30.118 30.118 0 0 0-30.118 30.118v30.117a30.118 30.118 0 0 0 60.236 0v-30.117A30.118 30.118 0 0 0 512 512"})]))}}),scale_to_original_default=scale_to_original_vue_vue_type_script_setup_true_lang_default,school_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"School",__name:"school",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 128v704h576V128zm-32-64h640a32 32 0 0 1 32 32v768a32 32 0 0 1-32 32H192a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M64 832h896v64H64zm256-640h128v96H320z"}),createBaseVNode("path",{fill:"currentColor",d:"M384 832h256v-64a128 128 0 1 0-256 0zm128-256a192 192 0 0 1 192 192v128H320V768a192 192 0 0 1 192-192M320 384h128v96H320zm256-192h128v96H576zm0 192h128v96H576z"})]))}}),school_default=school_vue_vue_type_script_setup_true_lang_default,scissor_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Scissor",__name:"scissor",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m512.064 578.368-106.88 152.768a160 160 0 1 1-23.36-78.208L472.96 522.56 196.864 128.256a32 32 0 1 1 52.48-36.736l393.024 561.344a160 160 0 1 1-23.36 78.208l-106.88-152.704zm54.4-189.248 208.384-297.6a32 32 0 0 1 52.48 36.736l-221.76 316.672-39.04-55.808zm-376.32 425.856a96 96 0 1 0 110.144-157.248 96 96 0 0 0-110.08 157.248zm643.84 0a96 96 0 1 0-110.08-157.248 96 96 0 0 0 110.08 157.248"})]))}}),scissor_default=scissor_vue_vue_type_script_setup_true_lang_default,search_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Search",__name:"search",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m795.904 750.72 124.992 124.928a32 32 0 0 1-45.248 45.248L750.656 795.904a416 416 0 1 1 45.248-45.248zM480 832a352 352 0 1 0 0-704 352 352 0 0 0 0 704"})]))}}),search_default=search_vue_vue_type_script_setup_true_lang_default,select_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Select",__name:"select",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M77.248 415.04a64 64 0 0 1 90.496 0l226.304 226.304L846.528 188.8a64 64 0 1 1 90.56 90.496l-543.04 543.04-316.8-316.8a64 64 0 0 1 0-90.496z"})]))}}),select_default=select_vue_vue_type_script_setup_true_lang_default,sell_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sell",__name:"sell",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 288h131.072a32 32 0 0 1 31.808 28.8L886.4 512h-64.384l-16-160H704v96a32 32 0 1 1-64 0v-96H384v96a32 32 0 0 1-64 0v-96H217.92l-51.2 512H512v64H131.328a32 32 0 0 1-31.808-35.2l57.6-576a32 32 0 0 1 31.808-28.8H320v-22.336C320 154.688 405.504 64 512 64s192 90.688 192 201.664v22.4zm-64 0v-22.336C640 189.248 582.272 128 512 128c-70.272 0-128 61.248-128 137.664v22.4h256zm201.408 483.84L768 698.496V928a32 32 0 1 1-64 0V698.496l-73.344 73.344a32 32 0 1 1-45.248-45.248l128-128a32 32 0 0 1 45.248 0l128 128a32 32 0 1 1-45.248 45.248z"})]))}}),sell_default=sell_vue_vue_type_script_setup_true_lang_default,semi_select_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SemiSelect",__name:"semi-select",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 448h768q64 0 64 64t-64 64H128q-64 0-64-64t64-64"})]))}}),semi_select_default=semi_select_vue_vue_type_script_setup_true_lang_default,service_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Service",__name:"service",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M864 409.6a192 192 0 0 1-37.888 349.44A256.064 256.064 0 0 1 576 960h-96a32 32 0 1 1 0-64h96a192.064 192.064 0 0 0 181.12-128H736a32 32 0 0 1-32-32V416a32 32 0 0 1 32-32h32c10.368 0 20.544.832 30.528 2.432a288 288 0 0 0-573.056 0A193.235 193.235 0 0 1 256 384h32a32 32 0 0 1 32 32v320a32 32 0 0 1-32 32h-32a192 192 0 0 1-96-358.4 352 352 0 0 1 704 0M256 448a128 128 0 1 0 0 256zm640 128a128 128 0 0 0-128-128v256a128 128 0 0 0 128-128"})]))}}),service_default=service_vue_vue_type_script_setup_true_lang_default,set_up_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SetUp",__name:"set-up",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 160a64 64 0 0 0-64 64v576a64 64 0 0 0 64 64h576a64 64 0 0 0 64-64V224a64 64 0 0 0-64-64zm0-64h576a128 128 0 0 1 128 128v576a128 128 0 0 1-128 128H224A128 128 0 0 1 96 800V224A128 128 0 0 1 224 96"}),createBaseVNode("path",{fill:"currentColor",d:"M384 416a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"}),createBaseVNode("path",{fill:"currentColor",d:"M480 320h256q32 0 32 32t-32 32H480q-32 0-32-32t32-32m160 416a64 64 0 1 0 0-128 64 64 0 0 0 0 128m0 64a128 128 0 1 1 0-256 128 128 0 0 1 0 256"}),createBaseVNode("path",{fill:"currentColor",d:"M288 640h256q32 0 32 32t-32 32H288q-32 0-32-32t32-32"})]))}}),set_up_default=set_up_vue_vue_type_script_setup_true_lang_default,setting_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Setting",__name:"setting",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M600.704 64a32 32 0 0 1 30.464 22.208l35.2 109.376c14.784 7.232 28.928 15.36 42.432 24.512l112.384-24.192a32 32 0 0 1 34.432 15.36L944.32 364.8a32 32 0 0 1-4.032 37.504l-77.12 85.12a357.12 357.12 0 0 1 0 49.024l77.12 85.248a32 32 0 0 1 4.032 37.504l-88.704 153.6a32 32 0 0 1-34.432 15.296L708.8 803.904c-13.44 9.088-27.648 17.28-42.368 24.512l-35.264 109.376A32 32 0 0 1 600.704 960H423.296a32 32 0 0 1-30.464-22.208L357.696 828.48a351.616 351.616 0 0 1-42.56-24.64l-112.32 24.256a32 32 0 0 1-34.432-15.36L79.68 659.2a32 32 0 0 1 4.032-37.504l77.12-85.248a357.12 357.12 0 0 1 0-48.896l-77.12-85.248A32 32 0 0 1 79.68 364.8l88.704-153.6a32 32 0 0 1 34.432-15.296l112.32 24.256c13.568-9.152 27.776-17.408 42.56-24.64l35.2-109.312A32 32 0 0 1 423.232 64H600.64zm-23.424 64H446.72l-36.352 113.088-24.512 11.968a294.113 294.113 0 0 0-34.816 20.096l-22.656 15.36-116.224-25.088-65.28 113.152 79.68 88.192-1.92 27.136a293.12 293.12 0 0 0 0 40.192l1.92 27.136-79.808 88.192 65.344 113.152 116.224-25.024 22.656 15.296a294.113 294.113 0 0 0 34.816 20.096l24.512 11.968L446.72 896h130.688l36.48-113.152 24.448-11.904a288.282 288.282 0 0 0 34.752-20.096l22.592-15.296 116.288 25.024 65.28-113.152-79.744-88.192 1.92-27.136a293.12 293.12 0 0 0 0-40.256l-1.92-27.136 79.808-88.128-65.344-113.152-116.288 24.96-22.592-15.232a287.616 287.616 0 0 0-34.752-20.096l-24.448-11.904L577.344 128zM512 320a192 192 0 1 1 0 384 192 192 0 0 1 0-384m0 64a128 128 0 1 0 0 256 128 128 0 0 0 0-256"})]))}}),setting_default=setting_vue_vue_type_script_setup_true_lang_default,share_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Share",__name:"share",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m679.872 348.8-301.76 188.608a127.808 127.808 0 0 1 5.12 52.16l279.936 104.96a128 128 0 1 1-22.464 59.904l-279.872-104.96a128 128 0 1 1-16.64-166.272l301.696-188.608a128 128 0 1 1 33.92 54.272z"})]))}}),share_default=share_vue_vue_type_script_setup_true_lang_default,ship_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Ship",__name:"ship",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 386.88V448h405.568a32 32 0 0 1 30.72 40.768l-76.48 267.968A192 192 0 0 1 687.168 896H336.832a192 192 0 0 1-184.64-139.264L75.648 488.768A32 32 0 0 1 106.368 448H448V117.888a32 32 0 0 1 47.36-28.096l13.888 7.616L512 96v2.88l231.68 126.4a32 32 0 0 1-2.048 57.216zm0-70.272 144.768-65.792L512 171.84zM512 512H148.864l18.24 64H856.96l18.24-64zM185.408 640l28.352 99.2A128 128 0 0 0 336.832 832h350.336a128 128 0 0 0 123.072-92.8l28.352-99.2H185.408"})]))}}),ship_default=ship_vue_vue_type_script_setup_true_lang_default,shop_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Shop",__name:"shop",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 704h64v192H256V704h64v64h384zm188.544-152.192C894.528 559.616 896 567.616 896 576a96 96 0 1 1-192 0 96 96 0 1 1-192 0 96 96 0 1 1-192 0 96 96 0 1 1-192 0c0-8.384 1.408-16.384 3.392-24.192L192 128h640z"})]))}}),shop_default=shop_vue_vue_type_script_setup_true_lang_default,shopping_bag_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ShoppingBag",__name:"shopping-bag",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 320v96a32 32 0 0 1-32 32h-32V320H384v128h-32a32 32 0 0 1-32-32v-96H192v576h640V320zm-384-64a192 192 0 1 1 384 0h160a32 32 0 0 1 32 32v640a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V288a32 32 0 0 1 32-32zm64 0h256a128 128 0 1 0-256 0"}),createBaseVNode("path",{fill:"currentColor",d:"M192 704h640v64H192z"})]))}}),shopping_bag_default=shopping_bag_vue_vue_type_script_setup_true_lang_default,shopping_cart_full_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ShoppingCartFull",__name:"shopping-cart-full",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M432 928a48 48 0 1 1 0-96 48 48 0 0 1 0 96m320 0a48 48 0 1 1 0-96 48 48 0 0 1 0 96M96 128a32 32 0 0 1 0-64h160a32 32 0 0 1 31.36 25.728L320.64 256H928a32 32 0 0 1 31.296 38.72l-96 448A32 32 0 0 1 832 768H384a32 32 0 0 1-31.36-25.728L229.76 128zm314.24 576h395.904l82.304-384H333.44l76.8 384z"}),createBaseVNode("path",{fill:"currentColor",d:"M699.648 256 608 145.984 516.352 256h183.296zm-140.8-151.04a64 64 0 0 1 98.304 0L836.352 320H379.648l179.2-215.04"})]))}}),shopping_cart_full_default=shopping_cart_full_vue_vue_type_script_setup_true_lang_default,shopping_cart_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ShoppingCart",__name:"shopping-cart",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M432 928a48 48 0 1 1 0-96 48 48 0 0 1 0 96m320 0a48 48 0 1 1 0-96 48 48 0 0 1 0 96M96 128a32 32 0 0 1 0-64h160a32 32 0 0 1 31.36 25.728L320.64 256H928a32 32 0 0 1 31.296 38.72l-96 448A32 32 0 0 1 832 768H384a32 32 0 0 1-31.36-25.728L229.76 128zm314.24 576h395.904l82.304-384H333.44l76.8 384z"})]))}}),shopping_cart_default=shopping_cart_vue_vue_type_script_setup_true_lang_default,shopping_trolley_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ShoppingTrolley",__name:"shopping-trolley",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M368 833c-13.3 0-24.5 4.5-33.5 13.5S321 866.7 321 880s4.5 24.5 13.5 33.5 20.2 13.8 33.5 14.5c13.3-.7 24.5-5.5 33.5-14.5S415 893.3 415 880s-4.5-24.5-13.5-33.5S381.3 833 368 833m439-193c7.4 0 13.8-2.2 19.5-6.5S836 623.3 838 616l112-448c2-10-.2-19.2-6.5-27.5S929 128 919 128H96c-9.3 0-17 3-23 9s-9 13.7-9 23 3 17 9 23 13.7 9 23 9h96v576h672c9.3 0 17-3 23-9s9-13.7 9-23-3-17-9-23-13.7-9-23-9H256v-64zM256 192h622l-96 384H256zm432 641c-13.3 0-24.5 4.5-33.5 13.5S641 866.7 641 880s4.5 24.5 13.5 33.5 20.2 13.8 33.5 14.5c13.3-.7 24.5-5.5 33.5-14.5S735 893.3 735 880s-4.5-24.5-13.5-33.5S701.3 833 688 833"})]))}}),shopping_trolley_default=shopping_trolley_vue_vue_type_script_setup_true_lang_default,smoking_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Smoking",__name:"smoking",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 576v128h640V576zm-32-64h704a32 32 0 0 1 32 32v192a32 32 0 0 1-32 32H224a32 32 0 0 1-32-32V544a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M704 576h64v128h-64zM256 64h64v320h-64zM128 192h64v192h-64zM64 512h64v256H64z"})]))}}),smoking_default=smoking_vue_vue_type_script_setup_true_lang_default,soccer_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Soccer",__name:"soccer",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M418.496 871.04 152.256 604.8c-16.512 94.016-2.368 178.624 42.944 224 44.928 44.928 129.344 58.752 223.296 42.24m72.32-18.176a573.056 573.056 0 0 0 224.832-137.216 573.12 573.12 0 0 0 137.216-224.832L533.888 171.84a578.56 578.56 0 0 0-227.52 138.496A567.68 567.68 0 0 0 170.432 532.48l320.384 320.384zM871.04 418.496c16.512-93.952 2.688-178.368-42.24-223.296-44.544-44.544-128.704-58.048-222.592-41.536zM149.952 874.048c-112.96-112.96-88.832-408.96 111.168-608.96C461.056 65.152 760.96 36.928 874.048 149.952c113.024 113.024 86.784 411.008-113.152 610.944-199.936 199.936-497.92 226.112-610.944 113.152m452.544-497.792 22.656-22.656a32 32 0 0 1 45.248 45.248l-22.656 22.656 45.248 45.248A32 32 0 1 1 647.744 512l-45.248-45.248L557.248 512l45.248 45.248a32 32 0 1 1-45.248 45.248L512 557.248l-45.248 45.248L512 647.744a32 32 0 1 1-45.248 45.248l-45.248-45.248-22.656 22.656a32 32 0 1 1-45.248-45.248l22.656-22.656-45.248-45.248A32 32 0 1 1 376.256 512l45.248 45.248L466.752 512l-45.248-45.248a32 32 0 1 1 45.248-45.248L512 466.752l45.248-45.248L512 376.256a32 32 0 0 1 45.248-45.248l45.248 45.248z"})]))}}),soccer_default=soccer_vue_vue_type_script_setup_true_lang_default,sold_out_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SoldOut",__name:"sold-out",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 288h131.072a32 32 0 0 1 31.808 28.8L886.4 512h-64.384l-16-160H704v96a32 32 0 1 1-64 0v-96H384v96a32 32 0 0 1-64 0v-96H217.92l-51.2 512H512v64H131.328a32 32 0 0 1-31.808-35.2l57.6-576a32 32 0 0 1 31.808-28.8H320v-22.336C320 154.688 405.504 64 512 64s192 90.688 192 201.664v22.4zm-64 0v-22.336C640 189.248 582.272 128 512 128c-70.272 0-128 61.248-128 137.664v22.4h256zm201.408 476.16a32 32 0 1 1 45.248 45.184l-128 128a32 32 0 0 1-45.248 0l-128-128a32 32 0 1 1 45.248-45.248L704 837.504V608a32 32 0 1 1 64 0v229.504l73.408-73.408z"})]))}}),sold_out_default=sold_out_vue_vue_type_script_setup_true_lang_default,sort_down_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SortDown",__name:"sort-down",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M576 96v709.568L333.312 562.816A32 32 0 1 0 288 608l297.408 297.344A32 32 0 0 0 640 882.688V96a32 32 0 0 0-64 0"})]))}}),sort_down_default=sort_down_vue_vue_type_script_setup_true_lang_default,sort_up_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SortUp",__name:"sort-up",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 141.248V928a32 32 0 1 0 64 0V218.56l242.688 242.688A32 32 0 1 0 736 416L438.592 118.656A32 32 0 0 0 384 141.248"})]))}}),sort_up_default=sort_up_vue_vue_type_script_setup_true_lang_default,sort_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sort",__name:"sort",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M384 96a32 32 0 0 1 64 0v786.752a32 32 0 0 1-54.592 22.656L95.936 608a32 32 0 0 1 0-45.312h.128a32 32 0 0 1 45.184 0L384 805.632zm192 45.248a32 32 0 0 1 54.592-22.592L928.064 416a32 32 0 0 1 0 45.312h-.128a32 32 0 0 1-45.184 0L640 218.496V928a32 32 0 1 1-64 0V141.248z"})]))}}),sort_default=sort_vue_vue_type_script_setup_true_lang_default,stamp_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Stamp",__name:"stamp",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M624 475.968V640h144a128 128 0 0 1 128 128H128a128 128 0 0 1 128-128h144V475.968a192 192 0 1 1 224 0M128 896v-64h768v64z"})]))}}),stamp_default=stamp_vue_vue_type_script_setup_true_lang_default,star_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"StarFilled",__name:"star-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M283.84 867.84 512 747.776l228.16 119.936a6.4 6.4 0 0 0 9.28-6.72l-43.52-254.08 184.512-179.904a6.4 6.4 0 0 0-3.52-10.88l-255.104-37.12L517.76 147.904a6.4 6.4 0 0 0-11.52 0L392.192 379.072l-255.104 37.12a6.4 6.4 0 0 0-3.52 10.88L318.08 606.976l-43.584 254.08a6.4 6.4 0 0 0 9.28 6.72z"})]))}}),star_filled_default=star_filled_vue_vue_type_script_setup_true_lang_default,star_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Star",__name:"star",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m512 747.84 228.16 119.936a6.4 6.4 0 0 0 9.28-6.72l-43.52-254.08 184.512-179.904a6.4 6.4 0 0 0-3.52-10.88l-255.104-37.12L517.76 147.904a6.4 6.4 0 0 0-11.52 0L392.192 379.072l-255.104 37.12a6.4 6.4 0 0 0-3.52 10.88L318.08 606.976l-43.584 254.08a6.4 6.4 0 0 0 9.28 6.72zM313.6 924.48a70.4 70.4 0 0 1-102.144-74.24l37.888-220.928L88.96 472.96A70.4 70.4 0 0 1 128 352.896l221.76-32.256 99.2-200.96a70.4 70.4 0 0 1 126.208 0l99.2 200.96 221.824 32.256a70.4 70.4 0 0 1 39.04 120.064L774.72 629.376l37.888 220.928a70.4 70.4 0 0 1-102.144 74.24L512 820.096l-198.4 104.32z"})]))}}),star_default=star_vue_vue_type_script_setup_true_lang_default,stopwatch_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Stopwatch",__name:"stopwatch",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a384 384 0 1 0 0-768 384 384 0 0 0 0 768m0 64a448 448 0 1 1 0-896 448 448 0 0 1 0 896"}),createBaseVNode("path",{fill:"currentColor",d:"M672 234.88c-39.168 174.464-80 298.624-122.688 372.48-64 110.848-202.624 30.848-138.624-80C453.376 453.44 540.48 355.968 672 234.816z"})]))}}),stopwatch_default=stopwatch_vue_vue_type_script_setup_true_lang_default,success_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SuccessFilled",__name:"success-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m-55.808 536.384-99.52-99.584a38.4 38.4 0 1 0-54.336 54.336l126.72 126.72a38.272 38.272 0 0 0 54.336 0l262.4-262.464a38.4 38.4 0 1 0-54.272-54.336z"})]))}}),success_filled_default=success_filled_vue_vue_type_script_setup_true_lang_default,sugar_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sugar",__name:"sugar",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m801.728 349.184 4.48 4.48a128 128 0 0 1 0 180.992L534.656 806.144a128 128 0 0 1-181.056 0l-4.48-4.48-19.392 109.696a64 64 0 0 1-108.288 34.176L78.464 802.56a64 64 0 0 1 34.176-108.288l109.76-19.328-4.544-4.544a128 128 0 0 1 0-181.056l271.488-271.488a128 128 0 0 1 181.056 0l4.48 4.48 19.392-109.504a64 64 0 0 1 108.352-34.048l142.592 143.04a64 64 0 0 1-34.24 108.16l-109.248 19.2zm-548.8 198.72h447.168v2.24l60.8-60.8a63.808 63.808 0 0 0 18.752-44.416h-426.88l-89.664 89.728a64.064 64.064 0 0 0-10.24 13.248zm0 64c2.752 4.736 6.144 9.152 10.176 13.248l135.744 135.744a64 64 0 0 0 90.496 0L638.4 611.904zm490.048-230.976L625.152 263.104a64 64 0 0 0-90.496 0L416.768 380.928zM123.712 757.312l142.976 142.976 24.32-137.6a25.6 25.6 0 0 0-29.696-29.632l-137.6 24.256zm633.6-633.344-24.32 137.472a25.6 25.6 0 0 0 29.632 29.632l137.28-24.064-142.656-143.04z"})]))}}),sugar_default=sugar_vue_vue_type_script_setup_true_lang_default,suitcase_line_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SuitcaseLine",__name:"suitcase-line",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M922.5 229.5c-24.32-24.34-54.49-36.84-90.5-37.5H704v-64c-.68-17.98-7.02-32.98-19.01-44.99S658.01 64.66 640 64H384c-17.98.68-32.98 7.02-44.99 19.01S320.66 110 320 128v64H192c-35.99.68-66.16 13.18-90.5 37.5C77.16 253.82 64.66 283.99 64 320v448c.68 35.99 13.18 66.16 37.5 90.5s54.49 36.84 90.5 37.5h640c35.99-.68 66.16-13.18 90.5-37.5s36.84-54.49 37.5-90.5V320c-.68-35.99-13.18-66.16-37.5-90.5M384 128h256v64H384zM256 832h-64c-17.98-.68-32.98-7.02-44.99-19.01S128.66 786.01 128 768V448h128zm448 0H320V448h384zm192-64c-.68 17.98-7.02 32.98-19.01 44.99S850.01 831.34 832 832h-64V448h128zm0-384H128v-64c.69-17.98 7.02-32.98 19.01-44.99S173.99 256.66 192 256h640c17.98.69 32.98 7.02 44.99 19.01S895.34 301.99 896 320z"})]))}}),suitcase_line_default=suitcase_line_vue_vue_type_script_setup_true_lang_default,suitcase_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Suitcase",__name:"suitcase",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 384h768v-64a64 64 0 0 0-64-64H192a64 64 0 0 0-64 64zm0 64v320a64 64 0 0 0 64 64h640a64 64 0 0 0 64-64V448zm64-256h640a128 128 0 0 1 128 128v448a128 128 0 0 1-128 128H192A128 128 0 0 1 64 768V320a128 128 0 0 1 128-128"}),createBaseVNode("path",{fill:"currentColor",d:"M384 128v64h256v-64zm0-64h256a64 64 0 0 1 64 64v64a64 64 0 0 1-64 64H384a64 64 0 0 1-64-64v-64a64 64 0 0 1 64-64"})]))}}),suitcase_default=suitcase_vue_vue_type_script_setup_true_lang_default,sunny_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sunny",__name:"sunny",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 704a192 192 0 1 0 0-384 192 192 0 0 0 0 384m0 64a256 256 0 1 1 0-512 256 256 0 0 1 0 512m0-704a32 32 0 0 1 32 32v64a32 32 0 0 1-64 0V96a32 32 0 0 1 32-32m0 768a32 32 0 0 1 32 32v64a32 32 0 1 1-64 0v-64a32 32 0 0 1 32-32M195.2 195.2a32 32 0 0 1 45.248 0l45.248 45.248a32 32 0 1 1-45.248 45.248L195.2 240.448a32 32 0 0 1 0-45.248zm543.104 543.104a32 32 0 0 1 45.248 0l45.248 45.248a32 32 0 0 1-45.248 45.248l-45.248-45.248a32 32 0 0 1 0-45.248M64 512a32 32 0 0 1 32-32h64a32 32 0 0 1 0 64H96a32 32 0 0 1-32-32m768 0a32 32 0 0 1 32-32h64a32 32 0 1 1 0 64h-64a32 32 0 0 1-32-32M195.2 828.8a32 32 0 0 1 0-45.248l45.248-45.248a32 32 0 0 1 45.248 45.248L240.448 828.8a32 32 0 0 1-45.248 0zm543.104-543.104a32 32 0 0 1 0-45.248l45.248-45.248a32 32 0 0 1 45.248 45.248l-45.248 45.248a32 32 0 0 1-45.248 0"})]))}}),sunny_default=sunny_vue_vue_type_script_setup_true_lang_default,sunrise_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sunrise",__name:"sunrise",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M32 768h960a32 32 0 1 1 0 64H32a32 32 0 1 1 0-64m129.408-96a352 352 0 0 1 701.184 0h-64.32a288 288 0 0 0-572.544 0h-64.32zM512 128a32 32 0 0 1 32 32v96a32 32 0 0 1-64 0v-96a32 32 0 0 1 32-32m407.296 168.704a32 32 0 0 1 0 45.248l-67.84 67.84a32 32 0 1 1-45.248-45.248l67.84-67.84a32 32 0 0 1 45.248 0zm-814.592 0a32 32 0 0 1 45.248 0l67.84 67.84a32 32 0 1 1-45.248 45.248l-67.84-67.84a32 32 0 0 1 0-45.248"})]))}}),sunrise_default=sunrise_vue_vue_type_script_setup_true_lang_default,sunset_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Sunset",__name:"sunset",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M82.56 640a448 448 0 1 1 858.88 0h-67.2a384 384 0 1 0-724.288 0zM32 704h960q32 0 32 32t-32 32H32q-32 0-32-32t32-32m256 128h448q32 0 32 32t-32 32H288q-32 0-32-32t32-32"})]))}}),sunset_default=sunset_vue_vue_type_script_setup_true_lang_default,switch_button_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SwitchButton",__name:"switch-button",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M352 159.872V230.4a352 352 0 1 0 320 0v-70.528A416.128 416.128 0 0 1 512 960a416 416 0 0 1-160-800.128z"}),createBaseVNode("path",{fill:"currentColor",d:"M512 64q32 0 32 32v320q0 32-32 32t-32-32V96q0-32 32-32"})]))}}),switch_button_default=switch_button_vue_vue_type_script_setup_true_lang_default,switch_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"SwitchFilled",__name:"switch-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M247.47 358.4v.04c.07 19.17 7.72 37.53 21.27 51.09s31.92 21.2 51.09 21.27c39.86 0 72.41-32.6 72.41-72.4s-32.6-72.36-72.41-72.36-72.36 32.55-72.36 72.36z"}),createBaseVNode("path",{fill:"currentColor",d:"M492.38 128H324.7c-52.16 0-102.19 20.73-139.08 57.61a196.655 196.655 0 0 0-57.61 139.08V698.7c-.01 25.84 5.08 51.42 14.96 75.29s24.36 45.56 42.63 63.83 39.95 32.76 63.82 42.65a196.67 196.67 0 0 0 75.28 14.98h167.68c3.03 0 5.46-2.43 5.46-5.42V133.42c.6-2.99-1.83-5.42-5.46-5.42zm-56.11 705.88H324.7c-17.76.13-35.36-3.33-51.75-10.18s-31.22-16.94-43.61-29.67c-25.3-25.35-39.81-59.1-39.81-95.32V324.69c-.13-17.75 3.33-35.35 10.17-51.74a131.695 131.695 0 0 1 29.64-43.62c25.39-25.3 59.14-39.81 95.36-39.81h111.57zm402.12-647.67a196.655 196.655 0 0 0-139.08-57.61H580.48c-3.03 0-4.82 2.43-4.82 4.82v757.16c-.6 2.99 1.79 5.42 5.42 5.42h118.23a196.69 196.69 0 0 0 139.08-57.61A196.655 196.655 0 0 0 896 699.31V325.29a196.69 196.69 0 0 0-57.61-139.08zm-111.3 441.92c-42.83 0-77.82-34.99-77.82-77.82s34.98-77.82 77.82-77.82c42.83 0 77.82 34.99 77.82 77.82s-34.99 77.82-77.82 77.82z"})]))}}),switch_filled_default=switch_filled_vue_vue_type_script_setup_true_lang_default,switch_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Switch",__name:"switch",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M118.656 438.656a32 32 0 0 1 0-45.248L416 96l4.48-3.776A32 32 0 0 1 461.248 96l3.712 4.48a32.064 32.064 0 0 1-3.712 40.832L218.56 384H928a32 32 0 1 1 0 64H141.248a32 32 0 0 1-22.592-9.344zM64 608a32 32 0 0 1 32-32h786.752a32 32 0 0 1 22.656 54.592L608 928l-4.48 3.776a32.064 32.064 0 0 1-40.832-49.024L805.632 640H96a32 32 0 0 1-32-32"})]))}}),switch_default=switch_vue_vue_type_script_setup_true_lang_default,takeaway_box_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TakeawayBox",__name:"takeaway-box",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M832 384H192v448h640zM96 320h832V128H96zm800 64v480a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V384H64a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32h896a32 32 0 0 1 32 32v256a32 32 0 0 1-32 32zM416 512h192a32 32 0 0 1 0 64H416a32 32 0 0 1 0-64"})]))}}),takeaway_box_default=takeaway_box_vue_vue_type_script_setup_true_lang_default,ticket_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Ticket",__name:"ticket",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 832H64V640a128 128 0 1 0 0-256V192h576v160h64V192h256v192a128 128 0 1 0 0 256v192H704V672h-64zm0-416v192h64V416z"})]))}}),ticket_default=ticket_vue_vue_type_script_setup_true_lang_default,tickets_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Tickets",__name:"tickets",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M192 128v768h640V128zm-32-64h704a32 32 0 0 1 32 32v832a32 32 0 0 1-32 32H160a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32m160 448h384v64H320zm0-192h192v64H320zm0 384h384v64H320z"})]))}}),tickets_default=tickets_vue_vue_type_script_setup_true_lang_default,timer_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Timer",__name:"timer",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 896a320 320 0 1 0 0-640 320 320 0 0 0 0 640m0 64a384 384 0 1 1 0-768 384 384 0 0 1 0 768"}),createBaseVNode("path",{fill:"currentColor",d:"M512 320a32 32 0 0 1 32 32l-.512 224a32 32 0 1 1-64 0L480 352a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M448 576a64 64 0 1 0 128 0 64 64 0 1 0-128 0m96-448v128h-64V128h-96a32 32 0 0 1 0-64h256a32 32 0 1 1 0 64z"})]))}}),timer_default=timer_vue_vue_type_script_setup_true_lang_default,toilet_paper_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ToiletPaper",__name:"toilet-paper",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M595.2 128H320a192 192 0 0 0-192 192v576h384V352c0-90.496 32.448-171.2 83.2-224M736 64c123.712 0 224 128.96 224 288S859.712 640 736 640H576v320H64V320A256 256 0 0 1 320 64zM576 352v224h160c84.352 0 160-97.28 160-224s-75.648-224-160-224-160 97.28-160 224"}),createBaseVNode("path",{fill:"currentColor",d:"M736 448c-35.328 0-64-43.008-64-96s28.672-96 64-96 64 43.008 64 96-28.672 96-64 96"})]))}}),toilet_paper_default=toilet_paper_vue_vue_type_script_setup_true_lang_default,tools_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Tools",__name:"tools",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M764.416 254.72a351.68 351.68 0 0 1 86.336 149.184H960v192.064H850.752a351.68 351.68 0 0 1-86.336 149.312l54.72 94.72-166.272 96-54.592-94.72a352.64 352.64 0 0 1-172.48 0L371.136 936l-166.272-96 54.72-94.72a351.68 351.68 0 0 1-86.336-149.312H64v-192h109.248a351.68 351.68 0 0 1 86.336-149.312L204.8 160l166.208-96h.192l54.656 94.592a352.64 352.64 0 0 1 172.48 0L652.8 64h.128L819.2 160l-54.72 94.72zM704 499.968a192 192 0 1 0-384 0 192 192 0 0 0 384 0"})]))}}),tools_default=tools_vue_vue_type_script_setup_true_lang_default,top_left_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TopLeft",__name:"top-left",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M256 256h416a32 32 0 1 0 0-64H224a32 32 0 0 0-32 32v448a32 32 0 0 0 64 0z"}),createBaseVNode("path",{fill:"currentColor",d:"M246.656 201.344a32 32 0 0 0-45.312 45.312l544 544a32 32 0 0 0 45.312-45.312l-544-544z"})]))}}),top_left_default=top_left_vue_vue_type_script_setup_true_lang_default,top_right_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TopRight",__name:"top-right",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M768 256H353.6a32 32 0 1 1 0-64H800a32 32 0 0 1 32 32v448a32 32 0 0 1-64 0z"}),createBaseVNode("path",{fill:"currentColor",d:"M777.344 201.344a32 32 0 0 1 45.312 45.312l-544 544a32 32 0 0 1-45.312-45.312l544-544z"})]))}}),top_right_default=top_right_vue_vue_type_script_setup_true_lang_default,top_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Top",__name:"top",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M572.235 205.282v600.365a30.118 30.118 0 1 1-60.235 0V205.282L292.382 438.633a28.913 28.913 0 0 1-42.646 0 33.43 33.43 0 0 1 0-45.236l271.058-288.045a28.913 28.913 0 0 1 42.647 0L834.5 393.397a33.43 33.43 0 0 1 0 45.176 28.913 28.913 0 0 1-42.647 0l-219.618-233.23z"})]))}}),top_default=top_vue_vue_type_script_setup_true_lang_default,trend_charts_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TrendCharts",__name:"trend-charts",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128 896V128h768v768zm291.712-327.296 128 102.4 180.16-201.792-47.744-42.624-139.84 156.608-128-102.4-180.16 201.792 47.744 42.624 139.84-156.608zM816 352a48 48 0 1 0-96 0 48 48 0 0 0 96 0"})]))}}),trend_charts_default=trend_charts_vue_vue_type_script_setup_true_lang_default,trophy_base_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TrophyBase",__name:"trophy-base",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M918.4 201.6c-6.4-6.4-12.8-9.6-22.4-9.6H768V96c0-9.6-3.2-16-9.6-22.4C752 67.2 745.6 64 736 64H288c-9.6 0-16 3.2-22.4 9.6C259.2 80 256 86.4 256 96v96H128c-9.6 0-16 3.2-22.4 9.6-6.4 6.4-9.6 16-9.6 22.4 3.2 108.8 25.6 185.6 64 224 34.4 34.4 77.56 55.65 127.65 61.99 10.91 20.44 24.78 39.25 41.95 56.41 40.86 40.86 91 65.47 150.4 71.9V768h-96c-9.6 0-16 3.2-22.4 9.6-6.4 6.4-9.6 12.8-9.6 22.4s3.2 16 9.6 22.4c6.4 6.4 12.8 9.6 22.4 9.6h256c9.6 0 16-3.2 22.4-9.6 6.4-6.4 9.6-12.8 9.6-22.4s-3.2-16-9.6-22.4c-6.4-6.4-12.8-9.6-22.4-9.6h-96V637.26c59.4-7.71 109.54-30.01 150.4-70.86 17.2-17.2 31.51-36.06 42.81-56.55 48.93-6.51 90.02-27.7 126.79-61.85 38.4-38.4 60.8-112 64-224 0-6.4-3.2-16-9.6-22.4zM256 438.4c-19.2-6.4-35.2-19.2-51.2-35.2-22.4-22.4-35.2-70.4-41.6-147.2H256zm390.4 80C608 553.6 566.4 576 512 576s-99.2-19.2-134.4-57.6C342.4 480 320 438.4 320 384V128h384v256c0 54.4-19.2 99.2-57.6 134.4m172.8-115.2c-16 16-32 25.6-51.2 35.2V256h92.8c-6.4 76.8-19.2 124.8-41.6 147.2zM768 896H256c-9.6 0-16 3.2-22.4 9.6-6.4 6.4-9.6 12.8-9.6 22.4s3.2 16 9.6 22.4c6.4 6.4 12.8 9.6 22.4 9.6h512c9.6 0 16-3.2 22.4-9.6 6.4-6.4 9.6-12.8 9.6-22.4s-3.2-16-9.6-22.4c-6.4-6.4-12.8-9.6-22.4-9.6"})]))}}),trophy_base_default=trophy_base_vue_vue_type_script_setup_true_lang_default,trophy_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Trophy",__name:"trophy",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M480 896V702.08A256.256 256.256 0 0 1 264.064 512h-32.64a96 96 0 0 1-91.968-68.416L93.632 290.88a76.8 76.8 0 0 1 73.6-98.88H256V96a32 32 0 0 1 32-32h448a32 32 0 0 1 32 32v96h88.768a76.8 76.8 0 0 1 73.6 98.88L884.48 443.52A96 96 0 0 1 792.576 512h-32.64A256.256 256.256 0 0 1 544 702.08V896h128a32 32 0 1 1 0 64H352a32 32 0 1 1 0-64zm224-448V128H320v320a192 192 0 1 0 384 0m64 0h24.576a32 32 0 0 0 30.656-22.784l45.824-152.768A12.8 12.8 0 0 0 856.768 256H768zm-512 0V256h-88.768a12.8 12.8 0 0 0-12.288 16.448l45.824 152.768A32 32 0 0 0 231.424 448z"})]))}}),trophy_default=trophy_vue_vue_type_script_setup_true_lang_default,turn_off_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"TurnOff",__name:"turn-off",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M329.956 257.138a254.862 254.862 0 0 0 0 509.724h364.088a254.862 254.862 0 0 0 0-509.724zm0-72.818h364.088a327.68 327.68 0 1 1 0 655.36H329.956a327.68 327.68 0 1 1 0-655.36z"}),createBaseVNode("path",{fill:"currentColor",d:"M329.956 621.227a109.227 109.227 0 1 0 0-218.454 109.227 109.227 0 0 0 0 218.454m0 72.817a182.044 182.044 0 1 1 0-364.088 182.044 182.044 0 0 1 0 364.088"})]))}}),turn_off_default=turn_off_vue_vue_type_script_setup_true_lang_default,umbrella_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Umbrella",__name:"umbrella",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M320 768a32 32 0 1 1 64 0 64 64 0 0 0 128 0V512H64a448 448 0 1 1 896 0H576v256a128 128 0 1 1-256 0m570.688-320a384.128 384.128 0 0 0-757.376 0z"})]))}}),umbrella_default=umbrella_vue_vue_type_script_setup_true_lang_default,unlock_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Unlock",__name:"unlock",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M224 448a32 32 0 0 0-32 32v384a32 32 0 0 0 32 32h576a32 32 0 0 0 32-32V480a32 32 0 0 0-32-32zm0-64h576a96 96 0 0 1 96 96v384a96 96 0 0 1-96 96H224a96 96 0 0 1-96-96V480a96 96 0 0 1 96-96"}),createBaseVNode("path",{fill:"currentColor",d:"M512 544a32 32 0 0 1 32 32v192a32 32 0 1 1-64 0V576a32 32 0 0 1 32-32m178.304-295.296A192.064 192.064 0 0 0 320 320v64h352l96 38.4V448H256V320a256 256 0 0 1 493.76-95.104z"})]))}}),unlock_default=unlock_vue_vue_type_script_setup_true_lang_default,upload_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"UploadFilled",__name:"upload-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M544 864V672h128L512 480 352 672h128v192H320v-1.6c-5.376.32-10.496 1.6-16 1.6A240 240 0 0 1 64 624c0-123.136 93.12-223.488 212.608-237.248A239.808 239.808 0 0 1 512 192a239.872 239.872 0 0 1 235.456 194.752c119.488 13.76 212.48 114.112 212.48 237.248a240 240 0 0 1-240 240c-5.376 0-10.56-1.28-16-1.6v1.6z"})]))}}),upload_filled_default=upload_filled_vue_vue_type_script_setup_true_lang_default,upload_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Upload",__name:"upload",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 832h704a32 32 0 1 1 0 64H160a32 32 0 1 1 0-64m384-578.304V704h-64V247.296L237.248 490.048 192 444.8 508.8 128l316.8 316.8-45.312 45.248z"})]))}}),upload_default=upload_vue_vue_type_script_setup_true_lang_default,user_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"UserFilled",__name:"user-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M288 320a224 224 0 1 0 448 0 224 224 0 1 0-448 0m544 608H160a32 32 0 0 1-32-32v-96a160 160 0 0 1 160-160h448a160 160 0 0 1 160 160v96a32 32 0 0 1-32 32z"})]))}}),user_filled_default=user_filled_vue_vue_type_script_setup_true_lang_default,user_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"User",__name:"user",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 512a192 192 0 1 0 0-384 192 192 0 0 0 0 384m0 64a256 256 0 1 1 0-512 256 256 0 0 1 0 512m320 320v-96a96 96 0 0 0-96-96H288a96 96 0 0 0-96 96v96a32 32 0 1 1-64 0v-96a160 160 0 0 1 160-160h448a160 160 0 0 1 160 160v96a32 32 0 1 1-64 0"})]))}}),user_default=user_vue_vue_type_script_setup_true_lang_default,van_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Van",__name:"van",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M128.896 736H96a32 32 0 0 1-32-32V224a32 32 0 0 1 32-32h576a32 32 0 0 1 32 32v96h164.544a32 32 0 0 1 31.616 27.136l54.144 352A32 32 0 0 1 922.688 736h-91.52a144 144 0 1 1-286.272 0H415.104a144 144 0 1 1-286.272 0zm23.36-64a143.872 143.872 0 0 1 239.488 0H568.32c17.088-25.6 42.24-45.376 71.744-55.808V256H128v416zm655.488 0h77.632l-19.648-128H704v64.896A144 144 0 0 1 807.744 672m48.128-192-14.72-96H704v96h151.872M688 832a80 80 0 1 0 0-160 80 80 0 0 0 0 160m-416 0a80 80 0 1 0 0-160 80 80 0 0 0 0 160"})]))}}),van_default=van_vue_vue_type_script_setup_true_lang_default,video_camera_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"VideoCameraFilled",__name:"video-camera-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m768 576 192-64v320l-192-64v96a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V480a32 32 0 0 1 32-32h640a32 32 0 0 1 32 32zM192 768v64h384v-64zm192-480a160 160 0 0 1 320 0 160 160 0 0 1-320 0m64 0a96 96 0 1 0 192.064-.064A96 96 0 0 0 448 288m-320 32a128 128 0 1 1 256.064.064A128 128 0 0 1 128 320m64 0a64 64 0 1 0 128 0 64 64 0 0 0-128 0"})]))}}),video_camera_filled_default=video_camera_filled_vue_vue_type_script_setup_true_lang_default,video_camera_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"VideoCamera",__name:"video-camera",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M704 768V256H128v512zm64-416 192-96v512l-192-96v128a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V224a32 32 0 0 1 32-32h640a32 32 0 0 1 32 32zm0 71.552v176.896l128 64V359.552zM192 320h192v64H192z"})]))}}),video_camera_default=video_camera_vue_vue_type_script_setup_true_lang_default,video_pause_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"VideoPause",__name:"video-pause",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m0 832a384 384 0 0 0 0-768 384 384 0 0 0 0 768m-96-544q32 0 32 32v256q0 32-32 32t-32-32V384q0-32 32-32m192 0q32 0 32 32v256q0 32-32 32t-32-32V384q0-32 32-32"})]))}}),video_pause_default=video_pause_vue_vue_type_script_setup_true_lang_default,video_play_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"VideoPlay",__name:"video-play",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m0 832a384 384 0 0 0 0-768 384 384 0 0 0 0 768m-48-247.616L668.608 512 464 375.616zm10.624-342.656 249.472 166.336a48 48 0 0 1 0 79.872L474.624 718.272A48 48 0 0 1 400 678.336V345.6a48 48 0 0 1 74.624-39.936z"})]))}}),video_play_default=video_play_vue_vue_type_script_setup_true_lang_default,view_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"View",__name:"view",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 160c320 0 512 352 512 352S832 864 512 864 0 512 0 512s192-352 512-352m0 64c-225.28 0-384.128 208.064-436.8 288 52.608 79.872 211.456 288 436.8 288 225.28 0 384.128-208.064 436.8-288-52.608-79.872-211.456-288-436.8-288zm0 64a224 224 0 1 1 0 448 224 224 0 0 1 0-448m0 64a160.192 160.192 0 0 0-160 160c0 88.192 71.744 160 160 160s160-71.808 160-160-71.744-160-160-160"})]))}}),view_default=view_vue_vue_type_script_setup_true_lang_default,wallet_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"WalletFilled",__name:"wallet-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M688 512a112 112 0 1 0 0 224h208v160H128V352h768v160zm32 160h-32a48 48 0 0 1 0-96h32a48 48 0 0 1 0 96m-80-544 128 160H384z"})]))}}),wallet_filled_default=wallet_filled_vue_vue_type_script_setup_true_lang_default,wallet_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Wallet",__name:"wallet",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M640 288h-64V128H128v704h384v32a32 32 0 0 0 32 32H96a32 32 0 0 1-32-32V96a32 32 0 0 1 32-32h512a32 32 0 0 1 32 32z"}),createBaseVNode("path",{fill:"currentColor",d:"M128 320v512h768V320zm-32-64h832a32 32 0 0 1 32 32v576a32 32 0 0 1-32 32H96a32 32 0 0 1-32-32V288a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M704 640a64 64 0 1 1 0-128 64 64 0 0 1 0 128"})]))}}),wallet_default=wallet_vue_vue_type_script_setup_true_lang_default,warn_triangle_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"WarnTriangleFilled",__name:"warn-triangle-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg","xml:space":"preserve",style:{"enable-background":"new 0 0 1024 1024"},viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M928.99 755.83 574.6 203.25c-12.89-20.16-36.76-32.58-62.6-32.58s-49.71 12.43-62.6 32.58L95.01 755.83c-12.91 20.12-12.9 44.91.01 65.03 12.92 20.12 36.78 32.51 62.59 32.49h708.78c25.82.01 49.68-12.37 62.59-32.49 12.91-20.12 12.92-44.91.01-65.03M554.67 768h-85.33v-85.33h85.33zm0-426.67v298.66h-85.33V341.32z"})]))}}),warn_triangle_filled_default=warn_triangle_filled_vue_vue_type_script_setup_true_lang_default,warning_filled_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"WarningFilled",__name:"warning-filled",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m0 192a58.432 58.432 0 0 0-58.24 63.744l23.36 256.384a35.072 35.072 0 0 0 69.76 0l23.296-256.384A58.432 58.432 0 0 0 512 256m0 512a51.2 51.2 0 1 0 0-102.4 51.2 51.2 0 0 0 0 102.4"})]))}}),warning_filled_default=warning_filled_vue_vue_type_script_setup_true_lang_default,warning_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Warning",__name:"warning",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 64a448 448 0 1 1 0 896 448 448 0 0 1 0-896m0 832a384 384 0 0 0 0-768 384 384 0 0 0 0 768m48-176a48 48 0 1 1-96 0 48 48 0 0 1 96 0m-48-464a32 32 0 0 1 32 32v288a32 32 0 0 1-64 0V288a32 32 0 0 1 32-32"})]))}}),warning_default=warning_vue_vue_type_script_setup_true_lang_default,watch_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Watch",__name:"watch",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M512 768a256 256 0 1 0 0-512 256 256 0 0 0 0 512m0 64a320 320 0 1 1 0-640 320 320 0 0 1 0 640"}),createBaseVNode("path",{fill:"currentColor",d:"M480 352a32 32 0 0 1 32 32v160a32 32 0 0 1-64 0V384a32 32 0 0 1 32-32"}),createBaseVNode("path",{fill:"currentColor",d:"M480 512h128q32 0 32 32t-32 32H480q-32 0-32-32t32-32m128-256V128H416v128h-64V64h320v192zM416 768v128h192V768h64v192H352V768z"})]))}}),watch_default=watch_vue_vue_type_script_setup_true_lang_default,watermelon_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"Watermelon",__name:"watermelon",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m683.072 600.32-43.648 162.816-61.824-16.512 53.248-198.528L576 493.248l-158.4 158.4-45.248-45.248 158.4-158.4-55.616-55.616-198.528 53.248-16.512-61.824 162.816-43.648L282.752 200A384 384 0 0 0 824 741.248zm231.552 141.056a448 448 0 1 1-632-632l632 632"})]))}}),watermelon_default=watermelon_vue_vue_type_script_setup_true_lang_default,wind_power_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"WindPower",__name:"wind-power",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"M160 64q32 0 32 32v832q0 32-32 32t-32-32V96q0-32 32-32m416 354.624 128-11.584V168.96l-128-11.52v261.12zm-64 5.824V151.552L320 134.08V160h-64V64l616.704 56.064A96 96 0 0 1 960 215.68v144.64a96 96 0 0 1-87.296 95.616L256 512V224h64v217.92zm256-23.232 98.88-8.96A32 32 0 0 0 896 360.32V215.68a32 32 0 0 0-29.12-31.872l-98.88-8.96z"})]))}}),wind_power_default=wind_power_vue_vue_type_script_setup_true_lang_default,zoom_in_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ZoomIn",__name:"zoom-in",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m795.904 750.72 124.992 124.928a32 32 0 0 1-45.248 45.248L750.656 795.904a416 416 0 1 1 45.248-45.248zM480 832a352 352 0 1 0 0-704 352 352 0 0 0 0 704m-32-384v-96a32 32 0 0 1 64 0v96h96a32 32 0 0 1 0 64h-96v96a32 32 0 0 1-64 0v-96h-96a32 32 0 0 1 0-64z"})]))}}),zoom_in_default=zoom_in_vue_vue_type_script_setup_true_lang_default,zoom_out_vue_vue_type_script_setup_true_lang_default=defineComponent({name:"ZoomOut",__name:"zoom-out",setup(Ra){return(qa,Ja)=>(openBlock(),createElementBlock("svg",{xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1024 1024"},[createBaseVNode("path",{fill:"currentColor",d:"m795.904 750.72 124.992 124.928a32 32 0 0 1-45.248 45.248L750.656 795.904a416 416 0 1 1 45.248-45.248zM480 832a352 352 0 1 0 0-704 352 352 0 0 0 0 704M352 448h256a32 32 0 0 1 0 64H352a32 32 0 0 1 0-64"})]))}}),zoom_out_default=zoom_out_vue_vue_type_script_setup_true_lang_default;const ElementPlusIconsVue=Object.freeze(Object.defineProperty({__proto__:null,AddLocation:add_location_default,Aim:aim_default,AlarmClock:alarm_clock_default,Apple:apple_default,ArrowDown:arrow_down_default,ArrowDownBold:arrow_down_bold_default,ArrowLeft:arrow_left_default,ArrowLeftBold:arrow_left_bold_default,ArrowRight:arrow_right_default,ArrowRightBold:arrow_right_bold_default,ArrowUp:arrow_up_default,ArrowUpBold:arrow_up_bold_default,Avatar:avatar_default,Back:back_default,Baseball:baseball_default,Basketball:basketball_default,Bell:bell_default,BellFilled:bell_filled_default,Bicycle:bicycle_default,Bottom:bottom_default,BottomLeft:bottom_left_default,BottomRight:bottom_right_default,Bowl:bowl_default,Box:box_default,Briefcase:briefcase_default,Brush:brush_default,BrushFilled:brush_filled_default,Burger:burger_default,Calendar:calendar_default,Camera:camera_default,CameraFilled:camera_filled_default,CaretBottom:caret_bottom_default,CaretLeft:caret_left_default,CaretRight:caret_right_default,CaretTop:caret_top_default,Cellphone:cellphone_default,ChatDotRound:chat_dot_round_default,ChatDotSquare:chat_dot_square_default,ChatLineRound:chat_line_round_default,ChatLineSquare:chat_line_square_default,ChatRound:chat_round_default,ChatSquare:chat_square_default,Check:check_default,Checked:checked_default,Cherry:cherry_default,Chicken:chicken_default,ChromeFilled:chrome_filled_default,CircleCheck:circle_check_default,CircleCheckFilled:circle_check_filled_default,CircleClose:circle_close_default,CircleCloseFilled:circle_close_filled_default,CirclePlus:circle_plus_default,CirclePlusFilled:circle_plus_filled_default,Clock:clock_default,Close:close_default,CloseBold:close_bold_default,Cloudy:cloudy_default,Coffee:coffee_default,CoffeeCup:coffee_cup_default,Coin:coin_default,ColdDrink:cold_drink_default,Collection:collection_default,CollectionTag:collection_tag_default,Comment:comment_default,Compass:compass_default,Connection:connection_default,Coordinate:coordinate_default,CopyDocument:copy_document_default,Cpu:cpu_default,CreditCard:credit_card_default,Crop:crop_default,DArrowLeft:d_arrow_left_default,DArrowRight:d_arrow_right_default,DCaret:d_caret_default,DataAnalysis:data_analysis_default,DataBoard:data_board_default,DataLine:data_line_default,Delete:delete_default,DeleteFilled:delete_filled_default,DeleteLocation:delete_location_default,Dessert:dessert_default,Discount:discount_default,Dish:dish_default,DishDot:dish_dot_default,Document:document_default,DocumentAdd:document_add_default,DocumentChecked:document_checked_default,DocumentCopy:document_copy_default,DocumentDelete:document_delete_default,DocumentRemove:document_remove_default,Download:download_default,Drizzling:drizzling_default,Edit:edit_default,EditPen:edit_pen_default,Eleme:eleme_default,ElemeFilled:eleme_filled_default,ElementPlus:element_plus_default,Expand:expand_default,Failed:failed_default,Female:female_default,Files:files_default,Film:film_default,Filter:filter_default,Finished:finished_default,FirstAidKit:first_aid_kit_default,Flag:flag_default,Fold:fold_default,Folder:folder_default,FolderAdd:folder_add_default,FolderChecked:folder_checked_default,FolderDelete:folder_delete_default,FolderOpened:folder_opened_default,FolderRemove:folder_remove_default,Food:food_default,Football:football_default,ForkSpoon:fork_spoon_default,Fries:fries_default,FullScreen:full_screen_default,Goblet:goblet_default,GobletFull:goblet_full_default,GobletSquare:goblet_square_default,GobletSquareFull:goblet_square_full_default,GoldMedal:gold_medal_default,Goods:goods_default,GoodsFilled:goods_filled_default,Grape:grape_default,Grid:grid_default,Guide:guide_default,Handbag:handbag_default,Headset:headset_default,Help:help_default,HelpFilled:help_filled_default,Hide:hide_default,Histogram:histogram_default,HomeFilled:home_filled_default,HotWater:hot_water_default,House:house_default,IceCream:ice_cream_default,IceCreamRound:ice_cream_round_default,IceCreamSquare:ice_cream_square_default,IceDrink:ice_drink_default,IceTea:ice_tea_default,InfoFilled:info_filled_default,Iphone:iphone_default,Key:key_default,KnifeFork:knife_fork_default,Lightning:lightning_default,Link:link_default,List:list_default,Loading:loading_default,Location:location_default,LocationFilled:location_filled_default,LocationInformation:location_information_default,Lock:lock_default,Lollipop:lollipop_default,MagicStick:magic_stick_default,Magnet:magnet_default,Male:male_default,Management:management_default,MapLocation:map_location_default,Medal:medal_default,Memo:memo_default,Menu:menu_default,Message:message_default,MessageBox:message_box_default,Mic:mic_default,Microphone:microphone_default,MilkTea:milk_tea_default,Minus:minus_default,Money:money_default,Monitor:monitor_default,Moon:moon_default,MoonNight:moon_night_default,More:more_default,MoreFilled:more_filled_default,MostlyCloudy:mostly_cloudy_default,Mouse:mouse_default,Mug:mug_default,Mute:mute_default,MuteNotification:mute_notification_default,NoSmoking:no_smoking_default,Notebook:notebook_default,Notification:notification_default,Odometer:odometer_default,OfficeBuilding:office_building_default,Open:open_default,Operation:operation_default,Opportunity:opportunity_default,Orange:orange_default,Paperclip:paperclip_default,PartlyCloudy:partly_cloudy_default,Pear:pear_default,Phone:phone_default,PhoneFilled:phone_filled_default,Picture:picture_default,PictureFilled:picture_filled_default,PictureRounded:picture_rounded_default,PieChart:pie_chart_default,Place:place_default,Platform:platform_default,Plus:plus_default,Pointer:pointer_default,Position:position_default,Postcard:postcard_default,Pouring:pouring_default,Present:present_default,PriceTag:price_tag_default,Printer:printer_default,Promotion:promotion_default,QuartzWatch:quartz_watch_default,QuestionFilled:question_filled_default,Rank:rank_default,Reading:reading_default,ReadingLamp:reading_lamp_default,Refresh:refresh_default,RefreshLeft:refresh_left_default,RefreshRight:refresh_right_default,Refrigerator:refrigerator_default,Remove:remove_default,RemoveFilled:remove_filled_default,Right:right_default,ScaleToOriginal:scale_to_original_default,School:school_default,Scissor:scissor_default,Search:search_default,Select:select_default,Sell:sell_default,SemiSelect:semi_select_default,Service:service_default,SetUp:set_up_default,Setting:setting_default,Share:share_default,Ship:ship_default,Shop:shop_default,ShoppingBag:shopping_bag_default,ShoppingCart:shopping_cart_default,ShoppingCartFull:shopping_cart_full_default,ShoppingTrolley:shopping_trolley_default,Smoking:smoking_default,Soccer:soccer_default,SoldOut:sold_out_default,Sort:sort_default,SortDown:sort_down_default,SortUp:sort_up_default,Stamp:stamp_default,Star:star_default,StarFilled:star_filled_default,Stopwatch:stopwatch_default,SuccessFilled:success_filled_default,Sugar:sugar_default,Suitcase:suitcase_default,SuitcaseLine:suitcase_line_default,Sunny:sunny_default,Sunrise:sunrise_default,Sunset:sunset_default,Switch:switch_default,SwitchButton:switch_button_default,SwitchFilled:switch_filled_default,TakeawayBox:takeaway_box_default,Ticket:ticket_default,Tickets:tickets_default,Timer:timer_default,ToiletPaper:toilet_paper_default,Tools:tools_default,Top:top_default,TopLeft:top_left_default,TopRight:top_right_default,TrendCharts:trend_charts_default,Trophy:trophy_default,TrophyBase:trophy_base_default,TurnOff:turn_off_default,Umbrella:umbrella_default,Unlock:unlock_default,Upload:upload_default,UploadFilled:upload_filled_default,User:user_default,UserFilled:user_filled_default,Van:van_default,VideoCamera:video_camera_default,VideoCameraFilled:video_camera_filled_default,VideoPause:video_pause_default,VideoPlay:video_play_default,View:view_default,Wallet:wallet_default,WalletFilled:wallet_filled_default,WarnTriangleFilled:warn_triangle_filled_default,Warning:warning_default,WarningFilled:warning_filled_default,Watch:watch_default,Watermelon:watermelon_default,WindPower:wind_power_default,ZoomIn:zoom_in_default,ZoomOut:zoom_out_default},Symbol.toStringTag,{value:"Module"})),epPropKey="__epPropKey",definePropType=Ra=>Ra,isEpProp=Ra=>isObject$5(Ra)&&!!Ra[epPropKey],buildProp=(Ra,qa)=>{if(!isObject$5(Ra)||isEpProp(Ra))return Ra;const{values:Ja,required:ed,default:td,type:rd,validator:sd}=Ra,ld={type:rd,required:!!ed,validator:Ja||sd?cd=>{let ud=!1,_d=[];if(Ja&&(_d=Array.from(Ja),hasOwn$1(Ra,"default")&&_d.push(td),ud||(ud=_d.includes(cd))),sd&&(ud||(ud=sd(cd))),!ud&&_d.length>0){const yd=[...new Set(_d)].map(gd=>JSON.stringify(gd)).join(", ");warn$2(`Invalid prop: validation failed${qa?` for prop "${qa}"`:""}. Expected one of [${yd}], got value ${JSON.stringify(cd)}.`)}return ud}:void 0,[epPropKey]:!0};return hasOwn$1(Ra,"default")&&(ld.default=td),ld},buildProps=Ra=>fromPairs(Object.entries(Ra).map(([qa,Ja])=>[qa,buildProp(Ja,qa)])),iconPropType=definePropType([String,Object,Function]),CloseComponents={Close:close_default},TypeComponents={Close:close_default,SuccessFilled:success_filled_default,InfoFilled:info_filled_default,WarningFilled:warning_filled_default,CircleCloseFilled:circle_close_filled_default},TypeComponentsMap={success:success_filled_default,warning:warning_filled_default,error:circle_close_filled_default,info:info_filled_default},ValidateComponentsMap={validating:loading_default,success:circle_check_default,error:circle_close_default},withInstall=(Ra,qa)=>{if(Ra.install=Ja=>{for(const ed of[Ra,...Object.values(qa??{})])Ja.component(ed.name,ed)},qa)for(const[Ja,ed]of Object.entries(qa))Ra[Ja]=ed;return Ra},withInstallFunction=(Ra,qa)=>(Ra.install=Ja=>{Ra._context=Ja._context,Ja.config.globalProperties[qa]=Ra},Ra),withNoopInstall=Ra=>(Ra.install=NOOP,Ra),composeRefs=(...Ra)=>qa=>{Ra.forEach(Ja=>{isFunction$4(Ja)?Ja(qa):Ja.value=qa})},EVENT_CODE={tab:"Tab",enter:"Enter",space:"Space",left:"ArrowLeft",up:"ArrowUp",right:"ArrowRight",down:"ArrowDown",esc:"Escape",delete:"Delete",backspace:"Backspace",numpadEnter:"NumpadEnter",pageUp:"PageUp",pageDown:"PageDown",home:"Home",end:"End"},UPDATE_MODEL_EVENT="update:modelValue",CHANGE_EVENT="change",INPUT_EVENT="input",componentSizes=["","default","small","large"],isValidComponentSize=Ra=>["",...componentSizes].includes(Ra);var PatchFlags=(Ra=>(Ra[Ra.TEXT=1]="TEXT",Ra[Ra.CLASS=2]="CLASS",Ra[Ra.STYLE=4]="STYLE",Ra[Ra.PROPS=8]="PROPS",Ra[Ra.FULL_PROPS=16]="FULL_PROPS",Ra[Ra.HYDRATE_EVENTS=32]="HYDRATE_EVENTS",Ra[Ra.STABLE_FRAGMENT=64]="STABLE_FRAGMENT",Ra[Ra.KEYED_FRAGMENT=128]="KEYED_FRAGMENT",Ra[Ra.UNKEYED_FRAGMENT=256]="UNKEYED_FRAGMENT",Ra[Ra.NEED_PATCH=512]="NEED_PATCH",Ra[Ra.DYNAMIC_SLOTS=1024]="DYNAMIC_SLOTS",Ra[Ra.HOISTED=-1]="HOISTED",Ra[Ra.BAIL=-2]="BAIL",Ra))(PatchFlags||{});const flattedChildren=Ra=>{const qa=isArray$2(Ra)?Ra:[Ra],Ja=[];return qa.forEach(ed=>{var td;isArray$2(ed)?Ja.push(...flattedChildren(ed)):isVNode(ed)&&isArray$2(ed.children)?Ja.push(...flattedChildren(ed.children)):(Ja.push(ed),isVNode(ed)&&((td=ed.component)!=null&&td.subTree)&&Ja.push(...flattedChildren(ed.component.subTree)))}),Ja},isKorean=Ra=>/([\uAC00-\uD7AF\u3130-\u318F])+/gi.test(Ra),mutable=Ra=>Ra,DEFAULT_EXCLUDE_KEYS=["class","style"],LISTENER_PREFIX=/^on[A-Z]/,useAttrs=(Ra={})=>{const{excludeListeners:qa=!1,excludeKeys:Ja}=Ra,ed=computed(()=>((Ja==null?void 0:Ja.value)||[]).concat(DEFAULT_EXCLUDE_KEYS)),td=getCurrentInstance();return computed(td?()=>{var rd;return fromPairs(Object.entries((rd=td.proxy)==null?void 0:rd.$attrs).filter(([sd])=>!ed.value.includes(sd)&&!(qa&&LISTENER_PREFIX.test(sd))))}:()=>({}))},useDeprecated=({from:Ra,replacement:qa,scope:Ja,version:ed,ref:td,type:rd="API"},sd)=>{watch(()=>unref(sd),od=>{},{immediate:!0})},useDraggable=(Ra,qa,Ja,ed)=>{let td={offsetX:0,offsetY:0};const rd=cd=>{const ud=cd.clientX,_d=cd.clientY,{offsetX:yd,offsetY:gd}=td,Ed=Ra.value.getBoundingClientRect(),Td=Ed.left,kd=Ed.top,Rd=Ed.width,Nd=Ed.height,Id=document.documentElement.clientWidth,Md=document.documentElement.clientHeight,Ld=-Td+yd,Pd=-kd+gd,qd=Id-Td-Rd+yd,Yd=Md-kd-Nd+gd,Ud=Vd=>{let Jd=yd+Vd.clientX-ud,Zd=gd+Vd.clientY-_d;ed!=null&&ed.value||(Jd=Math.min(Math.max(Jd,Ld),qd),Zd=Math.min(Math.max(Zd,Pd),Yd)),td={offsetX:Jd,offsetY:Zd},Ra.value&&(Ra.value.style.transform=`translate(${addUnit(Jd)}, ${addUnit(Zd)})`)},Hd=()=>{document.removeEventListener("mousemove",Ud),document.removeEventListener("mouseup",Hd)};document.addEventListener("mousemove",Ud),document.addEventListener("mouseup",Hd)},sd=()=>{qa.value&&Ra.value&&qa.value.addEventListener("mousedown",rd)},od=()=>{qa.value&&Ra.value&&qa.value.removeEventListener("mousedown",rd)},ld=()=>{td={offsetX:0,offsetY:0},Ra.value&&(Ra.value.style.transform="none")};return onMounted(()=>{watchEffect(()=>{Ja.value?sd():od()})}),onBeforeUnmount(()=>{od()}),{resetPosition:ld}};var English={name:"en",el:{breadcrumb:{label:"Breadcrumb"},colorpicker:{confirm:"OK",clear:"Clear",defaultLabel:"color picker",description:"current color is {color}. press enter to select a new color.",alphaLabel:"pick alpha value"},datepicker:{now:"Now",today:"Today",cancel:"Cancel",clear:"Clear",confirm:"OK",dateTablePrompt:"Use the arrow keys and enter to select the day of the month",monthTablePrompt:"Use the arrow keys and enter to select the month",yearTablePrompt:"Use the arrow keys and enter to select the year",selectedDate:"Selected date",selectDate:"Select date",selectTime:"Select time",startDate:"Start Date",startTime:"Start Time",endDate:"End Date",endTime:"End Time",prevYear:"Previous Year",nextYear:"Next Year",prevMonth:"Previous Month",nextMonth:"Next Month",year:"",month1:"January",month2:"February",month3:"March",month4:"April",month5:"May",month6:"June",month7:"July",month8:"August",month9:"September",month10:"October",month11:"November",month12:"December",week:"week",weeks:{sun:"Sun",mon:"Mon",tue:"Tue",wed:"Wed",thu:"Thu",fri:"Fri",sat:"Sat"},weeksFull:{sun:"Sunday",mon:"Monday",tue:"Tuesday",wed:"Wednesday",thu:"Thursday",fri:"Friday",sat:"Saturday"},months:{jan:"Jan",feb:"Feb",mar:"Mar",apr:"Apr",may:"May",jun:"Jun",jul:"Jul",aug:"Aug",sep:"Sep",oct:"Oct",nov:"Nov",dec:"Dec"}},inputNumber:{decrease:"decrease number",increase:"increase number"},select:{loading:"Loading",noMatch:"No matching data",noData:"No data",placeholder:"Select"},mention:{loading:"Loading"},dropdown:{toggleDropdown:"Toggle Dropdown"},cascader:{noMatch:"No matching data",loading:"Loading",placeholder:"Select",noData:"No data"},pagination:{goto:"Go to",pagesize:"/page",total:"Total {total}",pageClassifier:"",page:"Page",prev:"Go to previous page",next:"Go to next page",currentPage:"page {pager}",prevPages:"Previous {pager} pages",nextPages:"Next {pager} pages",deprecationWarning:"Deprecated usages detected, please refer to the el-pagination documentation for more details"},dialog:{close:"Close this dialog"},drawer:{close:"Close this dialog"},messagebox:{title:"Message",confirm:"OK",cancel:"Cancel",error:"Illegal input",close:"Close this dialog"},upload:{deleteTip:"press delete to remove",delete:"Delete",preview:"Preview",continue:"Continue"},slider:{defaultLabel:"slider between {min} and {max}",defaultRangeStartLabel:"pick start value",defaultRangeEndLabel:"pick end value"},table:{emptyText:"No Data",confirmFilter:"Confirm",resetFilter:"Reset",clearFilter:"All",sumText:"Sum"},tour:{next:"Next",previous:"Previous",finish:"Finish"},tree:{emptyText:"No Data"},transfer:{noMatch:"No matching data",noData:"No data",titles:["List 1","List 2"],filterPlaceholder:"Enter keyword",noCheckedFormat:"{total} items",hasCheckedFormat:"{checked}/{total} checked"},image:{error:"FAILED"},pageHeader:{title:"Back"},popconfirm:{confirmButtonText:"Yes",cancelButtonText:"No"},carousel:{leftArrow:"Carousel arrow left",rightArrow:"Carousel arrow right",indicator:"Carousel switch to index {index}"}}};const buildTranslator=Ra=>(qa,Ja)=>translate(qa,Ja,unref(Ra)),translate=(Ra,qa,Ja)=>get$2(Ja,Ra,Ra).replace(/\{(\w+)\}/g,(ed,td)=>{var rd;return`${(rd=qa==null?void 0:qa[td])!=null?rd:`{${td}}`}`}),buildLocaleContext=Ra=>{const qa=computed(()=>unref(Ra).name),Ja=isRef(Ra)?Ra:ref$1(Ra);return{lang:qa,locale:Ja,t:buildTranslator(Ra)}},localeContextKey=Symbol("localeContextKey"),useLocale=Ra=>{const qa=Ra||inject(localeContextKey,ref$1());return buildLocaleContext(computed(()=>qa.value||English))},defaultNamespace="el",statePrefix="is-",_bem=(Ra,qa,Ja,ed,td)=>{let rd=`${Ra}-${qa}`;return Ja&&(rd+=`-${Ja}`),ed&&(rd+=`__${ed}`),td&&(rd+=`--${td}`),rd},namespaceContextKey=Symbol("namespaceContextKey"),useGetDerivedNamespace=Ra=>{const qa=Ra||(getCurrentInstance()?inject(namespaceContextKey,ref$1(defaultNamespace)):ref$1(defaultNamespace));return computed(()=>unref(qa)||defaultNamespace)},useNamespace=(Ra,qa)=>{const Ja=useGetDerivedNamespace(qa);return{namespace:Ja,b:(Td="")=>_bem(Ja.value,Ra,Td,"",""),e:Td=>Td?_bem(Ja.value,Ra,"",Td,""):"",m:Td=>Td?_bem(Ja.value,Ra,"","",Td):"",be:(Td,kd)=>Td&&kd?_bem(Ja.value,Ra,Td,kd,""):"",em:(Td,kd)=>Td&&kd?_bem(Ja.value,Ra,"",Td,kd):"",bm:(Td,kd)=>Td&&kd?_bem(Ja.value,Ra,Td,"",kd):"",bem:(Td,kd,Rd)=>Td&&kd&&Rd?_bem(Ja.value,Ra,Td,kd,Rd):"",is:(Td,...kd)=>{const Rd=kd.length>=1?kd[0]:!0;return Td&&Rd?`${statePrefix}${Td}`:""},cssVar:Td=>{const kd={};for(const Rd in Td)Td[Rd]&&(kd[`--${Ja.value}-${Rd}`]=Td[Rd]);return kd},cssVarName:Td=>`--${Ja.value}-${Td}`,cssVarBlock:Td=>{const kd={};for(const Rd in Td)Td[Rd]&&(kd[`--${Ja.value}-${Ra}-${Rd}`]=Td[Rd]);return kd},cssVarBlockName:Td=>`--${Ja.value}-${Ra}-${Td}`}},useLockscreen=(Ra,qa={})=>{isRef(Ra)||throwError$1("[useLockscreen]","You need to pass a ref param to this function");const Ja=qa.ns||useNamespace("popup"),ed=computed(()=>Ja.bm("parent","hidden"));if(!isClient||hasClass(document.body,ed.value))return;let td=0,rd=!1,sd="0";const od=()=>{setTimeout(()=>{removeClass(document==null?void 0:document.body,ed.value),rd&&document&&(document.body.style.width=sd)},200)};watch(Ra,ld=>{if(!ld){od();return}rd=!hasClass(document.body,ed.value),rd&&(sd=document.body.style.width),td=getScrollBarWidth(Ja.namespace.value);const cd=document.documentElement.clientHeight<document.body.scrollHeight,ud=getStyle(document.body,"overflowY");td>0&&(cd||ud==="scroll")&&rd&&(document.body.style.width=`calc(100% - ${td}px)`),addClass(document.body,ed.value)}),onScopeDispose(()=>od())},_prop=buildProp({type:definePropType(Boolean),default:null}),_event=buildProp({type:definePropType(Function)}),createModelToggleComposable=Ra=>{const qa=`update:${Ra}`,Ja=`onUpdate:${Ra}`,ed=[qa],td={[Ra]:_prop,[Ja]:_event};return{useModelToggle:({indicator:sd,toggleReason:od,shouldHideWhenRouteChanges:ld,shouldProceed:cd,onShow:ud,onHide:_d})=>{const yd=getCurrentInstance(),{emit:gd}=yd,Ed=yd.props,Td=computed(()=>isFunction$4(Ed[Ja])),kd=computed(()=>Ed[Ra]===null),Rd=qd=>{sd.value!==!0&&(sd.value=!0,od&&(od.value=qd),isFunction$4(ud)&&ud(qd))},Nd=qd=>{sd.value!==!1&&(sd.value=!1,od&&(od.value=qd),isFunction$4(_d)&&_d(qd))},Id=qd=>{if(Ed.disabled===!0||isFunction$4(cd)&&!cd())return;const Yd=Td.value&&isClient;Yd&&gd(qa,!0),(kd.value||!Yd)&&Rd(qd)},Md=qd=>{if(Ed.disabled===!0||!isClient)return;const Yd=Td.value&&isClient;Yd&&gd(qa,!1),(kd.value||!Yd)&&Nd(qd)},Ld=qd=>{isBoolean$3(qd)&&(Ed.disabled&&qd?Td.value&&gd(qa,!1):sd.value!==qd&&(qd?Rd():Nd()))},Pd=()=>{sd.value?Md():Id()};return watch(()=>Ed[Ra],Ld),ld&&yd.appContext.config.globalProperties.$route!==void 0&&watch(()=>({...yd.proxy.$route}),()=>{ld.value&&sd.value&&Md()}),onMounted(()=>{Ld(Ed[Ra])}),{hide:Md,show:Id,toggle:Pd,hasUpdateHandler:Td}},useModelToggleProps:td,useModelToggleEmits:ed}};createModelToggleComposable("modelValue");const useProp=Ra=>{const qa=getCurrentInstance();return computed(()=>{var Ja,ed;return(ed=(Ja=qa==null?void 0:qa.proxy)==null?void 0:Ja.$props)==null?void 0:ed[Ra]})};var E$3="top",R$4="bottom",W$4="right",P$4="left",me$2="auto",G$5=[E$3,R$4,W$4,P$4],U$4="start",J$4="end",Xe$3="clippingParents",je$5="viewport",K$4="popper",Ye$3="reference",De$3=G$5.reduce(function(Ra,qa){return Ra.concat([qa+"-"+U$4,qa+"-"+J$4])},[]),Ee$4=[].concat(G$5,[me$2]).reduce(function(Ra,qa){return Ra.concat([qa,qa+"-"+U$4,qa+"-"+J$4])},[]),Ge$3="beforeRead",Je$3="read",Ke$3="afterRead",Qe$3="beforeMain",Ze$4="main",et$4="afterMain",tt$4="beforeWrite",nt$3="write",rt$3="afterWrite",ot$3=[Ge$3,Je$3,Ke$3,Qe$3,Ze$4,et$4,tt$4,nt$3,rt$3];function C$2(Ra){return Ra?(Ra.nodeName||"").toLowerCase():null}function H$4(Ra){if(Ra==null)return window;if(Ra.toString()!=="[object Window]"){var qa=Ra.ownerDocument;return qa&&qa.defaultView||window}return Ra}function Q$5(Ra){var qa=H$4(Ra).Element;return Ra instanceof qa||Ra instanceof Element}function B$3(Ra){var qa=H$4(Ra).HTMLElement;return Ra instanceof qa||Ra instanceof HTMLElement}function Pe$5(Ra){if(typeof ShadowRoot>"u")return!1;var qa=H$4(Ra).ShadowRoot;return Ra instanceof qa||Ra instanceof ShadowRoot}function Mt$4(Ra){var qa=Ra.state;Object.keys(qa.elements).forEach(function(Ja){var ed=qa.styles[Ja]||{},td=qa.attributes[Ja]||{},rd=qa.elements[Ja];!B$3(rd)||!C$2(rd)||(Object.assign(rd.style,ed),Object.keys(td).forEach(function(sd){var od=td[sd];od===!1?rd.removeAttribute(sd):rd.setAttribute(sd,od===!0?"":od)}))})}function Rt$5(Ra){var qa=Ra.state,Ja={popper:{position:qa.options.strategy,left:"0",top:"0",margin:"0"},arrow:{position:"absolute"},reference:{}};return Object.assign(qa.elements.popper.style,Ja.popper),qa.styles=Ja,qa.elements.arrow&&Object.assign(qa.elements.arrow.style,Ja.arrow),function(){Object.keys(qa.elements).forEach(function(ed){var td=qa.elements[ed],rd=qa.attributes[ed]||{},sd=Object.keys(qa.styles.hasOwnProperty(ed)?qa.styles[ed]:Ja[ed]),od=sd.reduce(function(ld,cd){return ld[cd]="",ld},{});!B$3(td)||!C$2(td)||(Object.assign(td.style,od),Object.keys(rd).forEach(function(ld){td.removeAttribute(ld)}))})}}var Ae$3={name:"applyStyles",enabled:!0,phase:"write",fn:Mt$4,effect:Rt$5,requires:["computeStyles"]};function q$4(Ra){return Ra.split("-")[0]}var X$4=Math.max,ve$4=Math.min,Z$3=Math.round;function ee$5(Ra,qa){qa===void 0&&(qa=!1);var Ja=Ra.getBoundingClientRect(),ed=1,td=1;if(B$3(Ra)&&qa){var rd=Ra.offsetHeight,sd=Ra.offsetWidth;sd>0&&(ed=Z$3(Ja.width)/sd||1),rd>0&&(td=Z$3(Ja.height)/rd||1)}return{width:Ja.width/ed,height:Ja.height/td,top:Ja.top/td,right:Ja.right/ed,bottom:Ja.bottom/td,left:Ja.left/ed,x:Ja.left/ed,y:Ja.top/td}}function ke$4(Ra){var qa=ee$5(Ra),Ja=Ra.offsetWidth,ed=Ra.offsetHeight;return Math.abs(qa.width-Ja)<=1&&(Ja=qa.width),Math.abs(qa.height-ed)<=1&&(ed=qa.height),{x:Ra.offsetLeft,y:Ra.offsetTop,width:Ja,height:ed}}function it$5(Ra,qa){var Ja=qa.getRootNode&&qa.getRootNode();if(Ra.contains(qa))return!0;if(Ja&&Pe$5(Ja)){var ed=qa;do{if(ed&&Ra.isSameNode(ed))return!0;ed=ed.parentNode||ed.host}while(ed)}return!1}function N$5(Ra){return H$4(Ra).getComputedStyle(Ra)}function Wt$2(Ra){return["table","td","th"].indexOf(C$2(Ra))>=0}function I$2(Ra){return((Q$5(Ra)?Ra.ownerDocument:Ra.document)||window.document).documentElement}function ge$7(Ra){return C$2(Ra)==="html"?Ra:Ra.assignedSlot||Ra.parentNode||(Pe$5(Ra)?Ra.host:null)||I$2(Ra)}function at$4(Ra){return!B$3(Ra)||N$5(Ra).position==="fixed"?null:Ra.offsetParent}function Bt$5(Ra){var qa=navigator.userAgent.toLowerCase().indexOf("firefox")!==-1,Ja=navigator.userAgent.indexOf("Trident")!==-1;if(Ja&&B$3(Ra)){var ed=N$5(Ra);if(ed.position==="fixed")return null}var td=ge$7(Ra);for(Pe$5(td)&&(td=td.host);B$3(td)&&["html","body"].indexOf(C$2(td))<0;){var rd=N$5(td);if(rd.transform!=="none"||rd.perspective!=="none"||rd.contain==="paint"||["transform","perspective"].indexOf(rd.willChange)!==-1||qa&&rd.willChange==="filter"||qa&&rd.filter&&rd.filter!=="none")return td;td=td.parentNode}return null}function se$3(Ra){for(var qa=H$4(Ra),Ja=at$4(Ra);Ja&&Wt$2(Ja)&&N$5(Ja).position==="static";)Ja=at$4(Ja);return Ja&&(C$2(Ja)==="html"||C$2(Ja)==="body"&&N$5(Ja).position==="static")?qa:Ja||Bt$5(Ra)||qa}function Le$4(Ra){return["top","bottom"].indexOf(Ra)>=0?"x":"y"}function fe$4(Ra,qa,Ja){return X$4(Ra,ve$4(qa,Ja))}function St$4(Ra,qa,Ja){var ed=fe$4(Ra,qa,Ja);return ed>Ja?Ja:ed}function st$3(){return{top:0,right:0,bottom:0,left:0}}function ft$3(Ra){return Object.assign({},st$3(),Ra)}function ct$2(Ra,qa){return qa.reduce(function(Ja,ed){return Ja[ed]=Ra,Ja},{})}var Tt$4=function(Ra,qa){return Ra=typeof Ra=="function"?Ra(Object.assign({},qa.rects,{placement:qa.placement})):Ra,ft$3(typeof Ra!="number"?Ra:ct$2(Ra,G$5))};function Ht$3(Ra){var qa,Ja=Ra.state,ed=Ra.name,td=Ra.options,rd=Ja.elements.arrow,sd=Ja.modifiersData.popperOffsets,od=q$4(Ja.placement),ld=Le$4(od),cd=[P$4,W$4].indexOf(od)>=0,ud=cd?"height":"width";if(!(!rd||!sd)){var _d=Tt$4(td.padding,Ja),yd=ke$4(rd),gd=ld==="y"?E$3:P$4,Ed=ld==="y"?R$4:W$4,Td=Ja.rects.reference[ud]+Ja.rects.reference[ld]-sd[ld]-Ja.rects.popper[ud],kd=sd[ld]-Ja.rects.reference[ld],Rd=se$3(rd),Nd=Rd?ld==="y"?Rd.clientHeight||0:Rd.clientWidth||0:0,Id=Td/2-kd/2,Md=_d[gd],Ld=Nd-yd[ud]-_d[Ed],Pd=Nd/2-yd[ud]/2+Id,qd=fe$4(Md,Pd,Ld),Yd=ld;Ja.modifiersData[ed]=(qa={},qa[Yd]=qd,qa.centerOffset=qd-Pd,qa)}}function Ct$4(Ra){var qa=Ra.state,Ja=Ra.options,ed=Ja.element,td=ed===void 0?"[data-popper-arrow]":ed;td!=null&&(typeof td=="string"&&(td=qa.elements.popper.querySelector(td),!td)||!it$5(qa.elements.popper,td)||(qa.elements.arrow=td))}var pt$4={name:"arrow",enabled:!0,phase:"main",fn:Ht$3,effect:Ct$4,requires:["popperOffsets"],requiresIfExists:["preventOverflow"]};function te$4(Ra){return Ra.split("-")[1]}var qt$3={top:"auto",right:"auto",bottom:"auto",left:"auto"};function Vt$3(Ra){var qa=Ra.x,Ja=Ra.y,ed=window,td=ed.devicePixelRatio||1;return{x:Z$3(qa*td)/td||0,y:Z$3(Ja*td)/td||0}}function ut$2(Ra){var qa,Ja=Ra.popper,ed=Ra.popperRect,td=Ra.placement,rd=Ra.variation,sd=Ra.offsets,od=Ra.position,ld=Ra.gpuAcceleration,cd=Ra.adaptive,ud=Ra.roundOffsets,_d=Ra.isFixed,yd=sd.x,gd=yd===void 0?0:yd,Ed=sd.y,Td=Ed===void 0?0:Ed,kd=typeof ud=="function"?ud({x:gd,y:Td}):{x:gd,y:Td};gd=kd.x,Td=kd.y;var Rd=sd.hasOwnProperty("x"),Nd=sd.hasOwnProperty("y"),Id=P$4,Md=E$3,Ld=window;if(cd){var Pd=se$3(Ja),qd="clientHeight",Yd="clientWidth";if(Pd===H$4(Ja)&&(Pd=I$2(Ja),N$5(Pd).position!=="static"&&od==="absolute"&&(qd="scrollHeight",Yd="scrollWidth")),Pd=Pd,td===E$3||(td===P$4||td===W$4)&&rd===J$4){Md=R$4;var Ud=_d&&Pd===Ld&&Ld.visualViewport?Ld.visualViewport.height:Pd[qd];Td-=Ud-ed.height,Td*=ld?1:-1}if(td===P$4||(td===E$3||td===R$4)&&rd===J$4){Id=W$4;var Hd=_d&&Pd===Ld&&Ld.visualViewport?Ld.visualViewport.width:Pd[Yd];gd-=Hd-ed.width,gd*=ld?1:-1}}var Vd=Object.assign({position:od},cd&&qt$3),Jd=ud===!0?Vt$3({x:gd,y:Td}):{x:gd,y:Td};if(gd=Jd.x,Td=Jd.y,ld){var Zd;return Object.assign({},Vd,(Zd={},Zd[Md]=Nd?"0":"",Zd[Id]=Rd?"0":"",Zd.transform=(Ld.devicePixelRatio||1)<=1?"translate("+gd+"px, "+Td+"px)":"translate3d("+gd+"px, "+Td+"px, 0)",Zd))}return Object.assign({},Vd,(qa={},qa[Md]=Nd?Td+"px":"",qa[Id]=Rd?gd+"px":"",qa.transform="",qa))}function Nt$4(Ra){var qa=Ra.state,Ja=Ra.options,ed=Ja.gpuAcceleration,td=ed===void 0?!0:ed,rd=Ja.adaptive,sd=rd===void 0?!0:rd,od=Ja.roundOffsets,ld=od===void 0?!0:od,cd={placement:q$4(qa.placement),variation:te$4(qa.placement),popper:qa.elements.popper,popperRect:qa.rects.popper,gpuAcceleration:td,isFixed:qa.options.strategy==="fixed"};qa.modifiersData.popperOffsets!=null&&(qa.styles.popper=Object.assign({},qa.styles.popper,ut$2(Object.assign({},cd,{offsets:qa.modifiersData.popperOffsets,position:qa.options.strategy,adaptive:sd,roundOffsets:ld})))),qa.modifiersData.arrow!=null&&(qa.styles.arrow=Object.assign({},qa.styles.arrow,ut$2(Object.assign({},cd,{offsets:qa.modifiersData.arrow,position:"absolute",adaptive:!1,roundOffsets:ld})))),qa.attributes.popper=Object.assign({},qa.attributes.popper,{"data-popper-placement":qa.placement})}var Me$6={name:"computeStyles",enabled:!0,phase:"beforeWrite",fn:Nt$4,data:{}},ye$3={passive:!0};function It$4(Ra){var qa=Ra.state,Ja=Ra.instance,ed=Ra.options,td=ed.scroll,rd=td===void 0?!0:td,sd=ed.resize,od=sd===void 0?!0:sd,ld=H$4(qa.elements.popper),cd=[].concat(qa.scrollParents.reference,qa.scrollParents.popper);return rd&&cd.forEach(function(ud){ud.addEventListener("scroll",Ja.update,ye$3)}),od&&ld.addEventListener("resize",Ja.update,ye$3),function(){rd&&cd.forEach(function(ud){ud.removeEventListener("scroll",Ja.update,ye$3)}),od&&ld.removeEventListener("resize",Ja.update,ye$3)}}var Re$8={name:"eventListeners",enabled:!0,phase:"write",fn:function(){},effect:It$4,data:{}},_t$3={left:"right",right:"left",bottom:"top",top:"bottom"};function be$6(Ra){return Ra.replace(/left|right|bottom|top/g,function(qa){return _t$3[qa]})}var zt$1={start:"end",end:"start"};function lt$9(Ra){return Ra.replace(/start|end/g,function(qa){return zt$1[qa]})}function We$2(Ra){var qa=H$4(Ra),Ja=qa.pageXOffset,ed=qa.pageYOffset;return{scrollLeft:Ja,scrollTop:ed}}function Be$5(Ra){return ee$5(I$2(Ra)).left+We$2(Ra).scrollLeft}function Ft$5(Ra){var qa=H$4(Ra),Ja=I$2(Ra),ed=qa.visualViewport,td=Ja.clientWidth,rd=Ja.clientHeight,sd=0,od=0;return ed&&(td=ed.width,rd=ed.height,/^((?!chrome|android).)*safari/i.test(navigator.userAgent)||(sd=ed.offsetLeft,od=ed.offsetTop)),{width:td,height:rd,x:sd+Be$5(Ra),y:od}}function Ut$3(Ra){var qa,Ja=I$2(Ra),ed=We$2(Ra),td=(qa=Ra.ownerDocument)==null?void 0:qa.body,rd=X$4(Ja.scrollWidth,Ja.clientWidth,td?td.scrollWidth:0,td?td.clientWidth:0),sd=X$4(Ja.scrollHeight,Ja.clientHeight,td?td.scrollHeight:0,td?td.clientHeight:0),od=-ed.scrollLeft+Be$5(Ra),ld=-ed.scrollTop;return N$5(td||Ja).direction==="rtl"&&(od+=X$4(Ja.clientWidth,td?td.clientWidth:0)-rd),{width:rd,height:sd,x:od,y:ld}}function Se$4(Ra){var qa=N$5(Ra),Ja=qa.overflow,ed=qa.overflowX,td=qa.overflowY;return/auto|scroll|overlay|hidden/.test(Ja+td+ed)}function dt$4(Ra){return["html","body","#document"].indexOf(C$2(Ra))>=0?Ra.ownerDocument.body:B$3(Ra)&&Se$4(Ra)?Ra:dt$4(ge$7(Ra))}function ce$4(Ra,qa){var Ja;qa===void 0&&(qa=[]);var ed=dt$4(Ra),td=ed===((Ja=Ra.ownerDocument)==null?void 0:Ja.body),rd=H$4(ed),sd=td?[rd].concat(rd.visualViewport||[],Se$4(ed)?ed:[]):ed,od=qa.concat(sd);return td?od:od.concat(ce$4(ge$7(sd)))}function Te$4(Ra){return Object.assign({},Ra,{left:Ra.x,top:Ra.y,right:Ra.x+Ra.width,bottom:Ra.y+Ra.height})}function Xt$3(Ra){var qa=ee$5(Ra);return qa.top=qa.top+Ra.clientTop,qa.left=qa.left+Ra.clientLeft,qa.bottom=qa.top+Ra.clientHeight,qa.right=qa.left+Ra.clientWidth,qa.width=Ra.clientWidth,qa.height=Ra.clientHeight,qa.x=qa.left,qa.y=qa.top,qa}function ht$4(Ra,qa){return qa===je$5?Te$4(Ft$5(Ra)):Q$5(qa)?Xt$3(qa):Te$4(Ut$3(I$2(Ra)))}function Yt$2(Ra){var qa=ce$4(ge$7(Ra)),Ja=["absolute","fixed"].indexOf(N$5(Ra).position)>=0,ed=Ja&&B$3(Ra)?se$3(Ra):Ra;return Q$5(ed)?qa.filter(function(td){return Q$5(td)&&it$5(td,ed)&&C$2(td)!=="body"}):[]}function Gt$6(Ra,qa,Ja){var ed=qa==="clippingParents"?Yt$2(Ra):[].concat(qa),td=[].concat(ed,[Ja]),rd=td[0],sd=td.reduce(function(od,ld){var cd=ht$4(Ra,ld);return od.top=X$4(cd.top,od.top),od.right=ve$4(cd.right,od.right),od.bottom=ve$4(cd.bottom,od.bottom),od.left=X$4(cd.left,od.left),od},ht$4(Ra,rd));return sd.width=sd.right-sd.left,sd.height=sd.bottom-sd.top,sd.x=sd.left,sd.y=sd.top,sd}function mt$4(Ra){var qa=Ra.reference,Ja=Ra.element,ed=Ra.placement,td=ed?q$4(ed):null,rd=ed?te$4(ed):null,sd=qa.x+qa.width/2-Ja.width/2,od=qa.y+qa.height/2-Ja.height/2,ld;switch(td){case E$3:ld={x:sd,y:qa.y-Ja.height};break;case R$4:ld={x:sd,y:qa.y+qa.height};break;case W$4:ld={x:qa.x+qa.width,y:od};break;case P$4:ld={x:qa.x-Ja.width,y:od};break;default:ld={x:qa.x,y:qa.y}}var cd=td?Le$4(td):null;if(cd!=null){var ud=cd==="y"?"height":"width";switch(rd){case U$4:ld[cd]=ld[cd]-(qa[ud]/2-Ja[ud]/2);break;case J$4:ld[cd]=ld[cd]+(qa[ud]/2-Ja[ud]/2);break}}return ld}function ne$6(Ra,qa){qa===void 0&&(qa={});var Ja=qa,ed=Ja.placement,td=ed===void 0?Ra.placement:ed,rd=Ja.boundary,sd=rd===void 0?Xe$3:rd,od=Ja.rootBoundary,ld=od===void 0?je$5:od,cd=Ja.elementContext,ud=cd===void 0?K$4:cd,_d=Ja.altBoundary,yd=_d===void 0?!1:_d,gd=Ja.padding,Ed=gd===void 0?0:gd,Td=ft$3(typeof Ed!="number"?Ed:ct$2(Ed,G$5)),kd=ud===K$4?Ye$3:K$4,Rd=Ra.rects.popper,Nd=Ra.elements[yd?kd:ud],Id=Gt$6(Q$5(Nd)?Nd:Nd.contextElement||I$2(Ra.elements.popper),sd,ld),Md=ee$5(Ra.elements.reference),Ld=mt$4({reference:Md,element:Rd,strategy:"absolute",placement:td}),Pd=Te$4(Object.assign({},Rd,Ld)),qd=ud===K$4?Pd:Md,Yd={top:Id.top-qd.top+Td.top,bottom:qd.bottom-Id.bottom+Td.bottom,left:Id.left-qd.left+Td.left,right:qd.right-Id.right+Td.right},Ud=Ra.modifiersData.offset;if(ud===K$4&&Ud){var Hd=Ud[td];Object.keys(Yd).forEach(function(Vd){var Jd=[W$4,R$4].indexOf(Vd)>=0?1:-1,Zd=[E$3,R$4].indexOf(Vd)>=0?"y":"x";Yd[Vd]+=Hd[Zd]*Jd})}return Yd}function Jt$4(Ra,qa){qa===void 0&&(qa={});var Ja=qa,ed=Ja.placement,td=Ja.boundary,rd=Ja.rootBoundary,sd=Ja.padding,od=Ja.flipVariations,ld=Ja.allowedAutoPlacements,cd=ld===void 0?Ee$4:ld,ud=te$4(ed),_d=ud?od?De$3:De$3.filter(function(Ed){return te$4(Ed)===ud}):G$5,yd=_d.filter(function(Ed){return cd.indexOf(Ed)>=0});yd.length===0&&(yd=_d);var gd=yd.reduce(function(Ed,Td){return Ed[Td]=ne$6(Ra,{placement:Td,boundary:td,rootBoundary:rd,padding:sd})[q$4(Td)],Ed},{});return Object.keys(gd).sort(function(Ed,Td){return gd[Ed]-gd[Td]})}function Kt$2(Ra){if(q$4(Ra)===me$2)return[];var qa=be$6(Ra);return[lt$9(Ra),qa,lt$9(qa)]}function Qt$3(Ra){var qa=Ra.state,Ja=Ra.options,ed=Ra.name;if(!qa.modifiersData[ed]._skip){for(var td=Ja.mainAxis,rd=td===void 0?!0:td,sd=Ja.altAxis,od=sd===void 0?!0:sd,ld=Ja.fallbackPlacements,cd=Ja.padding,ud=Ja.boundary,_d=Ja.rootBoundary,yd=Ja.altBoundary,gd=Ja.flipVariations,Ed=gd===void 0?!0:gd,Td=Ja.allowedAutoPlacements,kd=qa.options.placement,Rd=q$4(kd),Nd=Rd===kd,Id=ld||(Nd||!Ed?[be$6(kd)]:Kt$2(kd)),Md=[kd].concat(Id).reduce(function(wf,Kf){return wf.concat(q$4(Kf)===me$2?Jt$4(qa,{placement:Kf,boundary:ud,rootBoundary:_d,padding:cd,flipVariations:Ed,allowedAutoPlacements:Td}):Kf)},[]),Ld=qa.rects.reference,Pd=qa.rects.popper,qd=new Map,Yd=!0,Ud=Md[0],Hd=0;Hd<Md.length;Hd++){var Vd=Md[Hd],Jd=q$4(Vd),Zd=te$4(Vd)===U$4,pf=[E$3,R$4].indexOf(Jd)>=0,Xd=pf?"width":"height",hf=ne$6(qa,{placement:Vd,boundary:ud,rootBoundary:_d,altBoundary:yd,padding:cd}),_f=pf?Zd?W$4:P$4:Zd?R$4:E$3;Ld[Xd]>Pd[Xd]&&(_f=be$6(_f));var xf=be$6(_f),Lf=[];if(rd&&Lf.push(hf[Jd]<=0),od&&Lf.push(hf[_f]<=0,hf[xf]<=0),Lf.every(function(wf){return wf})){Ud=Vd,Yd=!1;break}qd.set(Vd,Lf)}if(Yd)for(var Wf=Ed?3:1,Yf=function(wf){var Kf=Md.find(function(Gf){var gf=qd.get(Gf);if(gf)return gf.slice(0,wf).every(function(mf){return mf})});if(Kf)return Ud=Kf,"break"},If=Wf;If>0;If--){var Sf=Yf(If);if(Sf==="break")break}qa.placement!==Ud&&(qa.modifiersData[ed]._skip=!0,qa.placement=Ud,qa.reset=!0)}}var vt$4={name:"flip",enabled:!0,phase:"main",fn:Qt$3,requiresIfExists:["offset"],data:{_skip:!1}};function gt$9(Ra,qa,Ja){return Ja===void 0&&(Ja={x:0,y:0}),{top:Ra.top-qa.height-Ja.y,right:Ra.right-qa.width+Ja.x,bottom:Ra.bottom-qa.height+Ja.y,left:Ra.left-qa.width-Ja.x}}function yt$3(Ra){return[E$3,W$4,R$4,P$4].some(function(qa){return Ra[qa]>=0})}function Zt$3(Ra){var qa=Ra.state,Ja=Ra.name,ed=qa.rects.reference,td=qa.rects.popper,rd=qa.modifiersData.preventOverflow,sd=ne$6(qa,{elementContext:"reference"}),od=ne$6(qa,{altBoundary:!0}),ld=gt$9(sd,ed),cd=gt$9(od,td,rd),ud=yt$3(ld),_d=yt$3(cd);qa.modifiersData[Ja]={referenceClippingOffsets:ld,popperEscapeOffsets:cd,isReferenceHidden:ud,hasPopperEscaped:_d},qa.attributes.popper=Object.assign({},qa.attributes.popper,{"data-popper-reference-hidden":ud,"data-popper-escaped":_d})}var bt$4={name:"hide",enabled:!0,phase:"main",requiresIfExists:["preventOverflow"],fn:Zt$3};function en$3(Ra,qa,Ja){var ed=q$4(Ra),td=[P$4,E$3].indexOf(ed)>=0?-1:1,rd=typeof Ja=="function"?Ja(Object.assign({},qa,{placement:Ra})):Ja,sd=rd[0],od=rd[1];return sd=sd||0,od=(od||0)*td,[P$4,W$4].indexOf(ed)>=0?{x:od,y:sd}:{x:sd,y:od}}function tn$3(Ra){var qa=Ra.state,Ja=Ra.options,ed=Ra.name,td=Ja.offset,rd=td===void 0?[0,0]:td,sd=Ee$4.reduce(function(ud,_d){return ud[_d]=en$3(_d,qa.rects,rd),ud},{}),od=sd[qa.placement],ld=od.x,cd=od.y;qa.modifiersData.popperOffsets!=null&&(qa.modifiersData.popperOffsets.x+=ld,qa.modifiersData.popperOffsets.y+=cd),qa.modifiersData[ed]=sd}var wt$3={name:"offset",enabled:!0,phase:"main",requires:["popperOffsets"],fn:tn$3};function nn$3(Ra){var qa=Ra.state,Ja=Ra.name;qa.modifiersData[Ja]=mt$4({reference:qa.rects.reference,element:qa.rects.popper,strategy:"absolute",placement:qa.placement})}var He$3={name:"popperOffsets",enabled:!0,phase:"read",fn:nn$3,data:{}};function rn$3(Ra){return Ra==="x"?"y":"x"}function on$2(Ra){var qa=Ra.state,Ja=Ra.options,ed=Ra.name,td=Ja.mainAxis,rd=td===void 0?!0:td,sd=Ja.altAxis,od=sd===void 0?!1:sd,ld=Ja.boundary,cd=Ja.rootBoundary,ud=Ja.altBoundary,_d=Ja.padding,yd=Ja.tether,gd=yd===void 0?!0:yd,Ed=Ja.tetherOffset,Td=Ed===void 0?0:Ed,kd=ne$6(qa,{boundary:ld,rootBoundary:cd,padding:_d,altBoundary:ud}),Rd=q$4(qa.placement),Nd=te$4(qa.placement),Id=!Nd,Md=Le$4(Rd),Ld=rn$3(Md),Pd=qa.modifiersData.popperOffsets,qd=qa.rects.reference,Yd=qa.rects.popper,Ud=typeof Td=="function"?Td(Object.assign({},qa.rects,{placement:qa.placement})):Td,Hd=typeof Ud=="number"?{mainAxis:Ud,altAxis:Ud}:Object.assign({mainAxis:0,altAxis:0},Ud),Vd=qa.modifiersData.offset?qa.modifiersData.offset[qa.placement]:null,Jd={x:0,y:0};if(Pd){if(rd){var Zd,pf=Md==="y"?E$3:P$4,Xd=Md==="y"?R$4:W$4,hf=Md==="y"?"height":"width",_f=Pd[Md],xf=_f+kd[pf],Lf=_f-kd[Xd],Wf=gd?-Yd[hf]/2:0,Yf=Nd===U$4?qd[hf]:Yd[hf],If=Nd===U$4?-Yd[hf]:-qd[hf],Sf=qa.elements.arrow,wf=gd&&Sf?ke$4(Sf):{width:0,height:0},Kf=qa.modifiersData["arrow#persistent"]?qa.modifiersData["arrow#persistent"].padding:st$3(),Gf=Kf[pf],gf=Kf[Xd],mf=fe$4(0,qd[hf],wf[hf]),$f=Id?qd[hf]/2-Wf-mf-Gf-Hd.mainAxis:Yf-mf-Gf-Hd.mainAxis,zf=Id?-qd[hf]/2+Wf+mf+gf+Hd.mainAxis:If+mf+gf+Hd.mainAxis,hh=qa.elements.arrow&&se$3(qa.elements.arrow),Vf=hh?Md==="y"?hh.clientTop||0:hh.clientLeft||0:0,kf=(Zd=Vd==null?void 0:Vd[Md])!=null?Zd:0,Jf=_f+$f-kf-Vf,Ch=_f+zf-kf,qf=fe$4(gd?ve$4(xf,Jf):xf,_f,gd?X$4(Lf,Ch):Lf);Pd[Md]=qf,Jd[Md]=qf-_f}if(od){var Tf,Af=Md==="x"?E$3:P$4,Pf=Md==="x"?R$4:W$4,gh=Pd[Ld],Nh=Ld==="y"?"height":"width",dh=gh+kd[Af],$h=gh-kd[Pf],Rh=[E$3,P$4].indexOf(Rd)!==-1,jh=(Tf=Vd==null?void 0:Vd[Ld])!=null?Tf:0,Zh=Rh?dh:gh-qd[Nh]-Yd[Nh]-jh+Hd.altAxis,Wh=Rh?gh+qd[Nh]+Yd[Nh]-jh-Hd.altAxis:$h,sm=gd&&Rh?St$4(Zh,gh,Wh):fe$4(gd?Zh:dh,gh,gd?Wh:$h);Pd[Ld]=sm,Jd[Ld]=sm-gh}qa.modifiersData[ed]=Jd}}var xt$1={name:"preventOverflow",enabled:!0,phase:"main",fn:on$2,requiresIfExists:["offset"]};function an$3(Ra){return{scrollLeft:Ra.scrollLeft,scrollTop:Ra.scrollTop}}function sn$2(Ra){return Ra===H$4(Ra)||!B$3(Ra)?We$2(Ra):an$3(Ra)}function fn$3(Ra){var qa=Ra.getBoundingClientRect(),Ja=Z$3(qa.width)/Ra.offsetWidth||1,ed=Z$3(qa.height)/Ra.offsetHeight||1;return Ja!==1||ed!==1}function cn$4(Ra,qa,Ja){Ja===void 0&&(Ja=!1);var ed=B$3(qa),td=B$3(qa)&&fn$3(qa),rd=I$2(qa),sd=ee$5(Ra,td),od={scrollLeft:0,scrollTop:0},ld={x:0,y:0};return(ed||!ed&&!Ja)&&((C$2(qa)!=="body"||Se$4(rd))&&(od=sn$2(qa)),B$3(qa)?(ld=ee$5(qa,!0),ld.x+=qa.clientLeft,ld.y+=qa.clientTop):rd&&(ld.x=Be$5(rd))),{x:sd.left+od.scrollLeft-ld.x,y:sd.top+od.scrollTop-ld.y,width:sd.width,height:sd.height}}function pn$3(Ra){var qa=new Map,Ja=new Set,ed=[];Ra.forEach(function(rd){qa.set(rd.name,rd)});function td(rd){Ja.add(rd.name);var sd=[].concat(rd.requires||[],rd.requiresIfExists||[]);sd.forEach(function(od){if(!Ja.has(od)){var ld=qa.get(od);ld&&td(ld)}}),ed.push(rd)}return Ra.forEach(function(rd){Ja.has(rd.name)||td(rd)}),ed}function un$3(Ra){var qa=pn$3(Ra);return ot$3.reduce(function(Ja,ed){return Ja.concat(qa.filter(function(td){return td.phase===ed}))},[])}function ln$3(Ra){var qa;return function(){return qa||(qa=new Promise(function(Ja){Promise.resolve().then(function(){qa=void 0,Ja(Ra())})})),qa}}function dn$4(Ra){var qa=Ra.reduce(function(Ja,ed){var td=Ja[ed.name];return Ja[ed.name]=td?Object.assign({},td,ed,{options:Object.assign({},td.options,ed.options),data:Object.assign({},td.data,ed.data)}):ed,Ja},{});return Object.keys(qa).map(function(Ja){return qa[Ja]})}var Ot$4={placement:"bottom",modifiers:[],strategy:"absolute"};function $t$4(){for(var Ra=arguments.length,qa=new Array(Ra),Ja=0;Ja<Ra;Ja++)qa[Ja]=arguments[Ja];return!qa.some(function(ed){return!(ed&&typeof ed.getBoundingClientRect=="function")})}function we$3(Ra){Ra===void 0&&(Ra={});var qa=Ra,Ja=qa.defaultModifiers,ed=Ja===void 0?[]:Ja,td=qa.defaultOptions,rd=td===void 0?Ot$4:td;return function(sd,od,ld){ld===void 0&&(ld=rd);var cd={placement:"bottom",orderedModifiers:[],options:Object.assign({},Ot$4,rd),modifiersData:{},elements:{reference:sd,popper:od},attributes:{},styles:{}},ud=[],_d=!1,yd={state:cd,setOptions:function(Td){var kd=typeof Td=="function"?Td(cd.options):Td;Ed(),cd.options=Object.assign({},rd,cd.options,kd),cd.scrollParents={reference:Q$5(sd)?ce$4(sd):sd.contextElement?ce$4(sd.contextElement):[],popper:ce$4(od)};var Rd=un$3(dn$4([].concat(ed,cd.options.modifiers)));return cd.orderedModifiers=Rd.filter(function(Nd){return Nd.enabled}),gd(),yd.update()},forceUpdate:function(){if(!_d){var Td=cd.elements,kd=Td.reference,Rd=Td.popper;if($t$4(kd,Rd)){cd.rects={reference:cn$4(kd,se$3(Rd),cd.options.strategy==="fixed"),popper:ke$4(Rd)},cd.reset=!1,cd.placement=cd.options.placement,cd.orderedModifiers.forEach(function(Yd){return cd.modifiersData[Yd.name]=Object.assign({},Yd.data)});for(var Nd=0;Nd<cd.orderedModifiers.length;Nd++){if(cd.reset===!0){cd.reset=!1,Nd=-1;continue}var Id=cd.orderedModifiers[Nd],Md=Id.fn,Ld=Id.options,Pd=Ld===void 0?{}:Ld,qd=Id.name;typeof Md=="function"&&(cd=Md({state:cd,options:Pd,name:qd,instance:yd})||cd)}}}},update:ln$3(function(){return new Promise(function(Td){yd.forceUpdate(),Td(cd)})}),destroy:function(){Ed(),_d=!0}};if(!$t$4(sd,od))return yd;yd.setOptions(ld).then(function(Td){!_d&&ld.onFirstUpdate&&ld.onFirstUpdate(Td)});function gd(){cd.orderedModifiers.forEach(function(Td){var kd=Td.name,Rd=Td.options,Nd=Rd===void 0?{}:Rd,Id=Td.effect;if(typeof Id=="function"){var Md=Id({state:cd,name:kd,instance:yd,options:Nd}),Ld=function(){};ud.push(Md||Ld)}})}function Ed(){ud.forEach(function(Td){return Td()}),ud=[]}return yd}}we$3();var mn$3=[Re$8,He$3,Me$6,Ae$3];we$3({defaultModifiers:mn$3});var gn$4=[Re$8,He$3,Me$6,Ae$3,wt$3,vt$4,xt$1,pt$4,bt$4],yn$4=we$3({defaultModifiers:gn$4});const usePopper=(Ra,qa,Ja={})=>{const ed={name:"updateState",enabled:!0,phase:"write",fn:({state:ld})=>{const cd=deriveState(ld);Object.assign(sd.value,cd)},requires:["computeStyles"]},td=computed(()=>{const{onFirstUpdate:ld,placement:cd,strategy:ud,modifiers:_d}=unref(Ja);return{onFirstUpdate:ld,placement:cd||"bottom",strategy:ud||"absolute",modifiers:[..._d||[],ed,{name:"applyStyles",enabled:!1}]}}),rd=shallowRef(),sd=ref$1({styles:{popper:{position:unref(td).strategy,left:"0",top:"0"},arrow:{position:"absolute"}},attributes:{}}),od=()=>{rd.value&&(rd.value.destroy(),rd.value=void 0)};return watch(td,ld=>{const cd=unref(rd);cd&&cd.setOptions(ld)},{deep:!0}),watch([Ra,qa],([ld,cd])=>{od(),!(!ld||!cd)&&(rd.value=yn$4(ld,cd,unref(td)))}),onBeforeUnmount(()=>{od()}),{state:computed(()=>{var ld;return{...((ld=unref(rd))==null?void 0:ld.state)||{}}}),styles:computed(()=>unref(sd).styles),attributes:computed(()=>unref(sd).attributes),update:()=>{var ld;return(ld=unref(rd))==null?void 0:ld.update()},forceUpdate:()=>{var ld;return(ld=unref(rd))==null?void 0:ld.forceUpdate()},instanceRef:computed(()=>unref(rd))}};function deriveState(Ra){const qa=Object.keys(Ra.elements),Ja=fromPairs(qa.map(td=>[td,Ra.styles[td]||{}])),ed=fromPairs(qa.map(td=>[td,Ra.attributes[td]]));return{styles:Ja,attributes:ed}}const useSameTarget=Ra=>{if(!Ra)return{onClick:NOOP,onMousedown:NOOP,onMouseup:NOOP};let qa=!1,Ja=!1;return{onClick:sd=>{qa&&Ja&&Ra(sd),qa=Ja=!1},onMousedown:sd=>{qa=sd.target===sd.currentTarget},onMouseup:sd=>{Ja=sd.target===sd.currentTarget}}};function useTimeout(){let Ra;const qa=(ed,td)=>{Ja(),Ra=window.setTimeout(ed,td)},Ja=()=>window.clearTimeout(Ra);return tryOnScopeDispose(()=>Ja()),{registerTimeout:qa,cancelTimeout:Ja}}const defaultIdInjection={prefix:Math.floor(Math.random()*1e4),current:0},ID_INJECTION_KEY=Symbol("elIdInjection"),useIdInjection=()=>getCurrentInstance()?inject(ID_INJECTION_KEY,defaultIdInjection):defaultIdInjection,useId=Ra=>{const qa=useIdInjection(),Ja=useGetDerivedNamespace();return computed(()=>unref(Ra)||`${Ja.value}-id-${qa.prefix}-${qa.current++}`)};let registeredEscapeHandlers=[];const cachedHandler=Ra=>{const qa=Ra;qa.key===EVENT_CODE.esc&®isteredEscapeHandlers.forEach(Ja=>Ja(qa))},useEscapeKeydown=Ra=>{onMounted(()=>{registeredEscapeHandlers.length===0&&document.addEventListener("keydown",cachedHandler),isClient&®isteredEscapeHandlers.push(Ra)}),onBeforeUnmount(()=>{registeredEscapeHandlers=registeredEscapeHandlers.filter(qa=>qa!==Ra),registeredEscapeHandlers.length===0&&isClient&&document.removeEventListener("keydown",cachedHandler)})};let cachedContainer;const usePopperContainerId=()=>{const Ra=useGetDerivedNamespace(),qa=useIdInjection(),Ja=computed(()=>`${Ra.value}-popper-container-${qa.prefix}`),ed=computed(()=>`#${Ja.value}`);return{id:Ja,selector:ed}},createContainer=Ra=>{const qa=document.createElement("div");return qa.id=Ra,document.body.appendChild(qa),qa},usePopperContainer=()=>{const{id:Ra,selector:qa}=usePopperContainerId();return onBeforeMount(()=>{isClient&&!cachedContainer&&!document.body.querySelector(qa.value)&&(cachedContainer=createContainer(Ra.value))}),{id:Ra,selector:qa}},useDelayedToggleProps=buildProps({showAfter:{type:Number,default:0},hideAfter:{type:Number,default:200},autoClose:{type:Number,default:0}}),useDelayedToggle=({showAfter:Ra,hideAfter:qa,autoClose:Ja,open:ed,close:td})=>{const{registerTimeout:rd}=useTimeout(),{registerTimeout:sd,cancelTimeout:od}=useTimeout();return{onOpen:ud=>{rd(()=>{ed(ud);const _d=unref(Ja);isNumber$3(_d)&&_d>0&&sd(()=>{td(ud)},_d)},unref(Ra))},onClose:ud=>{od(),rd(()=>{td(ud)},unref(qa))}}},FORWARD_REF_INJECTION_KEY=Symbol("elForwardRef"),useForwardRef=Ra=>{provide(FORWARD_REF_INJECTION_KEY,{setForwardRef:Ja=>{Ra.value=Ja}})},useForwardRefDirective=Ra=>({mounted(qa){Ra(qa)},updated(qa){Ra(qa)},unmounted(){Ra(null)}}),initial={current:0},zIndex=ref$1(0),defaultInitialZIndex=2e3,ZINDEX_INJECTION_KEY=Symbol("elZIndexContextKey"),zIndexContextKey=Symbol("zIndexContextKey"),useZIndex=Ra=>{const qa=getCurrentInstance()?inject(ZINDEX_INJECTION_KEY,initial):initial,Ja=Ra||(getCurrentInstance()?inject(zIndexContextKey,void 0):void 0),ed=computed(()=>{const sd=unref(Ja);return isNumber$3(sd)?sd:defaultInitialZIndex}),td=computed(()=>ed.value+zIndex.value),rd=()=>(qa.current++,zIndex.value=qa.current,td.value);return!isClient&&inject(ZINDEX_INJECTION_KEY),{initialZIndex:ed,currentZIndex:td,nextZIndex:rd}},sides=["top","right","bottom","left"],min$1=Math.min,max$2=Math.max,round=Math.round,floor=Math.floor,createCoords=Ra=>({x:Ra,y:Ra}),oppositeSideMap={left:"right",right:"left",bottom:"top",top:"bottom"},oppositeAlignmentMap={start:"end",end:"start"};function clamp(Ra,qa,Ja){return max$2(Ra,min$1(qa,Ja))}function evaluate$1(Ra,qa){return typeof Ra=="function"?Ra(qa):Ra}function getSide(Ra){return Ra.split("-")[0]}function getAlignment(Ra){return Ra.split("-")[1]}function getOppositeAxis(Ra){return Ra==="x"?"y":"x"}function getAxisLength(Ra){return Ra==="y"?"height":"width"}function getSideAxis(Ra){return["top","bottom"].includes(getSide(Ra))?"y":"x"}function getAlignmentAxis(Ra){return getOppositeAxis(getSideAxis(Ra))}function getAlignmentSides(Ra,qa,Ja){Ja===void 0&&(Ja=!1);const ed=getAlignment(Ra),td=getAlignmentAxis(Ra),rd=getAxisLength(td);let sd=td==="x"?ed===(Ja?"end":"start")?"right":"left":ed==="start"?"bottom":"top";return qa.reference[rd]>qa.floating[rd]&&(sd=getOppositePlacement(sd)),[sd,getOppositePlacement(sd)]}function getExpandedPlacements(Ra){const qa=getOppositePlacement(Ra);return[getOppositeAlignmentPlacement(Ra),qa,getOppositeAlignmentPlacement(qa)]}function getOppositeAlignmentPlacement(Ra){return Ra.replace(/start|end/g,qa=>oppositeAlignmentMap[qa])}function getSideList(Ra,qa,Ja){const ed=["left","right"],td=["right","left"],rd=["top","bottom"],sd=["bottom","top"];switch(Ra){case"top":case"bottom":return Ja?qa?td:ed:qa?ed:td;case"left":case"right":return qa?rd:sd;default:return[]}}function getOppositeAxisPlacements(Ra,qa,Ja,ed){const td=getAlignment(Ra);let rd=getSideList(getSide(Ra),Ja==="start",ed);return td&&(rd=rd.map(sd=>sd+"-"+td),qa&&(rd=rd.concat(rd.map(getOppositeAlignmentPlacement)))),rd}function getOppositePlacement(Ra){return Ra.replace(/left|right|bottom|top/g,qa=>oppositeSideMap[qa])}function expandPaddingObject(Ra){return{top:0,right:0,bottom:0,left:0,...Ra}}function getPaddingObject(Ra){return typeof Ra!="number"?expandPaddingObject(Ra):{top:Ra,right:Ra,bottom:Ra,left:Ra}}function rectToClientRect(Ra){const{x:qa,y:Ja,width:ed,height:td}=Ra;return{width:ed,height:td,top:Ja,left:qa,right:qa+ed,bottom:Ja+td,x:qa,y:Ja}}function computeCoordsFromPlacement(Ra,qa,Ja){let{reference:ed,floating:td}=Ra;const rd=getSideAxis(qa),sd=getAlignmentAxis(qa),od=getAxisLength(sd),ld=getSide(qa),cd=rd==="y",ud=ed.x+ed.width/2-td.width/2,_d=ed.y+ed.height/2-td.height/2,yd=ed[od]/2-td[od]/2;let gd;switch(ld){case"top":gd={x:ud,y:ed.y-td.height};break;case"bottom":gd={x:ud,y:ed.y+ed.height};break;case"right":gd={x:ed.x+ed.width,y:_d};break;case"left":gd={x:ed.x-td.width,y:_d};break;default:gd={x:ed.x,y:ed.y}}switch(getAlignment(qa)){case"start":gd[sd]-=yd*(Ja&&cd?-1:1);break;case"end":gd[sd]+=yd*(Ja&&cd?-1:1);break}return gd}const computePosition$1=async(Ra,qa,Ja)=>{const{placement:ed="bottom",strategy:td="absolute",middleware:rd=[],platform:sd}=Ja,od=rd.filter(Boolean),ld=await(sd.isRTL==null?void 0:sd.isRTL(qa));let cd=await sd.getElementRects({reference:Ra,floating:qa,strategy:td}),{x:ud,y:_d}=computeCoordsFromPlacement(cd,ed,ld),yd=ed,gd={},Ed=0;for(let Td=0;Td<od.length;Td++){const{name:kd,fn:Rd}=od[Td],{x:Nd,y:Id,data:Md,reset:Ld}=await Rd({x:ud,y:_d,initialPlacement:ed,placement:yd,strategy:td,middlewareData:gd,rects:cd,platform:sd,elements:{reference:Ra,floating:qa}});ud=Nd??ud,_d=Id??_d,gd={...gd,[kd]:{...gd[kd],...Md}},Ld&&Ed<=50&&(Ed++,typeof Ld=="object"&&(Ld.placement&&(yd=Ld.placement),Ld.rects&&(cd=Ld.rects===!0?await sd.getElementRects({reference:Ra,floating:qa,strategy:td}):Ld.rects),{x:ud,y:_d}=computeCoordsFromPlacement(cd,yd,ld)),Td=-1)}return{x:ud,y:_d,placement:yd,strategy:td,middlewareData:gd}};async function detectOverflow(Ra,qa){var Ja;qa===void 0&&(qa={});const{x:ed,y:td,platform:rd,rects:sd,elements:od,strategy:ld}=Ra,{boundary:cd="clippingAncestors",rootBoundary:ud="viewport",elementContext:_d="floating",altBoundary:yd=!1,padding:gd=0}=evaluate$1(qa,Ra),Ed=getPaddingObject(gd),kd=od[yd?_d==="floating"?"reference":"floating":_d],Rd=rectToClientRect(await rd.getClippingRect({element:(Ja=await(rd.isElement==null?void 0:rd.isElement(kd)))==null||Ja?kd:kd.contextElement||await(rd.getDocumentElement==null?void 0:rd.getDocumentElement(od.floating)),boundary:cd,rootBoundary:ud,strategy:ld})),Nd=_d==="floating"?{x:ed,y:td,width:sd.floating.width,height:sd.floating.height}:sd.reference,Id=await(rd.getOffsetParent==null?void 0:rd.getOffsetParent(od.floating)),Md=await(rd.isElement==null?void 0:rd.isElement(Id))?await(rd.getScale==null?void 0:rd.getScale(Id))||{x:1,y:1}:{x:1,y:1},Ld=rectToClientRect(rd.convertOffsetParentRelativeRectToViewportRelativeRect?await rd.convertOffsetParentRelativeRectToViewportRelativeRect({elements:od,rect:Nd,offsetParent:Id,strategy:ld}):Nd);return{top:(Rd.top-Ld.top+Ed.top)/Md.y,bottom:(Ld.bottom-Rd.bottom+Ed.bottom)/Md.y,left:(Rd.left-Ld.left+Ed.left)/Md.x,right:(Ld.right-Rd.right+Ed.right)/Md.x}}const arrow$2=Ra=>({name:"arrow",options:Ra,async fn(qa){const{x:Ja,y:ed,placement:td,rects:rd,platform:sd,elements:od,middlewareData:ld}=qa,{element:cd,padding:ud=0}=evaluate$1(Ra,qa)||{};if(cd==null)return{};const _d=getPaddingObject(ud),yd={x:Ja,y:ed},gd=getAlignmentAxis(td),Ed=getAxisLength(gd),Td=await sd.getDimensions(cd),kd=gd==="y",Rd=kd?"top":"left",Nd=kd?"bottom":"right",Id=kd?"clientHeight":"clientWidth",Md=rd.reference[Ed]+rd.reference[gd]-yd[gd]-rd.floating[Ed],Ld=yd[gd]-rd.reference[gd],Pd=await(sd.getOffsetParent==null?void 0:sd.getOffsetParent(cd));let qd=Pd?Pd[Id]:0;(!qd||!await(sd.isElement==null?void 0:sd.isElement(Pd)))&&(qd=od.floating[Id]||rd.floating[Ed]);const Yd=Md/2-Ld/2,Ud=qd/2-Td[Ed]/2-1,Hd=min$1(_d[Rd],Ud),Vd=min$1(_d[Nd],Ud),Jd=Hd,Zd=qd-Td[Ed]-Vd,pf=qd/2-Td[Ed]/2+Yd,Xd=clamp(Jd,pf,Zd),hf=!ld.arrow&&getAlignment(td)!=null&&pf!==Xd&&rd.reference[Ed]/2-(pf<Jd?Hd:Vd)-Td[Ed]/2<0,_f=hf?pf<Jd?pf-Jd:pf-Zd:0;return{[gd]:yd[gd]+_f,data:{[gd]:Xd,centerOffset:pf-Xd-_f,...hf&&{alignmentOffset:_f}},reset:hf}}}),flip$1=function(Ra){return Ra===void 0&&(Ra={}),{name:"flip",options:Ra,async fn(qa){var Ja,ed;const{placement:td,middlewareData:rd,rects:sd,initialPlacement:od,platform:ld,elements:cd}=qa,{mainAxis:ud=!0,crossAxis:_d=!0,fallbackPlacements:yd,fallbackStrategy:gd="bestFit",fallbackAxisSideDirection:Ed="none",flipAlignment:Td=!0,...kd}=evaluate$1(Ra,qa);if((Ja=rd.arrow)!=null&&Ja.alignmentOffset)return{};const Rd=getSide(td),Nd=getSideAxis(od),Id=getSide(od)===od,Md=await(ld.isRTL==null?void 0:ld.isRTL(cd.floating)),Ld=yd||(Id||!Td?[getOppositePlacement(od)]:getExpandedPlacements(od)),Pd=Ed!=="none";!yd&&Pd&&Ld.push(...getOppositeAxisPlacements(od,Td,Ed,Md));const qd=[od,...Ld],Yd=await detectOverflow(qa,kd),Ud=[];let Hd=((ed=rd.flip)==null?void 0:ed.overflows)||[];if(ud&&Ud.push(Yd[Rd]),_d){const pf=getAlignmentSides(td,sd,Md);Ud.push(Yd[pf[0]],Yd[pf[1]])}if(Hd=[...Hd,{placement:td,overflows:Ud}],!Ud.every(pf=>pf<=0)){var Vd,Jd;const pf=(((Vd=rd.flip)==null?void 0:Vd.index)||0)+1,Xd=qd[pf];if(Xd)return{data:{index:pf,overflows:Hd},reset:{placement:Xd}};let hf=(Jd=Hd.filter(_f=>_f.overflows[0]<=0).sort((_f,xf)=>_f.overflows[1]-xf.overflows[1])[0])==null?void 0:Jd.placement;if(!hf)switch(gd){case"bestFit":{var Zd;const _f=(Zd=Hd.filter(xf=>{if(Pd){const Lf=getSideAxis(xf.placement);return Lf===Nd||Lf==="y"}return!0}).map(xf=>[xf.placement,xf.overflows.filter(Lf=>Lf>0).reduce((Lf,Wf)=>Lf+Wf,0)]).sort((xf,Lf)=>xf[1]-Lf[1])[0])==null?void 0:Zd[0];_f&&(hf=_f);break}case"initialPlacement":hf=od;break}if(td!==hf)return{reset:{placement:hf}}}return{}}}};function getSideOffsets(Ra,qa){return{top:Ra.top-qa.height,right:Ra.right-qa.width,bottom:Ra.bottom-qa.height,left:Ra.left-qa.width}}function isAnySideFullyClipped(Ra){return sides.some(qa=>Ra[qa]>=0)}const hide$1=function(Ra){return Ra===void 0&&(Ra={}),{name:"hide",options:Ra,async fn(qa){const{rects:Ja}=qa,{strategy:ed="referenceHidden",...td}=evaluate$1(Ra,qa);switch(ed){case"referenceHidden":{const rd=await detectOverflow(qa,{...td,elementContext:"reference"}),sd=getSideOffsets(rd,Ja.reference);return{data:{referenceHiddenOffsets:sd,referenceHidden:isAnySideFullyClipped(sd)}}}case"escaped":{const rd=await detectOverflow(qa,{...td,altBoundary:!0}),sd=getSideOffsets(rd,Ja.floating);return{data:{escapedOffsets:sd,escaped:isAnySideFullyClipped(sd)}}}default:return{}}}}};async function convertValueToCoords(Ra,qa){const{placement:Ja,platform:ed,elements:td}=Ra,rd=await(ed.isRTL==null?void 0:ed.isRTL(td.floating)),sd=getSide(Ja),od=getAlignment(Ja),ld=getSideAxis(Ja)==="y",cd=["left","top"].includes(sd)?-1:1,ud=rd&&ld?-1:1,_d=evaluate$1(qa,Ra);let{mainAxis:yd,crossAxis:gd,alignmentAxis:Ed}=typeof _d=="number"?{mainAxis:_d,crossAxis:0,alignmentAxis:null}:{mainAxis:0,crossAxis:0,alignmentAxis:null,..._d};return od&&typeof Ed=="number"&&(gd=od==="end"?Ed*-1:Ed),ld?{x:gd*ud,y:yd*cd}:{x:yd*cd,y:gd*ud}}const offset$1=function(Ra){return Ra===void 0&&(Ra=0),{name:"offset",options:Ra,async fn(qa){var Ja,ed;const{x:td,y:rd,placement:sd,middlewareData:od}=qa,ld=await convertValueToCoords(qa,Ra);return sd===((Ja=od.offset)==null?void 0:Ja.placement)&&(ed=od.arrow)!=null&&ed.alignmentOffset?{}:{x:td+ld.x,y:rd+ld.y,data:{...ld,placement:sd}}}}},shift$1=function(Ra){return Ra===void 0&&(Ra={}),{name:"shift",options:Ra,async fn(qa){const{x:Ja,y:ed,placement:td}=qa,{mainAxis:rd=!0,crossAxis:sd=!1,limiter:od={fn:kd=>{let{x:Rd,y:Nd}=kd;return{x:Rd,y:Nd}}},...ld}=evaluate$1(Ra,qa),cd={x:Ja,y:ed},ud=await detectOverflow(qa,ld),_d=getSideAxis(getSide(td)),yd=getOppositeAxis(_d);let gd=cd[yd],Ed=cd[_d];if(rd){const kd=yd==="y"?"top":"left",Rd=yd==="y"?"bottom":"right",Nd=gd+ud[kd],Id=gd-ud[Rd];gd=clamp(Nd,gd,Id)}if(sd){const kd=_d==="y"?"top":"left",Rd=_d==="y"?"bottom":"right",Nd=Ed+ud[kd],Id=Ed-ud[Rd];Ed=clamp(Nd,Ed,Id)}const Td=od.fn({...qa,[yd]:gd,[_d]:Ed});return{...Td,data:{x:Td.x-Ja,y:Td.y-ed}}}}},limitShift$1=function(Ra){return Ra===void 0&&(Ra={}),{options:Ra,fn(qa){const{x:Ja,y:ed,placement:td,rects:rd,middlewareData:sd}=qa,{offset:od=0,mainAxis:ld=!0,crossAxis:cd=!0}=evaluate$1(Ra,qa),ud={x:Ja,y:ed},_d=getSideAxis(td),yd=getOppositeAxis(_d);let gd=ud[yd],Ed=ud[_d];const Td=evaluate$1(od,qa),kd=typeof Td=="number"?{mainAxis:Td,crossAxis:0}:{mainAxis:0,crossAxis:0,...Td};if(ld){const Id=yd==="y"?"height":"width",Md=rd.reference[yd]-rd.floating[Id]+kd.mainAxis,Ld=rd.reference[yd]+rd.reference[Id]-kd.mainAxis;gd<Md?gd=Md:gd>Ld&&(gd=Ld)}if(cd){var Rd,Nd;const Id=yd==="y"?"width":"height",Md=["top","left"].includes(getSide(td)),Ld=rd.reference[_d]-rd.floating[Id]+(Md&&((Rd=sd.offset)==null?void 0:Rd[_d])||0)+(Md?0:kd.crossAxis),Pd=rd.reference[_d]+rd.reference[Id]+(Md?0:((Nd=sd.offset)==null?void 0:Nd[_d])||0)-(Md?kd.crossAxis:0);Ed<Ld?Ed=Ld:Ed>Pd&&(Ed=Pd)}return{[yd]:gd,[_d]:Ed}}}},size$1=function(Ra){return Ra===void 0&&(Ra={}),{name:"size",options:Ra,async fn(qa){const{placement:Ja,rects:ed,platform:td,elements:rd}=qa,{apply:sd=()=>{},...od}=evaluate$1(Ra,qa),ld=await detectOverflow(qa,od),cd=getSide(Ja),ud=getAlignment(Ja),_d=getSideAxis(Ja)==="y",{width:yd,height:gd}=ed.floating;let Ed,Td;cd==="top"||cd==="bottom"?(Ed=cd,Td=ud===(await(td.isRTL==null?void 0:td.isRTL(rd.floating))?"start":"end")?"left":"right"):(Td=cd,Ed=ud==="end"?"top":"bottom");const kd=gd-ld.top-ld.bottom,Rd=yd-ld.left-ld.right,Nd=min$1(gd-ld[Ed],kd),Id=min$1(yd-ld[Td],Rd),Md=!qa.middlewareData.shift;let Ld=Nd,Pd=Id;if(_d?Pd=ud||Md?min$1(Id,Rd):Rd:Ld=ud||Md?min$1(Nd,kd):kd,Md&&!ud){const Yd=max$2(ld.left,0),Ud=max$2(ld.right,0),Hd=max$2(ld.top,0),Vd=max$2(ld.bottom,0);_d?Pd=yd-2*(Yd!==0||Ud!==0?Yd+Ud:max$2(ld.left,ld.right)):Ld=gd-2*(Hd!==0||Vd!==0?Hd+Vd:max$2(ld.top,ld.bottom))}await sd({...qa,availableWidth:Pd,availableHeight:Ld});const qd=await td.getDimensions(rd.floating);return yd!==qd.width||gd!==qd.height?{reset:{rects:!0}}:{}}}};function getNodeName(Ra){return isNode$1(Ra)?(Ra.nodeName||"").toLowerCase():"#document"}function getWindow(Ra){var qa;return(Ra==null||(qa=Ra.ownerDocument)==null?void 0:qa.defaultView)||window}function getDocumentElement(Ra){var qa;return(qa=(isNode$1(Ra)?Ra.ownerDocument:Ra.document)||window.document)==null?void 0:qa.documentElement}function isNode$1(Ra){return Ra instanceof Node||Ra instanceof getWindow(Ra).Node}function isElement$1(Ra){return Ra instanceof Element||Ra instanceof getWindow(Ra).Element}function isHTMLElement(Ra){return Ra instanceof HTMLElement||Ra instanceof getWindow(Ra).HTMLElement}function isShadowRoot(Ra){return typeof ShadowRoot>"u"?!1:Ra instanceof ShadowRoot||Ra instanceof getWindow(Ra).ShadowRoot}function isOverflowElement(Ra){const{overflow:qa,overflowX:Ja,overflowY:ed,display:td}=getComputedStyle$1(Ra);return/auto|scroll|overlay|hidden|clip/.test(qa+ed+Ja)&&!["inline","contents"].includes(td)}function isTableElement(Ra){return["table","td","th"].includes(getNodeName(Ra))}function isTopLayer(Ra){return[":popover-open",":modal"].some(qa=>{try{return Ra.matches(qa)}catch{return!1}})}function isContainingBlock(Ra){const qa=isWebKit(),Ja=isElement$1(Ra)?getComputedStyle$1(Ra):Ra;return Ja.transform!=="none"||Ja.perspective!=="none"||(Ja.containerType?Ja.containerType!=="normal":!1)||!qa&&(Ja.backdropFilter?Ja.backdropFilter!=="none":!1)||!qa&&(Ja.filter?Ja.filter!=="none":!1)||["transform","perspective","filter"].some(ed=>(Ja.willChange||"").includes(ed))||["paint","layout","strict","content"].some(ed=>(Ja.contain||"").includes(ed))}function getContainingBlock(Ra){let qa=getParentNode(Ra);for(;isHTMLElement(qa)&&!isLastTraversableNode(qa);){if(isContainingBlock(qa))return qa;if(isTopLayer(qa))return null;qa=getParentNode(qa)}return null}function isWebKit(){return typeof CSS>"u"||!CSS.supports?!1:CSS.supports("-webkit-backdrop-filter","none")}function isLastTraversableNode(Ra){return["html","body","#document"].includes(getNodeName(Ra))}function getComputedStyle$1(Ra){return getWindow(Ra).getComputedStyle(Ra)}function getNodeScroll(Ra){return isElement$1(Ra)?{scrollLeft:Ra.scrollLeft,scrollTop:Ra.scrollTop}:{scrollLeft:Ra.scrollX,scrollTop:Ra.scrollY}}function getParentNode(Ra){if(getNodeName(Ra)==="html")return Ra;const qa=Ra.assignedSlot||Ra.parentNode||isShadowRoot(Ra)&&Ra.host||getDocumentElement(Ra);return isShadowRoot(qa)?qa.host:qa}function getNearestOverflowAncestor(Ra){const qa=getParentNode(Ra);return isLastTraversableNode(qa)?Ra.ownerDocument?Ra.ownerDocument.body:Ra.body:isHTMLElement(qa)&&isOverflowElement(qa)?qa:getNearestOverflowAncestor(qa)}function getOverflowAncestors(Ra,qa,Ja){var ed;qa===void 0&&(qa=[]),Ja===void 0&&(Ja=!0);const td=getNearestOverflowAncestor(Ra),rd=td===((ed=Ra.ownerDocument)==null?void 0:ed.body),sd=getWindow(td);if(rd){const od=getFrameElement(sd);return qa.concat(sd,sd.visualViewport||[],isOverflowElement(td)?td:[],od&&Ja?getOverflowAncestors(od):[])}return qa.concat(td,getOverflowAncestors(td,[],Ja))}function getFrameElement(Ra){return Ra.parent&&Object.getPrototypeOf(Ra.parent)?Ra.frameElement:null}function getCssDimensions(Ra){const qa=getComputedStyle$1(Ra);let Ja=parseFloat(qa.width)||0,ed=parseFloat(qa.height)||0;const td=isHTMLElement(Ra),rd=td?Ra.offsetWidth:Ja,sd=td?Ra.offsetHeight:ed,od=round(Ja)!==rd||round(ed)!==sd;return od&&(Ja=rd,ed=sd),{width:Ja,height:ed,$:od}}function unwrapElement$1(Ra){return isElement$1(Ra)?Ra:Ra.contextElement}function getScale(Ra){const qa=unwrapElement$1(Ra);if(!isHTMLElement(qa))return createCoords(1);const Ja=qa.getBoundingClientRect(),{width:ed,height:td,$:rd}=getCssDimensions(qa);let sd=(rd?round(Ja.width):Ja.width)/ed,od=(rd?round(Ja.height):Ja.height)/td;return(!sd||!Number.isFinite(sd))&&(sd=1),(!od||!Number.isFinite(od))&&(od=1),{x:sd,y:od}}const noOffsets=createCoords(0);function getVisualOffsets(Ra){const qa=getWindow(Ra);return!isWebKit()||!qa.visualViewport?noOffsets:{x:qa.visualViewport.offsetLeft,y:qa.visualViewport.offsetTop}}function shouldAddVisualOffsets(Ra,qa,Ja){return qa===void 0&&(qa=!1),!Ja||qa&&Ja!==getWindow(Ra)?!1:qa}function getBoundingClientRect(Ra,qa,Ja,ed){qa===void 0&&(qa=!1),Ja===void 0&&(Ja=!1);const td=Ra.getBoundingClientRect(),rd=unwrapElement$1(Ra);let sd=createCoords(1);qa&&(ed?isElement$1(ed)&&(sd=getScale(ed)):sd=getScale(Ra));const od=shouldAddVisualOffsets(rd,Ja,ed)?getVisualOffsets(rd):createCoords(0);let ld=(td.left+od.x)/sd.x,cd=(td.top+od.y)/sd.y,ud=td.width/sd.x,_d=td.height/sd.y;if(rd){const yd=getWindow(rd),gd=ed&&isElement$1(ed)?getWindow(ed):ed;let Ed=yd,Td=getFrameElement(Ed);for(;Td&&ed&&gd!==Ed;){const kd=getScale(Td),Rd=Td.getBoundingClientRect(),Nd=getComputedStyle$1(Td),Id=Rd.left+(Td.clientLeft+parseFloat(Nd.paddingLeft))*kd.x,Md=Rd.top+(Td.clientTop+parseFloat(Nd.paddingTop))*kd.y;ld*=kd.x,cd*=kd.y,ud*=kd.x,_d*=kd.y,ld+=Id,cd+=Md,Ed=getWindow(Td),Td=getFrameElement(Ed)}}return rectToClientRect({width:ud,height:_d,x:ld,y:cd})}function convertOffsetParentRelativeRectToViewportRelativeRect(Ra){let{elements:qa,rect:Ja,offsetParent:ed,strategy:td}=Ra;const rd=td==="fixed",sd=getDocumentElement(ed),od=qa?isTopLayer(qa.floating):!1;if(ed===sd||od&&rd)return Ja;let ld={scrollLeft:0,scrollTop:0},cd=createCoords(1);const ud=createCoords(0),_d=isHTMLElement(ed);if((_d||!_d&&!rd)&&((getNodeName(ed)!=="body"||isOverflowElement(sd))&&(ld=getNodeScroll(ed)),isHTMLElement(ed))){const yd=getBoundingClientRect(ed);cd=getScale(ed),ud.x=yd.x+ed.clientLeft,ud.y=yd.y+ed.clientTop}return{width:Ja.width*cd.x,height:Ja.height*cd.y,x:Ja.x*cd.x-ld.scrollLeft*cd.x+ud.x,y:Ja.y*cd.y-ld.scrollTop*cd.y+ud.y}}function getClientRects(Ra){return Array.from(Ra.getClientRects())}function getWindowScrollBarX(Ra){return getBoundingClientRect(getDocumentElement(Ra)).left+getNodeScroll(Ra).scrollLeft}function getDocumentRect(Ra){const qa=getDocumentElement(Ra),Ja=getNodeScroll(Ra),ed=Ra.ownerDocument.body,td=max$2(qa.scrollWidth,qa.clientWidth,ed.scrollWidth,ed.clientWidth),rd=max$2(qa.scrollHeight,qa.clientHeight,ed.scrollHeight,ed.clientHeight);let sd=-Ja.scrollLeft+getWindowScrollBarX(Ra);const od=-Ja.scrollTop;return getComputedStyle$1(ed).direction==="rtl"&&(sd+=max$2(qa.clientWidth,ed.clientWidth)-td),{width:td,height:rd,x:sd,y:od}}function getViewportRect(Ra,qa){const Ja=getWindow(Ra),ed=getDocumentElement(Ra),td=Ja.visualViewport;let rd=ed.clientWidth,sd=ed.clientHeight,od=0,ld=0;if(td){rd=td.width,sd=td.height;const cd=isWebKit();(!cd||cd&&qa==="fixed")&&(od=td.offsetLeft,ld=td.offsetTop)}return{width:rd,height:sd,x:od,y:ld}}function getInnerBoundingClientRect(Ra,qa){const Ja=getBoundingClientRect(Ra,!0,qa==="fixed"),ed=Ja.top+Ra.clientTop,td=Ja.left+Ra.clientLeft,rd=isHTMLElement(Ra)?getScale(Ra):createCoords(1),sd=Ra.clientWidth*rd.x,od=Ra.clientHeight*rd.y,ld=td*rd.x,cd=ed*rd.y;return{width:sd,height:od,x:ld,y:cd}}function getClientRectFromClippingAncestor(Ra,qa,Ja){let ed;if(qa==="viewport")ed=getViewportRect(Ra,Ja);else if(qa==="document")ed=getDocumentRect(getDocumentElement(Ra));else if(isElement$1(qa))ed=getInnerBoundingClientRect(qa,Ja);else{const td=getVisualOffsets(Ra);ed={...qa,x:qa.x-td.x,y:qa.y-td.y}}return rectToClientRect(ed)}function hasFixedPositionAncestor(Ra,qa){const Ja=getParentNode(Ra);return Ja===qa||!isElement$1(Ja)||isLastTraversableNode(Ja)?!1:getComputedStyle$1(Ja).position==="fixed"||hasFixedPositionAncestor(Ja,qa)}function getClippingElementAncestors(Ra,qa){const Ja=qa.get(Ra);if(Ja)return Ja;let ed=getOverflowAncestors(Ra,[],!1).filter(od=>isElement$1(od)&&getNodeName(od)!=="body"),td=null;const rd=getComputedStyle$1(Ra).position==="fixed";let sd=rd?getParentNode(Ra):Ra;for(;isElement$1(sd)&&!isLastTraversableNode(sd);){const od=getComputedStyle$1(sd),ld=isContainingBlock(sd);!ld&&od.position==="fixed"&&(td=null),(rd?!ld&&!td:!ld&&od.position==="static"&&!!td&&["absolute","fixed"].includes(td.position)||isOverflowElement(sd)&&!ld&&hasFixedPositionAncestor(Ra,sd))?ed=ed.filter(ud=>ud!==sd):td=od,sd=getParentNode(sd)}return qa.set(Ra,ed),ed}function getClippingRect(Ra){let{element:qa,boundary:Ja,rootBoundary:ed,strategy:td}=Ra;const sd=[...Ja==="clippingAncestors"?isTopLayer(qa)?[]:getClippingElementAncestors(qa,this._c):[].concat(Ja),ed],od=sd[0],ld=sd.reduce((cd,ud)=>{const _d=getClientRectFromClippingAncestor(qa,ud,td);return cd.top=max$2(_d.top,cd.top),cd.right=min$1(_d.right,cd.right),cd.bottom=min$1(_d.bottom,cd.bottom),cd.left=max$2(_d.left,cd.left),cd},getClientRectFromClippingAncestor(qa,od,td));return{width:ld.right-ld.left,height:ld.bottom-ld.top,x:ld.left,y:ld.top}}function getDimensions(Ra){const{width:qa,height:Ja}=getCssDimensions(Ra);return{width:qa,height:Ja}}function getRectRelativeToOffsetParent(Ra,qa,Ja){const ed=isHTMLElement(qa),td=getDocumentElement(qa),rd=Ja==="fixed",sd=getBoundingClientRect(Ra,!0,rd,qa);let od={scrollLeft:0,scrollTop:0};const ld=createCoords(0);if(ed||!ed&&!rd)if((getNodeName(qa)!=="body"||isOverflowElement(td))&&(od=getNodeScroll(qa)),ed){const _d=getBoundingClientRect(qa,!0,rd,qa);ld.x=_d.x+qa.clientLeft,ld.y=_d.y+qa.clientTop}else td&&(ld.x=getWindowScrollBarX(td));const cd=sd.left+od.scrollLeft-ld.x,ud=sd.top+od.scrollTop-ld.y;return{x:cd,y:ud,width:sd.width,height:sd.height}}function isStaticPositioned(Ra){return getComputedStyle$1(Ra).position==="static"}function getTrueOffsetParent(Ra,qa){return!isHTMLElement(Ra)||getComputedStyle$1(Ra).position==="fixed"?null:qa?qa(Ra):Ra.offsetParent}function getOffsetParent(Ra,qa){const Ja=getWindow(Ra);if(isTopLayer(Ra))return Ja;if(!isHTMLElement(Ra)){let td=getParentNode(Ra);for(;td&&!isLastTraversableNode(td);){if(isElement$1(td)&&!isStaticPositioned(td))return td;td=getParentNode(td)}return Ja}let ed=getTrueOffsetParent(Ra,qa);for(;ed&&isTableElement(ed)&&isStaticPositioned(ed);)ed=getTrueOffsetParent(ed,qa);return ed&&isLastTraversableNode(ed)&&isStaticPositioned(ed)&&!isContainingBlock(ed)?Ja:ed||getContainingBlock(Ra)||Ja}const getElementRects=async function(Ra){const qa=this.getOffsetParent||getOffsetParent,Ja=this.getDimensions,ed=await Ja(Ra.floating);return{reference:getRectRelativeToOffsetParent(Ra.reference,await qa(Ra.floating),Ra.strategy),floating:{x:0,y:0,width:ed.width,height:ed.height}}};function isRTL(Ra){return getComputedStyle$1(Ra).direction==="rtl"}const platform$2={convertOffsetParentRelativeRectToViewportRelativeRect,getDocumentElement,getClippingRect,getOffsetParent,getElementRects,getClientRects,getDimensions,getScale,isElement:isElement$1,isRTL};function observeMove(Ra,qa){let Ja=null,ed;const td=getDocumentElement(Ra);function rd(){var od;clearTimeout(ed),(od=Ja)==null||od.disconnect(),Ja=null}function sd(od,ld){od===void 0&&(od=!1),ld===void 0&&(ld=1),rd();const{left:cd,top:ud,width:_d,height:yd}=Ra.getBoundingClientRect();if(od||qa(),!_d||!yd)return;const gd=floor(ud),Ed=floor(td.clientWidth-(cd+_d)),Td=floor(td.clientHeight-(ud+yd)),kd=floor(cd),Nd={rootMargin:-gd+"px "+-Ed+"px "+-Td+"px "+-kd+"px",threshold:max$2(0,min$1(1,ld))||1};let Id=!0;function Md(Ld){const Pd=Ld[0].intersectionRatio;if(Pd!==ld){if(!Id)return sd();Pd?sd(!1,Pd):ed=setTimeout(()=>{sd(!1,1e-7)},1e3)}Id=!1}try{Ja=new IntersectionObserver(Md,{...Nd,root:td.ownerDocument})}catch{Ja=new IntersectionObserver(Md,Nd)}Ja.observe(Ra)}return sd(!0),rd}function autoUpdate(Ra,qa,Ja,ed){ed===void 0&&(ed={});const{ancestorScroll:td=!0,ancestorResize:rd=!0,elementResize:sd=typeof ResizeObserver=="function",layoutShift:od=typeof IntersectionObserver=="function",animationFrame:ld=!1}=ed,cd=unwrapElement$1(Ra),ud=td||rd?[...cd?getOverflowAncestors(cd):[],...getOverflowAncestors(qa)]:[];ud.forEach(Rd=>{td&&Rd.addEventListener("scroll",Ja,{passive:!0}),rd&&Rd.addEventListener("resize",Ja)});const _d=cd&&od?observeMove(cd,Ja):null;let yd=-1,gd=null;sd&&(gd=new ResizeObserver(Rd=>{let[Nd]=Rd;Nd&&Nd.target===cd&&gd&&(gd.unobserve(qa),cancelAnimationFrame(yd),yd=requestAnimationFrame(()=>{var Id;(Id=gd)==null||Id.observe(qa)})),Ja()}),cd&&!ld&&gd.observe(cd),gd.observe(qa));let Ed,Td=ld?getBoundingClientRect(Ra):null;ld&&kd();function kd(){const Rd=getBoundingClientRect(Ra);Td&&(Rd.x!==Td.x||Rd.y!==Td.y||Rd.width!==Td.width||Rd.height!==Td.height)&&Ja(),Td=Rd,Ed=requestAnimationFrame(kd)}return Ja(),()=>{var Rd;ud.forEach(Nd=>{td&&Nd.removeEventListener("scroll",Ja),rd&&Nd.removeEventListener("resize",Ja)}),_d==null||_d(),(Rd=gd)==null||Rd.disconnect(),gd=null,ld&&cancelAnimationFrame(Ed)}}const offset=offset$1,shift=shift$1,flip=flip$1,size=size$1,hide=hide$1,arrow$1=arrow$2,limitShift=limitShift$1,computePosition=(Ra,qa,Ja)=>{const ed=new Map,td={platform:platform$2,...Ja},rd={...td.platform,_c:ed};return computePosition$1(Ra,qa,{...td,platform:rd})};function useCursor(Ra){let qa;function Ja(){if(Ra.value==null)return;const{selectionStart:td,selectionEnd:rd,value:sd}=Ra.value;if(td==null||rd==null)return;const od=sd.slice(0,Math.max(0,td)),ld=sd.slice(Math.max(0,rd));qa={selectionStart:td,selectionEnd:rd,value:sd,beforeTxt:od,afterTxt:ld}}function ed(){if(Ra.value==null||qa==null)return;const{value:td}=Ra.value,{beforeTxt:rd,afterTxt:sd,selectionStart:od}=qa;if(rd==null||sd==null||od==null)return;let ld=td.length;if(td.endsWith(sd))ld=td.length-sd.length;else if(td.startsWith(rd))ld=rd.length;else{const cd=rd[od-1],ud=td.indexOf(cd,od-1);ud!==-1&&(ld=ud+1)}Ra.value.setSelectionRange(ld,ld)}return[Ja,ed]}const getOrderedChildren=(Ra,qa,Ja)=>flattedChildren(Ra.subTree).filter(rd=>{var sd;return isVNode(rd)&&((sd=rd.type)==null?void 0:sd.name)===qa&&!!rd.component}).map(rd=>rd.component.uid).map(rd=>Ja[rd]).filter(rd=>!!rd),useOrderedChildren=(Ra,qa)=>{const Ja={},ed=shallowRef([]);return{children:ed,addChild:sd=>{Ja[sd.uid]=sd,ed.value=getOrderedChildren(Ra,qa,Ja)},removeChild:sd=>{delete Ja[sd],ed.value=ed.value.filter(od=>od.uid!==sd)}}},useSizeProp=buildProp({type:String,values:componentSizes,required:!1}),SIZE_INJECTION_KEY=Symbol("size"),useGlobalSize=()=>{const Ra=inject(SIZE_INJECTION_KEY,{});return computed(()=>unref(Ra.size)||"")};function useFocusController(Ra,{beforeFocus:qa,afterFocus:Ja,beforeBlur:ed,afterBlur:td}={}){const rd=getCurrentInstance(),{emit:sd}=rd,od=shallowRef(),ld=ref$1(!1),cd=yd=>{isFunction$4(qa)&&qa(yd)||ld.value||(ld.value=!0,sd("focus",yd),Ja==null||Ja())},ud=yd=>{var gd;isFunction$4(ed)&&ed(yd)||yd.relatedTarget&&((gd=od.value)!=null&&gd.contains(yd.relatedTarget))||(ld.value=!1,sd("blur",yd),td==null||td())},_d=()=>{var yd,gd;(yd=od.value)!=null&&yd.contains(document.activeElement)&&od.value!==document.activeElement||(gd=Ra.value)==null||gd.focus()};return watch(od,yd=>{yd&&yd.setAttribute("tabindex","-1")}),useEventListener(od,"focus",cd,!0),useEventListener(od,"blur",ud,!0),useEventListener(od,"click",_d,!0),{isFocused:ld,wrapperRef:od,handleFocus:cd,handleBlur:ud}}function useComposition({afterComposition:Ra,emit:qa}){const Ja=ref$1(!1),ed=od=>{qa==null||qa("compositionstart",od),Ja.value=!0},td=od=>{var ld;qa==null||qa("compositionupdate",od);const cd=(ld=od.target)==null?void 0:ld.value,ud=cd[cd.length-1]||"";Ja.value=!isKorean(ud)},rd=od=>{qa==null||qa("compositionend",od),Ja.value&&(Ja.value=!1,nextTick$1(()=>Ra(od)))};return{isComposing:Ja,handleComposition:od=>{od.type==="compositionend"?rd(od):td(od)},handleCompositionStart:ed,handleCompositionUpdate:td,handleCompositionEnd:rd}}const emptyValuesContextKey=Symbol("emptyValuesContextKey"),DEFAULT_EMPTY_VALUES=["",void 0,null],DEFAULT_VALUE_ON_CLEAR=void 0,useEmptyValuesProps=buildProps({emptyValues:Array,valueOnClear:{type:[String,Number,Boolean,Function],default:void 0,validator:Ra=>isFunction$4(Ra)?!Ra():!Ra}}),useEmptyValues=(Ra,qa)=>{const Ja=getCurrentInstance()?inject(emptyValuesContextKey,ref$1({})):ref$1({}),ed=computed(()=>Ra.emptyValues||Ja.value.emptyValues||DEFAULT_EMPTY_VALUES),td=computed(()=>isFunction$4(Ra.valueOnClear)?Ra.valueOnClear():Ra.valueOnClear!==void 0?Ra.valueOnClear:isFunction$4(Ja.value.valueOnClear)?Ja.value.valueOnClear():Ja.value.valueOnClear!==void 0?Ja.value.valueOnClear:DEFAULT_VALUE_ON_CLEAR),rd=sd=>ed.value.includes(sd);return ed.value.includes(td.value),{emptyValues:ed,valueOnClear:td,isEmptyValue:rd}},ariaProps=buildProps({ariaLabel:String,ariaOrientation:{type:String,values:["horizontal","vertical","undefined"]},ariaControls:String}),useAriaProps=Ra=>pick(ariaProps,Ra),configProviderContextKey=Symbol(),globalConfig=ref$1();function useGlobalConfig(Ra,qa=void 0){const Ja=getCurrentInstance()?inject(configProviderContextKey,globalConfig):globalConfig;return Ra?computed(()=>{var ed,td;return(td=(ed=Ja.value)==null?void 0:ed[Ra])!=null?td:qa}):Ja}function useGlobalComponentSettings(Ra,qa){const Ja=useGlobalConfig(),ed=useNamespace(Ra,computed(()=>{var od;return((od=Ja.value)==null?void 0:od.namespace)||defaultNamespace})),td=useLocale(computed(()=>{var od;return(od=Ja.value)==null?void 0:od.locale})),rd=useZIndex(computed(()=>{var od;return((od=Ja.value)==null?void 0:od.zIndex)||defaultInitialZIndex})),sd=computed(()=>{var od;return unref(qa)||((od=Ja.value)==null?void 0:od.size)||""});return provideGlobalConfig(computed(()=>unref(Ja)||{})),{ns:ed,locale:td,zIndex:rd,size:sd}}const provideGlobalConfig=(Ra,qa,Ja=!1)=>{var ed;const td=!!getCurrentInstance(),rd=td?useGlobalConfig():void 0,sd=(ed=void 0)!=null?ed:td?provide:void 0;if(!sd)return;const od=computed(()=>{const ld=unref(Ra);return rd!=null&&rd.value?mergeConfig$1(rd.value,ld):ld});return sd(configProviderContextKey,od),sd(localeContextKey,computed(()=>od.value.locale)),sd(namespaceContextKey,computed(()=>od.value.namespace)),sd(zIndexContextKey,computed(()=>od.value.zIndex)),sd(SIZE_INJECTION_KEY,{size:computed(()=>od.value.size||"")}),sd(emptyValuesContextKey,computed(()=>({emptyValues:od.value.emptyValues,valueOnClear:od.value.valueOnClear}))),(Ja||!globalConfig.value)&&(globalConfig.value=od.value),od},mergeConfig$1=(Ra,qa)=>{const Ja=[...new Set([...keysOf(Ra),...keysOf(qa)])],ed={};for(const td of Ja)ed[td]=qa[td]!==void 0?qa[td]:Ra[td];return ed},messageConfig={};var _export_sfc$1=(Ra,qa)=>{const Ja=Ra.__vccOpts||Ra;for(const[ed,td]of qa)Ja[ed]=td;return Ja};const iconProps=buildProps({size:{type:definePropType([Number,String])},color:{type:String}}),__default__$D=defineComponent({name:"ElIcon",inheritAttrs:!1}),_sfc_main$1j=defineComponent({...__default__$D,props:iconProps,setup(Ra){const qa=Ra,Ja=useNamespace("icon"),ed=computed(()=>{const{size:td,color:rd}=qa;return!td&&!rd?{}:{fontSize:isUndefined$1(td)?void 0:addUnit(td),"--color":rd}});return(td,rd)=>(openBlock(),createElementBlock("i",mergeProps({class:unref(Ja).b(),style:unref(ed)},td.$attrs),[renderSlot(td.$slots,"default")],16))}});var Icon$1=_export_sfc$1(_sfc_main$1j,[["__file","icon.vue"]]);const ElIcon=withInstall(Icon$1),alertEffects=["light","dark"],alertProps=buildProps({title:{type:String,default:""},description:{type:String,default:""},type:{type:String,values:keysOf(TypeComponentsMap),default:"info"},closable:{type:Boolean,default:!0},closeText:{type:String,default:""},showIcon:Boolean,center:Boolean,effect:{type:String,values:alertEffects,default:"light"}}),alertEmits={close:Ra=>Ra instanceof MouseEvent},__default__$C=defineComponent({name:"ElAlert"}),_sfc_main$1i=defineComponent({...__default__$C,props:alertProps,emits:alertEmits,setup(Ra,{emit:qa}){const Ja=Ra,{Close:ed}=TypeComponents,td=useSlots(),rd=useNamespace("alert"),sd=ref$1(!0),od=computed(()=>TypeComponentsMap[Ja.type]),ld=computed(()=>[rd.e("icon"),{[rd.is("big")]:!!Ja.description||!!td.default}]),cd=computed(()=>({"with-description":Ja.description||td.default})),ud=_d=>{sd.value=!1,qa("close",_d)};return(_d,yd)=>(openBlock(),createBlock(Transition$1,{name:unref(rd).b("fade"),persisted:""},{default:withCtx(()=>[withDirectives(createBaseVNode("div",{class:normalizeClass([unref(rd).b(),unref(rd).m(_d.type),unref(rd).is("center",_d.center),unref(rd).is(_d.effect)]),role:"alert"},[_d.showIcon&&unref(od)?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(ld))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(od))))]),_:1},8,["class"])):createCommentVNode("v-if",!0),createBaseVNode("div",{class:normalizeClass(unref(rd).e("content"))},[_d.title||_d.$slots.title?(openBlock(),createElementBlock("span",{key:0,class:normalizeClass([unref(rd).e("title"),unref(cd)])},[renderSlot(_d.$slots,"title",{},()=>[createTextVNode(toDisplayString(_d.title),1)])],2)):createCommentVNode("v-if",!0),_d.$slots.default||_d.description?(openBlock(),createElementBlock("p",{key:1,class:normalizeClass(unref(rd).e("description"))},[renderSlot(_d.$slots,"default",{},()=>[createTextVNode(toDisplayString(_d.description),1)])],2)):createCommentVNode("v-if",!0),_d.closable?(openBlock(),createElementBlock(Fragment,{key:2},[_d.closeText?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass([unref(rd).e("close-btn"),unref(rd).is("customed")]),onClick:ud},toDisplayString(_d.closeText),3)):(openBlock(),createBlock(unref(ElIcon),{key:1,class:normalizeClass(unref(rd).e("close-btn")),onClick:ud},{default:withCtx(()=>[createVNode(unref(ed))]),_:1},8,["class"]))],64)):createCommentVNode("v-if",!0)],2)],2),[[vShow,sd.value]])]),_:3},8,["name"]))}});var Alert=_export_sfc$1(_sfc_main$1i,[["__file","alert.vue"]]);const ElAlert=withInstall(Alert),formContextKey=Symbol("formContextKey"),formItemContextKey=Symbol("formItemContextKey"),useFormSize=(Ra,qa={})=>{const Ja=ref$1(void 0),ed=qa.prop?Ja:useProp("size"),td=qa.global?Ja:useGlobalSize(),rd=qa.form?{size:void 0}:inject(formContextKey,void 0),sd=qa.formItem?{size:void 0}:inject(formItemContextKey,void 0);return computed(()=>ed.value||unref(Ra)||(sd==null?void 0:sd.size)||(rd==null?void 0:rd.size)||td.value||"")},useFormDisabled=Ra=>{const qa=useProp("disabled"),Ja=inject(formContextKey,void 0);return computed(()=>qa.value||unref(Ra)||(Ja==null?void 0:Ja.disabled)||!1)},useFormItem=()=>{const Ra=inject(formContextKey,void 0),qa=inject(formItemContextKey,void 0);return{form:Ra,formItem:qa}},useFormItemInputId=(Ra,{formItemContext:qa,disableIdGeneration:Ja,disableIdManagement:ed})=>{Ja||(Ja=ref$1(!1)),ed||(ed=ref$1(!1));const td=ref$1();let rd;const sd=computed(()=>{var od;return!!(!(Ra.label||Ra.ariaLabel)&&qa&&qa.inputIds&&((od=qa.inputIds)==null?void 0:od.length)<=1)});return onMounted(()=>{rd=watch([toRef$1(Ra,"id"),Ja],([od,ld])=>{const cd=od??(ld?void 0:useId().value);cd!==td.value&&(qa!=null&&qa.removeInputId&&(td.value&&qa.removeInputId(td.value),!(ed!=null&&ed.value)&&!ld&&cd&&qa.addInputId(cd)),td.value=cd)},{immediate:!0})}),onUnmounted(()=>{rd&&rd(),qa!=null&&qa.removeInputId&&td.value&&qa.removeInputId(td.value)}),{isLabeledByFormItem:sd,inputId:td}},formMetaProps=buildProps({size:{type:String,values:componentSizes},disabled:Boolean}),formProps=buildProps({...formMetaProps,model:Object,rules:{type:definePropType(Object)},labelPosition:{type:String,values:["left","right","top"],default:"right"},requireAsteriskPosition:{type:String,values:["left","right"],default:"left"},labelWidth:{type:[String,Number],default:""},labelSuffix:{type:String,default:""},inline:Boolean,inlineMessage:Boolean,statusIcon:Boolean,showMessage:{type:Boolean,default:!0},validateOnRuleChange:{type:Boolean,default:!0},hideRequiredAsterisk:Boolean,scrollToError:Boolean,scrollIntoViewOptions:{type:[Object,Boolean]}}),formEmits={validate:(Ra,qa,Ja)=>(isArray$2(Ra)||isString$3(Ra))&&isBoolean$3(qa)&&isString$3(Ja)};function useFormLabelWidth(){const Ra=ref$1([]),qa=computed(()=>{if(!Ra.value.length)return"0";const rd=Math.max(...Ra.value);return rd?`${rd}px`:""});function Ja(rd){const sd=Ra.value.indexOf(rd);return sd===-1&&qa.value,sd}function ed(rd,sd){if(rd&&sd){const od=Ja(sd);Ra.value.splice(od,1,rd)}else rd&&Ra.value.push(rd)}function td(rd){const sd=Ja(rd);sd>-1&&Ra.value.splice(sd,1)}return{autoLabelWidth:qa,registerLabelWidth:ed,deregisterLabelWidth:td}}const filterFields=(Ra,qa)=>{const Ja=castArray(qa);return Ja.length>0?Ra.filter(ed=>ed.prop&&Ja.includes(ed.prop)):Ra},COMPONENT_NAME$a="ElForm",__default__$B=defineComponent({name:COMPONENT_NAME$a}),_sfc_main$1h=defineComponent({...__default__$B,props:formProps,emits:formEmits,setup(Ra,{expose:qa,emit:Ja}){const ed=Ra,td=[],rd=useFormSize(),sd=useNamespace("form"),od=computed(()=>{const{labelPosition:Id,inline:Md}=ed;return[sd.b(),sd.m(rd.value||"default"),{[sd.m(`label-${Id}`)]:Id,[sd.m("inline")]:Md}]}),ld=Id=>td.find(Md=>Md.prop===Id),cd=Id=>{td.push(Id)},ud=Id=>{Id.prop&&td.splice(td.indexOf(Id),1)},_d=(Id=[])=>{ed.model&&filterFields(td,Id).forEach(Md=>Md.resetField())},yd=(Id=[])=>{filterFields(td,Id).forEach(Md=>Md.clearValidate())},gd=computed(()=>!!ed.model),Ed=Id=>{if(td.length===0)return[];const Md=filterFields(td,Id);return Md.length?Md:[]},Td=async Id=>Rd(void 0,Id),kd=async(Id=[])=>{if(!gd.value)return!1;const Md=Ed(Id);if(Md.length===0)return!0;let Ld={};for(const Pd of Md)try{await Pd.validate("")}catch(qd){Ld={...Ld,...qd}}return Object.keys(Ld).length===0?!0:Promise.reject(Ld)},Rd=async(Id=[],Md)=>{const Ld=!isFunction$4(Md);try{const Pd=await kd(Id);return Pd===!0&&await(Md==null?void 0:Md(Pd)),Pd}catch(Pd){if(Pd instanceof Error)throw Pd;const qd=Pd;return ed.scrollToError&&Nd(Object.keys(qd)[0]),await(Md==null?void 0:Md(!1,qd)),Ld&&Promise.reject(qd)}},Nd=Id=>{var Md;const Ld=filterFields(td,Id)[0];Ld&&((Md=Ld.$el)==null||Md.scrollIntoView(ed.scrollIntoViewOptions))};return watch(()=>ed.rules,()=>{ed.validateOnRuleChange&&Td().catch(Id=>void 0)},{deep:!0}),provide(formContextKey,reactive({...toRefs(ed),emit:Ja,resetFields:_d,clearValidate:yd,validateField:Rd,getField:ld,addField:cd,removeField:ud,...useFormLabelWidth()})),qa({validate:Td,validateField:Rd,resetFields:_d,clearValidate:yd,scrollToField:Nd,fields:td}),(Id,Md)=>(openBlock(),createElementBlock("form",{class:normalizeClass(unref(od))},[renderSlot(Id.$slots,"default")],2))}});var Form=_export_sfc$1(_sfc_main$1h,[["__file","form.vue"]]),define_process_default$9={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}},define_process_env_default={};function _extends(){return _extends=Object.assign?Object.assign.bind():function(Ra){for(var qa=1;qa<arguments.length;qa++){var Ja=arguments[qa];for(var ed in Ja)Object.prototype.hasOwnProperty.call(Ja,ed)&&(Ra[ed]=Ja[ed])}return Ra},_extends.apply(this,arguments)}function _inheritsLoose$1(Ra,qa){Ra.prototype=Object.create(qa.prototype),Ra.prototype.constructor=Ra,_setPrototypeOf(Ra,qa)}function _getPrototypeOf(Ra){return _getPrototypeOf=Object.setPrototypeOf?Object.getPrototypeOf.bind():function(Ja){return Ja.__proto__||Object.getPrototypeOf(Ja)},_getPrototypeOf(Ra)}function _setPrototypeOf(Ra,qa){return _setPrototypeOf=Object.setPrototypeOf?Object.setPrototypeOf.bind():function(ed,td){return ed.__proto__=td,ed},_setPrototypeOf(Ra,qa)}function _isNativeReflectConstruct(){if(typeof Reflect>"u"||!Reflect.construct||Reflect.construct.sham)return!1;if(typeof Proxy=="function")return!0;try{return Boolean.prototype.valueOf.call(Reflect.construct(Boolean,[],function(){})),!0}catch{return!1}}function _construct(Ra,qa,Ja){return _isNativeReflectConstruct()?_construct=Reflect.construct.bind():_construct=function(td,rd,sd){var od=[null];od.push.apply(od,rd);var ld=Function.bind.apply(td,od),cd=new ld;return sd&&_setPrototypeOf(cd,sd.prototype),cd},_construct.apply(null,arguments)}function _isNativeFunction(Ra){return Function.toString.call(Ra).indexOf("[native code]")!==-1}function _wrapNativeSuper(Ra){var qa=typeof Map=="function"?new Map:void 0;return _wrapNativeSuper=function(ed){if(ed===null||!_isNativeFunction(ed))return ed;if(typeof ed!="function")throw new TypeError("Super expression must either be null or a function");if(typeof qa<"u"){if(qa.has(ed))return qa.get(ed);qa.set(ed,td)}function td(){return _construct(ed,arguments,_getPrototypeOf(this).constructor)}return td.prototype=Object.create(ed.prototype,{constructor:{value:td,enumerable:!1,writable:!0,configurable:!0}}),_setPrototypeOf(td,ed)},_wrapNativeSuper(Ra)}var formatRegExp=/%[sdj%]/g,warning=function(){};typeof define_process_default$9<"u";function convertFieldsError(Ra){if(!Ra||!Ra.length)return null;var qa={};return Ra.forEach(function(Ja){var ed=Ja.field;qa[ed]=qa[ed]||[],qa[ed].push(Ja)}),qa}function format$2(Ra){for(var qa=arguments.length,Ja=new Array(qa>1?qa-1:0),ed=1;ed<qa;ed++)Ja[ed-1]=arguments[ed];var td=0,rd=Ja.length;if(typeof Ra=="function")return Ra.apply(null,Ja);if(typeof Ra=="string"){var sd=Ra.replace(formatRegExp,function(od){if(od==="%%")return"%";if(td>=rd)return od;switch(od){case"%s":return String(Ja[td++]);case"%d":return Number(Ja[td++]);case"%j":try{return JSON.stringify(Ja[td++])}catch{return"[Circular]"}break;default:return od}});return sd}return Ra}function isNativeStringType(Ra){return Ra==="string"||Ra==="url"||Ra==="hex"||Ra==="email"||Ra==="date"||Ra==="pattern"}function isEmptyValue(Ra,qa){return!!(Ra==null||qa==="array"&&Array.isArray(Ra)&&!Ra.length||isNativeStringType(qa)&&typeof Ra=="string"&&!Ra)}function asyncParallelArray(Ra,qa,Ja){var ed=[],td=0,rd=Ra.length;function sd(od){ed.push.apply(ed,od||[]),td++,td===rd&&Ja(ed)}Ra.forEach(function(od){qa(od,sd)})}function asyncSerialArray(Ra,qa,Ja){var ed=0,td=Ra.length;function rd(sd){if(sd&&sd.length){Ja(sd);return}var od=ed;ed=ed+1,od<td?qa(Ra[od],rd):Ja([])}rd([])}function flattenObjArr(Ra){var qa=[];return Object.keys(Ra).forEach(function(Ja){qa.push.apply(qa,Ra[Ja]||[])}),qa}var AsyncValidationError=function(Ra){_inheritsLoose$1(qa,Ra);function qa(Ja,ed){var td;return td=Ra.call(this,"Async Validation Error")||this,td.errors=Ja,td.fields=ed,td}return qa}(_wrapNativeSuper(Error));function asyncMap(Ra,qa,Ja,ed,td){if(qa.first){var rd=new Promise(function(yd,gd){var Ed=function(Rd){return ed(Rd),Rd.length?gd(new AsyncValidationError(Rd,convertFieldsError(Rd))):yd(td)},Td=flattenObjArr(Ra);asyncSerialArray(Td,Ja,Ed)});return rd.catch(function(yd){return yd}),rd}var sd=qa.firstFields===!0?Object.keys(Ra):qa.firstFields||[],od=Object.keys(Ra),ld=od.length,cd=0,ud=[],_d=new Promise(function(yd,gd){var Ed=function(kd){if(ud.push.apply(ud,kd),cd++,cd===ld)return ed(ud),ud.length?gd(new AsyncValidationError(ud,convertFieldsError(ud))):yd(td)};od.length||(ed(ud),yd(td)),od.forEach(function(Td){var kd=Ra[Td];sd.indexOf(Td)!==-1?asyncSerialArray(kd,Ja,Ed):asyncParallelArray(kd,Ja,Ed)})});return _d.catch(function(yd){return yd}),_d}function isErrorObj(Ra){return!!(Ra&&Ra.message!==void 0)}function getValue(Ra,qa){for(var Ja=Ra,ed=0;ed<qa.length;ed++){if(Ja==null)return Ja;Ja=Ja[qa[ed]]}return Ja}function complementError(Ra,qa){return function(Ja){var ed;return Ra.fullFields?ed=getValue(qa,Ra.fullFields):ed=qa[Ja.field||Ra.fullField],isErrorObj(Ja)?(Ja.field=Ja.field||Ra.fullField,Ja.fieldValue=ed,Ja):{message:typeof Ja=="function"?Ja():Ja,fieldValue:ed,field:Ja.field||Ra.fullField}}}function deepMerge(Ra,qa){if(qa){for(var Ja in qa)if(qa.hasOwnProperty(Ja)){var ed=qa[Ja];typeof ed=="object"&&typeof Ra[Ja]=="object"?Ra[Ja]=_extends({},Ra[Ja],ed):Ra[Ja]=ed}}return Ra}var required$1=function(qa,Ja,ed,td,rd,sd){qa.required&&(!ed.hasOwnProperty(qa.field)||isEmptyValue(Ja,sd||qa.type))&&td.push(format$2(rd.messages.required,qa.fullField))},whitespace$1=function(qa,Ja,ed,td,rd){(/^\s+$/.test(Ja)||Ja==="")&&td.push(format$2(rd.messages.whitespace,qa.fullField))},urlReg,getUrlRegex=function(){if(urlReg)return urlReg;var Ra="[a-fA-F\\d:]",qa=function(Md){return Md&&Md.includeBoundaries?"(?:(?<=\\s|^)(?="+Ra+")|(?<="+Ra+")(?=\\s|$))":""},Ja="(?:25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]\\d|\\d)(?:\\.(?:25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]\\d|\\d)){3}",ed="[a-fA-F\\d]{1,4}",td=(`
|
||
(?:
|
||
(?:`+ed+":){7}(?:"+ed+`|:)| // 1:2:3:4:5:6:7:: 1:2:3:4:5:6:7:8
|
||
(?:`+ed+":){6}(?:"+Ja+"|:"+ed+`|:)| // 1:2:3:4:5:6:: 1:2:3:4:5:6::8 1:2:3:4:5:6::8 1:2:3:4:5:6::1.2.3.4
|
||
(?:`+ed+":){5}(?::"+Ja+"|(?::"+ed+`){1,2}|:)| // 1:2:3:4:5:: 1:2:3:4:5::7:8 1:2:3:4:5::8 1:2:3:4:5::7:1.2.3.4
|
||
(?:`+ed+":){4}(?:(?::"+ed+"){0,1}:"+Ja+"|(?::"+ed+`){1,3}|:)| // 1:2:3:4:: 1:2:3:4::6:7:8 1:2:3:4::8 1:2:3:4::6:7:1.2.3.4
|
||
(?:`+ed+":){3}(?:(?::"+ed+"){0,2}:"+Ja+"|(?::"+ed+`){1,4}|:)| // 1:2:3:: 1:2:3::5:6:7:8 1:2:3::8 1:2:3::5:6:7:1.2.3.4
|
||
(?:`+ed+":){2}(?:(?::"+ed+"){0,3}:"+Ja+"|(?::"+ed+`){1,5}|:)| // 1:2:: 1:2::4:5:6:7:8 1:2::8 1:2::4:5:6:7:1.2.3.4
|
||
(?:`+ed+":){1}(?:(?::"+ed+"){0,4}:"+Ja+"|(?::"+ed+`){1,6}|:)| // 1:: 1::3:4:5:6:7:8 1::8 1::3:4:5:6:7:1.2.3.4
|
||
(?::(?:(?::`+ed+"){0,5}:"+Ja+"|(?::"+ed+`){1,7}|:)) // ::2:3:4:5:6:7:8 ::2:3:4:5:6:7:8 ::8 ::1.2.3.4
|
||
)(?:%[0-9a-zA-Z]{1,})? // %eth0 %1
|
||
`).replace(/\s*\/\/.*$/gm,"").replace(/\n/g,"").trim(),rd=new RegExp("(?:^"+Ja+"$)|(?:^"+td+"$)"),sd=new RegExp("^"+Ja+"$"),od=new RegExp("^"+td+"$"),ld=function(Md){return Md&&Md.exact?rd:new RegExp("(?:"+qa(Md)+Ja+qa(Md)+")|(?:"+qa(Md)+td+qa(Md)+")","g")};ld.v4=function(Id){return Id&&Id.exact?sd:new RegExp(""+qa(Id)+Ja+qa(Id),"g")},ld.v6=function(Id){return Id&&Id.exact?od:new RegExp(""+qa(Id)+td+qa(Id),"g")};var cd="(?:(?:[a-z]+:)?//)",ud="(?:\\S+(?::\\S*)?@)?",_d=ld.v4().source,yd=ld.v6().source,gd="(?:(?:[a-z\\u00a1-\\uffff0-9][-_]*)*[a-z\\u00a1-\\uffff0-9]+)",Ed="(?:\\.(?:[a-z\\u00a1-\\uffff0-9]-*)*[a-z\\u00a1-\\uffff0-9]+)*",Td="(?:\\.(?:[a-z\\u00a1-\\uffff]{2,}))",kd="(?::\\d{2,5})?",Rd='(?:[/?#][^\\s"]*)?',Nd="(?:"+cd+"|www\\.)"+ud+"(?:localhost|"+_d+"|"+yd+"|"+gd+Ed+Td+")"+kd+Rd;return urlReg=new RegExp("(?:^"+Nd+"$)","i"),urlReg},pattern$2={email:/^(([^<>()\[\]\\.,;:\s@"]+(\.[^<>()\[\]\\.,;:\s@"]+)*)|(".+"))@((\[[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}])|(([a-zA-Z\-0-9\u00A0-\uD7FF\uF900-\uFDCF\uFDF0-\uFFEF]+\.)+[a-zA-Z\u00A0-\uD7FF\uF900-\uFDCF\uFDF0-\uFFEF]{2,}))$/,hex:/^#?([a-f0-9]{6}|[a-f0-9]{3})$/i},types$2={integer:function(qa){return types$2.number(qa)&&parseInt(qa,10)===qa},float:function(qa){return types$2.number(qa)&&!types$2.integer(qa)},array:function(qa){return Array.isArray(qa)},regexp:function(qa){if(qa instanceof RegExp)return!0;try{return!!new RegExp(qa)}catch{return!1}},date:function(qa){return typeof qa.getTime=="function"&&typeof qa.getMonth=="function"&&typeof qa.getYear=="function"&&!isNaN(qa.getTime())},number:function(qa){return isNaN(qa)?!1:typeof qa=="number"},object:function(qa){return typeof qa=="object"&&!types$2.array(qa)},method:function(qa){return typeof qa=="function"},email:function(qa){return typeof qa=="string"&&qa.length<=320&&!!qa.match(pattern$2.email)},url:function(qa){return typeof qa=="string"&&qa.length<=2048&&!!qa.match(getUrlRegex())},hex:function(qa){return typeof qa=="string"&&!!qa.match(pattern$2.hex)}},type$1$1=function(qa,Ja,ed,td,rd){if(qa.required&&Ja===void 0){required$1(qa,Ja,ed,td,rd);return}var sd=["integer","float","array","regexp","object","method","email","number","date","url","hex"],od=qa.type;sd.indexOf(od)>-1?types$2[od](Ja)||td.push(format$2(rd.messages.types[od],qa.fullField,qa.type)):od&&typeof Ja!==qa.type&&td.push(format$2(rd.messages.types[od],qa.fullField,qa.type))},range$4=function(qa,Ja,ed,td,rd){var sd=typeof qa.len=="number",od=typeof qa.min=="number",ld=typeof qa.max=="number",cd=/[\uD800-\uDBFF][\uDC00-\uDFFF]/g,ud=Ja,_d=null,yd=typeof Ja=="number",gd=typeof Ja=="string",Ed=Array.isArray(Ja);if(yd?_d="number":gd?_d="string":Ed&&(_d="array"),!_d)return!1;Ed&&(ud=Ja.length),gd&&(ud=Ja.replace(cd,"_").length),sd?ud!==qa.len&&td.push(format$2(rd.messages[_d].len,qa.fullField,qa.len)):od&&!ld&&ud<qa.min?td.push(format$2(rd.messages[_d].min,qa.fullField,qa.min)):ld&&!od&&ud>qa.max?td.push(format$2(rd.messages[_d].max,qa.fullField,qa.max)):od&&ld&&(ud<qa.min||ud>qa.max)&&td.push(format$2(rd.messages[_d].range,qa.fullField,qa.min,qa.max))},ENUM$1="enum",enumerable$1=function(qa,Ja,ed,td,rd){qa[ENUM$1]=Array.isArray(qa[ENUM$1])?qa[ENUM$1]:[],qa[ENUM$1].indexOf(Ja)===-1&&td.push(format$2(rd.messages[ENUM$1],qa.fullField,qa[ENUM$1].join(", ")))},pattern$1=function(qa,Ja,ed,td,rd){if(qa.pattern){if(qa.pattern instanceof RegExp)qa.pattern.lastIndex=0,qa.pattern.test(Ja)||td.push(format$2(rd.messages.pattern.mismatch,qa.fullField,Ja,qa.pattern));else if(typeof qa.pattern=="string"){var sd=new RegExp(qa.pattern);sd.test(Ja)||td.push(format$2(rd.messages.pattern.mismatch,qa.fullField,Ja,qa.pattern))}}},rules={required:required$1,whitespace:whitespace$1,type:type$1$1,range:range$4,enum:enumerable$1,pattern:pattern$1},string=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja,"string")&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd,"string"),isEmptyValue(Ja,"string")||(rules.type(qa,Ja,td,sd,rd),rules.range(qa,Ja,td,sd,rd),rules.pattern(qa,Ja,td,sd,rd),qa.whitespace===!0&&rules.whitespace(qa,Ja,td,sd,rd))}ed(sd)},method2=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja)&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd),Ja!==void 0&&rules.type(qa,Ja,td,sd,rd)}ed(sd)},number2=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(Ja===""&&(Ja=void 0),isEmptyValue(Ja)&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd),Ja!==void 0&&(rules.type(qa,Ja,td,sd,rd),rules.range(qa,Ja,td,sd,rd))}ed(sd)},_boolean=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja)&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd),Ja!==void 0&&rules.type(qa,Ja,td,sd,rd)}ed(sd)},regexp2=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja)&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd),isEmptyValue(Ja)||rules.type(qa,Ja,td,sd,rd)}ed(sd)},integer2=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja)&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd),Ja!==void 0&&(rules.type(qa,Ja,td,sd,rd),rules.range(qa,Ja,td,sd,rd))}ed(sd)},floatFn=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja)&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd),Ja!==void 0&&(rules.type(qa,Ja,td,sd,rd),rules.range(qa,Ja,td,sd,rd))}ed(sd)},array2=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(Ja==null&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd,"array"),Ja!=null&&(rules.type(qa,Ja,td,sd,rd),rules.range(qa,Ja,td,sd,rd))}ed(sd)},object2=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja)&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd),Ja!==void 0&&rules.type(qa,Ja,td,sd,rd)}ed(sd)},ENUM="enum",enumerable2=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja)&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd),Ja!==void 0&&rules[ENUM](qa,Ja,td,sd,rd)}ed(sd)},pattern2=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja,"string")&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd),isEmptyValue(Ja,"string")||rules.pattern(qa,Ja,td,sd,rd)}ed(sd)},date2=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja,"date")&&!qa.required)return ed();if(rules.required(qa,Ja,td,sd,rd),!isEmptyValue(Ja,"date")){var ld;Ja instanceof Date?ld=Ja:ld=new Date(Ja),rules.type(qa,ld,td,sd,rd),ld&&rules.range(qa,ld.getTime(),td,sd,rd)}}ed(sd)},required2=function(qa,Ja,ed,td,rd){var sd=[],od=Array.isArray(Ja)?"array":typeof Ja;rules.required(qa,Ja,td,sd,rd,od),ed(sd)},type2=function(qa,Ja,ed,td,rd){var sd=qa.type,od=[],ld=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(ld){if(isEmptyValue(Ja,sd)&&!qa.required)return ed();rules.required(qa,Ja,td,od,rd,sd),isEmptyValue(Ja,sd)||rules.type(qa,Ja,td,od,rd)}ed(od)},any=function(qa,Ja,ed,td,rd){var sd=[],od=qa.required||!qa.required&&td.hasOwnProperty(qa.field);if(od){if(isEmptyValue(Ja)&&!qa.required)return ed();rules.required(qa,Ja,td,sd,rd)}ed(sd)},validators$2={string,method:method2,number:number2,boolean:_boolean,regexp:regexp2,integer:integer2,float:floatFn,array:array2,object:object2,enum:enumerable2,pattern:pattern2,date:date2,url:type2,hex:type2,email:type2,required:required2,any};function newMessages(){return{default:"Validation error on field %s",required:"%s is required",enum:"%s must be one of %s",whitespace:"%s cannot be empty",date:{format:"%s date %s is invalid for format %s",parse:"%s date could not be parsed, %s is invalid ",invalid:"%s date %s is invalid"},types:{string:"%s is not a %s",method:"%s is not a %s (function)",array:"%s is not an %s",object:"%s is not an %s",number:"%s is not a %s",date:"%s is not a %s",boolean:"%s is not a %s",integer:"%s is not an %s",float:"%s is not a %s",regexp:"%s is not a valid %s",email:"%s is not a valid %s",url:"%s is not a valid %s",hex:"%s is not a valid %s"},string:{len:"%s must be exactly %s characters",min:"%s must be at least %s characters",max:"%s cannot be longer than %s characters",range:"%s must be between %s and %s characters"},number:{len:"%s must equal %s",min:"%s cannot be less than %s",max:"%s cannot be greater than %s",range:"%s must be between %s and %s"},array:{len:"%s must be exactly %s in length",min:"%s cannot be less than %s in length",max:"%s cannot be greater than %s in length",range:"%s must be between %s and %s in length"},pattern:{mismatch:"%s value %s does not match pattern %s"},clone:function(){var qa=JSON.parse(JSON.stringify(this));return qa.clone=this.clone,qa}}}var messages=newMessages(),Schema=function(){function Ra(Ja){this.rules=null,this._messages=messages,this.define(Ja)}var qa=Ra.prototype;return qa.define=function(ed){var td=this;if(!ed)throw new Error("Cannot configure a schema with no rules");if(typeof ed!="object"||Array.isArray(ed))throw new Error("Rules must be an object");this.rules={},Object.keys(ed).forEach(function(rd){var sd=ed[rd];td.rules[rd]=Array.isArray(sd)?sd:[sd]})},qa.messages=function(ed){return ed&&(this._messages=deepMerge(newMessages(),ed)),this._messages},qa.validate=function(ed,td,rd){var sd=this;td===void 0&&(td={}),rd===void 0&&(rd=function(){});var od=ed,ld=td,cd=rd;if(typeof ld=="function"&&(cd=ld,ld={}),!this.rules||Object.keys(this.rules).length===0)return cd&&cd(null,od),Promise.resolve(od);function ud(Td){var kd=[],Rd={};function Nd(Md){if(Array.isArray(Md)){var Ld;kd=(Ld=kd).concat.apply(Ld,Md)}else kd.push(Md)}for(var Id=0;Id<Td.length;Id++)Nd(Td[Id]);kd.length?(Rd=convertFieldsError(kd),cd(kd,Rd)):cd(null,od)}if(ld.messages){var _d=this.messages();_d===messages&&(_d=newMessages()),deepMerge(_d,ld.messages),ld.messages=_d}else ld.messages=this.messages();var yd={},gd=ld.keys||Object.keys(this.rules);gd.forEach(function(Td){var kd=sd.rules[Td],Rd=od[Td];kd.forEach(function(Nd){var Id=Nd;typeof Id.transform=="function"&&(od===ed&&(od=_extends({},od)),Rd=od[Td]=Id.transform(Rd)),typeof Id=="function"?Id={validator:Id}:Id=_extends({},Id),Id.validator=sd.getValidationMethod(Id),Id.validator&&(Id.field=Td,Id.fullField=Id.fullField||Td,Id.type=sd.getType(Id),yd[Td]=yd[Td]||[],yd[Td].push({rule:Id,value:Rd,source:od,field:Td}))})});var Ed={};return asyncMap(yd,ld,function(Td,kd){var Rd=Td.rule,Nd=(Rd.type==="object"||Rd.type==="array")&&(typeof Rd.fields=="object"||typeof Rd.defaultField=="object");Nd=Nd&&(Rd.required||!Rd.required&&Td.value),Rd.field=Td.field;function Id(Pd,qd){return _extends({},qd,{fullField:Rd.fullField+"."+Pd,fullFields:Rd.fullFields?[].concat(Rd.fullFields,[Pd]):[Pd]})}function Md(Pd){Pd===void 0&&(Pd=[]);var qd=Array.isArray(Pd)?Pd:[Pd];!ld.suppressWarning&&qd.length&&Ra.warning("async-validator:",qd),qd.length&&Rd.message!==void 0&&(qd=[].concat(Rd.message));var Yd=qd.map(complementError(Rd,od));if(ld.first&&Yd.length)return Ed[Rd.field]=1,kd(Yd);if(!Nd)kd(Yd);else{if(Rd.required&&!Td.value)return Rd.message!==void 0?Yd=[].concat(Rd.message).map(complementError(Rd,od)):ld.error&&(Yd=[ld.error(Rd,format$2(ld.messages.required,Rd.field))]),kd(Yd);var Ud={};Rd.defaultField&&Object.keys(Td.value).map(function(Jd){Ud[Jd]=Rd.defaultField}),Ud=_extends({},Ud,Td.rule.fields);var Hd={};Object.keys(Ud).forEach(function(Jd){var Zd=Ud[Jd],pf=Array.isArray(Zd)?Zd:[Zd];Hd[Jd]=pf.map(Id.bind(null,Jd))});var Vd=new Ra(Hd);Vd.messages(ld.messages),Td.rule.options&&(Td.rule.options.messages=ld.messages,Td.rule.options.error=ld.error),Vd.validate(Td.value,Td.rule.options||ld,function(Jd){var Zd=[];Yd&&Yd.length&&Zd.push.apply(Zd,Yd),Jd&&Jd.length&&Zd.push.apply(Zd,Jd),kd(Zd.length?Zd:null)})}}var Ld;if(Rd.asyncValidator)Ld=Rd.asyncValidator(Rd,Td.value,Md,Td.source,ld);else if(Rd.validator){try{Ld=Rd.validator(Rd,Td.value,Md,Td.source,ld)}catch(Pd){console.error==null||console.error(Pd),ld.suppressValidatorError||setTimeout(function(){throw Pd},0),Md(Pd.message)}Ld===!0?Md():Ld===!1?Md(typeof Rd.message=="function"?Rd.message(Rd.fullField||Rd.field):Rd.message||(Rd.fullField||Rd.field)+" fails"):Ld instanceof Array?Md(Ld):Ld instanceof Error&&Md(Ld.message)}Ld&&Ld.then&&Ld.then(function(){return Md()},function(Pd){return Md(Pd)})},function(Td){ud(Td)},od)},qa.getType=function(ed){if(ed.type===void 0&&ed.pattern instanceof RegExp&&(ed.type="pattern"),typeof ed.validator!="function"&&ed.type&&!validators$2.hasOwnProperty(ed.type))throw new Error(format$2("Unknown rule type %s",ed.type));return ed.type||"string"},qa.getValidationMethod=function(ed){if(typeof ed.validator=="function")return ed.validator;var td=Object.keys(ed),rd=td.indexOf("message");return rd!==-1&&td.splice(rd,1),td.length===1&&td[0]==="required"?validators$2.required:validators$2[this.getType(ed)]||void 0},Ra}();Schema.register=function(qa,Ja){if(typeof Ja!="function")throw new Error("Cannot register a validator by type, validator is not a function");validators$2[qa]=Ja};Schema.warning=warning;Schema.messages=messages;Schema.validators=validators$2;const formItemValidateStates=["","error","validating","success"],formItemProps=buildProps({label:String,labelWidth:{type:[String,Number],default:""},labelPosition:{type:String,values:["left","right","top",""],default:""},prop:{type:definePropType([String,Array])},required:{type:Boolean,default:void 0},rules:{type:definePropType([Object,Array])},error:String,validateStatus:{type:String,values:formItemValidateStates},for:String,inlineMessage:{type:[String,Boolean],default:""},showMessage:{type:Boolean,default:!0},size:{type:String,values:componentSizes}}),COMPONENT_NAME$9="ElLabelWrap";var FormLabelWrap=defineComponent({name:COMPONENT_NAME$9,props:{isAutoWidth:Boolean,updateAll:Boolean},setup(Ra,{slots:qa}){const Ja=inject(formContextKey,void 0),ed=inject(formItemContextKey);ed||throwError$1(COMPONENT_NAME$9,"usage: <el-form-item><label-wrap /></el-form-item>");const td=useNamespace("form"),rd=ref$1(),sd=ref$1(0),od=()=>{var ud;if((ud=rd.value)!=null&&ud.firstElementChild){const _d=window.getComputedStyle(rd.value.firstElementChild).width;return Math.ceil(Number.parseFloat(_d))}else return 0},ld=(ud="update")=>{nextTick$1(()=>{qa.default&&Ra.isAutoWidth&&(ud==="update"?sd.value=od():ud==="remove"&&(Ja==null||Ja.deregisterLabelWidth(sd.value)))})},cd=()=>ld("update");return onMounted(()=>{cd()}),onBeforeUnmount(()=>{ld("remove")}),onUpdated(()=>cd()),watch(sd,(ud,_d)=>{Ra.updateAll&&(Ja==null||Ja.registerLabelWidth(ud,_d))}),useResizeObserver(computed(()=>{var ud,_d;return(_d=(ud=rd.value)==null?void 0:ud.firstElementChild)!=null?_d:null}),cd),()=>{var ud,_d;if(!qa)return null;const{isAutoWidth:yd}=Ra;if(yd){const gd=Ja==null?void 0:Ja.autoLabelWidth,Ed=ed==null?void 0:ed.hasLabel,Td={};if(Ed&&gd&&gd!=="auto"){const kd=Math.max(0,Number.parseInt(gd,10)-sd.value),Nd=(ed.labelPosition||Ja.labelPosition)==="left"?"marginRight":"marginLeft";kd&&(Td[Nd]=`${kd}px`)}return createVNode("div",{ref:rd,class:[td.be("item","label-wrap")],style:Td},[(ud=qa.default)==null?void 0:ud.call(qa)])}else return createVNode(Fragment,{ref:rd},[(_d=qa.default)==null?void 0:_d.call(qa)])}}});const __default__$A=defineComponent({name:"ElFormItem"}),_sfc_main$1g=defineComponent({...__default__$A,props:formItemProps,setup(Ra,{expose:qa}){const Ja=Ra,ed=useSlots(),td=inject(formContextKey,void 0),rd=inject(formItemContextKey,void 0),sd=useFormSize(void 0,{formItem:!1}),od=useNamespace("form-item"),ld=useId().value,cd=ref$1([]),ud=ref$1(""),_d=refDebounced(ud,100),yd=ref$1(""),gd=ref$1();let Ed,Td=!1;const kd=computed(()=>Ja.labelPosition||(td==null?void 0:td.labelPosition)),Rd=computed(()=>{if(kd.value==="top")return{};const mf=addUnit(Ja.labelWidth||(td==null?void 0:td.labelWidth)||"");return mf?{width:mf}:{}}),Nd=computed(()=>{if(kd.value==="top"||td!=null&&td.inline)return{};if(!Ja.label&&!Ja.labelWidth&&Hd)return{};const mf=addUnit(Ja.labelWidth||(td==null?void 0:td.labelWidth)||"");return!Ja.label&&!ed.label?{marginLeft:mf}:{}}),Id=computed(()=>[od.b(),od.m(sd.value),od.is("error",ud.value==="error"),od.is("validating",ud.value==="validating"),od.is("success",ud.value==="success"),od.is("required",Xd.value||Ja.required),od.is("no-asterisk",td==null?void 0:td.hideRequiredAsterisk),(td==null?void 0:td.requireAsteriskPosition)==="right"?"asterisk-right":"asterisk-left",{[od.m("feedback")]:td==null?void 0:td.statusIcon,[od.m(`label-${kd.value}`)]:kd.value}]),Md=computed(()=>isBoolean$3(Ja.inlineMessage)?Ja.inlineMessage:(td==null?void 0:td.inlineMessage)||!1),Ld=computed(()=>[od.e("error"),{[od.em("error","inline")]:Md.value}]),Pd=computed(()=>Ja.prop?isString$3(Ja.prop)?Ja.prop:Ja.prop.join("."):""),qd=computed(()=>!!(Ja.label||ed.label)),Yd=computed(()=>Ja.for||(cd.value.length===1?cd.value[0]:void 0)),Ud=computed(()=>!Yd.value&&qd.value),Hd=!!rd,Vd=computed(()=>{const mf=td==null?void 0:td.model;if(!(!mf||!Ja.prop))return getProp(mf,Ja.prop).value}),Jd=computed(()=>{const{required:mf}=Ja,$f=[];Ja.rules&&$f.push(...castArray(Ja.rules));const zf=td==null?void 0:td.rules;if(zf&&Ja.prop){const hh=getProp(zf,Ja.prop).value;hh&&$f.push(...castArray(hh))}if(mf!==void 0){const hh=$f.map((Vf,kf)=>[Vf,kf]).filter(([Vf])=>Object.keys(Vf).includes("required"));if(hh.length>0)for(const[Vf,kf]of hh)Vf.required!==mf&&($f[kf]={...Vf,required:mf});else $f.push({required:mf})}return $f}),Zd=computed(()=>Jd.value.length>0),pf=mf=>Jd.value.filter(zf=>!zf.trigger||!mf?!0:Array.isArray(zf.trigger)?zf.trigger.includes(mf):zf.trigger===mf).map(({trigger:zf,...hh})=>hh),Xd=computed(()=>Jd.value.some(mf=>mf.required)),hf=computed(()=>{var mf;return _d.value==="error"&&Ja.showMessage&&((mf=td==null?void 0:td.showMessage)!=null?mf:!0)}),_f=computed(()=>`${Ja.label||""}${(td==null?void 0:td.labelSuffix)||""}`),xf=mf=>{ud.value=mf},Lf=mf=>{var $f,zf;const{errors:hh,fields:Vf}=mf;(!hh||!Vf)&&console.error(mf),xf("error"),yd.value=hh?(zf=($f=hh==null?void 0:hh[0])==null?void 0:$f.message)!=null?zf:`${Ja.prop} is required`:"",td==null||td.emit("validate",Ja.prop,!1,yd.value)},Wf=()=>{xf("success"),td==null||td.emit("validate",Ja.prop,!0,"")},Yf=async mf=>{const $f=Pd.value;return new Schema({[$f]:mf}).validate({[$f]:Vd.value},{firstFields:!0}).then(()=>(Wf(),!0)).catch(hh=>(Lf(hh),Promise.reject(hh)))},If=async(mf,$f)=>{if(Td||!Ja.prop)return!1;const zf=isFunction$4($f);if(!Zd.value)return $f==null||$f(!1),!1;const hh=pf(mf);return hh.length===0?($f==null||$f(!0),!0):(xf("validating"),Yf(hh).then(()=>($f==null||$f(!0),!0)).catch(Vf=>{const{fields:kf}=Vf;return $f==null||$f(!1,kf),zf?!1:Promise.reject(kf)}))},Sf=()=>{xf(""),yd.value="",Td=!1},wf=async()=>{const mf=td==null?void 0:td.model;if(!mf||!Ja.prop)return;const $f=getProp(mf,Ja.prop);Td=!0,$f.value=clone$1(Ed),await nextTick$1(),Sf(),Td=!1},Kf=mf=>{cd.value.includes(mf)||cd.value.push(mf)},Gf=mf=>{cd.value=cd.value.filter($f=>$f!==mf)};watch(()=>Ja.error,mf=>{yd.value=mf||"",xf(mf?"error":"")},{immediate:!0}),watch(()=>Ja.validateStatus,mf=>xf(mf||""));const gf=reactive({...toRefs(Ja),$el:gd,size:sd,validateState:ud,labelId:ld,inputIds:cd,isGroup:Ud,hasLabel:qd,fieldValue:Vd,addInputId:Kf,removeInputId:Gf,resetField:wf,clearValidate:Sf,validate:If});return provide(formItemContextKey,gf),onMounted(()=>{Ja.prop&&(td==null||td.addField(gf),Ed=clone$1(Vd.value))}),onBeforeUnmount(()=>{td==null||td.removeField(gf)}),qa({size:sd,validateMessage:yd,validateState:ud,validate:If,clearValidate:Sf,resetField:wf}),(mf,$f)=>{var zf;return openBlock(),createElementBlock("div",{ref_key:"formItemRef",ref:gd,class:normalizeClass(unref(Id)),role:unref(Ud)?"group":void 0,"aria-labelledby":unref(Ud)?unref(ld):void 0},[createVNode(unref(FormLabelWrap),{"is-auto-width":unref(Rd).width==="auto","update-all":((zf=unref(td))==null?void 0:zf.labelWidth)==="auto"},{default:withCtx(()=>[unref(qd)?(openBlock(),createBlock(resolveDynamicComponent(unref(Yd)?"label":"div"),{key:0,id:unref(ld),for:unref(Yd),class:normalizeClass(unref(od).e("label")),style:normalizeStyle(unref(Rd))},{default:withCtx(()=>[renderSlot(mf.$slots,"label",{label:unref(_f)},()=>[createTextVNode(toDisplayString(unref(_f)),1)])]),_:3},8,["id","for","class","style"])):createCommentVNode("v-if",!0)]),_:3},8,["is-auto-width","update-all"]),createBaseVNode("div",{class:normalizeClass(unref(od).e("content")),style:normalizeStyle(unref(Nd))},[renderSlot(mf.$slots,"default"),createVNode(TransitionGroup,{name:`${unref(od).namespace.value}-zoom-in-top`},{default:withCtx(()=>[unref(hf)?renderSlot(mf.$slots,"error",{key:0,error:yd.value},()=>[createBaseVNode("div",{class:normalizeClass(unref(Ld))},toDisplayString(yd.value),3)]):createCommentVNode("v-if",!0)]),_:3},8,["name"])],6)],10,["role","aria-labelledby"])}}});var FormItem=_export_sfc$1(_sfc_main$1g,[["__file","form-item.vue"]]);const ElForm=withInstall(Form,{FormItem}),ElFormItem=withNoopInstall(FormItem);let hiddenTextarea;const HIDDEN_STYLE=`
|
||
height:0 !important;
|
||
visibility:hidden !important;
|
||
${isFirefox()?"":"overflow:hidden !important;"}
|
||
position:absolute !important;
|
||
z-index:-1000 !important;
|
||
top:0 !important;
|
||
right:0 !important;
|
||
`,CONTEXT_STYLE=["letter-spacing","line-height","padding-top","padding-bottom","font-family","font-weight","font-size","text-rendering","text-transform","width","text-indent","padding-left","padding-right","border-width","box-sizing"];function calculateNodeStyling(Ra){const qa=window.getComputedStyle(Ra),Ja=qa.getPropertyValue("box-sizing"),ed=Number.parseFloat(qa.getPropertyValue("padding-bottom"))+Number.parseFloat(qa.getPropertyValue("padding-top")),td=Number.parseFloat(qa.getPropertyValue("border-bottom-width"))+Number.parseFloat(qa.getPropertyValue("border-top-width"));return{contextStyle:CONTEXT_STYLE.map(sd=>`${sd}:${qa.getPropertyValue(sd)}`).join(";"),paddingSize:ed,borderSize:td,boxSizing:Ja}}function calcTextareaHeight(Ra,qa=1,Ja){var ed;hiddenTextarea||(hiddenTextarea=document.createElement("textarea"),document.body.appendChild(hiddenTextarea));const{paddingSize:td,borderSize:rd,boxSizing:sd,contextStyle:od}=calculateNodeStyling(Ra);hiddenTextarea.setAttribute("style",`${od};${HIDDEN_STYLE}`),hiddenTextarea.value=Ra.value||Ra.placeholder||"";let ld=hiddenTextarea.scrollHeight;const cd={};sd==="border-box"?ld=ld+rd:sd==="content-box"&&(ld=ld-td),hiddenTextarea.value="";const ud=hiddenTextarea.scrollHeight-td;if(isNumber$3(qa)){let _d=ud*qa;sd==="border-box"&&(_d=_d+td+rd),ld=Math.max(_d,ld),cd.minHeight=`${_d}px`}if(isNumber$3(Ja)){let _d=ud*Ja;sd==="border-box"&&(_d=_d+td+rd),ld=Math.min(_d,ld)}return cd.height=`${ld}px`,(ed=hiddenTextarea.parentNode)==null||ed.removeChild(hiddenTextarea),hiddenTextarea=void 0,cd}const inputProps=buildProps({id:{type:String,default:void 0},size:useSizeProp,disabled:Boolean,modelValue:{type:definePropType([String,Number,Object]),default:""},maxlength:{type:[String,Number]},minlength:{type:[String,Number]},type:{type:String,default:"text"},resize:{type:String,values:["none","both","horizontal","vertical"]},autosize:{type:definePropType([Boolean,Object]),default:!1},autocomplete:{type:String,default:"off"},formatter:{type:Function},parser:{type:Function},placeholder:{type:String},form:{type:String},readonly:Boolean,clearable:Boolean,showPassword:Boolean,showWordLimit:Boolean,suffixIcon:{type:iconPropType},prefixIcon:{type:iconPropType},containerRole:{type:String,default:void 0},tabindex:{type:[String,Number],default:0},validateEvent:{type:Boolean,default:!0},inputStyle:{type:definePropType([Object,Array,String]),default:()=>mutable({})},autofocus:Boolean,rows:{type:Number,default:2},...useAriaProps(["ariaLabel"])}),inputEmits={[UPDATE_MODEL_EVENT]:Ra=>isString$3(Ra),input:Ra=>isString$3(Ra),change:Ra=>isString$3(Ra),focus:Ra=>Ra instanceof FocusEvent,blur:Ra=>Ra instanceof FocusEvent,clear:()=>!0,mouseleave:Ra=>Ra instanceof MouseEvent,mouseenter:Ra=>Ra instanceof MouseEvent,keydown:Ra=>Ra instanceof Event,compositionstart:Ra=>Ra instanceof CompositionEvent,compositionupdate:Ra=>Ra instanceof CompositionEvent,compositionend:Ra=>Ra instanceof CompositionEvent},__default__$z=defineComponent({name:"ElInput",inheritAttrs:!1}),_sfc_main$1f=defineComponent({...__default__$z,props:inputProps,emits:inputEmits,setup(Ra,{expose:qa,emit:Ja}){const ed=Ra,td=useAttrs$1(),rd=useSlots(),sd=computed(()=>{const jh={};return ed.containerRole==="combobox"&&(jh["aria-haspopup"]=td["aria-haspopup"],jh["aria-owns"]=td["aria-owns"],jh["aria-expanded"]=td["aria-expanded"]),jh}),od=computed(()=>[ed.type==="textarea"?kd.b():Td.b(),Td.m(gd.value),Td.is("disabled",Ed.value),Td.is("exceed",Sf.value),{[Td.b("group")]:rd.prepend||rd.append,[Td.m("prefix")]:rd.prefix||ed.prefixIcon,[Td.m("suffix")]:rd.suffix||ed.suffixIcon||ed.clearable||ed.showPassword,[Td.bm("suffix","password-clear")]:Lf.value&&Wf.value,[Td.b("hidden")]:ed.type==="hidden"},td.class]),ld=computed(()=>[Td.e("wrapper"),Td.is("focus",Ud.value)]),cd=useAttrs({excludeKeys:computed(()=>Object.keys(sd.value))}),{form:ud,formItem:_d}=useFormItem(),{inputId:yd}=useFormItemInputId(ed,{formItemContext:_d}),gd=useFormSize(),Ed=useFormDisabled(),Td=useNamespace("input"),kd=useNamespace("textarea"),Rd=shallowRef(),Nd=shallowRef(),Id=ref$1(!1),Md=ref$1(!1),Ld=ref$1(),Pd=shallowRef(ed.inputStyle),qd=computed(()=>Rd.value||Nd.value),{wrapperRef:Yd,isFocused:Ud,handleFocus:Hd,handleBlur:Vd}=useFocusController(qd,{afterBlur(){var jh;ed.validateEvent&&((jh=_d==null?void 0:_d.validate)==null||jh.call(_d,"blur").catch(Zh=>void 0))}}),Jd=computed(()=>{var jh;return(jh=ud==null?void 0:ud.statusIcon)!=null?jh:!1}),Zd=computed(()=>(_d==null?void 0:_d.validateState)||""),pf=computed(()=>Zd.value&&ValidateComponentsMap[Zd.value]),Xd=computed(()=>Md.value?view_default:hide_default),hf=computed(()=>[td.style]),_f=computed(()=>[ed.inputStyle,Pd.value,{resize:ed.resize}]),xf=computed(()=>isNil(ed.modelValue)?"":String(ed.modelValue)),Lf=computed(()=>ed.clearable&&!Ed.value&&!ed.readonly&&!!xf.value&&(Ud.value||Id.value)),Wf=computed(()=>ed.showPassword&&!Ed.value&&!ed.readonly&&!!xf.value&&(!!xf.value||Ud.value)),Yf=computed(()=>ed.showWordLimit&&!!ed.maxlength&&(ed.type==="text"||ed.type==="textarea")&&!Ed.value&&!ed.readonly&&!ed.showPassword),If=computed(()=>xf.value.length),Sf=computed(()=>!!Yf.value&&If.value>Number(ed.maxlength)),wf=computed(()=>!!rd.suffix||!!ed.suffixIcon||Lf.value||ed.showPassword||Yf.value||!!Zd.value&&Jd.value),[Kf,Gf]=useCursor(Rd);useResizeObserver(Nd,jh=>{if($f(),!Yf.value||ed.resize!=="both")return;const Zh=jh[0],{width:Wh}=Zh.contentRect;Ld.value={right:`calc(100% - ${Wh+15+6}px)`}});const gf=()=>{const{type:jh,autosize:Zh}=ed;if(!(!isClient||jh!=="textarea"||!Nd.value))if(Zh){const Wh=isObject$5(Zh)?Zh.minRows:void 0,sm=isObject$5(Zh)?Zh.maxRows:void 0,fm=calcTextareaHeight(Nd.value,Wh,sm);Pd.value={overflowY:"hidden",...fm},nextTick$1(()=>{Nd.value.offsetHeight,Pd.value=fm})}else Pd.value={minHeight:calcTextareaHeight(Nd.value).minHeight}},$f=(jh=>{let Zh=!1;return()=>{var Wh;if(Zh||!ed.autosize)return;((Wh=Nd.value)==null?void 0:Wh.offsetParent)===null||(jh(),Zh=!0)}})(gf),zf=()=>{const jh=qd.value,Zh=ed.formatter?ed.formatter(xf.value):xf.value;!jh||jh.value===Zh||(jh.value=Zh)},hh=async jh=>{Kf();let{value:Zh}=jh.target;if(ed.formatter&&(Zh=ed.parser?ed.parser(Zh):Zh),!kf.value){if(Zh===xf.value){zf();return}Ja(UPDATE_MODEL_EVENT,Zh),Ja("input",Zh),await nextTick$1(),zf(),Gf()}},Vf=jh=>{Ja("change",jh.target.value)},{isComposing:kf,handleCompositionStart:Jf,handleCompositionUpdate:Ch,handleCompositionEnd:qf}=useComposition({emit:Ja,afterComposition:hh}),Tf=()=>{Md.value=!Md.value,Af()},Af=async()=>{var jh;await nextTick$1(),(jh=qd.value)==null||jh.focus()},Pf=()=>{var jh;return(jh=qd.value)==null?void 0:jh.blur()},gh=jh=>{Id.value=!1,Ja("mouseleave",jh)},Nh=jh=>{Id.value=!0,Ja("mouseenter",jh)},dh=jh=>{Ja("keydown",jh)},$h=()=>{var jh;(jh=qd.value)==null||jh.select()},Rh=()=>{Ja(UPDATE_MODEL_EVENT,""),Ja("change",""),Ja("clear"),Ja("input","")};return watch(()=>ed.modelValue,()=>{var jh;nextTick$1(()=>gf()),ed.validateEvent&&((jh=_d==null?void 0:_d.validate)==null||jh.call(_d,"change").catch(Zh=>void 0))}),watch(xf,()=>zf()),watch(()=>ed.type,async()=>{await nextTick$1(),zf(),gf()}),onMounted(()=>{!ed.formatter&&ed.parser,zf(),nextTick$1(gf)}),qa({input:Rd,textarea:Nd,ref:qd,textareaStyle:_f,autosize:toRef$1(ed,"autosize"),isComposing:kf,focus:Af,blur:Pf,select:$h,clear:Rh,resizeTextarea:gf}),(jh,Zh)=>(openBlock(),createElementBlock("div",mergeProps(unref(sd),{class:[unref(od),{[unref(Td).bm("group","append")]:jh.$slots.append,[unref(Td).bm("group","prepend")]:jh.$slots.prepend}],style:unref(hf),role:jh.containerRole,onMouseenter:Nh,onMouseleave:gh}),[createCommentVNode(" input "),jh.type!=="textarea"?(openBlock(),createElementBlock(Fragment,{key:0},[createCommentVNode(" prepend slot "),jh.$slots.prepend?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(unref(Td).be("group","prepend"))},[renderSlot(jh.$slots,"prepend")],2)):createCommentVNode("v-if",!0),createBaseVNode("div",{ref_key:"wrapperRef",ref:Yd,class:normalizeClass(unref(ld))},[createCommentVNode(" prefix slot "),jh.$slots.prefix||jh.prefixIcon?(openBlock(),createElementBlock("span",{key:0,class:normalizeClass(unref(Td).e("prefix"))},[createBaseVNode("span",{class:normalizeClass(unref(Td).e("prefix-inner"))},[renderSlot(jh.$slots,"prefix"),jh.prefixIcon?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(Td).e("icon"))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(jh.prefixIcon)))]),_:1},8,["class"])):createCommentVNode("v-if",!0)],2)],2)):createCommentVNode("v-if",!0),createBaseVNode("input",mergeProps({id:unref(yd),ref_key:"input",ref:Rd,class:unref(Td).e("inner")},unref(cd),{minlength:jh.minlength,maxlength:jh.maxlength,type:jh.showPassword?Md.value?"text":"password":jh.type,disabled:unref(Ed),readonly:jh.readonly,autocomplete:jh.autocomplete,tabindex:jh.tabindex,"aria-label":jh.ariaLabel,placeholder:jh.placeholder,style:jh.inputStyle,form:jh.form,autofocus:jh.autofocus,onCompositionstart:unref(Jf),onCompositionupdate:unref(Ch),onCompositionend:unref(qf),onInput:hh,onChange:Vf,onKeydown:dh}),null,16,["id","minlength","maxlength","type","disabled","readonly","autocomplete","tabindex","aria-label","placeholder","form","autofocus","onCompositionstart","onCompositionupdate","onCompositionend"]),createCommentVNode(" suffix slot "),unref(wf)?(openBlock(),createElementBlock("span",{key:1,class:normalizeClass(unref(Td).e("suffix"))},[createBaseVNode("span",{class:normalizeClass(unref(Td).e("suffix-inner"))},[!unref(Lf)||!unref(Wf)||!unref(Yf)?(openBlock(),createElementBlock(Fragment,{key:0},[renderSlot(jh.$slots,"suffix"),jh.suffixIcon?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(Td).e("icon"))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(jh.suffixIcon)))]),_:1},8,["class"])):createCommentVNode("v-if",!0)],64)):createCommentVNode("v-if",!0),unref(Lf)?(openBlock(),createBlock(unref(ElIcon),{key:1,class:normalizeClass([unref(Td).e("icon"),unref(Td).e("clear")]),onMousedown:withModifiers(unref(NOOP),["prevent"]),onClick:Rh},{default:withCtx(()=>[createVNode(unref(circle_close_default))]),_:1},8,["class","onMousedown"])):createCommentVNode("v-if",!0),unref(Wf)?(openBlock(),createBlock(unref(ElIcon),{key:2,class:normalizeClass([unref(Td).e("icon"),unref(Td).e("password")]),onClick:Tf},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(Xd))))]),_:1},8,["class"])):createCommentVNode("v-if",!0),unref(Yf)?(openBlock(),createElementBlock("span",{key:3,class:normalizeClass(unref(Td).e("count"))},[createBaseVNode("span",{class:normalizeClass(unref(Td).e("count-inner"))},toDisplayString(unref(If))+" / "+toDisplayString(jh.maxlength),3)],2)):createCommentVNode("v-if",!0),unref(Zd)&&unref(pf)&&unref(Jd)?(openBlock(),createBlock(unref(ElIcon),{key:4,class:normalizeClass([unref(Td).e("icon"),unref(Td).e("validateIcon"),unref(Td).is("loading",unref(Zd)==="validating")])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(pf))))]),_:1},8,["class"])):createCommentVNode("v-if",!0)],2)],2)):createCommentVNode("v-if",!0)],2),createCommentVNode(" append slot "),jh.$slots.append?(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(unref(Td).be("group","append"))},[renderSlot(jh.$slots,"append")],2)):createCommentVNode("v-if",!0)],64)):(openBlock(),createElementBlock(Fragment,{key:1},[createCommentVNode(" textarea "),createBaseVNode("textarea",mergeProps({id:unref(yd),ref_key:"textarea",ref:Nd,class:[unref(kd).e("inner"),unref(Td).is("focus",unref(Ud))]},unref(cd),{minlength:jh.minlength,maxlength:jh.maxlength,tabindex:jh.tabindex,disabled:unref(Ed),readonly:jh.readonly,autocomplete:jh.autocomplete,style:unref(_f),"aria-label":jh.ariaLabel,placeholder:jh.placeholder,form:jh.form,autofocus:jh.autofocus,rows:jh.rows,onCompositionstart:unref(Jf),onCompositionupdate:unref(Ch),onCompositionend:unref(qf),onInput:hh,onFocus:unref(Hd),onBlur:unref(Vd),onChange:Vf,onKeydown:dh}),null,16,["id","minlength","maxlength","tabindex","disabled","readonly","autocomplete","aria-label","placeholder","form","autofocus","rows","onCompositionstart","onCompositionupdate","onCompositionend","onFocus","onBlur"]),unref(Yf)?(openBlock(),createElementBlock("span",{key:0,style:normalizeStyle(Ld.value),class:normalizeClass(unref(Td).e("count"))},toDisplayString(unref(If))+" / "+toDisplayString(jh.maxlength),7)):createCommentVNode("v-if",!0)],64))],16,["role"]))}});var Input=_export_sfc$1(_sfc_main$1f,[["__file","input.vue"]]);const ElInput=withInstall(Input),GAP=4,BAR_MAP={vertical:{offset:"offsetHeight",scroll:"scrollTop",scrollSize:"scrollHeight",size:"height",key:"vertical",axis:"Y",client:"clientY",direction:"top"},horizontal:{offset:"offsetWidth",scroll:"scrollLeft",scrollSize:"scrollWidth",size:"width",key:"horizontal",axis:"X",client:"clientX",direction:"left"}},renderThumbStyle=({move:Ra,size:qa,bar:Ja})=>({[Ja.size]:qa,transform:`translate${Ja.axis}(${Ra}%)`}),scrollbarContextKey=Symbol("scrollbarContextKey"),thumbProps=buildProps({vertical:Boolean,size:String,move:Number,ratio:{type:Number,required:!0},always:Boolean}),COMPONENT_NAME$8="Thumb",_sfc_main$1e=defineComponent({__name:"thumb",props:thumbProps,setup(Ra){const qa=Ra,Ja=inject(scrollbarContextKey),ed=useNamespace("scrollbar");Ja||throwError$1(COMPONENT_NAME$8,"can not inject scrollbar context");const td=ref$1(),rd=ref$1(),sd=ref$1({}),od=ref$1(!1);let ld=!1,cd=!1,ud=isClient?document.onselectstart:null;const _d=computed(()=>BAR_MAP[qa.vertical?"vertical":"horizontal"]),yd=computed(()=>renderThumbStyle({size:qa.size,move:qa.move,bar:_d.value})),gd=computed(()=>td.value[_d.value.offset]**2/Ja.wrapElement[_d.value.scrollSize]/qa.ratio/rd.value[_d.value.offset]),Ed=Pd=>{var qd;if(Pd.stopPropagation(),Pd.ctrlKey||[1,2].includes(Pd.button))return;(qd=window.getSelection())==null||qd.removeAllRanges(),kd(Pd);const Yd=Pd.currentTarget;Yd&&(sd.value[_d.value.axis]=Yd[_d.value.offset]-(Pd[_d.value.client]-Yd.getBoundingClientRect()[_d.value.direction]))},Td=Pd=>{if(!rd.value||!td.value||!Ja.wrapElement)return;const qd=Math.abs(Pd.target.getBoundingClientRect()[_d.value.direction]-Pd[_d.value.client]),Yd=rd.value[_d.value.offset]/2,Ud=(qd-Yd)*100*gd.value/td.value[_d.value.offset];Ja.wrapElement[_d.value.scroll]=Ud*Ja.wrapElement[_d.value.scrollSize]/100},kd=Pd=>{Pd.stopImmediatePropagation(),ld=!0,document.addEventListener("mousemove",Rd),document.addEventListener("mouseup",Nd),ud=document.onselectstart,document.onselectstart=()=>!1},Rd=Pd=>{if(!td.value||!rd.value||ld===!1)return;const qd=sd.value[_d.value.axis];if(!qd)return;const Yd=(td.value.getBoundingClientRect()[_d.value.direction]-Pd[_d.value.client])*-1,Ud=rd.value[_d.value.offset]-qd,Hd=(Yd-Ud)*100*gd.value/td.value[_d.value.offset];Ja.wrapElement[_d.value.scroll]=Hd*Ja.wrapElement[_d.value.scrollSize]/100},Nd=()=>{ld=!1,sd.value[_d.value.axis]=0,document.removeEventListener("mousemove",Rd),document.removeEventListener("mouseup",Nd),Ld(),cd&&(od.value=!1)},Id=()=>{cd=!1,od.value=!!qa.size},Md=()=>{cd=!0,od.value=ld};onBeforeUnmount(()=>{Ld(),document.removeEventListener("mouseup",Nd)});const Ld=()=>{document.onselectstart!==ud&&(document.onselectstart=ud)};return useEventListener(toRef$1(Ja,"scrollbarElement"),"mousemove",Id),useEventListener(toRef$1(Ja,"scrollbarElement"),"mouseleave",Md),(Pd,qd)=>(openBlock(),createBlock(Transition$1,{name:unref(ed).b("fade"),persisted:""},{default:withCtx(()=>[withDirectives(createBaseVNode("div",{ref_key:"instance",ref:td,class:normalizeClass([unref(ed).e("bar"),unref(ed).is(unref(_d).key)]),onMousedown:Td},[createBaseVNode("div",{ref_key:"thumb",ref:rd,class:normalizeClass(unref(ed).e("thumb")),style:normalizeStyle(unref(yd)),onMousedown:Ed},null,38)],34),[[vShow,Pd.always||od.value]])]),_:1},8,["name"]))}});var Thumb=_export_sfc$1(_sfc_main$1e,[["__file","thumb.vue"]]);const barProps=buildProps({always:{type:Boolean,default:!0},minSize:{type:Number,required:!0}}),_sfc_main$1d=defineComponent({__name:"bar",props:barProps,setup(Ra,{expose:qa}){const Ja=Ra,ed=inject(scrollbarContextKey),td=ref$1(0),rd=ref$1(0),sd=ref$1(""),od=ref$1(""),ld=ref$1(1),cd=ref$1(1);return qa({handleScroll:yd=>{if(yd){const gd=yd.offsetHeight-GAP,Ed=yd.offsetWidth-GAP;rd.value=yd.scrollTop*100/gd*ld.value,td.value=yd.scrollLeft*100/Ed*cd.value}},update:()=>{const yd=ed==null?void 0:ed.wrapElement;if(!yd)return;const gd=yd.offsetHeight-GAP,Ed=yd.offsetWidth-GAP,Td=gd**2/yd.scrollHeight,kd=Ed**2/yd.scrollWidth,Rd=Math.max(Td,Ja.minSize),Nd=Math.max(kd,Ja.minSize);ld.value=Td/(gd-Td)/(Rd/(gd-Rd)),cd.value=kd/(Ed-kd)/(Nd/(Ed-Nd)),od.value=Rd+GAP<gd?`${Rd}px`:"",sd.value=Nd+GAP<Ed?`${Nd}px`:""}}),(yd,gd)=>(openBlock(),createElementBlock(Fragment,null,[createVNode(Thumb,{move:td.value,ratio:cd.value,size:sd.value,always:yd.always},null,8,["move","ratio","size","always"]),createVNode(Thumb,{move:rd.value,ratio:ld.value,size:od.value,vertical:"",always:yd.always},null,8,["move","ratio","size","always"])],64))}});var Bar=_export_sfc$1(_sfc_main$1d,[["__file","bar.vue"]]);const scrollbarProps=buildProps({height:{type:[String,Number],default:""},maxHeight:{type:[String,Number],default:""},native:{type:Boolean,default:!1},wrapStyle:{type:definePropType([String,Object,Array]),default:""},wrapClass:{type:[String,Array],default:""},viewClass:{type:[String,Array],default:""},viewStyle:{type:[String,Array,Object],default:""},noresize:Boolean,tag:{type:String,default:"div"},always:Boolean,minSize:{type:Number,default:20},id:String,role:String,...useAriaProps(["ariaLabel","ariaOrientation"])}),scrollbarEmits={scroll:({scrollTop:Ra,scrollLeft:qa})=>[Ra,qa].every(isNumber$3)},COMPONENT_NAME$7="ElScrollbar",__default__$y=defineComponent({name:COMPONENT_NAME$7}),_sfc_main$1c=defineComponent({...__default__$y,props:scrollbarProps,emits:scrollbarEmits,setup(Ra,{expose:qa,emit:Ja}){const ed=Ra,td=useNamespace("scrollbar");let rd,sd,od=0,ld=0;const cd=ref$1(),ud=ref$1(),_d=ref$1(),yd=ref$1(),gd=computed(()=>{const Ld={};return ed.height&&(Ld.height=addUnit(ed.height)),ed.maxHeight&&(Ld.maxHeight=addUnit(ed.maxHeight)),[ed.wrapStyle,Ld]}),Ed=computed(()=>[ed.wrapClass,td.e("wrap"),{[td.em("wrap","hidden-default")]:!ed.native}]),Td=computed(()=>[td.e("view"),ed.viewClass]),kd=()=>{var Ld;ud.value&&((Ld=yd.value)==null||Ld.handleScroll(ud.value),od=ud.value.scrollTop,ld=ud.value.scrollLeft,Ja("scroll",{scrollTop:ud.value.scrollTop,scrollLeft:ud.value.scrollLeft}))};function Rd(Ld,Pd){isObject$5(Ld)?ud.value.scrollTo(Ld):isNumber$3(Ld)&&isNumber$3(Pd)&&ud.value.scrollTo(Ld,Pd)}const Nd=Ld=>{isNumber$3(Ld)&&(ud.value.scrollTop=Ld)},Id=Ld=>{isNumber$3(Ld)&&(ud.value.scrollLeft=Ld)},Md=()=>{var Ld;(Ld=yd.value)==null||Ld.update()};return watch(()=>ed.noresize,Ld=>{Ld?(rd==null||rd(),sd==null||sd()):({stop:rd}=useResizeObserver(_d,Md),sd=useEventListener("resize",Md))},{immediate:!0}),watch(()=>[ed.maxHeight,ed.height],()=>{ed.native||nextTick$1(()=>{var Ld;Md(),ud.value&&((Ld=yd.value)==null||Ld.handleScroll(ud.value))})}),provide(scrollbarContextKey,reactive({scrollbarElement:cd,wrapElement:ud})),onActivated(()=>{ud.value.scrollTop=od,ud.value.scrollLeft=ld}),onMounted(()=>{ed.native||nextTick$1(()=>{Md()})}),onUpdated(()=>Md()),qa({wrapRef:ud,update:Md,scrollTo:Rd,setScrollTop:Nd,setScrollLeft:Id,handleScroll:kd}),(Ld,Pd)=>(openBlock(),createElementBlock("div",{ref_key:"scrollbarRef",ref:cd,class:normalizeClass(unref(td).b())},[createBaseVNode("div",{ref_key:"wrapRef",ref:ud,class:normalizeClass(unref(Ed)),style:normalizeStyle(unref(gd)),onScroll:kd},[(openBlock(),createBlock(resolveDynamicComponent(Ld.tag),{id:Ld.id,ref_key:"resizeRef",ref:_d,class:normalizeClass(unref(Td)),style:normalizeStyle(Ld.viewStyle),role:Ld.role,"aria-label":Ld.ariaLabel,"aria-orientation":Ld.ariaOrientation},{default:withCtx(()=>[renderSlot(Ld.$slots,"default")]),_:3},8,["id","class","style","role","aria-label","aria-orientation"]))],38),Ld.native?createCommentVNode("v-if",!0):(openBlock(),createBlock(Bar,{key:0,ref_key:"barRef",ref:yd,always:Ld.always,"min-size":Ld.minSize},null,8,["always","min-size"]))],2))}});var Scrollbar=_export_sfc$1(_sfc_main$1c,[["__file","scrollbar.vue"]]);const ElScrollbar=withInstall(Scrollbar),POPPER_INJECTION_KEY=Symbol("popper"),POPPER_CONTENT_INJECTION_KEY=Symbol("popperContent"),roleTypes=["dialog","grid","group","listbox","menu","navigation","tooltip","tree"],popperProps=buildProps({role:{type:String,values:roleTypes,default:"tooltip"}}),__default__$x=defineComponent({name:"ElPopper",inheritAttrs:!1}),_sfc_main$1b=defineComponent({...__default__$x,props:popperProps,setup(Ra,{expose:qa}){const Ja=Ra,ed=ref$1(),td=ref$1(),rd=ref$1(),sd=ref$1(),od=computed(()=>Ja.role),ld={triggerRef:ed,popperInstanceRef:td,contentRef:rd,referenceRef:sd,role:od};return qa(ld),provide(POPPER_INJECTION_KEY,ld),(cd,ud)=>renderSlot(cd.$slots,"default")}});var Popper=_export_sfc$1(_sfc_main$1b,[["__file","popper.vue"]]);const popperArrowProps=buildProps({arrowOffset:{type:Number,default:5}}),__default__$w=defineComponent({name:"ElPopperArrow",inheritAttrs:!1}),_sfc_main$1a=defineComponent({...__default__$w,props:popperArrowProps,setup(Ra,{expose:qa}){const Ja=Ra,ed=useNamespace("popper"),{arrowOffset:td,arrowRef:rd,arrowStyle:sd}=inject(POPPER_CONTENT_INJECTION_KEY,void 0);return watch(()=>Ja.arrowOffset,od=>{td.value=od}),onBeforeUnmount(()=>{rd.value=void 0}),qa({arrowRef:rd}),(od,ld)=>(openBlock(),createElementBlock("span",{ref_key:"arrowRef",ref:rd,class:normalizeClass(unref(ed).e("arrow")),style:normalizeStyle(unref(sd)),"data-popper-arrow":""},null,6))}});var ElPopperArrow=_export_sfc$1(_sfc_main$1a,[["__file","arrow.vue"]]);const NAME="ElOnlyChild",OnlyChild=defineComponent({name:NAME,setup(Ra,{slots:qa,attrs:Ja}){var ed;const td=inject(FORWARD_REF_INJECTION_KEY),rd=useForwardRefDirective((ed=td==null?void 0:td.setForwardRef)!=null?ed:NOOP);return()=>{var sd;const od=(sd=qa.default)==null?void 0:sd.call(qa,Ja);if(!od||od.length>1)return null;const ld=findFirstLegitChild(od);return ld?withDirectives(cloneVNode(ld,Ja),[[rd]]):null}}});function findFirstLegitChild(Ra){if(!Ra)return null;const qa=Ra;for(const Ja of qa){if(isObject$5(Ja))switch(Ja.type){case Comment:continue;case Text:case"svg":return wrapTextContent(Ja);case Fragment:return findFirstLegitChild(Ja.children);default:return Ja}return wrapTextContent(Ja)}return null}function wrapTextContent(Ra){const qa=useNamespace("only-child");return createVNode("span",{class:qa.e("content")},[Ra])}const popperTriggerProps=buildProps({virtualRef:{type:definePropType(Object)},virtualTriggering:Boolean,onMouseenter:{type:definePropType(Function)},onMouseleave:{type:definePropType(Function)},onClick:{type:definePropType(Function)},onKeydown:{type:definePropType(Function)},onFocus:{type:definePropType(Function)},onBlur:{type:definePropType(Function)},onContextmenu:{type:definePropType(Function)},id:String,open:Boolean}),__default__$v=defineComponent({name:"ElPopperTrigger",inheritAttrs:!1}),_sfc_main$19=defineComponent({...__default__$v,props:popperTriggerProps,setup(Ra,{expose:qa}){const Ja=Ra,{role:ed,triggerRef:td}=inject(POPPER_INJECTION_KEY,void 0);useForwardRef(td);const rd=computed(()=>od.value?Ja.id:void 0),sd=computed(()=>{if(ed&&ed.value==="tooltip")return Ja.open&&Ja.id?Ja.id:void 0}),od=computed(()=>{if(ed&&ed.value!=="tooltip")return ed.value}),ld=computed(()=>od.value?`${Ja.open}`:void 0);let cd;const ud=["onMouseenter","onMouseleave","onClick","onKeydown","onFocus","onBlur","onContextmenu"];return onMounted(()=>{watch(()=>Ja.virtualRef,_d=>{_d&&(td.value=unrefElement(_d))},{immediate:!0}),watch(td,(_d,yd)=>{cd==null||cd(),cd=void 0,isElement$2(_d)&&(ud.forEach(gd=>{var Ed;const Td=Ja[gd];Td&&(_d.addEventListener(gd.slice(2).toLowerCase(),Td),(Ed=yd==null?void 0:yd.removeEventListener)==null||Ed.call(yd,gd.slice(2).toLowerCase(),Td))}),cd=watch([rd,sd,od,ld],gd=>{["aria-controls","aria-describedby","aria-haspopup","aria-expanded"].forEach((Ed,Td)=>{isNil(gd[Td])?_d.removeAttribute(Ed):_d.setAttribute(Ed,gd[Td])})},{immediate:!0})),isElement$2(yd)&&["aria-controls","aria-describedby","aria-haspopup","aria-expanded"].forEach(gd=>yd.removeAttribute(gd))},{immediate:!0})}),onBeforeUnmount(()=>{if(cd==null||cd(),cd=void 0,td.value&&isElement$2(td.value)){const _d=td.value;ud.forEach(yd=>{const gd=Ja[yd];gd&&_d.removeEventListener(yd.slice(2).toLowerCase(),gd)}),td.value=void 0}}),qa({triggerRef:td}),(_d,yd)=>_d.virtualTriggering?createCommentVNode("v-if",!0):(openBlock(),createBlock(unref(OnlyChild),mergeProps({key:0},_d.$attrs,{"aria-controls":unref(rd),"aria-describedby":unref(sd),"aria-expanded":unref(ld),"aria-haspopup":unref(od)}),{default:withCtx(()=>[renderSlot(_d.$slots,"default")]),_:3},16,["aria-controls","aria-describedby","aria-expanded","aria-haspopup"]))}});var ElPopperTrigger=_export_sfc$1(_sfc_main$19,[["__file","trigger.vue"]]);const FOCUS_AFTER_TRAPPED="focus-trap.focus-after-trapped",FOCUS_AFTER_RELEASED="focus-trap.focus-after-released",FOCUSOUT_PREVENTED="focus-trap.focusout-prevented",FOCUS_AFTER_TRAPPED_OPTS={cancelable:!0,bubbles:!1},FOCUSOUT_PREVENTED_OPTS={cancelable:!0,bubbles:!1},ON_TRAP_FOCUS_EVT="focusAfterTrapped",ON_RELEASE_FOCUS_EVT="focusAfterReleased",FOCUS_TRAP_INJECTION_KEY=Symbol("elFocusTrap"),focusReason=ref$1(),lastUserFocusTimestamp=ref$1(0),lastAutomatedFocusTimestamp=ref$1(0);let focusReasonUserCount=0;const obtainAllFocusableElements=Ra=>{const qa=[],Ja=document.createTreeWalker(Ra,NodeFilter.SHOW_ELEMENT,{acceptNode:ed=>{const td=ed.tagName==="INPUT"&&ed.type==="hidden";return ed.disabled||ed.hidden||td?NodeFilter.FILTER_SKIP:ed.tabIndex>=0||ed===document.activeElement?NodeFilter.FILTER_ACCEPT:NodeFilter.FILTER_SKIP}});for(;Ja.nextNode();)qa.push(Ja.currentNode);return qa},getVisibleElement=(Ra,qa)=>{for(const Ja of Ra)if(!isHidden(Ja,qa))return Ja},isHidden=(Ra,qa)=>{if(getComputedStyle(Ra).visibility==="hidden")return!0;for(;Ra;){if(qa&&Ra===qa)return!1;if(getComputedStyle(Ra).display==="none")return!0;Ra=Ra.parentElement}return!1},getEdges=Ra=>{const qa=obtainAllFocusableElements(Ra),Ja=getVisibleElement(qa,Ra),ed=getVisibleElement(qa.reverse(),Ra);return[Ja,ed]},isSelectable=Ra=>Ra instanceof HTMLInputElement&&"select"in Ra,tryFocus=(Ra,qa)=>{if(Ra&&Ra.focus){const Ja=document.activeElement;Ra.focus({preventScroll:!0}),lastAutomatedFocusTimestamp.value=window.performance.now(),Ra!==Ja&&isSelectable(Ra)&&qa&&Ra.select()}};function removeFromStack(Ra,qa){const Ja=[...Ra],ed=Ra.indexOf(qa);return ed!==-1&&Ja.splice(ed,1),Ja}const createFocusableStack=()=>{let Ra=[];return{push:ed=>{const td=Ra[0];td&&ed!==td&&td.pause(),Ra=removeFromStack(Ra,ed),Ra.unshift(ed)},remove:ed=>{var td,rd;Ra=removeFromStack(Ra,ed),(rd=(td=Ra[0])==null?void 0:td.resume)==null||rd.call(td)}}},focusFirstDescendant=(Ra,qa=!1)=>{const Ja=document.activeElement;for(const ed of Ra)if(tryFocus(ed,qa),document.activeElement!==Ja)return},focusableStack=createFocusableStack(),isFocusCausedByUserEvent=()=>lastUserFocusTimestamp.value>lastAutomatedFocusTimestamp.value,notifyFocusReasonPointer=()=>{focusReason.value="pointer",lastUserFocusTimestamp.value=window.performance.now()},notifyFocusReasonKeydown=()=>{focusReason.value="keyboard",lastUserFocusTimestamp.value=window.performance.now()},useFocusReason=()=>(onMounted(()=>{focusReasonUserCount===0&&(document.addEventListener("mousedown",notifyFocusReasonPointer),document.addEventListener("touchstart",notifyFocusReasonPointer),document.addEventListener("keydown",notifyFocusReasonKeydown)),focusReasonUserCount++}),onBeforeUnmount(()=>{focusReasonUserCount--,focusReasonUserCount<=0&&(document.removeEventListener("mousedown",notifyFocusReasonPointer),document.removeEventListener("touchstart",notifyFocusReasonPointer),document.removeEventListener("keydown",notifyFocusReasonKeydown))}),{focusReason,lastUserFocusTimestamp,lastAutomatedFocusTimestamp}),createFocusOutPreventedEvent=Ra=>new CustomEvent(FOCUSOUT_PREVENTED,{...FOCUSOUT_PREVENTED_OPTS,detail:Ra}),_sfc_main$18=defineComponent({name:"ElFocusTrap",inheritAttrs:!1,props:{loop:Boolean,trapped:Boolean,focusTrapEl:Object,focusStartEl:{type:[Object,String],default:"first"}},emits:[ON_TRAP_FOCUS_EVT,ON_RELEASE_FOCUS_EVT,"focusin","focusout","focusout-prevented","release-requested"],setup(Ra,{emit:qa}){const Ja=ref$1();let ed,td;const{focusReason:rd}=useFocusReason();useEscapeKeydown(Ed=>{Ra.trapped&&!sd.paused&&qa("release-requested",Ed)});const sd={paused:!1,pause(){this.paused=!0},resume(){this.paused=!1}},od=Ed=>{if(!Ra.loop&&!Ra.trapped||sd.paused)return;const{key:Td,altKey:kd,ctrlKey:Rd,metaKey:Nd,currentTarget:Id,shiftKey:Md}=Ed,{loop:Ld}=Ra,Pd=Td===EVENT_CODE.tab&&!kd&&!Rd&&!Nd,qd=document.activeElement;if(Pd&&qd){const Yd=Id,[Ud,Hd]=getEdges(Yd);if(Ud&&Hd){if(!Md&&qd===Hd){const Jd=createFocusOutPreventedEvent({focusReason:rd.value});qa("focusout-prevented",Jd),Jd.defaultPrevented||(Ed.preventDefault(),Ld&&tryFocus(Ud,!0))}else if(Md&&[Ud,Yd].includes(qd)){const Jd=createFocusOutPreventedEvent({focusReason:rd.value});qa("focusout-prevented",Jd),Jd.defaultPrevented||(Ed.preventDefault(),Ld&&tryFocus(Hd,!0))}}else if(qd===Yd){const Jd=createFocusOutPreventedEvent({focusReason:rd.value});qa("focusout-prevented",Jd),Jd.defaultPrevented||Ed.preventDefault()}}};provide(FOCUS_TRAP_INJECTION_KEY,{focusTrapRef:Ja,onKeydown:od}),watch(()=>Ra.focusTrapEl,Ed=>{Ed&&(Ja.value=Ed)},{immediate:!0}),watch([Ja],([Ed],[Td])=>{Ed&&(Ed.addEventListener("keydown",od),Ed.addEventListener("focusin",ud),Ed.addEventListener("focusout",_d)),Td&&(Td.removeEventListener("keydown",od),Td.removeEventListener("focusin",ud),Td.removeEventListener("focusout",_d))});const ld=Ed=>{qa(ON_TRAP_FOCUS_EVT,Ed)},cd=Ed=>qa(ON_RELEASE_FOCUS_EVT,Ed),ud=Ed=>{const Td=unref(Ja);if(!Td)return;const kd=Ed.target,Rd=Ed.relatedTarget,Nd=kd&&Td.contains(kd);Ra.trapped||Rd&&Td.contains(Rd)||(ed=Rd),Nd&&qa("focusin",Ed),!sd.paused&&Ra.trapped&&(Nd?td=kd:tryFocus(td,!0))},_d=Ed=>{const Td=unref(Ja);if(!(sd.paused||!Td))if(Ra.trapped){const kd=Ed.relatedTarget;!isNil(kd)&&!Td.contains(kd)&&setTimeout(()=>{if(!sd.paused&&Ra.trapped){const Rd=createFocusOutPreventedEvent({focusReason:rd.value});qa("focusout-prevented",Rd),Rd.defaultPrevented||tryFocus(td,!0)}},0)}else{const kd=Ed.target;kd&&Td.contains(kd)||qa("focusout",Ed)}};async function yd(){await nextTick$1();const Ed=unref(Ja);if(Ed){focusableStack.push(sd);const Td=Ed.contains(document.activeElement)?ed:document.activeElement;if(ed=Td,!Ed.contains(Td)){const Rd=new Event(FOCUS_AFTER_TRAPPED,FOCUS_AFTER_TRAPPED_OPTS);Ed.addEventListener(FOCUS_AFTER_TRAPPED,ld),Ed.dispatchEvent(Rd),Rd.defaultPrevented||nextTick$1(()=>{let Nd=Ra.focusStartEl;isString$3(Nd)||(tryFocus(Nd),document.activeElement!==Nd&&(Nd="first")),Nd==="first"&&focusFirstDescendant(obtainAllFocusableElements(Ed),!0),(document.activeElement===Td||Nd==="container")&&tryFocus(Ed)})}}}function gd(){const Ed=unref(Ja);if(Ed){Ed.removeEventListener(FOCUS_AFTER_TRAPPED,ld);const Td=new CustomEvent(FOCUS_AFTER_RELEASED,{...FOCUS_AFTER_TRAPPED_OPTS,detail:{focusReason:rd.value}});Ed.addEventListener(FOCUS_AFTER_RELEASED,cd),Ed.dispatchEvent(Td),!Td.defaultPrevented&&(rd.value=="keyboard"||!isFocusCausedByUserEvent()||Ed.contains(document.activeElement))&&tryFocus(ed??document.body),Ed.removeEventListener(FOCUS_AFTER_RELEASED,cd),focusableStack.remove(sd)}}return onMounted(()=>{Ra.trapped&&yd(),watch(()=>Ra.trapped,Ed=>{Ed?yd():gd()})}),onBeforeUnmount(()=>{Ra.trapped&&gd(),Ja.value&&(Ja.value.removeEventListener("keydown",od),Ja.value.removeEventListener("focusin",ud),Ja.value.removeEventListener("focusout",_d),Ja.value=void 0)}),{onKeydown:od}}});function _sfc_render$8(Ra,qa,Ja,ed,td,rd){return renderSlot(Ra.$slots,"default",{handleKeydown:Ra.onKeydown})}var ElFocusTrap=_export_sfc$1(_sfc_main$18,[["render",_sfc_render$8],["__file","focus-trap.vue"]]);const POSITIONING_STRATEGIES=["fixed","absolute"],popperCoreConfigProps=buildProps({boundariesPadding:{type:Number,default:0},fallbackPlacements:{type:definePropType(Array),default:void 0},gpuAcceleration:{type:Boolean,default:!0},offset:{type:Number,default:12},placement:{type:String,values:Ee$4,default:"bottom"},popperOptions:{type:definePropType(Object),default:()=>({})},strategy:{type:String,values:POSITIONING_STRATEGIES,default:"absolute"}}),popperContentProps=buildProps({...popperCoreConfigProps,id:String,style:{type:definePropType([String,Array,Object])},className:{type:definePropType([String,Array,Object])},effect:{type:definePropType(String),default:"dark"},visible:Boolean,enterable:{type:Boolean,default:!0},pure:Boolean,focusOnShow:{type:Boolean,default:!1},trapping:{type:Boolean,default:!1},popperClass:{type:definePropType([String,Array,Object])},popperStyle:{type:definePropType([String,Array,Object])},referenceEl:{type:definePropType(Object)},triggerTargetEl:{type:definePropType(Object)},stopPopperMouseEvent:{type:Boolean,default:!0},virtualTriggering:Boolean,zIndex:Number,...useAriaProps(["ariaLabel"])}),popperContentEmits={mouseenter:Ra=>Ra instanceof MouseEvent,mouseleave:Ra=>Ra instanceof MouseEvent,focus:()=>!0,blur:()=>!0,close:()=>!0},buildPopperOptions=(Ra,qa=[])=>{const{placement:Ja,strategy:ed,popperOptions:td}=Ra,rd={placement:Ja,strategy:ed,...td,modifiers:[...genModifiers(Ra),...qa]};return deriveExtraModifiers(rd,td==null?void 0:td.modifiers),rd},unwrapMeasurableEl=Ra=>{if(isClient)return unrefElement(Ra)};function genModifiers(Ra){const{offset:qa,gpuAcceleration:Ja,fallbackPlacements:ed}=Ra;return[{name:"offset",options:{offset:[0,qa??12]}},{name:"preventOverflow",options:{padding:{top:2,bottom:2,left:5,right:5}}},{name:"flip",options:{padding:5,fallbackPlacements:ed}},{name:"computeStyles",options:{gpuAcceleration:Ja}}]}function deriveExtraModifiers(Ra,qa){qa&&(Ra.modifiers=[...Ra.modifiers,...qa??[]])}const DEFAULT_ARROW_OFFSET=0,usePopperContent=Ra=>{const{popperInstanceRef:qa,contentRef:Ja,triggerRef:ed,role:td}=inject(POPPER_INJECTION_KEY,void 0),rd=ref$1(),sd=ref$1(),od=computed(()=>({name:"eventListeners",enabled:!!Ra.visible})),ld=computed(()=>{var Rd;const Nd=unref(rd),Id=(Rd=unref(sd))!=null?Rd:DEFAULT_ARROW_OFFSET;return{name:"arrow",enabled:!isUndefined$2(Nd),options:{element:Nd,padding:Id}}}),cd=computed(()=>({onFirstUpdate:()=>{Ed()},...buildPopperOptions(Ra,[unref(ld),unref(od)])})),ud=computed(()=>unwrapMeasurableEl(Ra.referenceEl)||unref(ed)),{attributes:_d,state:yd,styles:gd,update:Ed,forceUpdate:Td,instanceRef:kd}=usePopper(ud,Ja,cd);return watch(kd,Rd=>qa.value=Rd),onMounted(()=>{watch(()=>{var Rd;return(Rd=unref(ud))==null?void 0:Rd.getBoundingClientRect()},()=>{Ed()})}),{attributes:_d,arrowRef:rd,contentRef:Ja,instanceRef:kd,state:yd,styles:gd,role:td,forceUpdate:Td,update:Ed}},usePopperContentDOM=(Ra,{attributes:qa,styles:Ja,role:ed})=>{const{nextZIndex:td}=useZIndex(),rd=useNamespace("popper"),sd=computed(()=>unref(qa).popper),od=ref$1(isNumber$3(Ra.zIndex)?Ra.zIndex:td()),ld=computed(()=>[rd.b(),rd.is("pure",Ra.pure),rd.is(Ra.effect),Ra.popperClass]),cd=computed(()=>[{zIndex:unref(od)},unref(Ja).popper,Ra.popperStyle||{}]),ud=computed(()=>ed.value==="dialog"?"false":void 0),_d=computed(()=>unref(Ja).arrow||{});return{ariaModal:ud,arrowStyle:_d,contentAttrs:sd,contentClass:ld,contentStyle:cd,contentZIndex:od,updateZIndex:()=>{od.value=isNumber$3(Ra.zIndex)?Ra.zIndex:td()}}},usePopperContentFocusTrap=(Ra,qa)=>{const Ja=ref$1(!1),ed=ref$1();return{focusStartRef:ed,trapped:Ja,onFocusAfterReleased:cd=>{var ud;((ud=cd.detail)==null?void 0:ud.focusReason)!=="pointer"&&(ed.value="first",qa("blur"))},onFocusAfterTrapped:()=>{qa("focus")},onFocusInTrap:cd=>{Ra.visible&&!Ja.value&&(cd.target&&(ed.value=cd.target),Ja.value=!0)},onFocusoutPrevented:cd=>{Ra.trapping||(cd.detail.focusReason==="pointer"&&cd.preventDefault(),Ja.value=!1)},onReleaseRequested:()=>{Ja.value=!1,qa("close")}}},__default__$u=defineComponent({name:"ElPopperContent"}),_sfc_main$17=defineComponent({...__default__$u,props:popperContentProps,emits:popperContentEmits,setup(Ra,{expose:qa,emit:Ja}){const ed=Ra,{focusStartRef:td,trapped:rd,onFocusAfterReleased:sd,onFocusAfterTrapped:od,onFocusInTrap:ld,onFocusoutPrevented:cd,onReleaseRequested:ud}=usePopperContentFocusTrap(ed,Ja),{attributes:_d,arrowRef:yd,contentRef:gd,styles:Ed,instanceRef:Td,role:kd,update:Rd}=usePopperContent(ed),{ariaModal:Nd,arrowStyle:Id,contentAttrs:Md,contentClass:Ld,contentStyle:Pd,updateZIndex:qd}=usePopperContentDOM(ed,{styles:Ed,attributes:_d,role:kd}),Yd=inject(formItemContextKey,void 0),Ud=ref$1();provide(POPPER_CONTENT_INJECTION_KEY,{arrowStyle:Id,arrowRef:yd,arrowOffset:Ud}),Yd&&provide(formItemContextKey,{...Yd,addInputId:NOOP,removeInputId:NOOP});let Hd;const Vd=(Zd=!0)=>{Rd(),Zd&&qd()},Jd=()=>{Vd(!1),ed.visible&&ed.focusOnShow?rd.value=!0:ed.visible===!1&&(rd.value=!1)};return onMounted(()=>{watch(()=>ed.triggerTargetEl,(Zd,pf)=>{Hd==null||Hd(),Hd=void 0;const Xd=unref(Zd||gd.value),hf=unref(pf||gd.value);isElement$2(Xd)&&(Hd=watch([kd,()=>ed.ariaLabel,Nd,()=>ed.id],_f=>{["role","aria-label","aria-modal","id"].forEach((xf,Lf)=>{isNil(_f[Lf])?Xd.removeAttribute(xf):Xd.setAttribute(xf,_f[Lf])})},{immediate:!0})),hf!==Xd&&isElement$2(hf)&&["role","aria-label","aria-modal","id"].forEach(_f=>{hf.removeAttribute(_f)})},{immediate:!0}),watch(()=>ed.visible,Jd,{immediate:!0})}),onBeforeUnmount(()=>{Hd==null||Hd(),Hd=void 0}),qa({popperContentRef:gd,popperInstanceRef:Td,updatePopper:Vd,contentStyle:Pd}),(Zd,pf)=>(openBlock(),createElementBlock("div",mergeProps({ref_key:"contentRef",ref:gd},unref(Md),{style:unref(Pd),class:unref(Ld),tabindex:"-1",onMouseenter:Xd=>Zd.$emit("mouseenter",Xd),onMouseleave:Xd=>Zd.$emit("mouseleave",Xd)}),[createVNode(unref(ElFocusTrap),{trapped:unref(rd),"trap-on-focus-in":!0,"focus-trap-el":unref(gd),"focus-start-el":unref(td),onFocusAfterTrapped:unref(od),onFocusAfterReleased:unref(sd),onFocusin:unref(ld),onFocusoutPrevented:unref(cd),onReleaseRequested:unref(ud)},{default:withCtx(()=>[renderSlot(Zd.$slots,"default")]),_:3},8,["trapped","focus-trap-el","focus-start-el","onFocusAfterTrapped","onFocusAfterReleased","onFocusin","onFocusoutPrevented","onReleaseRequested"])],16,["onMouseenter","onMouseleave"]))}});var ElPopperContent=_export_sfc$1(_sfc_main$17,[["__file","content.vue"]]);const ElPopper=withInstall(Popper),TOOLTIP_INJECTION_KEY=Symbol("elTooltip"),useTooltipContentProps=buildProps({...useDelayedToggleProps,...popperContentProps,appendTo:{type:definePropType([String,Object])},content:{type:String,default:""},rawContent:Boolean,persistent:Boolean,visible:{type:definePropType(Boolean),default:null},transition:String,teleported:{type:Boolean,default:!0},disabled:Boolean,...useAriaProps(["ariaLabel"])}),useTooltipTriggerProps=buildProps({...popperTriggerProps,disabled:Boolean,trigger:{type:definePropType([String,Array]),default:"hover"},triggerKeys:{type:definePropType(Array),default:()=>[EVENT_CODE.enter,EVENT_CODE.space]}}),{useModelToggleProps:useTooltipModelToggleProps,useModelToggleEmits:useTooltipModelToggleEmits,useModelToggle:useTooltipModelToggle}=createModelToggleComposable("visible"),useTooltipProps=buildProps({...popperProps,...useTooltipModelToggleProps,...useTooltipContentProps,...useTooltipTriggerProps,...popperArrowProps,showArrow:{type:Boolean,default:!0}}),tooltipEmits=[...useTooltipModelToggleEmits,"before-show","before-hide","show","hide","open","close"],isTriggerType=(Ra,qa)=>isArray$2(Ra)?Ra.includes(qa):Ra===qa,whenTrigger=(Ra,qa,Ja)=>ed=>{isTriggerType(unref(Ra),qa)&&Ja(ed)},__default__$t=defineComponent({name:"ElTooltipTrigger"}),_sfc_main$16=defineComponent({...__default__$t,props:useTooltipTriggerProps,setup(Ra,{expose:qa}){const Ja=Ra,ed=useNamespace("tooltip"),{controlled:td,id:rd,open:sd,onOpen:od,onClose:ld,onToggle:cd}=inject(TOOLTIP_INJECTION_KEY,void 0),ud=ref$1(null),_d=()=>{if(unref(td)||Ja.disabled)return!0},yd=toRef$1(Ja,"trigger"),gd=composeEventHandlers(_d,whenTrigger(yd,"hover",od)),Ed=composeEventHandlers(_d,whenTrigger(yd,"hover",ld)),Td=composeEventHandlers(_d,whenTrigger(yd,"click",Md=>{Md.button===0&&cd(Md)})),kd=composeEventHandlers(_d,whenTrigger(yd,"focus",od)),Rd=composeEventHandlers(_d,whenTrigger(yd,"focus",ld)),Nd=composeEventHandlers(_d,whenTrigger(yd,"contextmenu",Md=>{Md.preventDefault(),cd(Md)})),Id=composeEventHandlers(_d,Md=>{const{code:Ld}=Md;Ja.triggerKeys.includes(Ld)&&(Md.preventDefault(),cd(Md))});return qa({triggerRef:ud}),(Md,Ld)=>(openBlock(),createBlock(unref(ElPopperTrigger),{id:unref(rd),"virtual-ref":Md.virtualRef,open:unref(sd),"virtual-triggering":Md.virtualTriggering,class:normalizeClass(unref(ed).e("trigger")),onBlur:unref(Rd),onClick:unref(Td),onContextmenu:unref(Nd),onFocus:unref(kd),onMouseenter:unref(gd),onMouseleave:unref(Ed),onKeydown:unref(Id)},{default:withCtx(()=>[renderSlot(Md.$slots,"default")]),_:3},8,["id","virtual-ref","open","virtual-triggering","class","onBlur","onClick","onContextmenu","onFocus","onMouseenter","onMouseleave","onKeydown"]))}});var ElTooltipTrigger=_export_sfc$1(_sfc_main$16,[["__file","trigger.vue"]]);const teleportProps=buildProps({to:{type:definePropType([String,Object]),required:!0},disabled:Boolean}),_sfc_main$15=defineComponent({__name:"teleport",props:teleportProps,setup(Ra){return(qa,Ja)=>qa.disabled?renderSlot(qa.$slots,"default",{key:0}):(openBlock(),createBlock(Teleport$1,{key:1,to:qa.to},[renderSlot(qa.$slots,"default")],8,["to"]))}});var Teleport=_export_sfc$1(_sfc_main$15,[["__file","teleport.vue"]]);const ElTeleport=withInstall(Teleport),__default__$s=defineComponent({name:"ElTooltipContent",inheritAttrs:!1}),_sfc_main$14=defineComponent({...__default__$s,props:useTooltipContentProps,setup(Ra,{expose:qa}){const Ja=Ra,{selector:ed}=usePopperContainerId(),td=useNamespace("tooltip"),rd=ref$1(null);let sd;const{controlled:od,id:ld,open:cd,trigger:ud,onClose:_d,onOpen:yd,onShow:gd,onHide:Ed,onBeforeShow:Td,onBeforeHide:kd}=inject(TOOLTIP_INJECTION_KEY,void 0),Rd=computed(()=>Ja.transition||`${td.namespace.value}-fade-in-linear`),Nd=computed(()=>Ja.persistent);onBeforeUnmount(()=>{sd==null||sd()});const Id=computed(()=>unref(Nd)?!0:unref(cd)),Md=computed(()=>Ja.disabled?!1:unref(cd)),Ld=computed(()=>Ja.appendTo||ed.value),Pd=computed(()=>{var hf;return(hf=Ja.style)!=null?hf:{}}),qd=computed(()=>!unref(cd)),Yd=()=>{Ed()},Ud=()=>{if(unref(od))return!0},Hd=composeEventHandlers(Ud,()=>{Ja.enterable&&unref(ud)==="hover"&&yd()}),Vd=composeEventHandlers(Ud,()=>{unref(ud)==="hover"&&_d()}),Jd=()=>{var hf,_f;(_f=(hf=rd.value)==null?void 0:hf.updatePopper)==null||_f.call(hf),Td==null||Td()},Zd=()=>{kd==null||kd()},pf=()=>{gd(),sd=onClickOutside(computed(()=>{var hf;return(hf=rd.value)==null?void 0:hf.popperContentRef}),()=>{if(unref(od))return;unref(ud)!=="hover"&&_d()})},Xd=()=>{Ja.virtualTriggering||_d()};return watch(()=>unref(cd),hf=>{hf||sd==null||sd()},{flush:"post"}),watch(()=>Ja.content,()=>{var hf,_f;(_f=(hf=rd.value)==null?void 0:hf.updatePopper)==null||_f.call(hf)}),qa({contentRef:rd}),(hf,_f)=>(openBlock(),createBlock(unref(ElTeleport),{disabled:!hf.teleported,to:unref(Ld)},{default:withCtx(()=>[createVNode(Transition$1,{name:unref(Rd),onAfterLeave:Yd,onBeforeEnter:Jd,onAfterEnter:pf,onBeforeLeave:Zd},{default:withCtx(()=>[unref(Id)?withDirectives((openBlock(),createBlock(unref(ElPopperContent),mergeProps({key:0,id:unref(ld),ref_key:"contentRef",ref:rd},hf.$attrs,{"aria-label":hf.ariaLabel,"aria-hidden":unref(qd),"boundaries-padding":hf.boundariesPadding,"fallback-placements":hf.fallbackPlacements,"gpu-acceleration":hf.gpuAcceleration,offset:hf.offset,placement:hf.placement,"popper-options":hf.popperOptions,strategy:hf.strategy,effect:hf.effect,enterable:hf.enterable,pure:hf.pure,"popper-class":hf.popperClass,"popper-style":[hf.popperStyle,unref(Pd)],"reference-el":hf.referenceEl,"trigger-target-el":hf.triggerTargetEl,visible:unref(Md),"z-index":hf.zIndex,onMouseenter:unref(Hd),onMouseleave:unref(Vd),onBlur:Xd,onClose:unref(_d)}),{default:withCtx(()=>[renderSlot(hf.$slots,"default")]),_:3},16,["id","aria-label","aria-hidden","boundaries-padding","fallback-placements","gpu-acceleration","offset","placement","popper-options","strategy","effect","enterable","pure","popper-class","popper-style","reference-el","trigger-target-el","visible","z-index","onMouseenter","onMouseleave","onClose"])),[[vShow,unref(Md)]]):createCommentVNode("v-if",!0)]),_:3},8,["name"])]),_:3},8,["disabled","to"]))}});var ElTooltipContent=_export_sfc$1(_sfc_main$14,[["__file","content.vue"]]);const __default__$r=defineComponent({name:"ElTooltip"}),_sfc_main$13=defineComponent({...__default__$r,props:useTooltipProps,emits:tooltipEmits,setup(Ra,{expose:qa,emit:Ja}){const ed=Ra;usePopperContainer();const td=useId(),rd=ref$1(),sd=ref$1(),od=()=>{var Rd;const Nd=unref(rd);Nd&&((Rd=Nd.popperInstanceRef)==null||Rd.update())},ld=ref$1(!1),cd=ref$1(),{show:ud,hide:_d,hasUpdateHandler:yd}=useTooltipModelToggle({indicator:ld,toggleReason:cd}),{onOpen:gd,onClose:Ed}=useDelayedToggle({showAfter:toRef$1(ed,"showAfter"),hideAfter:toRef$1(ed,"hideAfter"),autoClose:toRef$1(ed,"autoClose"),open:ud,close:_d}),Td=computed(()=>isBoolean$3(ed.visible)&&!yd.value);provide(TOOLTIP_INJECTION_KEY,{controlled:Td,id:td,open:readonly(ld),trigger:toRef$1(ed,"trigger"),onOpen:Rd=>{gd(Rd)},onClose:Rd=>{Ed(Rd)},onToggle:Rd=>{unref(ld)?Ed(Rd):gd(Rd)},onShow:()=>{Ja("show",cd.value)},onHide:()=>{Ja("hide",cd.value)},onBeforeShow:()=>{Ja("before-show",cd.value)},onBeforeHide:()=>{Ja("before-hide",cd.value)},updatePopper:od}),watch(()=>ed.disabled,Rd=>{Rd&&ld.value&&(ld.value=!1)});const kd=Rd=>{var Nd,Id;const Md=(Id=(Nd=sd.value)==null?void 0:Nd.contentRef)==null?void 0:Id.popperContentRef,Ld=(Rd==null?void 0:Rd.relatedTarget)||document.activeElement;return Md&&Md.contains(Ld)};return onDeactivated(()=>ld.value&&_d()),qa({popperRef:rd,contentRef:sd,isFocusInsideContent:kd,updatePopper:od,onOpen:gd,onClose:Ed,hide:_d}),(Rd,Nd)=>(openBlock(),createBlock(unref(ElPopper),{ref_key:"popperRef",ref:rd,role:Rd.role},{default:withCtx(()=>[createVNode(ElTooltipTrigger,{disabled:Rd.disabled,trigger:Rd.trigger,"trigger-keys":Rd.triggerKeys,"virtual-ref":Rd.virtualRef,"virtual-triggering":Rd.virtualTriggering},{default:withCtx(()=>[Rd.$slots.default?renderSlot(Rd.$slots,"default",{key:0}):createCommentVNode("v-if",!0)]),_:3},8,["disabled","trigger","trigger-keys","virtual-ref","virtual-triggering"]),createVNode(ElTooltipContent,{ref_key:"contentRef",ref:sd,"aria-label":Rd.ariaLabel,"boundaries-padding":Rd.boundariesPadding,content:Rd.content,disabled:Rd.disabled,effect:Rd.effect,enterable:Rd.enterable,"fallback-placements":Rd.fallbackPlacements,"hide-after":Rd.hideAfter,"gpu-acceleration":Rd.gpuAcceleration,offset:Rd.offset,persistent:Rd.persistent,"popper-class":Rd.popperClass,"popper-style":Rd.popperStyle,placement:Rd.placement,"popper-options":Rd.popperOptions,pure:Rd.pure,"raw-content":Rd.rawContent,"reference-el":Rd.referenceEl,"trigger-target-el":Rd.triggerTargetEl,"show-after":Rd.showAfter,strategy:Rd.strategy,teleported:Rd.teleported,transition:Rd.transition,"virtual-triggering":Rd.virtualTriggering,"z-index":Rd.zIndex,"append-to":Rd.appendTo},{default:withCtx(()=>[renderSlot(Rd.$slots,"content",{},()=>[Rd.rawContent?(openBlock(),createElementBlock("span",{key:0,innerHTML:Rd.content},null,8,["innerHTML"])):(openBlock(),createElementBlock("span",{key:1},toDisplayString(Rd.content),1))]),Rd.showArrow?(openBlock(),createBlock(unref(ElPopperArrow),{key:0,"arrow-offset":Rd.arrowOffset},null,8,["arrow-offset"])):createCommentVNode("v-if",!0)]),_:3},8,["aria-label","boundaries-padding","content","disabled","effect","enterable","fallback-placements","hide-after","gpu-acceleration","offset","persistent","popper-class","popper-style","placement","popper-options","pure","raw-content","reference-el","trigger-target-el","show-after","strategy","teleported","transition","virtual-triggering","z-index","append-to"])]),_:3},8,["role"]))}});var Tooltip=_export_sfc$1(_sfc_main$13,[["__file","tooltip.vue"]]);const ElTooltip=withInstall(Tooltip),badgeProps=buildProps({value:{type:[String,Number],default:""},max:{type:Number,default:99},isDot:Boolean,hidden:Boolean,type:{type:String,values:["primary","success","warning","info","danger"],default:"danger"},showZero:{type:Boolean,default:!0},color:String,badgeStyle:{type:definePropType([String,Object,Array])},offset:{type:definePropType(Array),default:[0,0]},badgeClass:{type:String}}),__default__$q=defineComponent({name:"ElBadge"}),_sfc_main$12=defineComponent({...__default__$q,props:badgeProps,setup(Ra,{expose:qa}){const Ja=Ra,ed=useNamespace("badge"),td=computed(()=>Ja.isDot?"":isNumber$3(Ja.value)&&isNumber$3(Ja.max)?Ja.max<Ja.value?`${Ja.max}+`:Ja.value===0&&!Ja.showZero?"":`${Ja.value}`:`${Ja.value}`),rd=computed(()=>{var sd,od,ld,cd,ud;return[{backgroundColor:Ja.color,marginRight:addUnit(-((od=(sd=Ja.offset)==null?void 0:sd[0])!=null?od:0)),marginTop:addUnit((cd=(ld=Ja.offset)==null?void 0:ld[1])!=null?cd:0)},(ud=Ja.badgeStyle)!=null?ud:{}]});return qa({content:td}),(sd,od)=>(openBlock(),createElementBlock("div",{class:normalizeClass(unref(ed).b())},[renderSlot(sd.$slots,"default"),createVNode(Transition$1,{name:`${unref(ed).namespace.value}-zoom-in-center`,persisted:""},{default:withCtx(()=>[withDirectives(createBaseVNode("sup",{class:normalizeClass([unref(ed).e("content"),unref(ed).em("content",sd.type),unref(ed).is("fixed",!!sd.$slots.default),unref(ed).is("dot",sd.isDot),sd.badgeClass]),style:normalizeStyle(unref(rd)),textContent:toDisplayString(unref(td))},null,14,["textContent"]),[[vShow,!sd.hidden&&(unref(td)||sd.isDot)]])]),_:1},8,["name"])],2))}});var Badge=_export_sfc$1(_sfc_main$12,[["__file","badge.vue"]]);const ElBadge=withInstall(Badge),buttonGroupContextKey=Symbol("buttonGroupContextKey"),useButton=(Ra,qa)=>{useDeprecated({from:"type.text",replacement:"link",version:"3.0.0",scope:"props",ref:"https://element-plus.org/en-US/component/button.html#button-attributes"},computed(()=>Ra.type==="text"));const Ja=inject(buttonGroupContextKey,void 0),ed=useGlobalConfig("button"),{form:td}=useFormItem(),rd=useFormSize(computed(()=>Ja==null?void 0:Ja.size)),sd=useFormDisabled(),od=ref$1(),ld=useSlots(),cd=computed(()=>Ra.type||(Ja==null?void 0:Ja.type)||""),ud=computed(()=>{var Ed,Td,kd;return(kd=(Td=Ra.autoInsertSpace)!=null?Td:(Ed=ed.value)==null?void 0:Ed.autoInsertSpace)!=null?kd:!1}),_d=computed(()=>Ra.tag==="button"?{ariaDisabled:sd.value||Ra.loading,disabled:sd.value||Ra.loading,autofocus:Ra.autofocus,type:Ra.nativeType}:{}),yd=computed(()=>{var Ed;const Td=(Ed=ld.default)==null?void 0:Ed.call(ld);if(ud.value&&(Td==null?void 0:Td.length)===1){const kd=Td[0];if((kd==null?void 0:kd.type)===Text){const Rd=kd.children;return new RegExp("^\\p{Unified_Ideograph}{2}$","u").test(Rd.trim())}}return!1});return{_disabled:sd,_size:rd,_type:cd,_ref:od,_props:_d,shouldAddSpace:yd,handleClick:Ed=>{if(sd.value||Ra.loading){Ed.stopPropagation();return}Ra.nativeType==="reset"&&(td==null||td.resetFields()),qa("click",Ed)}}},buttonTypes=["default","primary","success","warning","info","danger","text",""],buttonNativeTypes=["button","submit","reset"],buttonProps=buildProps({size:useSizeProp,disabled:Boolean,type:{type:String,values:buttonTypes,default:""},icon:{type:iconPropType},nativeType:{type:String,values:buttonNativeTypes,default:"button"},loading:Boolean,loadingIcon:{type:iconPropType,default:()=>loading_default},plain:Boolean,text:Boolean,link:Boolean,bg:Boolean,autofocus:Boolean,round:Boolean,circle:Boolean,color:String,dark:Boolean,autoInsertSpace:{type:Boolean,default:void 0},tag:{type:definePropType([String,Object]),default:"button"}}),buttonEmits={click:Ra=>Ra instanceof MouseEvent};function bound01$1(Ra,qa){isOnePointZero$1(Ra)&&(Ra="100%");var Ja=isPercentage$1(Ra);return Ra=qa===360?Ra:Math.min(qa,Math.max(0,parseFloat(Ra))),Ja&&(Ra=parseInt(String(Ra*qa),10)/100),Math.abs(Ra-qa)<1e-6?1:(qa===360?Ra=(Ra<0?Ra%qa+qa:Ra%qa)/parseFloat(String(qa)):Ra=Ra%qa/parseFloat(String(qa)),Ra)}function clamp01(Ra){return Math.min(1,Math.max(0,Ra))}function isOnePointZero$1(Ra){return typeof Ra=="string"&&Ra.indexOf(".")!==-1&&parseFloat(Ra)===1}function isPercentage$1(Ra){return typeof Ra=="string"&&Ra.indexOf("%")!==-1}function boundAlpha(Ra){return Ra=parseFloat(Ra),(isNaN(Ra)||Ra<0||Ra>1)&&(Ra=1),Ra}function convertToPercentage(Ra){return Ra<=1?"".concat(Number(Ra)*100,"%"):Ra}function pad2(Ra){return Ra.length===1?"0"+Ra:String(Ra)}function rgbToRgb(Ra,qa,Ja){return{r:bound01$1(Ra,255)*255,g:bound01$1(qa,255)*255,b:bound01$1(Ja,255)*255}}function rgbToHsl(Ra,qa,Ja){Ra=bound01$1(Ra,255),qa=bound01$1(qa,255),Ja=bound01$1(Ja,255);var ed=Math.max(Ra,qa,Ja),td=Math.min(Ra,qa,Ja),rd=0,sd=0,od=(ed+td)/2;if(ed===td)sd=0,rd=0;else{var ld=ed-td;switch(sd=od>.5?ld/(2-ed-td):ld/(ed+td),ed){case Ra:rd=(qa-Ja)/ld+(qa<Ja?6:0);break;case qa:rd=(Ja-Ra)/ld+2;break;case Ja:rd=(Ra-qa)/ld+4;break}rd/=6}return{h:rd,s:sd,l:od}}function hue2rgb(Ra,qa,Ja){return Ja<0&&(Ja+=1),Ja>1&&(Ja-=1),Ja<1/6?Ra+(qa-Ra)*(6*Ja):Ja<1/2?qa:Ja<2/3?Ra+(qa-Ra)*(2/3-Ja)*6:Ra}function hslToRgb(Ra,qa,Ja){var ed,td,rd;if(Ra=bound01$1(Ra,360),qa=bound01$1(qa,100),Ja=bound01$1(Ja,100),qa===0)td=Ja,rd=Ja,ed=Ja;else{var sd=Ja<.5?Ja*(1+qa):Ja+qa-Ja*qa,od=2*Ja-sd;ed=hue2rgb(od,sd,Ra+1/3),td=hue2rgb(od,sd,Ra),rd=hue2rgb(od,sd,Ra-1/3)}return{r:ed*255,g:td*255,b:rd*255}}function rgbToHsv(Ra,qa,Ja){Ra=bound01$1(Ra,255),qa=bound01$1(qa,255),Ja=bound01$1(Ja,255);var ed=Math.max(Ra,qa,Ja),td=Math.min(Ra,qa,Ja),rd=0,sd=ed,od=ed-td,ld=ed===0?0:od/ed;if(ed===td)rd=0;else{switch(ed){case Ra:rd=(qa-Ja)/od+(qa<Ja?6:0);break;case qa:rd=(Ja-Ra)/od+2;break;case Ja:rd=(Ra-qa)/od+4;break}rd/=6}return{h:rd,s:ld,v:sd}}function hsvToRgb(Ra,qa,Ja){Ra=bound01$1(Ra,360)*6,qa=bound01$1(qa,100),Ja=bound01$1(Ja,100);var ed=Math.floor(Ra),td=Ra-ed,rd=Ja*(1-qa),sd=Ja*(1-td*qa),od=Ja*(1-(1-td)*qa),ld=ed%6,cd=[Ja,sd,rd,rd,od,Ja][ld],ud=[od,Ja,Ja,sd,rd,rd][ld],_d=[rd,rd,od,Ja,Ja,sd][ld];return{r:cd*255,g:ud*255,b:_d*255}}function rgbToHex(Ra,qa,Ja,ed){var td=[pad2(Math.round(Ra).toString(16)),pad2(Math.round(qa).toString(16)),pad2(Math.round(Ja).toString(16))];return ed&&td[0].startsWith(td[0].charAt(1))&&td[1].startsWith(td[1].charAt(1))&&td[2].startsWith(td[2].charAt(1))?td[0].charAt(0)+td[1].charAt(0)+td[2].charAt(0):td.join("")}function rgbaToHex(Ra,qa,Ja,ed,td){var rd=[pad2(Math.round(Ra).toString(16)),pad2(Math.round(qa).toString(16)),pad2(Math.round(Ja).toString(16)),pad2(convertDecimalToHex(ed))];return td&&rd[0].startsWith(rd[0].charAt(1))&&rd[1].startsWith(rd[1].charAt(1))&&rd[2].startsWith(rd[2].charAt(1))&&rd[3].startsWith(rd[3].charAt(1))?rd[0].charAt(0)+rd[1].charAt(0)+rd[2].charAt(0)+rd[3].charAt(0):rd.join("")}function convertDecimalToHex(Ra){return Math.round(parseFloat(Ra)*255).toString(16)}function convertHexToDecimal(Ra){return parseIntFromHex(Ra)/255}function parseIntFromHex(Ra){return parseInt(Ra,16)}function numberInputToObject(Ra){return{r:Ra>>16,g:(Ra&65280)>>8,b:Ra&255}}var names={aliceblue:"#f0f8ff",antiquewhite:"#faebd7",aqua:"#00ffff",aquamarine:"#7fffd4",azure:"#f0ffff",beige:"#f5f5dc",bisque:"#ffe4c4",black:"#000000",blanchedalmond:"#ffebcd",blue:"#0000ff",blueviolet:"#8a2be2",brown:"#a52a2a",burlywood:"#deb887",cadetblue:"#5f9ea0",chartreuse:"#7fff00",chocolate:"#d2691e",coral:"#ff7f50",cornflowerblue:"#6495ed",cornsilk:"#fff8dc",crimson:"#dc143c",cyan:"#00ffff",darkblue:"#00008b",darkcyan:"#008b8b",darkgoldenrod:"#b8860b",darkgray:"#a9a9a9",darkgreen:"#006400",darkgrey:"#a9a9a9",darkkhaki:"#bdb76b",darkmagenta:"#8b008b",darkolivegreen:"#556b2f",darkorange:"#ff8c00",darkorchid:"#9932cc",darkred:"#8b0000",darksalmon:"#e9967a",darkseagreen:"#8fbc8f",darkslateblue:"#483d8b",darkslategray:"#2f4f4f",darkslategrey:"#2f4f4f",darkturquoise:"#00ced1",darkviolet:"#9400d3",deeppink:"#ff1493",deepskyblue:"#00bfff",dimgray:"#696969",dimgrey:"#696969",dodgerblue:"#1e90ff",firebrick:"#b22222",floralwhite:"#fffaf0",forestgreen:"#228b22",fuchsia:"#ff00ff",gainsboro:"#dcdcdc",ghostwhite:"#f8f8ff",goldenrod:"#daa520",gold:"#ffd700",gray:"#808080",green:"#008000",greenyellow:"#adff2f",grey:"#808080",honeydew:"#f0fff0",hotpink:"#ff69b4",indianred:"#cd5c5c",indigo:"#4b0082",ivory:"#fffff0",khaki:"#f0e68c",lavenderblush:"#fff0f5",lavender:"#e6e6fa",lawngreen:"#7cfc00",lemonchiffon:"#fffacd",lightblue:"#add8e6",lightcoral:"#f08080",lightcyan:"#e0ffff",lightgoldenrodyellow:"#fafad2",lightgray:"#d3d3d3",lightgreen:"#90ee90",lightgrey:"#d3d3d3",lightpink:"#ffb6c1",lightsalmon:"#ffa07a",lightseagreen:"#20b2aa",lightskyblue:"#87cefa",lightslategray:"#778899",lightslategrey:"#778899",lightsteelblue:"#b0c4de",lightyellow:"#ffffe0",lime:"#00ff00",limegreen:"#32cd32",linen:"#faf0e6",magenta:"#ff00ff",maroon:"#800000",mediumaquamarine:"#66cdaa",mediumblue:"#0000cd",mediumorchid:"#ba55d3",mediumpurple:"#9370db",mediumseagreen:"#3cb371",mediumslateblue:"#7b68ee",mediumspringgreen:"#00fa9a",mediumturquoise:"#48d1cc",mediumvioletred:"#c71585",midnightblue:"#191970",mintcream:"#f5fffa",mistyrose:"#ffe4e1",moccasin:"#ffe4b5",navajowhite:"#ffdead",navy:"#000080",oldlace:"#fdf5e6",olive:"#808000",olivedrab:"#6b8e23",orange:"#ffa500",orangered:"#ff4500",orchid:"#da70d6",palegoldenrod:"#eee8aa",palegreen:"#98fb98",paleturquoise:"#afeeee",palevioletred:"#db7093",papayawhip:"#ffefd5",peachpuff:"#ffdab9",peru:"#cd853f",pink:"#ffc0cb",plum:"#dda0dd",powderblue:"#b0e0e6",purple:"#800080",rebeccapurple:"#663399",red:"#ff0000",rosybrown:"#bc8f8f",royalblue:"#4169e1",saddlebrown:"#8b4513",salmon:"#fa8072",sandybrown:"#f4a460",seagreen:"#2e8b57",seashell:"#fff5ee",sienna:"#a0522d",silver:"#c0c0c0",skyblue:"#87ceeb",slateblue:"#6a5acd",slategray:"#708090",slategrey:"#708090",snow:"#fffafa",springgreen:"#00ff7f",steelblue:"#4682b4",tan:"#d2b48c",teal:"#008080",thistle:"#d8bfd8",tomato:"#ff6347",turquoise:"#40e0d0",violet:"#ee82ee",wheat:"#f5deb3",white:"#ffffff",whitesmoke:"#f5f5f5",yellow:"#ffff00",yellowgreen:"#9acd32"};function inputToRGB(Ra){var qa={r:0,g:0,b:0},Ja=1,ed=null,td=null,rd=null,sd=!1,od=!1;return typeof Ra=="string"&&(Ra=stringInputToObject(Ra)),typeof Ra=="object"&&(isValidCSSUnit(Ra.r)&&isValidCSSUnit(Ra.g)&&isValidCSSUnit(Ra.b)?(qa=rgbToRgb(Ra.r,Ra.g,Ra.b),sd=!0,od=String(Ra.r).substr(-1)==="%"?"prgb":"rgb"):isValidCSSUnit(Ra.h)&&isValidCSSUnit(Ra.s)&&isValidCSSUnit(Ra.v)?(ed=convertToPercentage(Ra.s),td=convertToPercentage(Ra.v),qa=hsvToRgb(Ra.h,ed,td),sd=!0,od="hsv"):isValidCSSUnit(Ra.h)&&isValidCSSUnit(Ra.s)&&isValidCSSUnit(Ra.l)&&(ed=convertToPercentage(Ra.s),rd=convertToPercentage(Ra.l),qa=hslToRgb(Ra.h,ed,rd),sd=!0,od="hsl"),Object.prototype.hasOwnProperty.call(Ra,"a")&&(Ja=Ra.a)),Ja=boundAlpha(Ja),{ok:sd,format:Ra.format||od,r:Math.min(255,Math.max(qa.r,0)),g:Math.min(255,Math.max(qa.g,0)),b:Math.min(255,Math.max(qa.b,0)),a:Ja}}var CSS_INTEGER="[-\\+]?\\d+%?",CSS_NUMBER="[-\\+]?\\d*\\.\\d+%?",CSS_UNIT="(?:".concat(CSS_NUMBER,")|(?:").concat(CSS_INTEGER,")"),PERMISSIVE_MATCH3="[\\s|\\(]+(".concat(CSS_UNIT,")[,|\\s]+(").concat(CSS_UNIT,")[,|\\s]+(").concat(CSS_UNIT,")\\s*\\)?"),PERMISSIVE_MATCH4="[\\s|\\(]+(".concat(CSS_UNIT,")[,|\\s]+(").concat(CSS_UNIT,")[,|\\s]+(").concat(CSS_UNIT,")[,|\\s]+(").concat(CSS_UNIT,")\\s*\\)?"),matchers={CSS_UNIT:new RegExp(CSS_UNIT),rgb:new RegExp("rgb"+PERMISSIVE_MATCH3),rgba:new RegExp("rgba"+PERMISSIVE_MATCH4),hsl:new RegExp("hsl"+PERMISSIVE_MATCH3),hsla:new RegExp("hsla"+PERMISSIVE_MATCH4),hsv:new RegExp("hsv"+PERMISSIVE_MATCH3),hsva:new RegExp("hsva"+PERMISSIVE_MATCH4),hex3:/^#?([0-9a-fA-F]{1})([0-9a-fA-F]{1})([0-9a-fA-F]{1})$/,hex6:/^#?([0-9a-fA-F]{2})([0-9a-fA-F]{2})([0-9a-fA-F]{2})$/,hex4:/^#?([0-9a-fA-F]{1})([0-9a-fA-F]{1})([0-9a-fA-F]{1})([0-9a-fA-F]{1})$/,hex8:/^#?([0-9a-fA-F]{2})([0-9a-fA-F]{2})([0-9a-fA-F]{2})([0-9a-fA-F]{2})$/};function stringInputToObject(Ra){if(Ra=Ra.trim().toLowerCase(),Ra.length===0)return!1;var qa=!1;if(names[Ra])Ra=names[Ra],qa=!0;else if(Ra==="transparent")return{r:0,g:0,b:0,a:0,format:"name"};var Ja=matchers.rgb.exec(Ra);return Ja?{r:Ja[1],g:Ja[2],b:Ja[3]}:(Ja=matchers.rgba.exec(Ra),Ja?{r:Ja[1],g:Ja[2],b:Ja[3],a:Ja[4]}:(Ja=matchers.hsl.exec(Ra),Ja?{h:Ja[1],s:Ja[2],l:Ja[3]}:(Ja=matchers.hsla.exec(Ra),Ja?{h:Ja[1],s:Ja[2],l:Ja[3],a:Ja[4]}:(Ja=matchers.hsv.exec(Ra),Ja?{h:Ja[1],s:Ja[2],v:Ja[3]}:(Ja=matchers.hsva.exec(Ra),Ja?{h:Ja[1],s:Ja[2],v:Ja[3],a:Ja[4]}:(Ja=matchers.hex8.exec(Ra),Ja?{r:parseIntFromHex(Ja[1]),g:parseIntFromHex(Ja[2]),b:parseIntFromHex(Ja[3]),a:convertHexToDecimal(Ja[4]),format:qa?"name":"hex8"}:(Ja=matchers.hex6.exec(Ra),Ja?{r:parseIntFromHex(Ja[1]),g:parseIntFromHex(Ja[2]),b:parseIntFromHex(Ja[3]),format:qa?"name":"hex"}:(Ja=matchers.hex4.exec(Ra),Ja?{r:parseIntFromHex(Ja[1]+Ja[1]),g:parseIntFromHex(Ja[2]+Ja[2]),b:parseIntFromHex(Ja[3]+Ja[3]),a:convertHexToDecimal(Ja[4]+Ja[4]),format:qa?"name":"hex8"}:(Ja=matchers.hex3.exec(Ra),Ja?{r:parseIntFromHex(Ja[1]+Ja[1]),g:parseIntFromHex(Ja[2]+Ja[2]),b:parseIntFromHex(Ja[3]+Ja[3]),format:qa?"name":"hex"}:!1)))))))))}function isValidCSSUnit(Ra){return!!matchers.CSS_UNIT.exec(String(Ra))}var TinyColor=function(){function Ra(qa,Ja){qa===void 0&&(qa=""),Ja===void 0&&(Ja={});var ed;if(qa instanceof Ra)return qa;typeof qa=="number"&&(qa=numberInputToObject(qa)),this.originalInput=qa;var td=inputToRGB(qa);this.originalInput=qa,this.r=td.r,this.g=td.g,this.b=td.b,this.a=td.a,this.roundA=Math.round(100*this.a)/100,this.format=(ed=Ja.format)!==null&&ed!==void 0?ed:td.format,this.gradientType=Ja.gradientType,this.r<1&&(this.r=Math.round(this.r)),this.g<1&&(this.g=Math.round(this.g)),this.b<1&&(this.b=Math.round(this.b)),this.isValid=td.ok}return Ra.prototype.isDark=function(){return this.getBrightness()<128},Ra.prototype.isLight=function(){return!this.isDark()},Ra.prototype.getBrightness=function(){var qa=this.toRgb();return(qa.r*299+qa.g*587+qa.b*114)/1e3},Ra.prototype.getLuminance=function(){var qa=this.toRgb(),Ja,ed,td,rd=qa.r/255,sd=qa.g/255,od=qa.b/255;return rd<=.03928?Ja=rd/12.92:Ja=Math.pow((rd+.055)/1.055,2.4),sd<=.03928?ed=sd/12.92:ed=Math.pow((sd+.055)/1.055,2.4),od<=.03928?td=od/12.92:td=Math.pow((od+.055)/1.055,2.4),.2126*Ja+.7152*ed+.0722*td},Ra.prototype.getAlpha=function(){return this.a},Ra.prototype.setAlpha=function(qa){return this.a=boundAlpha(qa),this.roundA=Math.round(100*this.a)/100,this},Ra.prototype.isMonochrome=function(){var qa=this.toHsl().s;return qa===0},Ra.prototype.toHsv=function(){var qa=rgbToHsv(this.r,this.g,this.b);return{h:qa.h*360,s:qa.s,v:qa.v,a:this.a}},Ra.prototype.toHsvString=function(){var qa=rgbToHsv(this.r,this.g,this.b),Ja=Math.round(qa.h*360),ed=Math.round(qa.s*100),td=Math.round(qa.v*100);return this.a===1?"hsv(".concat(Ja,", ").concat(ed,"%, ").concat(td,"%)"):"hsva(".concat(Ja,", ").concat(ed,"%, ").concat(td,"%, ").concat(this.roundA,")")},Ra.prototype.toHsl=function(){var qa=rgbToHsl(this.r,this.g,this.b);return{h:qa.h*360,s:qa.s,l:qa.l,a:this.a}},Ra.prototype.toHslString=function(){var qa=rgbToHsl(this.r,this.g,this.b),Ja=Math.round(qa.h*360),ed=Math.round(qa.s*100),td=Math.round(qa.l*100);return this.a===1?"hsl(".concat(Ja,", ").concat(ed,"%, ").concat(td,"%)"):"hsla(".concat(Ja,", ").concat(ed,"%, ").concat(td,"%, ").concat(this.roundA,")")},Ra.prototype.toHex=function(qa){return qa===void 0&&(qa=!1),rgbToHex(this.r,this.g,this.b,qa)},Ra.prototype.toHexString=function(qa){return qa===void 0&&(qa=!1),"#"+this.toHex(qa)},Ra.prototype.toHex8=function(qa){return qa===void 0&&(qa=!1),rgbaToHex(this.r,this.g,this.b,this.a,qa)},Ra.prototype.toHex8String=function(qa){return qa===void 0&&(qa=!1),"#"+this.toHex8(qa)},Ra.prototype.toHexShortString=function(qa){return qa===void 0&&(qa=!1),this.a===1?this.toHexString(qa):this.toHex8String(qa)},Ra.prototype.toRgb=function(){return{r:Math.round(this.r),g:Math.round(this.g),b:Math.round(this.b),a:this.a}},Ra.prototype.toRgbString=function(){var qa=Math.round(this.r),Ja=Math.round(this.g),ed=Math.round(this.b);return this.a===1?"rgb(".concat(qa,", ").concat(Ja,", ").concat(ed,")"):"rgba(".concat(qa,", ").concat(Ja,", ").concat(ed,", ").concat(this.roundA,")")},Ra.prototype.toPercentageRgb=function(){var qa=function(Ja){return"".concat(Math.round(bound01$1(Ja,255)*100),"%")};return{r:qa(this.r),g:qa(this.g),b:qa(this.b),a:this.a}},Ra.prototype.toPercentageRgbString=function(){var qa=function(Ja){return Math.round(bound01$1(Ja,255)*100)};return this.a===1?"rgb(".concat(qa(this.r),"%, ").concat(qa(this.g),"%, ").concat(qa(this.b),"%)"):"rgba(".concat(qa(this.r),"%, ").concat(qa(this.g),"%, ").concat(qa(this.b),"%, ").concat(this.roundA,")")},Ra.prototype.toName=function(){if(this.a===0)return"transparent";if(this.a<1)return!1;for(var qa="#"+rgbToHex(this.r,this.g,this.b,!1),Ja=0,ed=Object.entries(names);Ja<ed.length;Ja++){var td=ed[Ja],rd=td[0],sd=td[1];if(qa===sd)return rd}return!1},Ra.prototype.toString=function(qa){var Ja=!!qa;qa=qa??this.format;var ed=!1,td=this.a<1&&this.a>=0,rd=!Ja&&td&&(qa.startsWith("hex")||qa==="name");return rd?qa==="name"&&this.a===0?this.toName():this.toRgbString():(qa==="rgb"&&(ed=this.toRgbString()),qa==="prgb"&&(ed=this.toPercentageRgbString()),(qa==="hex"||qa==="hex6")&&(ed=this.toHexString()),qa==="hex3"&&(ed=this.toHexString(!0)),qa==="hex4"&&(ed=this.toHex8String(!0)),qa==="hex8"&&(ed=this.toHex8String()),qa==="name"&&(ed=this.toName()),qa==="hsl"&&(ed=this.toHslString()),qa==="hsv"&&(ed=this.toHsvString()),ed||this.toHexString())},Ra.prototype.toNumber=function(){return(Math.round(this.r)<<16)+(Math.round(this.g)<<8)+Math.round(this.b)},Ra.prototype.clone=function(){return new Ra(this.toString())},Ra.prototype.lighten=function(qa){qa===void 0&&(qa=10);var Ja=this.toHsl();return Ja.l+=qa/100,Ja.l=clamp01(Ja.l),new Ra(Ja)},Ra.prototype.brighten=function(qa){qa===void 0&&(qa=10);var Ja=this.toRgb();return Ja.r=Math.max(0,Math.min(255,Ja.r-Math.round(255*-(qa/100)))),Ja.g=Math.max(0,Math.min(255,Ja.g-Math.round(255*-(qa/100)))),Ja.b=Math.max(0,Math.min(255,Ja.b-Math.round(255*-(qa/100)))),new Ra(Ja)},Ra.prototype.darken=function(qa){qa===void 0&&(qa=10);var Ja=this.toHsl();return Ja.l-=qa/100,Ja.l=clamp01(Ja.l),new Ra(Ja)},Ra.prototype.tint=function(qa){return qa===void 0&&(qa=10),this.mix("white",qa)},Ra.prototype.shade=function(qa){return qa===void 0&&(qa=10),this.mix("black",qa)},Ra.prototype.desaturate=function(qa){qa===void 0&&(qa=10);var Ja=this.toHsl();return Ja.s-=qa/100,Ja.s=clamp01(Ja.s),new Ra(Ja)},Ra.prototype.saturate=function(qa){qa===void 0&&(qa=10);var Ja=this.toHsl();return Ja.s+=qa/100,Ja.s=clamp01(Ja.s),new Ra(Ja)},Ra.prototype.greyscale=function(){return this.desaturate(100)},Ra.prototype.spin=function(qa){var Ja=this.toHsl(),ed=(Ja.h+qa)%360;return Ja.h=ed<0?360+ed:ed,new Ra(Ja)},Ra.prototype.mix=function(qa,Ja){Ja===void 0&&(Ja=50);var ed=this.toRgb(),td=new Ra(qa).toRgb(),rd=Ja/100,sd={r:(td.r-ed.r)*rd+ed.r,g:(td.g-ed.g)*rd+ed.g,b:(td.b-ed.b)*rd+ed.b,a:(td.a-ed.a)*rd+ed.a};return new Ra(sd)},Ra.prototype.analogous=function(qa,Ja){qa===void 0&&(qa=6),Ja===void 0&&(Ja=30);var ed=this.toHsl(),td=360/Ja,rd=[this];for(ed.h=(ed.h-(td*qa>>1)+720)%360;--qa;)ed.h=(ed.h+td)%360,rd.push(new Ra(ed));return rd},Ra.prototype.complement=function(){var qa=this.toHsl();return qa.h=(qa.h+180)%360,new Ra(qa)},Ra.prototype.monochromatic=function(qa){qa===void 0&&(qa=6);for(var Ja=this.toHsv(),ed=Ja.h,td=Ja.s,rd=Ja.v,sd=[],od=1/qa;qa--;)sd.push(new Ra({h:ed,s:td,v:rd})),rd=(rd+od)%1;return sd},Ra.prototype.splitcomplement=function(){var qa=this.toHsl(),Ja=qa.h;return[this,new Ra({h:(Ja+72)%360,s:qa.s,l:qa.l}),new Ra({h:(Ja+216)%360,s:qa.s,l:qa.l})]},Ra.prototype.onBackground=function(qa){var Ja=this.toRgb(),ed=new Ra(qa).toRgb(),td=Ja.a+ed.a*(1-Ja.a);return new Ra({r:(Ja.r*Ja.a+ed.r*ed.a*(1-Ja.a))/td,g:(Ja.g*Ja.a+ed.g*ed.a*(1-Ja.a))/td,b:(Ja.b*Ja.a+ed.b*ed.a*(1-Ja.a))/td,a:td})},Ra.prototype.triad=function(){return this.polyad(3)},Ra.prototype.tetrad=function(){return this.polyad(4)},Ra.prototype.polyad=function(qa){for(var Ja=this.toHsl(),ed=Ja.h,td=[this],rd=360/qa,sd=1;sd<qa;sd++)td.push(new Ra({h:(ed+sd*rd)%360,s:Ja.s,l:Ja.l}));return td},Ra.prototype.equals=function(qa){return this.toRgbString()===new Ra(qa).toRgbString()},Ra}();function darken$1(Ra,qa=20){return Ra.mix("#141414",qa).toString()}function useButtonCustomStyle(Ra){const qa=useFormDisabled(),Ja=useNamespace("button");return computed(()=>{let ed={},td=Ra.color;if(td){const rd=td.match(/var\((.*?)\)/);rd&&(td=window.getComputedStyle(window.document.documentElement).getPropertyValue(rd[1]));const sd=new TinyColor(td),od=Ra.dark?sd.tint(20).toString():darken$1(sd,20);if(Ra.plain)ed=Ja.cssVarBlock({"bg-color":Ra.dark?darken$1(sd,90):sd.tint(90).toString(),"text-color":td,"border-color":Ra.dark?darken$1(sd,50):sd.tint(50).toString(),"hover-text-color":`var(${Ja.cssVarName("color-white")})`,"hover-bg-color":td,"hover-border-color":td,"active-bg-color":od,"active-text-color":`var(${Ja.cssVarName("color-white")})`,"active-border-color":od}),qa.value&&(ed[Ja.cssVarBlockName("disabled-bg-color")]=Ra.dark?darken$1(sd,90):sd.tint(90).toString(),ed[Ja.cssVarBlockName("disabled-text-color")]=Ra.dark?darken$1(sd,50):sd.tint(50).toString(),ed[Ja.cssVarBlockName("disabled-border-color")]=Ra.dark?darken$1(sd,80):sd.tint(80).toString());else{const ld=Ra.dark?darken$1(sd,30):sd.tint(30).toString(),cd=sd.isDark()?`var(${Ja.cssVarName("color-white")})`:`var(${Ja.cssVarName("color-black")})`;if(ed=Ja.cssVarBlock({"bg-color":td,"text-color":cd,"border-color":td,"hover-bg-color":ld,"hover-text-color":cd,"hover-border-color":ld,"active-bg-color":od,"active-border-color":od}),qa.value){const ud=Ra.dark?darken$1(sd,50):sd.tint(50).toString();ed[Ja.cssVarBlockName("disabled-bg-color")]=ud,ed[Ja.cssVarBlockName("disabled-text-color")]=Ra.dark?"rgba(255, 255, 255, 0.5)":`var(${Ja.cssVarName("color-white")})`,ed[Ja.cssVarBlockName("disabled-border-color")]=ud}}}return ed})}const __default__$p=defineComponent({name:"ElButton"}),_sfc_main$11=defineComponent({...__default__$p,props:buttonProps,emits:buttonEmits,setup(Ra,{expose:qa,emit:Ja}){const ed=Ra,td=useButtonCustomStyle(ed),rd=useNamespace("button"),{_ref:sd,_size:od,_type:ld,_disabled:cd,_props:ud,shouldAddSpace:_d,handleClick:yd}=useButton(ed,Ja),gd=computed(()=>[rd.b(),rd.m(ld.value),rd.m(od.value),rd.is("disabled",cd.value),rd.is("loading",ed.loading),rd.is("plain",ed.plain),rd.is("round",ed.round),rd.is("circle",ed.circle),rd.is("text",ed.text),rd.is("link",ed.link),rd.is("has-bg",ed.bg)]);return qa({ref:sd,size:od,type:ld,disabled:cd,shouldAddSpace:_d}),(Ed,Td)=>(openBlock(),createBlock(resolveDynamicComponent(Ed.tag),mergeProps({ref_key:"_ref",ref:sd},unref(ud),{class:unref(gd),style:unref(td),onClick:unref(yd)}),{default:withCtx(()=>[Ed.loading?(openBlock(),createElementBlock(Fragment,{key:0},[Ed.$slots.loading?renderSlot(Ed.$slots,"loading",{key:0}):(openBlock(),createBlock(unref(ElIcon),{key:1,class:normalizeClass(unref(rd).is("loading"))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ed.loadingIcon)))]),_:1},8,["class"]))],64)):Ed.icon||Ed.$slots.icon?(openBlock(),createBlock(unref(ElIcon),{key:1},{default:withCtx(()=>[Ed.icon?(openBlock(),createBlock(resolveDynamicComponent(Ed.icon),{key:0})):renderSlot(Ed.$slots,"icon",{key:1})]),_:3})):createCommentVNode("v-if",!0),Ed.$slots.default?(openBlock(),createElementBlock("span",{key:2,class:normalizeClass({[unref(rd).em("text","expand")]:unref(_d)})},[renderSlot(Ed.$slots,"default")],2)):createCommentVNode("v-if",!0)]),_:3},16,["class","style","onClick"]))}});var Button=_export_sfc$1(_sfc_main$11,[["__file","button.vue"]]);const buttonGroupProps={size:buttonProps.size,type:buttonProps.type},__default__$o=defineComponent({name:"ElButtonGroup"}),_sfc_main$10=defineComponent({...__default__$o,props:buttonGroupProps,setup(Ra){const qa=Ra;provide(buttonGroupContextKey,reactive({size:toRef$1(qa,"size"),type:toRef$1(qa,"type")}));const Ja=useNamespace("button");return(ed,td)=>(openBlock(),createElementBlock("div",{class:normalizeClass(unref(Ja).b("group"))},[renderSlot(ed.$slots,"default")],2))}});var ButtonGroup=_export_sfc$1(_sfc_main$10,[["__file","button-group.vue"]]);const ElButton=withInstall(Button,{ButtonGroup});withNoopInstall(ButtonGroup);var dayjs_min={exports:{}};(function(Ra,qa){(function(Ja,ed){Ra.exports=ed()})(commonjsGlobal,function(){var Ja=1e3,ed=6e4,td=36e5,rd="millisecond",sd="second",od="minute",ld="hour",cd="day",ud="week",_d="month",yd="quarter",gd="year",Ed="date",Td="Invalid Date",kd=/^(\d{4})[-/]?(\d{1,2})?[-/]?(\d{0,2})[Tt\s]*(\d{1,2})?:?(\d{1,2})?:?(\d{1,2})?[.:]?(\d+)?$/,Rd=/\[([^\]]+)]|Y{1,4}|M{1,4}|D{1,2}|d{1,4}|H{1,2}|h{1,2}|a|A|m{1,2}|s{1,2}|Z{1,2}|SSS/g,Nd={name:"en",weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),ordinal:function(pf){var Xd=["th","st","nd","rd"],hf=pf%100;return"["+pf+(Xd[(hf-20)%10]||Xd[hf]||Xd[0])+"]"}},Id=function(pf,Xd,hf){var _f=String(pf);return!_f||_f.length>=Xd?pf:""+Array(Xd+1-_f.length).join(hf)+pf},Md={s:Id,z:function(pf){var Xd=-pf.utcOffset(),hf=Math.abs(Xd),_f=Math.floor(hf/60),xf=hf%60;return(Xd<=0?"+":"-")+Id(_f,2,"0")+":"+Id(xf,2,"0")},m:function pf(Xd,hf){if(Xd.date()<hf.date())return-pf(hf,Xd);var _f=12*(hf.year()-Xd.year())+(hf.month()-Xd.month()),xf=Xd.clone().add(_f,_d),Lf=hf-xf<0,Wf=Xd.clone().add(_f+(Lf?-1:1),_d);return+(-(_f+(hf-xf)/(Lf?xf-Wf:Wf-xf))||0)},a:function(pf){return pf<0?Math.ceil(pf)||0:Math.floor(pf)},p:function(pf){return{M:_d,y:gd,w:ud,d:cd,D:Ed,h:ld,m:od,s:sd,ms:rd,Q:yd}[pf]||String(pf||"").toLowerCase().replace(/s$/,"")},u:function(pf){return pf===void 0}},Ld="en",Pd={};Pd[Ld]=Nd;var qd="$isDayjsObject",Yd=function(pf){return pf instanceof Jd||!(!pf||!pf[qd])},Ud=function pf(Xd,hf,_f){var xf;if(!Xd)return Ld;if(typeof Xd=="string"){var Lf=Xd.toLowerCase();Pd[Lf]&&(xf=Lf),hf&&(Pd[Lf]=hf,xf=Lf);var Wf=Xd.split("-");if(!xf&&Wf.length>1)return pf(Wf[0])}else{var Yf=Xd.name;Pd[Yf]=Xd,xf=Yf}return!_f&&xf&&(Ld=xf),xf||!_f&&Ld},Hd=function(pf,Xd){if(Yd(pf))return pf.clone();var hf=typeof Xd=="object"?Xd:{};return hf.date=pf,hf.args=arguments,new Jd(hf)},Vd=Md;Vd.l=Ud,Vd.i=Yd,Vd.w=function(pf,Xd){return Hd(pf,{locale:Xd.$L,utc:Xd.$u,x:Xd.$x,$offset:Xd.$offset})};var Jd=function(){function pf(hf){this.$L=Ud(hf.locale,null,!0),this.parse(hf),this.$x=this.$x||hf.x||{},this[qd]=!0}var Xd=pf.prototype;return Xd.parse=function(hf){this.$d=function(_f){var xf=_f.date,Lf=_f.utc;if(xf===null)return new Date(NaN);if(Vd.u(xf))return new Date;if(xf instanceof Date)return new Date(xf);if(typeof xf=="string"&&!/Z$/i.test(xf)){var Wf=xf.match(kd);if(Wf){var Yf=Wf[2]-1||0,If=(Wf[7]||"0").substring(0,3);return Lf?new Date(Date.UTC(Wf[1],Yf,Wf[3]||1,Wf[4]||0,Wf[5]||0,Wf[6]||0,If)):new Date(Wf[1],Yf,Wf[3]||1,Wf[4]||0,Wf[5]||0,Wf[6]||0,If)}}return new Date(xf)}(hf),this.init()},Xd.init=function(){var hf=this.$d;this.$y=hf.getFullYear(),this.$M=hf.getMonth(),this.$D=hf.getDate(),this.$W=hf.getDay(),this.$H=hf.getHours(),this.$m=hf.getMinutes(),this.$s=hf.getSeconds(),this.$ms=hf.getMilliseconds()},Xd.$utils=function(){return Vd},Xd.isValid=function(){return this.$d.toString()!==Td},Xd.isSame=function(hf,_f){var xf=Hd(hf);return this.startOf(_f)<=xf&&xf<=this.endOf(_f)},Xd.isAfter=function(hf,_f){return Hd(hf)<this.startOf(_f)},Xd.isBefore=function(hf,_f){return this.endOf(_f)<Hd(hf)},Xd.$g=function(hf,_f,xf){return Vd.u(hf)?this[_f]:this.set(xf,hf)},Xd.unix=function(){return Math.floor(this.valueOf()/1e3)},Xd.valueOf=function(){return this.$d.getTime()},Xd.startOf=function(hf,_f){var xf=this,Lf=!!Vd.u(_f)||_f,Wf=Vd.p(hf),Yf=function($f,zf){var hh=Vd.w(xf.$u?Date.UTC(xf.$y,zf,$f):new Date(xf.$y,zf,$f),xf);return Lf?hh:hh.endOf(cd)},If=function($f,zf){return Vd.w(xf.toDate()[$f].apply(xf.toDate("s"),(Lf?[0,0,0,0]:[23,59,59,999]).slice(zf)),xf)},Sf=this.$W,wf=this.$M,Kf=this.$D,Gf="set"+(this.$u?"UTC":"");switch(Wf){case gd:return Lf?Yf(1,0):Yf(31,11);case _d:return Lf?Yf(1,wf):Yf(0,wf+1);case ud:var gf=this.$locale().weekStart||0,mf=(Sf<gf?Sf+7:Sf)-gf;return Yf(Lf?Kf-mf:Kf+(6-mf),wf);case cd:case Ed:return If(Gf+"Hours",0);case ld:return If(Gf+"Minutes",1);case od:return If(Gf+"Seconds",2);case sd:return If(Gf+"Milliseconds",3);default:return this.clone()}},Xd.endOf=function(hf){return this.startOf(hf,!1)},Xd.$set=function(hf,_f){var xf,Lf=Vd.p(hf),Wf="set"+(this.$u?"UTC":""),Yf=(xf={},xf[cd]=Wf+"Date",xf[Ed]=Wf+"Date",xf[_d]=Wf+"Month",xf[gd]=Wf+"FullYear",xf[ld]=Wf+"Hours",xf[od]=Wf+"Minutes",xf[sd]=Wf+"Seconds",xf[rd]=Wf+"Milliseconds",xf)[Lf],If=Lf===cd?this.$D+(_f-this.$W):_f;if(Lf===_d||Lf===gd){var Sf=this.clone().set(Ed,1);Sf.$d[Yf](If),Sf.init(),this.$d=Sf.set(Ed,Math.min(this.$D,Sf.daysInMonth())).$d}else Yf&&this.$d[Yf](If);return this.init(),this},Xd.set=function(hf,_f){return this.clone().$set(hf,_f)},Xd.get=function(hf){return this[Vd.p(hf)]()},Xd.add=function(hf,_f){var xf,Lf=this;hf=Number(hf);var Wf=Vd.p(_f),Yf=function(wf){var Kf=Hd(Lf);return Vd.w(Kf.date(Kf.date()+Math.round(wf*hf)),Lf)};if(Wf===_d)return this.set(_d,this.$M+hf);if(Wf===gd)return this.set(gd,this.$y+hf);if(Wf===cd)return Yf(1);if(Wf===ud)return Yf(7);var If=(xf={},xf[od]=ed,xf[ld]=td,xf[sd]=Ja,xf)[Wf]||1,Sf=this.$d.getTime()+hf*If;return Vd.w(Sf,this)},Xd.subtract=function(hf,_f){return this.add(-1*hf,_f)},Xd.format=function(hf){var _f=this,xf=this.$locale();if(!this.isValid())return xf.invalidDate||Td;var Lf=hf||"YYYY-MM-DDTHH:mm:ssZ",Wf=Vd.z(this),Yf=this.$H,If=this.$m,Sf=this.$M,wf=xf.weekdays,Kf=xf.months,Gf=xf.meridiem,gf=function(zf,hh,Vf,kf){return zf&&(zf[hh]||zf(_f,Lf))||Vf[hh].slice(0,kf)},mf=function(zf){return Vd.s(Yf%12||12,zf,"0")},$f=Gf||function(zf,hh,Vf){var kf=zf<12?"AM":"PM";return Vf?kf.toLowerCase():kf};return Lf.replace(Rd,function(zf,hh){return hh||function(Vf){switch(Vf){case"YY":return String(_f.$y).slice(-2);case"YYYY":return Vd.s(_f.$y,4,"0");case"M":return Sf+1;case"MM":return Vd.s(Sf+1,2,"0");case"MMM":return gf(xf.monthsShort,Sf,Kf,3);case"MMMM":return gf(Kf,Sf);case"D":return _f.$D;case"DD":return Vd.s(_f.$D,2,"0");case"d":return String(_f.$W);case"dd":return gf(xf.weekdaysMin,_f.$W,wf,2);case"ddd":return gf(xf.weekdaysShort,_f.$W,wf,3);case"dddd":return wf[_f.$W];case"H":return String(Yf);case"HH":return Vd.s(Yf,2,"0");case"h":return mf(1);case"hh":return mf(2);case"a":return $f(Yf,If,!0);case"A":return $f(Yf,If,!1);case"m":return String(If);case"mm":return Vd.s(If,2,"0");case"s":return String(_f.$s);case"ss":return Vd.s(_f.$s,2,"0");case"SSS":return Vd.s(_f.$ms,3,"0");case"Z":return Wf}return null}(zf)||Wf.replace(":","")})},Xd.utcOffset=function(){return 15*-Math.round(this.$d.getTimezoneOffset()/15)},Xd.diff=function(hf,_f,xf){var Lf,Wf=this,Yf=Vd.p(_f),If=Hd(hf),Sf=(If.utcOffset()-this.utcOffset())*ed,wf=this-If,Kf=function(){return Vd.m(Wf,If)};switch(Yf){case gd:Lf=Kf()/12;break;case _d:Lf=Kf();break;case yd:Lf=Kf()/3;break;case ud:Lf=(wf-Sf)/6048e5;break;case cd:Lf=(wf-Sf)/864e5;break;case ld:Lf=wf/td;break;case od:Lf=wf/ed;break;case sd:Lf=wf/Ja;break;default:Lf=wf}return xf?Lf:Vd.a(Lf)},Xd.daysInMonth=function(){return this.endOf(_d).$D},Xd.$locale=function(){return Pd[this.$L]},Xd.locale=function(hf,_f){if(!hf)return this.$L;var xf=this.clone(),Lf=Ud(hf,_f,!0);return Lf&&(xf.$L=Lf),xf},Xd.clone=function(){return Vd.w(this.$d,this)},Xd.toDate=function(){return new Date(this.valueOf())},Xd.toJSON=function(){return this.isValid()?this.toISOString():null},Xd.toISOString=function(){return this.$d.toISOString()},Xd.toString=function(){return this.$d.toUTCString()},pf}(),Zd=Jd.prototype;return Hd.prototype=Zd,[["$ms",rd],["$s",sd],["$m",od],["$H",ld],["$W",cd],["$M",_d],["$y",gd],["$D",Ed]].forEach(function(pf){Zd[pf[1]]=function(Xd){return this.$g(Xd,pf[0],pf[1])}}),Hd.extend=function(pf,Xd){return pf.$i||(pf(Xd,Jd,Hd),pf.$i=!0),Hd},Hd.locale=Ud,Hd.isDayjs=Yd,Hd.unix=function(pf){return Hd(1e3*pf)},Hd.en=Pd[Ld],Hd.Ls=Pd,Hd.p={},Hd})})(dayjs_min);var dayjs_minExports=dayjs_min.exports;const dayjs2=getDefaultExportFromCjs(dayjs_minExports),nodeList=new Map;if(isClient){let Ra;document.addEventListener("mousedown",qa=>Ra=qa),document.addEventListener("mouseup",qa=>{if(Ra){for(const Ja of nodeList.values())for(const{documentHandler:ed}of Ja)ed(qa,Ra);Ra=void 0}})}function createDocumentHandler(Ra,qa){let Ja=[];return Array.isArray(qa.arg)?Ja=qa.arg:isElement$2(qa.arg)&&Ja.push(qa.arg),function(ed,td){const rd=qa.instance.popperRef,sd=ed.target,od=td==null?void 0:td.target,ld=!qa||!qa.instance,cd=!sd||!od,ud=Ra.contains(sd)||Ra.contains(od),_d=Ra===sd,yd=Ja.length&&Ja.some(Ed=>Ed==null?void 0:Ed.contains(sd))||Ja.length&&Ja.includes(od),gd=rd&&(rd.contains(sd)||rd.contains(od));ld||cd||ud||_d||yd||gd||qa.value(ed,td)}}const ClickOutside={beforeMount(Ra,qa){nodeList.has(Ra)||nodeList.set(Ra,[]),nodeList.get(Ra).push({documentHandler:createDocumentHandler(Ra,qa),bindingFn:qa.value})},updated(Ra,qa){nodeList.has(Ra)||nodeList.set(Ra,[]);const Ja=nodeList.get(Ra),ed=Ja.findIndex(rd=>rd.bindingFn===qa.oldValue),td={documentHandler:createDocumentHandler(Ra,qa),bindingFn:qa.value};ed>=0?Ja.splice(ed,1,td):Ja.push(td)},unmounted(Ra){nodeList.delete(Ra)}},REPEAT_INTERVAL=100,REPEAT_DELAY=600,vRepeatClick={beforeMount(Ra,qa){const Ja=qa.value,{interval:ed=REPEAT_INTERVAL,delay:td=REPEAT_DELAY}=isFunction$4(Ja)?{}:Ja;let rd,sd;const od=()=>isFunction$4(Ja)?Ja():Ja.handler(),ld=()=>{sd&&(clearTimeout(sd),sd=void 0),rd&&(clearInterval(rd),rd=void 0)};Ra.addEventListener("mousedown",cd=>{cd.button===0&&(ld(),od(),document.addEventListener("mouseup",()=>ld(),{once:!0}),sd=setTimeout(()=>{rd=setInterval(()=>{od()},ed)},td))})}},FOCUSABLE_CHILDREN="_trap-focus-children",FOCUS_STACK=[],FOCUS_HANDLER=Ra=>{if(FOCUS_STACK.length===0)return;const qa=FOCUS_STACK[FOCUS_STACK.length-1][FOCUSABLE_CHILDREN];if(qa.length>0&&Ra.code===EVENT_CODE.tab){if(qa.length===1){Ra.preventDefault(),document.activeElement!==qa[0]&&qa[0].focus();return}const Ja=Ra.shiftKey,ed=Ra.target===qa[0],td=Ra.target===qa[qa.length-1];ed&&Ja&&(Ra.preventDefault(),qa[qa.length-1].focus()),td&&!Ja&&(Ra.preventDefault(),qa[0].focus())}},TrapFocus={beforeMount(Ra){Ra[FOCUSABLE_CHILDREN]=obtainAllFocusableElements$1(Ra),FOCUS_STACK.push(Ra),FOCUS_STACK.length<=1&&document.addEventListener("keydown",FOCUS_HANDLER)},updated(Ra){nextTick$1(()=>{Ra[FOCUSABLE_CHILDREN]=obtainAllFocusableElements$1(Ra)})},unmounted(){FOCUS_STACK.shift(),FOCUS_STACK.length===0&&document.removeEventListener("keydown",FOCUS_HANDLER)}},tagProps=buildProps({type:{type:String,values:["primary","success","info","warning","danger"],default:"primary"},closable:Boolean,disableTransitions:Boolean,hit:Boolean,color:String,size:{type:String,values:componentSizes},effect:{type:String,values:["dark","light","plain"],default:"light"},round:Boolean}),tagEmits={close:Ra=>Ra instanceof MouseEvent,click:Ra=>Ra instanceof MouseEvent},__default__$n=defineComponent({name:"ElTag"}),_sfc_main$$=defineComponent({...__default__$n,props:tagProps,emits:tagEmits,setup(Ra,{emit:qa}){const Ja=Ra,ed=useFormSize(),td=useNamespace("tag"),rd=computed(()=>{const{type:ld,hit:cd,effect:ud,closable:_d,round:yd}=Ja;return[td.b(),td.is("closable",_d),td.m(ld||"primary"),td.m(ed.value),td.m(ud),td.is("hit",cd),td.is("round",yd)]}),sd=ld=>{qa("close",ld)},od=ld=>{qa("click",ld)};return(ld,cd)=>ld.disableTransitions?(openBlock(),createElementBlock("span",{key:0,class:normalizeClass(unref(rd)),style:normalizeStyle({backgroundColor:ld.color}),onClick:od},[createBaseVNode("span",{class:normalizeClass(unref(td).e("content"))},[renderSlot(ld.$slots,"default")],2),ld.closable?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(td).e("close")),onClick:withModifiers(sd,["stop"])},{default:withCtx(()=>[createVNode(unref(close_default))]),_:1},8,["class","onClick"])):createCommentVNode("v-if",!0)],6)):(openBlock(),createBlock(Transition$1,{key:1,name:`${unref(td).namespace.value}-zoom-in-center`,appear:""},{default:withCtx(()=>[createBaseVNode("span",{class:normalizeClass(unref(rd)),style:normalizeStyle({backgroundColor:ld.color}),onClick:od},[createBaseVNode("span",{class:normalizeClass(unref(td).e("content"))},[renderSlot(ld.$slots,"default")],2),ld.closable?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(td).e("close")),onClick:withModifiers(sd,["stop"])},{default:withCtx(()=>[createVNode(unref(close_default))]),_:1},8,["class","onClick"])):createCommentVNode("v-if",!0)],6)]),_:3},8,["name"]))}});var Tag=_export_sfc$1(_sfc_main$$,[["__file","tag.vue"]]);const ElTag=withInstall(Tag),rowContextKey=Symbol("rowContextKey"),RowJustify=["start","center","end","space-around","space-between","space-evenly"],RowAlign=["top","middle","bottom"],rowProps=buildProps({tag:{type:String,default:"div"},gutter:{type:Number,default:0},justify:{type:String,values:RowJustify,default:"start"},align:{type:String,values:RowAlign}}),__default__$m=defineComponent({name:"ElRow"}),_sfc_main$_=defineComponent({...__default__$m,props:rowProps,setup(Ra){const qa=Ra,Ja=useNamespace("row"),ed=computed(()=>qa.gutter);provide(rowContextKey,{gutter:ed});const td=computed(()=>{const sd={};return qa.gutter&&(sd.marginRight=sd.marginLeft=`-${qa.gutter/2}px`),sd}),rd=computed(()=>[Ja.b(),Ja.is(`justify-${qa.justify}`,qa.justify!=="start"),Ja.is(`align-${qa.align}`,!!qa.align)]);return(sd,od)=>(openBlock(),createBlock(resolveDynamicComponent(sd.tag),{class:normalizeClass(unref(rd)),style:normalizeStyle(unref(td))},{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},8,["class","style"]))}});var Row=_export_sfc$1(_sfc_main$_,[["__file","row.vue"]]);const ElRow=withInstall(Row),colProps=buildProps({tag:{type:String,default:"div"},span:{type:Number,default:24},offset:{type:Number,default:0},pull:{type:Number,default:0},push:{type:Number,default:0},xs:{type:definePropType([Number,Object]),default:()=>mutable({})},sm:{type:definePropType([Number,Object]),default:()=>mutable({})},md:{type:definePropType([Number,Object]),default:()=>mutable({})},lg:{type:definePropType([Number,Object]),default:()=>mutable({})},xl:{type:definePropType([Number,Object]),default:()=>mutable({})}}),__default__$l=defineComponent({name:"ElCol"}),_sfc_main$Z=defineComponent({...__default__$l,props:colProps,setup(Ra){const qa=Ra,{gutter:Ja}=inject(rowContextKey,{gutter:computed(()=>0)}),ed=useNamespace("col"),td=computed(()=>{const sd={};return Ja.value&&(sd.paddingLeft=sd.paddingRight=`${Ja.value/2}px`),sd}),rd=computed(()=>{const sd=[];return["span","offset","pull","push"].forEach(cd=>{const ud=qa[cd];isNumber$3(ud)&&(cd==="span"?sd.push(ed.b(`${qa[cd]}`)):ud>0&&sd.push(ed.b(`${cd}-${qa[cd]}`)))}),["xs","sm","md","lg","xl"].forEach(cd=>{isNumber$3(qa[cd])?sd.push(ed.b(`${cd}-${qa[cd]}`)):isObject$5(qa[cd])&&Object.entries(qa[cd]).forEach(([ud,_d])=>{sd.push(ud!=="span"?ed.b(`${cd}-${ud}-${_d}`):ed.b(`${cd}-${_d}`))})}),Ja.value&&sd.push(ed.is("guttered")),[ed.b(),sd]});return(sd,od)=>(openBlock(),createBlock(resolveDynamicComponent(sd.tag),{class:normalizeClass(unref(rd)),style:normalizeStyle(unref(td))},{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},8,["class","style"]))}});var Col=_export_sfc$1(_sfc_main$Z,[["__file","col.vue"]]);const ElCol=withInstall(Col),alphaSliderProps=buildProps({color:{type:definePropType(Object),required:!0},vertical:{type:Boolean,default:!1}});let isDragging=!1;function draggable(Ra,qa){if(!isClient)return;const Ja=function(rd){var sd;(sd=qa.drag)==null||sd.call(qa,rd)},ed=function(rd){var sd;document.removeEventListener("mousemove",Ja),document.removeEventListener("mouseup",ed),document.removeEventListener("touchmove",Ja),document.removeEventListener("touchend",ed),document.onselectstart=null,document.ondragstart=null,isDragging=!1,(sd=qa.end)==null||sd.call(qa,rd)},td=function(rd){var sd;isDragging||(rd.preventDefault(),document.onselectstart=()=>!1,document.ondragstart=()=>!1,document.addEventListener("mousemove",Ja),document.addEventListener("mouseup",ed),document.addEventListener("touchmove",Ja),document.addEventListener("touchend",ed),isDragging=!0,(sd=qa.start)==null||sd.call(qa,rd))};Ra.addEventListener("mousedown",td),Ra.addEventListener("touchstart",td,{passive:!1})}const useAlphaSlider=Ra=>{const qa=getCurrentInstance(),{t:Ja}=useLocale(),ed=shallowRef(),td=shallowRef(),rd=computed(()=>Ra.color.get("alpha")),sd=computed(()=>Ja("el.colorpicker.alphaLabel"));function od(_d){var yd;_d.target!==ed.value&&ld(_d),(yd=ed.value)==null||yd.focus()}function ld(_d){if(!td.value||!ed.value)return;const gd=qa.vnode.el.getBoundingClientRect(),{clientX:Ed,clientY:Td}=getClientXY(_d);if(Ra.vertical){let kd=Td-gd.top;kd=Math.max(ed.value.offsetHeight/2,kd),kd=Math.min(kd,gd.height-ed.value.offsetHeight/2),Ra.color.set("alpha",Math.round((kd-ed.value.offsetHeight/2)/(gd.height-ed.value.offsetHeight)*100))}else{let kd=Ed-gd.left;kd=Math.max(ed.value.offsetWidth/2,kd),kd=Math.min(kd,gd.width-ed.value.offsetWidth/2),Ra.color.set("alpha",Math.round((kd-ed.value.offsetWidth/2)/(gd.width-ed.value.offsetWidth)*100))}}function cd(_d){const{code:yd,shiftKey:gd}=_d,Ed=gd?10:1;switch(yd){case EVENT_CODE.left:case EVENT_CODE.down:_d.preventDefault(),_d.stopPropagation(),ud(-Ed);break;case EVENT_CODE.right:case EVENT_CODE.up:_d.preventDefault(),_d.stopPropagation(),ud(Ed);break}}function ud(_d){let yd=rd.value+_d;yd=yd<0?0:yd>100?100:yd,Ra.color.set("alpha",yd)}return{thumb:ed,bar:td,alpha:rd,alphaLabel:sd,handleDrag:ld,handleClick:od,handleKeydown:cd}},useAlphaSliderDOM=(Ra,{bar:qa,thumb:Ja,handleDrag:ed})=>{const td=getCurrentInstance(),rd=useNamespace("color-alpha-slider"),sd=ref$1(0),od=ref$1(0),ld=ref$1();function cd(){if(!Ja.value||Ra.vertical)return 0;const Nd=td.vnode.el,Id=Ra.color.get("alpha");return Nd?Math.round(Id*(Nd.offsetWidth-Ja.value.offsetWidth/2)/100):0}function ud(){if(!Ja.value)return 0;const Nd=td.vnode.el;if(!Ra.vertical)return 0;const Id=Ra.color.get("alpha");return Nd?Math.round(Id*(Nd.offsetHeight-Ja.value.offsetHeight/2)/100):0}function _d(){if(Ra.color&&Ra.color.value){const{r:Nd,g:Id,b:Md}=Ra.color.toRgb();return`linear-gradient(to right, rgba(${Nd}, ${Id}, ${Md}, 0) 0%, rgba(${Nd}, ${Id}, ${Md}, 1) 100%)`}return""}function yd(){sd.value=cd(),od.value=ud(),ld.value=_d()}onMounted(()=>{if(!qa.value||!Ja.value)return;const Nd={drag:Id=>{ed(Id)},end:Id=>{ed(Id)}};draggable(qa.value,Nd),draggable(Ja.value,Nd),yd()}),watch(()=>Ra.color.get("alpha"),()=>yd()),watch(()=>Ra.color.value,()=>yd());const gd=computed(()=>[rd.b(),rd.is("vertical",Ra.vertical)]),Ed=computed(()=>rd.e("bar")),Td=computed(()=>rd.e("thumb")),kd=computed(()=>({background:ld.value})),Rd=computed(()=>({left:addUnit(sd.value),top:addUnit(od.value)}));return{rootKls:gd,barKls:Ed,barStyle:kd,thumbKls:Td,thumbStyle:Rd,update:yd}},COMPONENT_NAME$6="ElColorAlphaSlider",__default__$k=defineComponent({name:COMPONENT_NAME$6}),_sfc_main$Y=defineComponent({...__default__$k,props:alphaSliderProps,setup(Ra,{expose:qa}){const Ja=Ra,{alpha:ed,alphaLabel:td,bar:rd,thumb:sd,handleDrag:od,handleClick:ld,handleKeydown:cd}=useAlphaSlider(Ja),{rootKls:ud,barKls:_d,barStyle:yd,thumbKls:gd,thumbStyle:Ed,update:Td}=useAlphaSliderDOM(Ja,{bar:rd,thumb:sd,handleDrag:od});return qa({update:Td,bar:rd,thumb:sd}),(kd,Rd)=>(openBlock(),createElementBlock("div",{class:normalizeClass(unref(ud))},[createBaseVNode("div",{ref_key:"bar",ref:rd,class:normalizeClass(unref(_d)),style:normalizeStyle(unref(yd)),onClick:unref(ld)},null,14,["onClick"]),createBaseVNode("div",{ref_key:"thumb",ref:sd,class:normalizeClass(unref(gd)),style:normalizeStyle(unref(Ed)),"aria-label":unref(td),"aria-valuenow":unref(ed),"aria-orientation":kd.vertical?"vertical":"horizontal","aria-valuemin":"0","aria-valuemax":"100",role:"slider",tabindex:"0",onKeydown:unref(cd)},null,46,["aria-label","aria-valuenow","aria-orientation","onKeydown"])],2))}});var AlphaSlider=_export_sfc$1(_sfc_main$Y,[["__file","alpha-slider.vue"]]);const _sfc_main$X=defineComponent({name:"ElColorHueSlider",props:{color:{type:Object,required:!0},vertical:Boolean},setup(Ra){const qa=useNamespace("color-hue-slider"),Ja=getCurrentInstance(),ed=ref$1(),td=ref$1(),rd=ref$1(0),sd=ref$1(0),od=computed(()=>Ra.color.get("hue"));watch(()=>od.value,()=>{yd()});function ld(gd){gd.target!==ed.value&&cd(gd)}function cd(gd){if(!td.value||!ed.value)return;const Td=Ja.vnode.el.getBoundingClientRect(),{clientX:kd,clientY:Rd}=getClientXY(gd);let Nd;if(Ra.vertical){let Id=Rd-Td.top;Id=Math.min(Id,Td.height-ed.value.offsetHeight/2),Id=Math.max(ed.value.offsetHeight/2,Id),Nd=Math.round((Id-ed.value.offsetHeight/2)/(Td.height-ed.value.offsetHeight)*360)}else{let Id=kd-Td.left;Id=Math.min(Id,Td.width-ed.value.offsetWidth/2),Id=Math.max(ed.value.offsetWidth/2,Id),Nd=Math.round((Id-ed.value.offsetWidth/2)/(Td.width-ed.value.offsetWidth)*360)}Ra.color.set("hue",Nd)}function ud(){if(!ed.value)return 0;const gd=Ja.vnode.el;if(Ra.vertical)return 0;const Ed=Ra.color.get("hue");return gd?Math.round(Ed*(gd.offsetWidth-ed.value.offsetWidth/2)/360):0}function _d(){if(!ed.value)return 0;const gd=Ja.vnode.el;if(!Ra.vertical)return 0;const Ed=Ra.color.get("hue");return gd?Math.round(Ed*(gd.offsetHeight-ed.value.offsetHeight/2)/360):0}function yd(){rd.value=ud(),sd.value=_d()}return onMounted(()=>{if(!td.value||!ed.value)return;const gd={drag:Ed=>{cd(Ed)},end:Ed=>{cd(Ed)}};draggable(td.value,gd),draggable(ed.value,gd),yd()}),{bar:td,thumb:ed,thumbLeft:rd,thumbTop:sd,hueValue:od,handleClick:ld,update:yd,ns:qa}}});function _sfc_render$7(Ra,qa,Ja,ed,td,rd){return openBlock(),createElementBlock("div",{class:normalizeClass([Ra.ns.b(),Ra.ns.is("vertical",Ra.vertical)])},[createBaseVNode("div",{ref:"bar",class:normalizeClass(Ra.ns.e("bar")),onClick:Ra.handleClick},null,10,["onClick"]),createBaseVNode("div",{ref:"thumb",class:normalizeClass(Ra.ns.e("thumb")),style:normalizeStyle({left:Ra.thumbLeft+"px",top:Ra.thumbTop+"px"})},null,6)],2)}var HueSlider=_export_sfc$1(_sfc_main$X,[["render",_sfc_render$7],["__file","hue-slider.vue"]]);const colorPickerProps=buildProps({modelValue:String,id:String,showAlpha:Boolean,colorFormat:String,disabled:Boolean,size:useSizeProp,popperClass:{type:String,default:""},tabindex:{type:[String,Number],default:0},teleported:useTooltipContentProps.teleported,predefine:{type:definePropType(Array)},validateEvent:{type:Boolean,default:!0},...useAriaProps(["ariaLabel"])}),colorPickerEmits={[UPDATE_MODEL_EVENT]:Ra=>isString$3(Ra)||isNil(Ra),[CHANGE_EVENT]:Ra=>isString$3(Ra)||isNil(Ra),activeChange:Ra=>isString$3(Ra)||isNil(Ra),focus:Ra=>Ra instanceof FocusEvent,blur:Ra=>Ra instanceof FocusEvent},colorPickerContextKey=Symbol("colorPickerContextKey"),hsv2hsl=function(Ra,qa,Ja){return[Ra,qa*Ja/((Ra=(2-qa)*Ja)<1?Ra:2-Ra)||0,Ra/2]},isOnePointZero=function(Ra){return typeof Ra=="string"&&Ra.includes(".")&&Number.parseFloat(Ra)===1},isPercentage=function(Ra){return typeof Ra=="string"&&Ra.includes("%")},bound01=function(Ra,qa){isOnePointZero(Ra)&&(Ra="100%");const Ja=isPercentage(Ra);return Ra=Math.min(qa,Math.max(0,Number.parseFloat(`${Ra}`))),Ja&&(Ra=Number.parseInt(`${Ra*qa}`,10)/100),Math.abs(Ra-qa)<1e-6?1:Ra%qa/Number.parseFloat(qa)},INT_HEX_MAP={10:"A",11:"B",12:"C",13:"D",14:"E",15:"F"},hexOne=Ra=>{Ra=Math.min(Math.round(Ra),255);const qa=Math.floor(Ra/16),Ja=Ra%16;return`${INT_HEX_MAP[qa]||qa}${INT_HEX_MAP[Ja]||Ja}`},toHex=function({r:Ra,g:qa,b:Ja}){return Number.isNaN(+Ra)||Number.isNaN(+qa)||Number.isNaN(+Ja)?"":`#${hexOne(Ra)}${hexOne(qa)}${hexOne(Ja)}`},HEX_INT_MAP={A:10,B:11,C:12,D:13,E:14,F:15},parseHexChannel=function(Ra){return Ra.length===2?(HEX_INT_MAP[Ra[0].toUpperCase()]||+Ra[0])*16+(HEX_INT_MAP[Ra[1].toUpperCase()]||+Ra[1]):HEX_INT_MAP[Ra[1].toUpperCase()]||+Ra[1]},hsl2hsv=function(Ra,qa,Ja){qa=qa/100,Ja=Ja/100;let ed=qa;const td=Math.max(Ja,.01);Ja*=2,qa*=Ja<=1?Ja:2-Ja,ed*=td<=1?td:2-td;const rd=(Ja+qa)/2,sd=Ja===0?2*ed/(td+ed):2*qa/(Ja+qa);return{h:Ra,s:sd*100,v:rd*100}},rgb2hsv=(Ra,qa,Ja)=>{Ra=bound01(Ra,255),qa=bound01(qa,255),Ja=bound01(Ja,255);const ed=Math.max(Ra,qa,Ja),td=Math.min(Ra,qa,Ja);let rd;const sd=ed,od=ed-td,ld=ed===0?0:od/ed;if(ed===td)rd=0;else{switch(ed){case Ra:{rd=(qa-Ja)/od+(qa<Ja?6:0);break}case qa:{rd=(Ja-Ra)/od+2;break}case Ja:{rd=(Ra-qa)/od+4;break}}rd/=6}return{h:rd*360,s:ld*100,v:sd*100}},hsv2rgb=function(Ra,qa,Ja){Ra=bound01(Ra,360)*6,qa=bound01(qa,100),Ja=bound01(Ja,100);const ed=Math.floor(Ra),td=Ra-ed,rd=Ja*(1-qa),sd=Ja*(1-td*qa),od=Ja*(1-(1-td)*qa),ld=ed%6,cd=[Ja,sd,rd,rd,od,Ja][ld],ud=[od,Ja,Ja,sd,rd,rd][ld],_d=[rd,rd,od,Ja,Ja,sd][ld];return{r:Math.round(cd*255),g:Math.round(ud*255),b:Math.round(_d*255)}};let Color$2=class{constructor(qa={}){this._hue=0,this._saturation=100,this._value=100,this._alpha=100,this.enableAlpha=!1,this.format="hex",this.value="";for(const Ja in qa)hasOwn$1(qa,Ja)&&(this[Ja]=qa[Ja]);qa.value?this.fromString(qa.value):this.doOnChange()}set(qa,Ja){if(arguments.length===1&&typeof qa=="object"){for(const ed in qa)hasOwn$1(qa,ed)&&this.set(ed,qa[ed]);return}this[`_${qa}`]=Ja,this.doOnChange()}get(qa){return qa==="alpha"?Math.floor(this[`_${qa}`]):this[`_${qa}`]}toRgb(){return hsv2rgb(this._hue,this._saturation,this._value)}fromString(qa){if(!qa){this._hue=0,this._saturation=100,this._value=100,this.doOnChange();return}const Ja=(ed,td,rd)=>{this._hue=Math.max(0,Math.min(360,ed)),this._saturation=Math.max(0,Math.min(100,td)),this._value=Math.max(0,Math.min(100,rd)),this.doOnChange()};if(qa.includes("hsl")){const ed=qa.replace(/hsla|hsl|\(|\)/gm,"").split(/\s|,/g).filter(td=>td!=="").map((td,rd)=>rd>2?Number.parseFloat(td):Number.parseInt(td,10));if(ed.length===4?this._alpha=Number.parseFloat(ed[3])*100:ed.length===3&&(this._alpha=100),ed.length>=3){const{h:td,s:rd,v:sd}=hsl2hsv(ed[0],ed[1],ed[2]);Ja(td,rd,sd)}}else if(qa.includes("hsv")){const ed=qa.replace(/hsva|hsv|\(|\)/gm,"").split(/\s|,/g).filter(td=>td!=="").map((td,rd)=>rd>2?Number.parseFloat(td):Number.parseInt(td,10));ed.length===4?this._alpha=Number.parseFloat(ed[3])*100:ed.length===3&&(this._alpha=100),ed.length>=3&&Ja(ed[0],ed[1],ed[2])}else if(qa.includes("rgb")){const ed=qa.replace(/rgba|rgb|\(|\)/gm,"").split(/\s|,/g).filter(td=>td!=="").map((td,rd)=>rd>2?Number.parseFloat(td):Number.parseInt(td,10));if(ed.length===4?this._alpha=Number.parseFloat(ed[3])*100:ed.length===3&&(this._alpha=100),ed.length>=3){const{h:td,s:rd,v:sd}=rgb2hsv(ed[0],ed[1],ed[2]);Ja(td,rd,sd)}}else if(qa.includes("#")){const ed=qa.replace("#","").trim();if(!/^[0-9a-fA-F]{3}$|^[0-9a-fA-F]{6}$|^[0-9a-fA-F]{8}$/.test(ed))return;let td,rd,sd;ed.length===3?(td=parseHexChannel(ed[0]+ed[0]),rd=parseHexChannel(ed[1]+ed[1]),sd=parseHexChannel(ed[2]+ed[2])):(ed.length===6||ed.length===8)&&(td=parseHexChannel(ed.slice(0,2)),rd=parseHexChannel(ed.slice(2,4)),sd=parseHexChannel(ed.slice(4,6))),ed.length===8?this._alpha=parseHexChannel(ed.slice(6))/255*100:(ed.length===3||ed.length===6)&&(this._alpha=100);const{h:od,s:ld,v:cd}=rgb2hsv(td,rd,sd);Ja(od,ld,cd)}}compare(qa){return Math.abs(qa._hue-this._hue)<2&&Math.abs(qa._saturation-this._saturation)<1&&Math.abs(qa._value-this._value)<1&&Math.abs(qa._alpha-this._alpha)<1}doOnChange(){const{_hue:qa,_saturation:Ja,_value:ed,_alpha:td,format:rd}=this;if(this.enableAlpha)switch(rd){case"hsl":{const sd=hsv2hsl(qa,Ja/100,ed/100);this.value=`hsla(${qa}, ${Math.round(sd[1]*100)}%, ${Math.round(sd[2]*100)}%, ${this.get("alpha")/100})`;break}case"hsv":{this.value=`hsva(${qa}, ${Math.round(Ja)}%, ${Math.round(ed)}%, ${this.get("alpha")/100})`;break}case"hex":{this.value=`${toHex(hsv2rgb(qa,Ja,ed))}${hexOne(td*255/100)}`;break}default:{const{r:sd,g:od,b:ld}=hsv2rgb(qa,Ja,ed);this.value=`rgba(${sd}, ${od}, ${ld}, ${this.get("alpha")/100})`}}else switch(rd){case"hsl":{const sd=hsv2hsl(qa,Ja/100,ed/100);this.value=`hsl(${qa}, ${Math.round(sd[1]*100)}%, ${Math.round(sd[2]*100)}%)`;break}case"hsv":{this.value=`hsv(${qa}, ${Math.round(Ja)}%, ${Math.round(ed)}%)`;break}case"rgb":{const{r:sd,g:od,b:ld}=hsv2rgb(qa,Ja,ed);this.value=`rgb(${sd}, ${od}, ${ld})`;break}default:this.value=toHex(hsv2rgb(qa,Ja,ed))}}};const _sfc_main$W=defineComponent({props:{colors:{type:Array,required:!0},color:{type:Object,required:!0},enableAlpha:{type:Boolean,required:!0}},setup(Ra){const qa=useNamespace("color-predefine"),{currentColor:Ja}=inject(colorPickerContextKey),ed=ref$1(rd(Ra.colors,Ra.color));watch(()=>Ja.value,sd=>{const od=new Color$2;od.fromString(sd),ed.value.forEach(ld=>{ld.selected=od.compare(ld)})}),watchEffect(()=>{ed.value=rd(Ra.colors,Ra.color)});function td(sd){Ra.color.fromString(Ra.colors[sd])}function rd(sd,od){return sd.map(ld=>{const cd=new Color$2;return cd.enableAlpha=Ra.enableAlpha,cd.format="rgba",cd.fromString(ld),cd.selected=cd.value===od.value,cd})}return{rgbaColors:ed,handleSelect:td,ns:qa}}});function _sfc_render$6(Ra,qa,Ja,ed,td,rd){return openBlock(),createElementBlock("div",{class:normalizeClass(Ra.ns.b())},[createBaseVNode("div",{class:normalizeClass(Ra.ns.e("colors"))},[(openBlock(!0),createElementBlock(Fragment,null,renderList(Ra.rgbaColors,(sd,od)=>(openBlock(),createElementBlock("div",{key:Ra.colors[od],class:normalizeClass([Ra.ns.e("color-selector"),Ra.ns.is("alpha",sd._alpha<100),{selected:sd.selected}]),onClick:ld=>Ra.handleSelect(od)},[createBaseVNode("div",{style:normalizeStyle({backgroundColor:sd.value})},null,4)],10,["onClick"]))),128))],2)],2)}var Predefine=_export_sfc$1(_sfc_main$W,[["render",_sfc_render$6],["__file","predefine.vue"]]);const _sfc_main$V=defineComponent({name:"ElSlPanel",props:{color:{type:Object,required:!0}},setup(Ra){const qa=useNamespace("color-svpanel"),Ja=getCurrentInstance(),ed=ref$1(0),td=ref$1(0),rd=ref$1("hsl(0, 100%, 50%)"),sd=computed(()=>{const cd=Ra.color.get("hue"),ud=Ra.color.get("value");return{hue:cd,value:ud}});function od(){const cd=Ra.color.get("saturation"),ud=Ra.color.get("value"),_d=Ja.vnode.el,{clientWidth:yd,clientHeight:gd}=_d;td.value=cd*yd/100,ed.value=(100-ud)*gd/100,rd.value=`hsl(${Ra.color.get("hue")}, 100%, 50%)`}function ld(cd){const _d=Ja.vnode.el.getBoundingClientRect(),{clientX:yd,clientY:gd}=getClientXY(cd);let Ed=yd-_d.left,Td=gd-_d.top;Ed=Math.max(0,Ed),Ed=Math.min(Ed,_d.width),Td=Math.max(0,Td),Td=Math.min(Td,_d.height),td.value=Ed,ed.value=Td,Ra.color.set({saturation:Ed/_d.width*100,value:100-Td/_d.height*100})}return watch(()=>sd.value,()=>{od()}),onMounted(()=>{draggable(Ja.vnode.el,{drag:cd=>{ld(cd)},end:cd=>{ld(cd)}}),od()}),{cursorTop:ed,cursorLeft:td,background:rd,colorValue:sd,handleDrag:ld,update:od,ns:qa}}});function _sfc_render$5(Ra,qa,Ja,ed,td,rd){return openBlock(),createElementBlock("div",{class:normalizeClass(Ra.ns.b()),style:normalizeStyle({backgroundColor:Ra.background})},[createBaseVNode("div",{class:normalizeClass(Ra.ns.e("white"))},null,2),createBaseVNode("div",{class:normalizeClass(Ra.ns.e("black"))},null,2),createBaseVNode("div",{class:normalizeClass(Ra.ns.e("cursor")),style:normalizeStyle({top:Ra.cursorTop+"px",left:Ra.cursorLeft+"px"})},[createBaseVNode("div")],6)],6)}var SvPanel=_export_sfc$1(_sfc_main$V,[["render",_sfc_render$5],["__file","sv-panel.vue"]]);const __default__$j=defineComponent({name:"ElColorPicker"}),_sfc_main$U=defineComponent({...__default__$j,props:colorPickerProps,emits:colorPickerEmits,setup(Ra,{expose:qa,emit:Ja}){const ed=Ra,{t:td}=useLocale(),rd=useNamespace("color"),{formItem:sd}=useFormItem(),od=useFormSize(),ld=useFormDisabled(),{inputId:cd,isLabeledByFormItem:ud}=useFormItemInputId(ed,{formItemContext:sd}),_d=ref$1(),yd=ref$1(),gd=ref$1(),Ed=ref$1(),Td=ref$1(),kd=ref$1(),{isFocused:Rd,handleFocus:Nd,handleBlur:Id}=useFocusController(Td,{beforeFocus(){return ld.value},beforeBlur($f){var zf;return(zf=Ed.value)==null?void 0:zf.isFocusInsideContent($f)},afterBlur(){Xd(!1),Lf()}});let Md=!0;const Ld=reactive(new Color$2({enableAlpha:ed.showAlpha,format:ed.colorFormat||"",value:ed.modelValue})),Pd=ref$1(!1),qd=ref$1(!1),Yd=ref$1(""),Ud=computed(()=>!ed.modelValue&&!qd.value?"transparent":pf(Ld,ed.showAlpha)),Hd=computed(()=>!ed.modelValue&&!qd.value?"":Ld.value),Vd=computed(()=>ud.value?void 0:ed.ariaLabel||td("el.colorpicker.defaultLabel")),Jd=computed(()=>ud.value?sd==null?void 0:sd.labelId:void 0),Zd=computed(()=>[rd.b("picker"),rd.is("disabled",ld.value),rd.bm("picker",od.value),rd.is("focused",Rd.value)]);function pf($f,zf){if(!($f instanceof Color$2))throw new TypeError("color should be instance of _color Class");const{r:hh,g:Vf,b:kf}=$f.toRgb();return zf?`rgba(${hh}, ${Vf}, ${kf}, ${$f.get("alpha")/100})`:`rgb(${hh}, ${Vf}, ${kf})`}function Xd($f){Pd.value=$f}const hf=debounce(Xd,100,{leading:!0});function _f(){ld.value||Xd(!0)}function xf(){hf(!1),Lf()}function Lf(){nextTick$1(()=>{ed.modelValue?Ld.fromString(ed.modelValue):(Ld.value="",nextTick$1(()=>{qd.value=!1}))})}function Wf(){ld.value||hf(!Pd.value)}function Yf(){Ld.fromString(Yd.value)}function If(){const $f=Ld.value;Ja(UPDATE_MODEL_EVENT,$f),Ja("change",$f),ed.validateEvent&&(sd==null||sd.validate("change").catch(zf=>void 0)),hf(!1),nextTick$1(()=>{const zf=new Color$2({enableAlpha:ed.showAlpha,format:ed.colorFormat||"",value:ed.modelValue});Ld.compare(zf)||Lf()})}function Sf(){hf(!1),Ja(UPDATE_MODEL_EVENT,null),Ja("change",null),ed.modelValue!==null&&ed.validateEvent&&(sd==null||sd.validate("change").catch($f=>void 0)),Lf()}function wf(){Pd.value&&(xf(),Rd.value&&gf())}function Kf($f){$f.preventDefault(),$f.stopPropagation(),Xd(!1),Lf()}function Gf($f){switch($f.code){case EVENT_CODE.enter:case EVENT_CODE.space:$f.preventDefault(),$f.stopPropagation(),_f(),kd.value.focus();break;case EVENT_CODE.esc:Kf($f);break}}function gf(){Td.value.focus()}function mf(){Td.value.blur()}return onMounted(()=>{ed.modelValue&&(Yd.value=Hd.value)}),watch(()=>ed.modelValue,$f=>{$f?$f&&$f!==Ld.value&&(Md=!1,Ld.fromString($f)):qd.value=!1}),watch(()=>Hd.value,$f=>{Yd.value=$f,Md&&Ja("activeChange",$f),Md=!0}),watch(()=>Ld.value,()=>{!ed.modelValue&&!qd.value&&(qd.value=!0)}),watch(()=>Pd.value,()=>{nextTick$1(()=>{var $f,zf,hh;($f=_d.value)==null||$f.update(),(zf=yd.value)==null||zf.update(),(hh=gd.value)==null||hh.update()})}),provide(colorPickerContextKey,{currentColor:Hd}),qa({color:Ld,show:_f,hide:xf,focus:gf,blur:mf}),($f,zf)=>(openBlock(),createBlock(unref(ElTooltip),{ref_key:"popper",ref:Ed,visible:Pd.value,"show-arrow":!1,"fallback-placements":["bottom","top","right","left"],offset:0,"gpu-acceleration":!1,"popper-class":[unref(rd).be("picker","panel"),unref(rd).b("dropdown"),$f.popperClass],"stop-popper-mouse-event":!1,effect:"light",trigger:"click",teleported:$f.teleported,transition:`${unref(rd).namespace.value}-zoom-in-top`,persistent:"",onHide:hh=>Xd(!1)},{content:withCtx(()=>[withDirectives((openBlock(),createElementBlock("div",{onKeydown:withKeys(Kf,["esc"])},[createBaseVNode("div",{class:normalizeClass(unref(rd).be("dropdown","main-wrapper"))},[createVNode(HueSlider,{ref_key:"hue",ref:_d,class:"hue-slider",color:unref(Ld),vertical:""},null,8,["color"]),createVNode(SvPanel,{ref_key:"sv",ref:yd,color:unref(Ld)},null,8,["color"])],2),$f.showAlpha?(openBlock(),createBlock(AlphaSlider,{key:0,ref_key:"alpha",ref:gd,color:unref(Ld)},null,8,["color"])):createCommentVNode("v-if",!0),$f.predefine?(openBlock(),createBlock(Predefine,{key:1,ref:"predefine","enable-alpha":$f.showAlpha,color:unref(Ld),colors:$f.predefine},null,8,["enable-alpha","color","colors"])):createCommentVNode("v-if",!0),createBaseVNode("div",{class:normalizeClass(unref(rd).be("dropdown","btns"))},[createBaseVNode("span",{class:normalizeClass(unref(rd).be("dropdown","value"))},[createVNode(unref(ElInput),{ref_key:"inputRef",ref:kd,modelValue:Yd.value,"onUpdate:modelValue":hh=>Yd.value=hh,"validate-event":!1,size:"small",onKeyup:withKeys(Yf,["enter"]),onBlur:Yf},null,8,["modelValue","onUpdate:modelValue","onKeyup"])],2),createVNode(unref(ElButton),{class:normalizeClass(unref(rd).be("dropdown","link-btn")),text:"",size:"small",onClick:Sf},{default:withCtx(()=>[createTextVNode(toDisplayString(unref(td)("el.colorpicker.clear")),1)]),_:1},8,["class"]),createVNode(unref(ElButton),{plain:"",size:"small",class:normalizeClass(unref(rd).be("dropdown","btn")),onClick:If},{default:withCtx(()=>[createTextVNode(toDisplayString(unref(td)("el.colorpicker.confirm")),1)]),_:1},8,["class"])],2)],40,["onKeydown"])),[[unref(ClickOutside),wf]])]),default:withCtx(()=>[createBaseVNode("div",mergeProps({id:unref(cd),ref_key:"triggerRef",ref:Td},$f.$attrs,{class:unref(Zd),role:"button","aria-label":unref(Vd),"aria-labelledby":unref(Jd),"aria-description":unref(td)("el.colorpicker.description",{color:$f.modelValue||""}),"aria-disabled":unref(ld),tabindex:unref(ld)?-1:$f.tabindex,onKeydown:Gf,onFocus:unref(Nd),onBlur:unref(Id)}),[unref(ld)?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(unref(rd).be("picker","mask"))},null,2)):createCommentVNode("v-if",!0),createBaseVNode("div",{class:normalizeClass(unref(rd).be("picker","trigger")),onClick:Wf},[createBaseVNode("span",{class:normalizeClass([unref(rd).be("picker","color"),unref(rd).is("alpha",$f.showAlpha)])},[createBaseVNode("span",{class:normalizeClass(unref(rd).be("picker","color-inner")),style:normalizeStyle({backgroundColor:unref(Ud)})},[withDirectives(createVNode(unref(ElIcon),{class:normalizeClass([unref(rd).be("picker","icon"),unref(rd).is("icon-arrow-down")])},{default:withCtx(()=>[createVNode(unref(arrow_down_default))]),_:1},8,["class"]),[[vShow,$f.modelValue||qd.value]]),withDirectives(createVNode(unref(ElIcon),{class:normalizeClass([unref(rd).be("picker","empty"),unref(rd).is("icon-close")])},{default:withCtx(()=>[createVNode(unref(close_default))]),_:1},8,["class"]),[[vShow,!$f.modelValue&&!qd.value]])],6)],2)],2)],16,["id","aria-label","aria-labelledby","aria-description","aria-disabled","tabindex","onFocus","onBlur"])]),_:1},8,["visible","popper-class","teleported","transition","onHide"]))}});var ColorPicker=_export_sfc$1(_sfc_main$U,[["__file","color-picker.vue"]]);const ElColorPicker=withInstall(ColorPicker),__default__$i=defineComponent({name:"ElContainer"}),_sfc_main$T=defineComponent({...__default__$i,props:{direction:{type:String}},setup(Ra){const qa=Ra,Ja=useSlots(),ed=useNamespace("container"),td=computed(()=>qa.direction==="vertical"?!0:qa.direction==="horizontal"?!1:Ja&&Ja.default?Ja.default().some(sd=>{const od=sd.type.name;return od==="ElHeader"||od==="ElFooter"}):!1);return(rd,sd)=>(openBlock(),createElementBlock("section",{class:normalizeClass([unref(ed).b(),unref(ed).is("vertical",unref(td))])},[renderSlot(rd.$slots,"default")],2))}});var Container=_export_sfc$1(_sfc_main$T,[["__file","container.vue"]]);const __default__$h=defineComponent({name:"ElAside"}),_sfc_main$S=defineComponent({...__default__$h,props:{width:{type:String,default:null}},setup(Ra){const qa=Ra,Ja=useNamespace("aside"),ed=computed(()=>qa.width?Ja.cssVarBlock({width:qa.width}):{});return(td,rd)=>(openBlock(),createElementBlock("aside",{class:normalizeClass(unref(Ja).b()),style:normalizeStyle(unref(ed))},[renderSlot(td.$slots,"default")],6))}});var Aside=_export_sfc$1(_sfc_main$S,[["__file","aside.vue"]]);const __default__$g=defineComponent({name:"ElFooter"}),_sfc_main$R=defineComponent({...__default__$g,props:{height:{type:String,default:null}},setup(Ra){const qa=Ra,Ja=useNamespace("footer"),ed=computed(()=>qa.height?Ja.cssVarBlock({height:qa.height}):{});return(td,rd)=>(openBlock(),createElementBlock("footer",{class:normalizeClass(unref(Ja).b()),style:normalizeStyle(unref(ed))},[renderSlot(td.$slots,"default")],6))}});var Footer=_export_sfc$1(_sfc_main$R,[["__file","footer.vue"]]);const __default__$f=defineComponent({name:"ElHeader"}),_sfc_main$Q=defineComponent({...__default__$f,props:{height:{type:String,default:null}},setup(Ra){const qa=Ra,Ja=useNamespace("header"),ed=computed(()=>qa.height?Ja.cssVarBlock({height:qa.height}):{});return(td,rd)=>(openBlock(),createElementBlock("header",{class:normalizeClass(unref(Ja).b()),style:normalizeStyle(unref(ed))},[renderSlot(td.$slots,"default")],6))}});var Header=_export_sfc$1(_sfc_main$Q,[["__file","header.vue"]]);const __default__$e=defineComponent({name:"ElMain"}),_sfc_main$P=defineComponent({...__default__$e,setup(Ra){const qa=useNamespace("main");return(Ja,ed)=>(openBlock(),createElementBlock("main",{class:normalizeClass(unref(qa).b())},[renderSlot(Ja.$slots,"default")],2))}});var Main=_export_sfc$1(_sfc_main$P,[["__file","main.vue"]]);const ElContainer=withInstall(Container,{Aside,Footer,Header,Main});withNoopInstall(Aside);withNoopInstall(Footer);const ElHeader=withNoopInstall(Header),ElMain=withNoopInstall(Main),overlayProps=buildProps({mask:{type:Boolean,default:!0},customMaskEvent:Boolean,overlayClass:{type:definePropType([String,Array,Object])},zIndex:{type:definePropType([String,Number])}}),overlayEmits={click:Ra=>Ra instanceof MouseEvent},BLOCK="overlay";var Overlay=defineComponent({name:"ElOverlay",props:overlayProps,emits:overlayEmits,setup(Ra,{slots:qa,emit:Ja}){const ed=useNamespace(BLOCK),td=ld=>{Ja("click",ld)},{onClick:rd,onMousedown:sd,onMouseup:od}=useSameTarget(Ra.customMaskEvent?void 0:td);return()=>Ra.mask?createVNode("div",{class:[ed.b(),Ra.overlayClass],style:{zIndex:Ra.zIndex},onClick:rd,onMousedown:sd,onMouseup:od},[renderSlot(qa,"default")],PatchFlags.STYLE|PatchFlags.CLASS|PatchFlags.PROPS,["onClick","onMouseup","onMousedown"]):h$1("div",{class:Ra.overlayClass,style:{zIndex:Ra.zIndex,position:"fixed",top:"0px",right:"0px",bottom:"0px",left:"0px"}},[renderSlot(qa,"default")])}});const ElOverlay=Overlay,dialogInjectionKey=Symbol("dialogInjectionKey"),dialogContentProps=buildProps({center:Boolean,alignCenter:Boolean,closeIcon:{type:iconPropType},draggable:Boolean,overflow:Boolean,fullscreen:Boolean,showClose:{type:Boolean,default:!0},title:{type:String,default:""},ariaLevel:{type:String,default:"2"}}),dialogContentEmits={close:()=>!0},__default__$d=defineComponent({name:"ElDialogContent"}),_sfc_main$O=defineComponent({...__default__$d,props:dialogContentProps,emits:dialogContentEmits,setup(Ra,{expose:qa}){const Ja=Ra,{t:ed}=useLocale(),{Close:td}=CloseComponents,{dialogRef:rd,headerRef:sd,bodyId:od,ns:ld,style:cd}=inject(dialogInjectionKey),{focusTrapRef:ud}=inject(FOCUS_TRAP_INJECTION_KEY),_d=computed(()=>[ld.b(),ld.is("fullscreen",Ja.fullscreen),ld.is("draggable",Ja.draggable),ld.is("align-center",Ja.alignCenter),{[ld.m("center")]:Ja.center}]),yd=composeRefs(ud,rd),gd=computed(()=>Ja.draggable),Ed=computed(()=>Ja.overflow),{resetPosition:Td}=useDraggable(rd,sd,gd,Ed);return qa({resetPosition:Td}),(kd,Rd)=>(openBlock(),createElementBlock("div",{ref:unref(yd),class:normalizeClass(unref(_d)),style:normalizeStyle(unref(cd)),tabindex:"-1"},[createBaseVNode("header",{ref_key:"headerRef",ref:sd,class:normalizeClass([unref(ld).e("header"),{"show-close":kd.showClose}])},[renderSlot(kd.$slots,"header",{},()=>[createBaseVNode("span",{role:"heading","aria-level":kd.ariaLevel,class:normalizeClass(unref(ld).e("title"))},toDisplayString(kd.title),11,["aria-level"])]),kd.showClose?(openBlock(),createElementBlock("button",{key:0,"aria-label":unref(ed)("el.dialog.close"),class:normalizeClass(unref(ld).e("headerbtn")),type:"button",onClick:Nd=>kd.$emit("close")},[createVNode(unref(ElIcon),{class:normalizeClass(unref(ld).e("close"))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(kd.closeIcon||unref(td))))]),_:1},8,["class"])],10,["aria-label","onClick"])):createCommentVNode("v-if",!0)],2),createBaseVNode("div",{id:unref(od),class:normalizeClass(unref(ld).e("body"))},[renderSlot(kd.$slots,"default")],10,["id"]),kd.$slots.footer?(openBlock(),createElementBlock("footer",{key:0,class:normalizeClass(unref(ld).e("footer"))},[renderSlot(kd.$slots,"footer")],2)):createCommentVNode("v-if",!0)],6))}});var ElDialogContent=_export_sfc$1(_sfc_main$O,[["__file","dialog-content.vue"]]);const dialogProps=buildProps({...dialogContentProps,appendToBody:Boolean,appendTo:{type:definePropType([String,Object]),default:"body"},beforeClose:{type:definePropType(Function)},destroyOnClose:Boolean,closeOnClickModal:{type:Boolean,default:!0},closeOnPressEscape:{type:Boolean,default:!0},lockScroll:{type:Boolean,default:!0},modal:{type:Boolean,default:!0},openDelay:{type:Number,default:0},closeDelay:{type:Number,default:0},top:{type:String},modelValue:Boolean,modalClass:String,width:{type:[String,Number]},zIndex:{type:Number},trapFocus:Boolean,headerAriaLevel:{type:String,default:"2"}}),dialogEmits={open:()=>!0,opened:()=>!0,close:()=>!0,closed:()=>!0,[UPDATE_MODEL_EVENT]:Ra=>isBoolean$3(Ra),openAutoFocus:()=>!0,closeAutoFocus:()=>!0},useDialog=(Ra,qa)=>{var Ja;const td=getCurrentInstance().emit,{nextZIndex:rd}=useZIndex();let sd="";const od=useId(),ld=useId(),cd=ref$1(!1),ud=ref$1(!1),_d=ref$1(!1),yd=ref$1((Ja=Ra.zIndex)!=null?Ja:rd());let gd,Ed;const Td=useGlobalConfig("namespace",defaultNamespace),kd=computed(()=>{const Xd={},hf=`--${Td.value}-dialog`;return Ra.fullscreen||(Ra.top&&(Xd[`${hf}-margin-top`]=Ra.top),Ra.width&&(Xd[`${hf}-width`]=addUnit(Ra.width))),Xd}),Rd=computed(()=>Ra.alignCenter?{display:"flex"}:{});function Nd(){td("opened")}function Id(){td("closed"),td(UPDATE_MODEL_EVENT,!1),Ra.destroyOnClose&&(_d.value=!1)}function Md(){td("close")}function Ld(){Ed==null||Ed(),gd==null||gd(),Ra.openDelay&&Ra.openDelay>0?{stop:gd}=useTimeoutFn(()=>Ud(),Ra.openDelay):Ud()}function Pd(){gd==null||gd(),Ed==null||Ed(),Ra.closeDelay&&Ra.closeDelay>0?{stop:Ed}=useTimeoutFn(()=>Hd(),Ra.closeDelay):Hd()}function qd(){function Xd(hf){hf||(ud.value=!0,cd.value=!1)}Ra.beforeClose?Ra.beforeClose(Xd):Pd()}function Yd(){Ra.closeOnClickModal&&qd()}function Ud(){isClient&&(cd.value=!0)}function Hd(){cd.value=!1}function Vd(){td("openAutoFocus")}function Jd(){td("closeAutoFocus")}function Zd(Xd){var hf;((hf=Xd.detail)==null?void 0:hf.focusReason)==="pointer"&&Xd.preventDefault()}Ra.lockScroll&&useLockscreen(cd);function pf(){Ra.closeOnPressEscape&&qd()}return watch(()=>Ra.modelValue,Xd=>{Xd?(ud.value=!1,Ld(),_d.value=!0,yd.value=isUndefined$2(Ra.zIndex)?rd():yd.value++,nextTick$1(()=>{td("open"),qa.value&&(qa.value.scrollTop=0)})):cd.value&&Pd()}),watch(()=>Ra.fullscreen,Xd=>{qa.value&&(Xd?(sd=qa.value.style.transform,qa.value.style.transform=""):qa.value.style.transform=sd)}),onMounted(()=>{Ra.modelValue&&(cd.value=!0,_d.value=!0,Ld())}),{afterEnter:Nd,afterLeave:Id,beforeLeave:Md,handleClose:qd,onModalClick:Yd,close:Pd,doClose:Hd,onOpenAutoFocus:Vd,onCloseAutoFocus:Jd,onCloseRequested:pf,onFocusoutPrevented:Zd,titleId:od,bodyId:ld,closed:ud,style:kd,overlayDialogStyle:Rd,rendered:_d,visible:cd,zIndex:yd}},__default__$c=defineComponent({name:"ElDialog",inheritAttrs:!1}),_sfc_main$N=defineComponent({...__default__$c,props:dialogProps,emits:dialogEmits,setup(Ra,{expose:qa}){const Ja=Ra,ed=useSlots();useDeprecated({scope:"el-dialog",from:"the title slot",replacement:"the header slot",version:"3.0.0",ref:"https://element-plus.org/en-US/component/dialog.html#slots"},computed(()=>!!ed.title));const td=useNamespace("dialog"),rd=ref$1(),sd=ref$1(),od=ref$1(),{visible:ld,titleId:cd,bodyId:ud,style:_d,overlayDialogStyle:yd,rendered:gd,zIndex:Ed,afterEnter:Td,afterLeave:kd,beforeLeave:Rd,handleClose:Nd,onModalClick:Id,onOpenAutoFocus:Md,onCloseAutoFocus:Ld,onCloseRequested:Pd,onFocusoutPrevented:qd}=useDialog(Ja,rd);provide(dialogInjectionKey,{dialogRef:rd,headerRef:sd,bodyId:ud,ns:td,rendered:gd,style:_d});const Yd=useSameTarget(Id),Ud=computed(()=>Ja.draggable&&!Ja.fullscreen);return qa({visible:ld,dialogContentRef:od,resetPosition:()=>{var Vd;(Vd=od.value)==null||Vd.resetPosition()}}),(Vd,Jd)=>(openBlock(),createBlock(unref(ElTeleport),{to:Vd.appendTo,disabled:Vd.appendTo!=="body"?!1:!Vd.appendToBody},{default:withCtx(()=>[createVNode(Transition$1,{name:"dialog-fade",onAfterEnter:unref(Td),onAfterLeave:unref(kd),onBeforeLeave:unref(Rd),persisted:""},{default:withCtx(()=>[withDirectives(createVNode(unref(ElOverlay),{"custom-mask-event":"",mask:Vd.modal,"overlay-class":Vd.modalClass,"z-index":unref(Ed)},{default:withCtx(()=>[createBaseVNode("div",{role:"dialog","aria-modal":"true","aria-label":Vd.title||void 0,"aria-labelledby":Vd.title?void 0:unref(cd),"aria-describedby":unref(ud),class:normalizeClass(`${unref(td).namespace.value}-overlay-dialog`),style:normalizeStyle(unref(yd)),onClick:unref(Yd).onClick,onMousedown:unref(Yd).onMousedown,onMouseup:unref(Yd).onMouseup},[createVNode(unref(ElFocusTrap),{loop:"",trapped:unref(ld),"focus-start-el":"container",onFocusAfterTrapped:unref(Md),onFocusAfterReleased:unref(Ld),onFocusoutPrevented:unref(qd),onReleaseRequested:unref(Pd)},{default:withCtx(()=>[unref(gd)?(openBlock(),createBlock(ElDialogContent,mergeProps({key:0,ref_key:"dialogContentRef",ref:od},Vd.$attrs,{center:Vd.center,"align-center":Vd.alignCenter,"close-icon":Vd.closeIcon,draggable:unref(Ud),overflow:Vd.overflow,fullscreen:Vd.fullscreen,"show-close":Vd.showClose,title:Vd.title,"aria-level":Vd.headerAriaLevel,onClose:unref(Nd)}),createSlots({header:withCtx(()=>[Vd.$slots.title?renderSlot(Vd.$slots,"title",{key:1}):renderSlot(Vd.$slots,"header",{key:0,close:unref(Nd),titleId:unref(cd),titleClass:unref(td).e("title")})]),default:withCtx(()=>[renderSlot(Vd.$slots,"default")]),_:2},[Vd.$slots.footer?{name:"footer",fn:withCtx(()=>[renderSlot(Vd.$slots,"footer")])}:void 0]),1040,["center","align-center","close-icon","draggable","overflow","fullscreen","show-close","title","aria-level","onClose"])):createCommentVNode("v-if",!0)]),_:3},8,["trapped","onFocusAfterTrapped","onFocusAfterReleased","onFocusoutPrevented","onReleaseRequested"])],46,["aria-label","aria-labelledby","aria-describedby","onClick","onMousedown","onMouseup"])]),_:3},8,["mask","overlay-class","z-index"]),[[vShow,unref(ld)]])]),_:3},8,["onAfterEnter","onAfterLeave","onBeforeLeave"])]),_:3},8,["to","disabled"]))}});var Dialog=_export_sfc$1(_sfc_main$N,[["__file","dialog.vue"]]);const ElDialog=withInstall(Dialog),inputNumberProps=buildProps({id:{type:String,default:void 0},step:{type:Number,default:1},stepStrictly:Boolean,max:{type:Number,default:Number.POSITIVE_INFINITY},min:{type:Number,default:Number.NEGATIVE_INFINITY},modelValue:Number,readonly:Boolean,disabled:Boolean,size:useSizeProp,controls:{type:Boolean,default:!0},controlsPosition:{type:String,default:"",values:["","right"]},valueOnClear:{type:[String,Number,null],validator:Ra=>Ra===null||isNumber$3(Ra)||["min","max"].includes(Ra),default:null},name:String,placeholder:String,precision:{type:Number,validator:Ra=>Ra>=0&&Ra===Number.parseInt(`${Ra}`,10)},validateEvent:{type:Boolean,default:!0},...useAriaProps(["ariaLabel"])}),inputNumberEmits={[CHANGE_EVENT]:(Ra,qa)=>qa!==Ra,blur:Ra=>Ra instanceof FocusEvent,focus:Ra=>Ra instanceof FocusEvent,[INPUT_EVENT]:Ra=>isNumber$3(Ra)||isNil(Ra),[UPDATE_MODEL_EVENT]:Ra=>isNumber$3(Ra)||isNil(Ra)},__default__$b=defineComponent({name:"ElInputNumber"}),_sfc_main$M=defineComponent({...__default__$b,props:inputNumberProps,emits:inputNumberEmits,setup(Ra,{expose:qa,emit:Ja}){const ed=Ra,{t:td}=useLocale(),rd=useNamespace("input-number"),sd=ref$1(),od=reactive({currentValue:ed.modelValue,userInput:null}),{formItem:ld}=useFormItem(),cd=computed(()=>isNumber$3(ed.modelValue)&&ed.modelValue<=ed.min),ud=computed(()=>isNumber$3(ed.modelValue)&&ed.modelValue>=ed.max),_d=computed(()=>{const Xd=Rd(ed.step);return isUndefined$1(ed.precision)?Math.max(Rd(ed.modelValue),Xd):(Xd>ed.precision,ed.precision)}),yd=computed(()=>ed.controls&&ed.controlsPosition==="right"),gd=useFormSize(),Ed=useFormDisabled(),Td=computed(()=>{if(od.userInput!==null)return od.userInput;let Xd=od.currentValue;if(isNil(Xd))return"";if(isNumber$3(Xd)){if(Number.isNaN(Xd))return"";isUndefined$1(ed.precision)||(Xd=Xd.toFixed(ed.precision))}return Xd}),kd=(Xd,hf)=>{if(isUndefined$1(hf)&&(hf=_d.value),hf===0)return Math.round(Xd);let _f=String(Xd);const xf=_f.indexOf(".");if(xf===-1||!_f.replace(".","").split("")[xf+hf])return Xd;const Yf=_f.length;return _f.charAt(Yf-1)==="5"&&(_f=`${_f.slice(0,Math.max(0,Yf-1))}6`),Number.parseFloat(Number(_f).toFixed(hf))},Rd=Xd=>{if(isNil(Xd))return 0;const hf=Xd.toString(),_f=hf.indexOf(".");let xf=0;return _f!==-1&&(xf=hf.length-_f-1),xf},Nd=(Xd,hf=1)=>isNumber$3(Xd)?kd(Xd+ed.step*hf):od.currentValue,Id=()=>{if(ed.readonly||Ed.value||ud.value)return;const Xd=Number(Td.value)||0,hf=Nd(Xd);Pd(hf),Ja(INPUT_EVENT,od.currentValue),Zd()},Md=()=>{if(ed.readonly||Ed.value||cd.value)return;const Xd=Number(Td.value)||0,hf=Nd(Xd,-1);Pd(hf),Ja(INPUT_EVENT,od.currentValue),Zd()},Ld=(Xd,hf)=>{const{max:_f,min:xf,step:Lf,precision:Wf,stepStrictly:Yf,valueOnClear:If}=ed;_f<xf&&throwError$1("InputNumber","min should not be greater than max.");let Sf=Number(Xd);if(isNil(Xd)||Number.isNaN(Sf))return null;if(Xd===""){if(If===null)return null;Sf=isString$3(If)?{min:xf,max:_f}[If]:If}return Yf&&(Sf=kd(Math.round(Sf/Lf)*Lf,Wf)),isUndefined$1(Wf)||(Sf=kd(Sf,Wf)),(Sf>_f||Sf<xf)&&(Sf=Sf>_f?_f:xf,hf&&Ja(UPDATE_MODEL_EVENT,Sf)),Sf},Pd=(Xd,hf=!0)=>{var _f;const xf=od.currentValue,Lf=Ld(Xd);if(!hf){Ja(UPDATE_MODEL_EVENT,Lf);return}xf===Lf&&Xd||(od.userInput=null,Ja(UPDATE_MODEL_EVENT,Lf),xf!==Lf&&Ja(CHANGE_EVENT,Lf,xf),ed.validateEvent&&((_f=ld==null?void 0:ld.validate)==null||_f.call(ld,"change").catch(Wf=>void 0)),od.currentValue=Lf)},qd=Xd=>{od.userInput=Xd;const hf=Xd===""?null:Number(Xd);Ja(INPUT_EVENT,hf),Pd(hf,!1)},Yd=Xd=>{const hf=Xd!==""?Number(Xd):"";(isNumber$3(hf)&&!Number.isNaN(hf)||Xd==="")&&Pd(hf),Zd(),od.userInput=null},Ud=()=>{var Xd,hf;(hf=(Xd=sd.value)==null?void 0:Xd.focus)==null||hf.call(Xd)},Hd=()=>{var Xd,hf;(hf=(Xd=sd.value)==null?void 0:Xd.blur)==null||hf.call(Xd)},Vd=Xd=>{Ja("focus",Xd)},Jd=Xd=>{var hf;od.userInput=null,Ja("blur",Xd),ed.validateEvent&&((hf=ld==null?void 0:ld.validate)==null||hf.call(ld,"blur").catch(_f=>void 0))},Zd=()=>{od.currentValue!==ed.modelValue&&(od.currentValue=ed.modelValue)},pf=Xd=>{document.activeElement===Xd.target&&Xd.preventDefault()};return watch(()=>ed.modelValue,(Xd,hf)=>{const _f=Ld(Xd,!0);od.userInput===null&&_f!==hf&&(od.currentValue=_f)},{immediate:!0}),onMounted(()=>{var Xd;const{min:hf,max:_f,modelValue:xf}=ed,Lf=(Xd=sd.value)==null?void 0:Xd.input;if(Lf.setAttribute("role","spinbutton"),Number.isFinite(_f)?Lf.setAttribute("aria-valuemax",String(_f)):Lf.removeAttribute("aria-valuemax"),Number.isFinite(hf)?Lf.setAttribute("aria-valuemin",String(hf)):Lf.removeAttribute("aria-valuemin"),Lf.setAttribute("aria-valuenow",od.currentValue||od.currentValue===0?String(od.currentValue):""),Lf.setAttribute("aria-disabled",String(Ed.value)),!isNumber$3(xf)&&xf!=null){let Wf=Number(xf);Number.isNaN(Wf)&&(Wf=null),Ja(UPDATE_MODEL_EVENT,Wf)}Lf.addEventListener("wheel",pf,{passive:!1})}),onUpdated(()=>{var Xd,hf;const _f=(Xd=sd.value)==null?void 0:Xd.input;_f==null||_f.setAttribute("aria-valuenow",`${(hf=od.currentValue)!=null?hf:""}`)}),qa({focus:Ud,blur:Hd}),(Xd,hf)=>(openBlock(),createElementBlock("div",{class:normalizeClass([unref(rd).b(),unref(rd).m(unref(gd)),unref(rd).is("disabled",unref(Ed)),unref(rd).is("without-controls",!Xd.controls),unref(rd).is("controls-right",unref(yd))]),onDragstart:withModifiers(()=>{},["prevent"])},[Xd.controls?withDirectives((openBlock(),createElementBlock("span",{key:0,role:"button","aria-label":unref(td)("el.inputNumber.decrease"),class:normalizeClass([unref(rd).e("decrease"),unref(rd).is("disabled",unref(cd))]),onKeydown:withKeys(Md,["enter"])},[renderSlot(Xd.$slots,"decrease-icon",{},()=>[createVNode(unref(ElIcon),null,{default:withCtx(()=>[unref(yd)?(openBlock(),createBlock(unref(arrow_down_default),{key:0})):(openBlock(),createBlock(unref(minus_default),{key:1}))]),_:1})])],42,["aria-label","onKeydown"])),[[unref(vRepeatClick),Md]]):createCommentVNode("v-if",!0),Xd.controls?withDirectives((openBlock(),createElementBlock("span",{key:1,role:"button","aria-label":unref(td)("el.inputNumber.increase"),class:normalizeClass([unref(rd).e("increase"),unref(rd).is("disabled",unref(ud))]),onKeydown:withKeys(Id,["enter"])},[renderSlot(Xd.$slots,"increase-icon",{},()=>[createVNode(unref(ElIcon),null,{default:withCtx(()=>[unref(yd)?(openBlock(),createBlock(unref(arrow_up_default),{key:0})):(openBlock(),createBlock(unref(plus_default),{key:1}))]),_:1})])],42,["aria-label","onKeydown"])),[[unref(vRepeatClick),Id]]):createCommentVNode("v-if",!0),createVNode(unref(ElInput),{id:Xd.id,ref_key:"input",ref:sd,type:"number",step:Xd.step,"model-value":unref(Td),placeholder:Xd.placeholder,readonly:Xd.readonly,disabled:unref(Ed),size:unref(gd),max:Xd.max,min:Xd.min,name:Xd.name,"aria-label":Xd.ariaLabel,"validate-event":!1,onKeydown:[withKeys(withModifiers(Id,["prevent"]),["up"]),withKeys(withModifiers(Md,["prevent"]),["down"])],onBlur:Jd,onFocus:Vd,onInput:qd,onChange:Yd},null,8,["id","step","model-value","placeholder","readonly","disabled","size","max","min","name","aria-label","onKeydown"])],42,["onDragstart"]))}});var InputNumber=_export_sfc$1(_sfc_main$M,[["__file","input-number.vue"]]);const ElInputNumber=withInstall(InputNumber),linkProps=buildProps({type:{type:String,values:["primary","success","warning","info","danger","default"],default:"default"},underline:{type:Boolean,default:!0},disabled:Boolean,href:{type:String,default:""},target:{type:String,default:"_self"},icon:{type:iconPropType}}),linkEmits={click:Ra=>Ra instanceof MouseEvent},__default__$a=defineComponent({name:"ElLink"}),_sfc_main$L=defineComponent({...__default__$a,props:linkProps,emits:linkEmits,setup(Ra,{emit:qa}){const Ja=Ra,ed=useNamespace("link"),td=computed(()=>[ed.b(),ed.m(Ja.type),ed.is("disabled",Ja.disabled),ed.is("underline",Ja.underline&&!Ja.disabled)]);function rd(sd){Ja.disabled||qa("click",sd)}return(sd,od)=>(openBlock(),createElementBlock("a",{class:normalizeClass(unref(td)),href:sd.disabled||!sd.href?void 0:sd.href,target:sd.disabled||!sd.href?void 0:sd.target,onClick:rd},[sd.icon?(openBlock(),createBlock(unref(ElIcon),{key:0},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(sd.icon)))]),_:1})):createCommentVNode("v-if",!0),sd.$slots.default?(openBlock(),createElementBlock("span",{key:1,class:normalizeClass(unref(ed).e("inner"))},[renderSlot(sd.$slots,"default")],2)):createCommentVNode("v-if",!0),sd.$slots.icon?renderSlot(sd.$slots,"icon",{key:2}):createCommentVNode("v-if",!0)],10,["href","target"]))}});var Link=_export_sfc$1(_sfc_main$L,[["__file","link.vue"]]);const ElLink=withInstall(Link),selectGroupKey=Symbol("ElSelectGroup"),selectKey=Symbol("ElSelect");function useOption(Ra,qa){const Ja=inject(selectKey),ed=inject(selectGroupKey,{disabled:!1}),td=computed(()=>ud(castArray(Ja.props.modelValue),Ra.value)),rd=computed(()=>{var gd;if(Ja.props.multiple){const Ed=castArray((gd=Ja.props.modelValue)!=null?gd:[]);return!td.value&&Ed.length>=Ja.props.multipleLimit&&Ja.props.multipleLimit>0}else return!1}),sd=computed(()=>Ra.label||(isObject$5(Ra.value)?"":Ra.value)),od=computed(()=>Ra.value||Ra.label||""),ld=computed(()=>Ra.disabled||qa.groupDisabled||rd.value),cd=getCurrentInstance(),ud=(gd=[],Ed)=>{if(isObject$5(Ra.value)){const Td=Ja.props.valueKey;return gd&&gd.some(kd=>toRaw(get$2(kd,Td))===get$2(Ed,Td))}else return gd&&gd.includes(Ed)},_d=()=>{!Ra.disabled&&!ed.disabled&&(Ja.states.hoveringIndex=Ja.optionsArray.indexOf(cd.proxy))},yd=gd=>{const Ed=new RegExp(escapeStringRegexp(gd),"i");qa.visible=Ed.test(sd.value)||Ra.created};return watch(()=>sd.value,()=>{!Ra.created&&!Ja.props.remote&&Ja.setSelected()}),watch(()=>Ra.value,(gd,Ed)=>{const{remote:Td,valueKey:kd}=Ja.props;if(gd!==Ed&&(Ja.onOptionDestroy(Ed,cd.proxy),Ja.onOptionCreate(cd.proxy)),!Ra.created&&!Td){if(kd&&isObject$5(gd)&&isObject$5(Ed)&&gd[kd]===Ed[kd])return;Ja.setSelected()}}),watch(()=>ed.disabled,()=>{qa.groupDisabled=ed.disabled},{immediate:!0}),{select:Ja,currentLabel:sd,currentValue:od,itemSelected:td,isDisabled:ld,hoverItem:_d,updateOption:yd}}const _sfc_main$K=defineComponent({name:"ElOption",componentName:"ElOption",props:{value:{required:!0,type:[String,Number,Boolean,Object]},label:[String,Number],created:Boolean,disabled:Boolean},setup(Ra){const qa=useNamespace("select"),Ja=useId(),ed=computed(()=>[qa.be("dropdown","item"),qa.is("disabled",unref(od)),qa.is("selected",unref(sd)),qa.is("hovering",unref(yd))]),td=reactive({index:-1,groupDisabled:!1,visible:!0,hover:!1}),{currentLabel:rd,itemSelected:sd,isDisabled:od,select:ld,hoverItem:cd,updateOption:ud}=useOption(Ra,td),{visible:_d,hover:yd}=toRefs(td),gd=getCurrentInstance().proxy;ld.onOptionCreate(gd),onBeforeUnmount(()=>{const Td=gd.value,{selected:kd}=ld.states,Nd=(ld.props.multiple?kd:[kd]).some(Id=>Id.value===gd.value);nextTick$1(()=>{ld.states.cachedOptions.get(Td)===gd&&!Nd&&ld.states.cachedOptions.delete(Td)}),ld.onOptionDestroy(Td,gd)});function Ed(){od.value||ld.handleOptionSelect(gd)}return{ns:qa,id:Ja,containerKls:ed,currentLabel:rd,itemSelected:sd,isDisabled:od,select:ld,hoverItem:cd,updateOption:ud,visible:_d,hover:yd,selectOptionClick:Ed,states:td}}});function _sfc_render$4(Ra,qa,Ja,ed,td,rd){return withDirectives((openBlock(),createElementBlock("li",{id:Ra.id,class:normalizeClass(Ra.containerKls),role:"option","aria-disabled":Ra.isDisabled||void 0,"aria-selected":Ra.itemSelected,onMouseenter:Ra.hoverItem,onClick:withModifiers(Ra.selectOptionClick,["stop"])},[renderSlot(Ra.$slots,"default",{},()=>[createBaseVNode("span",null,toDisplayString(Ra.currentLabel),1)])],42,["id","aria-disabled","aria-selected","onMouseenter","onClick"])),[[vShow,Ra.visible]])}var Option=_export_sfc$1(_sfc_main$K,[["render",_sfc_render$4],["__file","option.vue"]]);const _sfc_main$J=defineComponent({name:"ElSelectDropdown",componentName:"ElSelectDropdown",setup(){const Ra=inject(selectKey),qa=useNamespace("select"),Ja=computed(()=>Ra.props.popperClass),ed=computed(()=>Ra.props.multiple),td=computed(()=>Ra.props.fitInputWidth),rd=ref$1("");function sd(){var od;rd.value=`${(od=Ra.selectRef)==null?void 0:od.offsetWidth}px`}return onMounted(()=>{sd(),useResizeObserver(Ra.selectRef,sd)}),{ns:qa,minWidth:rd,popperClass:Ja,isMultiple:ed,isFitInputWidth:td}}});function _sfc_render$3(Ra,qa,Ja,ed,td,rd){return openBlock(),createElementBlock("div",{class:normalizeClass([Ra.ns.b("dropdown"),Ra.ns.is("multiple",Ra.isMultiple),Ra.popperClass]),style:normalizeStyle({[Ra.isFitInputWidth?"width":"minWidth"]:Ra.minWidth})},[Ra.$slots.header?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(Ra.ns.be("dropdown","header"))},[renderSlot(Ra.$slots,"header")],2)):createCommentVNode("v-if",!0),renderSlot(Ra.$slots,"default"),Ra.$slots.footer?(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(Ra.ns.be("dropdown","footer"))},[renderSlot(Ra.$slots,"footer")],2)):createCommentVNode("v-if",!0)],6)}var ElSelectMenu=_export_sfc$1(_sfc_main$J,[["render",_sfc_render$3],["__file","select-dropdown.vue"]]);const MINIMUM_INPUT_WIDTH=11,useSelect=(Ra,qa)=>{const{t:Ja}=useLocale(),ed=useId(),td=useNamespace("select"),rd=useNamespace("input"),sd=reactive({inputValue:"",options:new Map,cachedOptions:new Map,disabledOptions:new Map,optionValues:[],selected:Ra.multiple?[]:{},selectionWidth:0,calculatorWidth:0,collapseItemWidth:0,selectedLabel:"",hoveringIndex:-1,previousQuery:null,inputHovering:!1,menuVisibleOnFocus:!1,isBeforeHide:!1}),od=ref$1(null),ld=ref$1(null),cd=ref$1(null),ud=ref$1(null),_d=ref$1(null),yd=ref$1(null),gd=ref$1(null),Ed=ref$1(null),Td=ref$1(null),kd=ref$1(null),Rd=ref$1(null),Nd=ref$1(null),{isComposing:Id,handleCompositionStart:Md,handleCompositionUpdate:Ld,handleCompositionEnd:Pd}=useComposition({afterComposition:yf=>sm(yf)}),{wrapperRef:qd,isFocused:Yd,handleBlur:Ud}=useFocusController(_d,{afterFocus(){Ra.automaticDropdown&&!Hd.value&&(Hd.value=!0,sd.menuVisibleOnFocus=!0)},beforeBlur(yf){var ph,sh;return((ph=cd.value)==null?void 0:ph.isFocusInsideContent(yf))||((sh=ud.value)==null?void 0:sh.isFocusInsideContent(yf))},afterBlur(){Hd.value=!1,sd.menuVisibleOnFocus=!1}}),Hd=ref$1(!1),Vd=ref$1(),{form:Jd,formItem:Zd}=useFormItem(),{inputId:pf}=useFormItemInputId(Ra,{formItemContext:Zd}),{valueOnClear:Xd,isEmptyValue:hf}=useEmptyValues(Ra),_f=computed(()=>Ra.disabled||(Jd==null?void 0:Jd.disabled)),xf=computed(()=>isArray$2(Ra.modelValue)?Ra.modelValue.length>0:!hf(Ra.modelValue)),Lf=computed(()=>Ra.clearable&&!_f.value&&sd.inputHovering&&xf.value),Wf=computed(()=>Ra.remote&&Ra.filterable&&!Ra.remoteShowSuffix?"":Ra.suffixIcon),Yf=computed(()=>td.is("reverse",Wf.value&&Hd.value)),If=computed(()=>(Zd==null?void 0:Zd.validateState)||""),Sf=computed(()=>ValidateComponentsMap[If.value]),wf=computed(()=>Ra.remote?300:0),Kf=computed(()=>Ra.loading?Ra.loadingText||Ja("el.select.loading"):Ra.remote&&!sd.inputValue&&sd.options.size===0?!1:Ra.filterable&&sd.inputValue&&sd.options.size>0&&Gf.value===0?Ra.noMatchText||Ja("el.select.noMatch"):sd.options.size===0?Ra.noDataText||Ja("el.select.noData"):null),Gf=computed(()=>gf.value.filter(yf=>yf.visible).length),gf=computed(()=>{const yf=Array.from(sd.options.values()),ph=[];return sd.optionValues.forEach(sh=>{const yh=yf.findIndex(Bh=>Bh.value===sh);yh>-1&&ph.push(yf[yh])}),ph.length>=yf.length?ph:yf}),mf=computed(()=>Array.from(sd.cachedOptions.values())),$f=computed(()=>{const yf=gf.value.filter(ph=>!ph.created).some(ph=>ph.currentLabel===sd.inputValue);return Ra.filterable&&Ra.allowCreate&&sd.inputValue!==""&&!yf}),zf=()=>{Ra.filterable&&isFunction$4(Ra.filterMethod)||Ra.filterable&&Ra.remote&&isFunction$4(Ra.remoteMethod)||gf.value.forEach(yf=>{var ph;(ph=yf.updateOption)==null||ph.call(yf,sd.inputValue)})},hh=useFormSize(),Vf=computed(()=>["small"].includes(hh.value)?"small":"default"),kf=computed({get(){return Hd.value&&Kf.value!==!1},set(yf){Hd.value=yf}}),Jf=computed(()=>{if(Ra.multiple&&!isUndefined$1(Ra.modelValue))return castArray(Ra.modelValue).length===0&&!sd.inputValue;const yf=isArray$2(Ra.modelValue)?Ra.modelValue[0]:Ra.modelValue;return Ra.filterable||isUndefined$1(yf)?!sd.inputValue:!0}),Ch=computed(()=>{var yf;const ph=(yf=Ra.placeholder)!=null?yf:Ja("el.select.placeholder");return Ra.multiple||!xf.value?ph:sd.selectedLabel}),qf=computed(()=>isIOS$1?null:"mouseenter");watch(()=>Ra.modelValue,(yf,ph)=>{Ra.multiple&&Ra.filterable&&!Ra.reserveKeyword&&(sd.inputValue="",Tf("")),Pf(),!isEqual(yf,ph)&&Ra.validateEvent&&(Zd==null||Zd.validate("change").catch(sh=>void 0))},{flush:"post",deep:!0}),watch(()=>Hd.value,yf=>{yf?Tf(sd.inputValue):(sd.inputValue="",sd.previousQuery=null,sd.isBeforeHide=!0),qa("visible-change",yf)}),watch(()=>sd.options.entries(),()=>{var yf;if(!isClient)return;const ph=((yf=od.value)==null?void 0:yf.querySelectorAll("input"))||[];(!Ra.filterable&&!Ra.defaultFirstOption&&!isUndefined$1(Ra.modelValue)||!Array.from(ph).includes(document.activeElement))&&Pf(),Ra.defaultFirstOption&&(Ra.filterable||Ra.remote)&&Gf.value&&Af()},{flush:"post"}),watch(()=>sd.hoveringIndex,yf=>{isNumber$3(yf)&&yf>-1?Vd.value=gf.value[yf]||{}:Vd.value={},gf.value.forEach(ph=>{ph.hover=Vd.value===ph})}),watchEffect(()=>{sd.isBeforeHide||zf()});const Tf=yf=>{sd.previousQuery===yf||Id.value||(sd.previousQuery=yf,Ra.filterable&&isFunction$4(Ra.filterMethod)?Ra.filterMethod(yf):Ra.filterable&&Ra.remote&&isFunction$4(Ra.remoteMethod)&&Ra.remoteMethod(yf),Ra.defaultFirstOption&&(Ra.filterable||Ra.remote)&&Gf.value?nextTick$1(Af):nextTick$1(Nh))},Af=()=>{const yf=gf.value.filter(yh=>yh.visible&&!yh.disabled&&!yh.states.groupDisabled),ph=yf.find(yh=>yh.created),sh=yf[0];sd.hoveringIndex=Hh(gf.value,ph||sh)},Pf=()=>{if(Ra.multiple)sd.selectedLabel="";else{const ph=isArray$2(Ra.modelValue)?Ra.modelValue[0]:Ra.modelValue,sh=gh(ph);sd.selectedLabel=sh.currentLabel,sd.selected=sh;return}const yf=[];isUndefined$1(Ra.modelValue)||castArray(Ra.modelValue).forEach(ph=>{yf.push(gh(ph))}),sd.selected=yf},gh=yf=>{let ph;const sh=toRawType(yf).toLowerCase()==="object",yh=toRawType(yf).toLowerCase()==="null",Bh=toRawType(yf).toLowerCase()==="undefined";for(let Fh=sd.cachedOptions.size-1;Fh>=0;Fh--){const Ah=mf.value[Fh];if(sh?get$2(Ah.value,Ra.valueKey)===get$2(yf,Ra.valueKey):Ah.value===yf){ph={value:yf,currentLabel:Ah.currentLabel,get isDisabled(){return Ah.isDisabled}};break}}if(ph)return ph;const Mh=sh?yf.label:!yh&&!Bh?yf:"";return{value:yf,currentLabel:Mh}},Nh=()=>{Ra.multiple?sd.hoveringIndex=gf.value.findIndex(yf=>sd.selected.some(ph=>y1(ph)===y1(yf))):sd.hoveringIndex=gf.value.findIndex(yf=>y1(yf)===y1(sd.selected))},dh=()=>{sd.selectionWidth=ld.value.getBoundingClientRect().width},$h=()=>{sd.calculatorWidth=yd.value.getBoundingClientRect().width},Rh=()=>{sd.collapseItemWidth=Rd.value.getBoundingClientRect().width},jh=()=>{var yf,ph;(ph=(yf=cd.value)==null?void 0:yf.updatePopper)==null||ph.call(yf)},Zh=()=>{var yf,ph;(ph=(yf=ud.value)==null?void 0:yf.updatePopper)==null||ph.call(yf)},Wh=()=>{sd.inputValue.length>0&&!Hd.value&&(Hd.value=!0),Tf(sd.inputValue)},sm=yf=>{if(sd.inputValue=yf.target.value,Ra.remote)fm();else return Wh()},fm=debounce(()=>{Wh()},wf.value),ih=yf=>{isEqual(Ra.modelValue,yf)||qa(CHANGE_EVENT,yf)},Rf=yf=>findLastIndex(yf,ph=>!sd.disabledOptions.has(ph)),Df=yf=>{if(Ra.multiple&&yf.code!==EVENT_CODE.delete&&yf.target.value.length<=0){const ph=castArray(Ra.modelValue).slice(),sh=Rf(ph);if(sh<0)return;const yh=ph[sh];ph.splice(sh,1),qa(UPDATE_MODEL_EVENT,ph),ih(ph),qa("remove-tag",yh)}},Zf=(yf,ph)=>{const sh=sd.selected.indexOf(ph);if(sh>-1&&!_f.value){const yh=castArray(Ra.modelValue).slice();yh.splice(sh,1),qa(UPDATE_MODEL_EVENT,yh),ih(yh),qa("remove-tag",ph.value)}yf.stopPropagation(),Om()},bh=yf=>{yf.stopPropagation();const ph=Ra.multiple?[]:Xd.value;if(Ra.multiple)for(const sh of sd.selected)sh.isDisabled&&ph.push(sh.value);qa(UPDATE_MODEL_EVENT,ph),ih(ph),sd.hoveringIndex=-1,Hd.value=!1,qa("clear"),Om()},Lh=yf=>{var ph;if(Ra.multiple){const sh=castArray((ph=Ra.modelValue)!=null?ph:[]).slice(),yh=Hh(sh,yf.value);yh>-1?sh.splice(yh,1):(Ra.multipleLimit<=0||sh.length<Ra.multipleLimit)&&sh.push(yf.value),qa(UPDATE_MODEL_EVENT,sh),ih(sh),yf.created&&Tf(""),Ra.filterable&&!Ra.reserveKeyword&&(sd.inputValue="")}else qa(UPDATE_MODEL_EVENT,yf.value),ih(yf.value),Hd.value=!1;Om(),!Hd.value&&nextTick$1(()=>{Xh(yf)})},Hh=(yf=[],ph)=>{if(!isObject$5(ph))return yf.indexOf(ph);const sh=Ra.valueKey;let yh=-1;return yf.some((Bh,Mh)=>toRaw(get$2(Bh,sh))===get$2(ph,sh)?(yh=Mh,!0):!1),yh},Xh=yf=>{var ph,sh,yh,Bh,Mh;const Gh=isArray$2(yf)?yf[0]:yf;let Fh=null;if(Gh!=null&&Gh.value){const Ah=gf.value.filter(cm=>cm.value===Gh.value);Ah.length>0&&(Fh=Ah[0].$el)}if(cd.value&&Fh){const Ah=(Bh=(yh=(sh=(ph=cd.value)==null?void 0:ph.popperRef)==null?void 0:sh.contentRef)==null?void 0:yh.querySelector)==null?void 0:Bh.call(yh,`.${td.be("dropdown","wrap")}`);Ah&&scrollIntoView(Ah,Fh)}(Mh=Nd.value)==null||Mh.handleScroll()},gm=yf=>{sd.options.set(yf.value,yf),sd.cachedOptions.set(yf.value,yf),yf.disabled&&sd.disabledOptions.set(yf.value,yf)},om=(yf,ph)=>{sd.options.get(yf)===ph&&sd.options.delete(yf)},Gm=computed(()=>{var yf,ph;return(ph=(yf=cd.value)==null?void 0:yf.popperRef)==null?void 0:ph.contentRef}),Fm=()=>{sd.isBeforeHide=!1,nextTick$1(()=>Xh(sd.selected))},Om=()=>{var yf;(yf=_d.value)==null||yf.focus()},Jm=()=>{R1()},N1=yf=>{bh(yf)},R1=yf=>{if(Hd.value=!1,Yd.value){const ph=new FocusEvent("focus",yf);nextTick$1(()=>Ud(ph))}},x1=()=>{sd.inputValue.length>0?sd.inputValue="":Hd.value=!1},Xm=()=>{_f.value||(isIOS$1&&(sd.inputHovering=!0),sd.menuVisibleOnFocus?sd.menuVisibleOnFocus=!1:Hd.value=!Hd.value)},F1=()=>{Hd.value?gf.value[sd.hoveringIndex]&&Lh(gf.value[sd.hoveringIndex]):Xm()},y1=yf=>isObject$5(yf.value)?get$2(yf.value,Ra.valueKey):yf.value,V1=computed(()=>gf.value.filter(yf=>yf.visible).every(yf=>yf.disabled)),Z1=computed(()=>Ra.multiple?Ra.collapseTags?sd.selected.slice(0,Ra.maxCollapseTags):sd.selected:[]),I1=computed(()=>Ra.multiple?Ra.collapseTags?sd.selected.slice(Ra.maxCollapseTags):[]:[]),O1=yf=>{if(!Hd.value){Hd.value=!0;return}if(!(sd.options.size===0||sd.filteredOptionsCount===0||Id.value)&&!V1.value){yf==="next"?(sd.hoveringIndex++,sd.hoveringIndex===sd.options.size&&(sd.hoveringIndex=0)):yf==="prev"&&(sd.hoveringIndex--,sd.hoveringIndex<0&&(sd.hoveringIndex=sd.options.size-1));const ph=gf.value[sd.hoveringIndex];(ph.disabled===!0||ph.states.groupDisabled===!0||!ph.visible)&&O1(yf),nextTick$1(()=>Xh(Vd.value))}},jm=()=>{if(!ld.value)return 0;const yf=window.getComputedStyle(ld.value);return Number.parseFloat(yf.gap||"6px")},g1=computed(()=>{const yf=jm();return{maxWidth:`${Rd.value&&Ra.maxCollapseTags===1?sd.selectionWidth-sd.collapseItemWidth-yf:sd.selectionWidth}px`}}),l0=computed(()=>({maxWidth:`${sd.selectionWidth}px`})),B1=computed(()=>({width:`${Math.max(sd.calculatorWidth,MINIMUM_INPUT_WIDTH)}px`}));return useResizeObserver(ld,dh),useResizeObserver(yd,$h),useResizeObserver(Td,jh),useResizeObserver(qd,jh),useResizeObserver(kd,Zh),useResizeObserver(Rd,Rh),onMounted(()=>{Pf()}),{inputId:pf,contentId:ed,nsSelect:td,nsInput:rd,states:sd,isFocused:Yd,expanded:Hd,optionsArray:gf,hoverOption:Vd,selectSize:hh,filteredOptionsCount:Gf,resetCalculatorWidth:$h,updateTooltip:jh,updateTagTooltip:Zh,debouncedOnInputChange:fm,onInput:sm,deletePrevTag:Df,deleteTag:Zf,deleteSelected:bh,handleOptionSelect:Lh,scrollToOption:Xh,hasModelValue:xf,shouldShowPlaceholder:Jf,currentPlaceholder:Ch,mouseEnterEventName:qf,showClose:Lf,iconComponent:Wf,iconReverse:Yf,validateState:If,validateIcon:Sf,showNewOption:$f,updateOptions:zf,collapseTagSize:Vf,setSelected:Pf,selectDisabled:_f,emptyText:Kf,handleCompositionStart:Md,handleCompositionUpdate:Ld,handleCompositionEnd:Pd,onOptionCreate:gm,onOptionDestroy:om,handleMenuEnter:Fm,focus:Om,blur:Jm,handleClearClick:N1,handleClickOutside:R1,handleEsc:x1,toggleMenu:Xm,selectOption:F1,getValueKey:y1,navigateOptions:O1,dropdownMenuVisible:kf,showTagList:Z1,collapseTagList:I1,tagStyle:g1,collapseTagStyle:l0,inputStyle:B1,popperRef:Gm,inputRef:_d,tooltipRef:cd,tagTooltipRef:ud,calculatorRef:yd,prefixRef:gd,suffixRef:Ed,selectRef:od,wrapperRef:qd,selectionRef:ld,scrollbarRef:Nd,menuRef:Td,tagMenuRef:kd,collapseItemRef:Rd}};var ElOptions=defineComponent({name:"ElOptions",setup(Ra,{slots:qa}){const Ja=inject(selectKey);let ed=[];return()=>{var td,rd;const sd=(td=qa.default)==null?void 0:td.call(qa),od=[];function ld(cd){isArray$2(cd)&&cd.forEach(ud=>{var _d,yd,gd,Ed;const Td=(_d=(ud==null?void 0:ud.type)||{})==null?void 0:_d.name;Td==="ElOptionGroup"?ld(!isString$3(ud.children)&&!isArray$2(ud.children)&&isFunction$4((yd=ud.children)==null?void 0:yd.default)?(gd=ud.children)==null?void 0:gd.default():ud.children):Td==="ElOption"?od.push((Ed=ud.props)==null?void 0:Ed.value):isArray$2(ud.children)&&ld(ud.children)})}return sd.length&&ld((rd=sd[0])==null?void 0:rd.children),isEqual(od,ed)||(ed=od,Ja&&(Ja.states.optionValues=od)),sd}}});const SelectProps=buildProps({name:String,id:String,modelValue:{type:[Array,String,Number,Boolean,Object],default:void 0},autocomplete:{type:String,default:"off"},automaticDropdown:Boolean,size:useSizeProp,effect:{type:definePropType(String),default:"light"},disabled:Boolean,clearable:Boolean,filterable:Boolean,allowCreate:Boolean,loading:Boolean,popperClass:{type:String,default:""},popperOptions:{type:definePropType(Object),default:()=>({})},remote:Boolean,loadingText:String,noMatchText:String,noDataText:String,remoteMethod:Function,filterMethod:Function,multiple:Boolean,multipleLimit:{type:Number,default:0},placeholder:{type:String},defaultFirstOption:Boolean,reserveKeyword:{type:Boolean,default:!0},valueKey:{type:String,default:"value"},collapseTags:Boolean,collapseTagsTooltip:Boolean,maxCollapseTags:{type:Number,default:1},teleported:useTooltipContentProps.teleported,persistent:{type:Boolean,default:!0},clearIcon:{type:iconPropType,default:circle_close_default},fitInputWidth:Boolean,suffixIcon:{type:iconPropType,default:arrow_down_default},tagType:{...tagProps.type,default:"info"},tagEffect:{...tagProps.effect,default:"light"},validateEvent:{type:Boolean,default:!0},remoteShowSuffix:Boolean,placement:{type:definePropType(String),values:Ee$4,default:"bottom-start"},fallbackPlacements:{type:definePropType(Array),default:["bottom-start","top-start","right","left"]},...useEmptyValuesProps,...useAriaProps(["ariaLabel"])}),COMPONENT_NAME$5="ElSelect",_sfc_main$I=defineComponent({name:COMPONENT_NAME$5,componentName:COMPONENT_NAME$5,components:{ElSelectMenu,ElOption:Option,ElOptions,ElTag,ElScrollbar,ElTooltip,ElIcon},directives:{ClickOutside},props:SelectProps,emits:[UPDATE_MODEL_EVENT,CHANGE_EVENT,"remove-tag","clear","visible-change","focus","blur"],setup(Ra,{emit:qa}){const Ja=useSelect(Ra,qa);return provide(selectKey,reactive({props:Ra,states:Ja.states,optionsArray:Ja.optionsArray,handleOptionSelect:Ja.handleOptionSelect,onOptionCreate:Ja.onOptionCreate,onOptionDestroy:Ja.onOptionDestroy,selectRef:Ja.selectRef,setSelected:Ja.setSelected})),{...Ja}}});function _sfc_render$2(Ra,qa,Ja,ed,td,rd){const sd=resolveComponent("el-tag"),od=resolveComponent("el-tooltip"),ld=resolveComponent("el-icon"),cd=resolveComponent("el-option"),ud=resolveComponent("el-options"),_d=resolveComponent("el-scrollbar"),yd=resolveComponent("el-select-menu"),gd=resolveDirective("click-outside");return withDirectives((openBlock(),createElementBlock("div",{ref:"selectRef",class:normalizeClass([Ra.nsSelect.b(),Ra.nsSelect.m(Ra.selectSize)]),[toHandlerKey(Ra.mouseEnterEventName)]:Ed=>Ra.states.inputHovering=!0,onMouseleave:Ed=>Ra.states.inputHovering=!1},[createVNode(od,{ref:"tooltipRef",visible:Ra.dropdownMenuVisible,placement:Ra.placement,teleported:Ra.teleported,"popper-class":[Ra.nsSelect.e("popper"),Ra.popperClass],"popper-options":Ra.popperOptions,"fallback-placements":Ra.fallbackPlacements,effect:Ra.effect,pure:"",trigger:"click",transition:`${Ra.nsSelect.namespace.value}-zoom-in-top`,"stop-popper-mouse-event":!1,"gpu-acceleration":!1,persistent:Ra.persistent,onBeforeShow:Ra.handleMenuEnter,onHide:Ed=>Ra.states.isBeforeHide=!1},{default:withCtx(()=>{var Ed;return[createBaseVNode("div",{ref:"wrapperRef",class:normalizeClass([Ra.nsSelect.e("wrapper"),Ra.nsSelect.is("focused",Ra.isFocused),Ra.nsSelect.is("hovering",Ra.states.inputHovering),Ra.nsSelect.is("filterable",Ra.filterable),Ra.nsSelect.is("disabled",Ra.selectDisabled)]),onClick:withModifiers(Ra.toggleMenu,["prevent"])},[Ra.$slots.prefix?(openBlock(),createElementBlock("div",{key:0,ref:"prefixRef",class:normalizeClass(Ra.nsSelect.e("prefix"))},[renderSlot(Ra.$slots,"prefix")],2)):createCommentVNode("v-if",!0),createBaseVNode("div",{ref:"selectionRef",class:normalizeClass([Ra.nsSelect.e("selection"),Ra.nsSelect.is("near",Ra.multiple&&!Ra.$slots.prefix&&!!Ra.states.selected.length)])},[Ra.multiple?renderSlot(Ra.$slots,"tag",{key:0},()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(Ra.showTagList,Td=>(openBlock(),createElementBlock("div",{key:Ra.getValueKey(Td),class:normalizeClass(Ra.nsSelect.e("selected-item"))},[createVNode(sd,{closable:!Ra.selectDisabled&&!Td.isDisabled,size:Ra.collapseTagSize,type:Ra.tagType,effect:Ra.tagEffect,"disable-transitions":"",style:normalizeStyle(Ra.tagStyle),onClose:kd=>Ra.deleteTag(kd,Td)},{default:withCtx(()=>[createBaseVNode("span",{class:normalizeClass(Ra.nsSelect.e("tags-text"))},[renderSlot(Ra.$slots,"label",{label:Td.currentLabel,value:Td.value},()=>[createTextVNode(toDisplayString(Td.currentLabel),1)])],2)]),_:2},1032,["closable","size","type","effect","style","onClose"])],2))),128)),Ra.collapseTags&&Ra.states.selected.length>Ra.maxCollapseTags?(openBlock(),createBlock(od,{key:0,ref:"tagTooltipRef",disabled:Ra.dropdownMenuVisible||!Ra.collapseTagsTooltip,"fallback-placements":["bottom","top","right","left"],effect:Ra.effect,placement:"bottom",teleported:Ra.teleported},{default:withCtx(()=>[createBaseVNode("div",{ref:"collapseItemRef",class:normalizeClass(Ra.nsSelect.e("selected-item"))},[createVNode(sd,{closable:!1,size:Ra.collapseTagSize,type:Ra.tagType,effect:Ra.tagEffect,"disable-transitions":"",style:normalizeStyle(Ra.collapseTagStyle)},{default:withCtx(()=>[createBaseVNode("span",{class:normalizeClass(Ra.nsSelect.e("tags-text"))}," + "+toDisplayString(Ra.states.selected.length-Ra.maxCollapseTags),3)]),_:1},8,["size","type","effect","style"])],2)]),content:withCtx(()=>[createBaseVNode("div",{ref:"tagMenuRef",class:normalizeClass(Ra.nsSelect.e("selection"))},[(openBlock(!0),createElementBlock(Fragment,null,renderList(Ra.collapseTagList,Td=>(openBlock(),createElementBlock("div",{key:Ra.getValueKey(Td),class:normalizeClass(Ra.nsSelect.e("selected-item"))},[createVNode(sd,{class:"in-tooltip",closable:!Ra.selectDisabled&&!Td.isDisabled,size:Ra.collapseTagSize,type:Ra.tagType,effect:Ra.tagEffect,"disable-transitions":"",onClose:kd=>Ra.deleteTag(kd,Td)},{default:withCtx(()=>[createBaseVNode("span",{class:normalizeClass(Ra.nsSelect.e("tags-text"))},[renderSlot(Ra.$slots,"label",{label:Td.currentLabel,value:Td.value},()=>[createTextVNode(toDisplayString(Td.currentLabel),1)])],2)]),_:2},1032,["closable","size","type","effect","onClose"])],2))),128))],2)]),_:3},8,["disabled","effect","teleported"])):createCommentVNode("v-if",!0)]):createCommentVNode("v-if",!0),Ra.selectDisabled?createCommentVNode("v-if",!0):(openBlock(),createElementBlock("div",{key:1,class:normalizeClass([Ra.nsSelect.e("selected-item"),Ra.nsSelect.e("input-wrapper"),Ra.nsSelect.is("hidden",!Ra.filterable)])},[withDirectives(createBaseVNode("input",{id:Ra.inputId,ref:"inputRef","onUpdate:modelValue":Td=>Ra.states.inputValue=Td,type:"text",name:Ra.name,class:normalizeClass([Ra.nsSelect.e("input"),Ra.nsSelect.is(Ra.selectSize)]),disabled:Ra.selectDisabled,autocomplete:Ra.autocomplete,style:normalizeStyle(Ra.inputStyle),role:"combobox",readonly:!Ra.filterable,spellcheck:"false","aria-activedescendant":((Ed=Ra.hoverOption)==null?void 0:Ed.id)||"","aria-controls":Ra.contentId,"aria-expanded":Ra.dropdownMenuVisible,"aria-label":Ra.ariaLabel,"aria-autocomplete":"none","aria-haspopup":"listbox",onKeydown:[withKeys(withModifiers(Td=>Ra.navigateOptions("next"),["stop","prevent"]),["down"]),withKeys(withModifiers(Td=>Ra.navigateOptions("prev"),["stop","prevent"]),["up"]),withKeys(withModifiers(Ra.handleEsc,["stop","prevent"]),["esc"]),withKeys(withModifiers(Ra.selectOption,["stop","prevent"]),["enter"]),withKeys(withModifiers(Ra.deletePrevTag,["stop"]),["delete"])],onCompositionstart:Ra.handleCompositionStart,onCompositionupdate:Ra.handleCompositionUpdate,onCompositionend:Ra.handleCompositionEnd,onInput:Ra.onInput,onClick:withModifiers(Ra.toggleMenu,["stop"])},null,46,["id","onUpdate:modelValue","name","disabled","autocomplete","readonly","aria-activedescendant","aria-controls","aria-expanded","aria-label","onKeydown","onCompositionstart","onCompositionupdate","onCompositionend","onInput","onClick"]),[[vModelText,Ra.states.inputValue]]),Ra.filterable?(openBlock(),createElementBlock("span",{key:0,ref:"calculatorRef","aria-hidden":"true",class:normalizeClass(Ra.nsSelect.e("input-calculator")),textContent:toDisplayString(Ra.states.inputValue)},null,10,["textContent"])):createCommentVNode("v-if",!0)],2)),Ra.shouldShowPlaceholder?(openBlock(),createElementBlock("div",{key:2,class:normalizeClass([Ra.nsSelect.e("selected-item"),Ra.nsSelect.e("placeholder"),Ra.nsSelect.is("transparent",!Ra.hasModelValue||Ra.expanded&&!Ra.states.inputValue)])},[Ra.hasModelValue?renderSlot(Ra.$slots,"label",{key:0,label:Ra.currentPlaceholder,value:Ra.modelValue},()=>[createBaseVNode("span",null,toDisplayString(Ra.currentPlaceholder),1)]):(openBlock(),createElementBlock("span",{key:1},toDisplayString(Ra.currentPlaceholder),1))],2)):createCommentVNode("v-if",!0)],2),createBaseVNode("div",{ref:"suffixRef",class:normalizeClass(Ra.nsSelect.e("suffix"))},[Ra.iconComponent&&!Ra.showClose?(openBlock(),createBlock(ld,{key:0,class:normalizeClass([Ra.nsSelect.e("caret"),Ra.nsSelect.e("icon"),Ra.iconReverse])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ra.iconComponent)))]),_:1},8,["class"])):createCommentVNode("v-if",!0),Ra.showClose&&Ra.clearIcon?(openBlock(),createBlock(ld,{key:1,class:normalizeClass([Ra.nsSelect.e("caret"),Ra.nsSelect.e("icon"),Ra.nsSelect.e("clear")]),onClick:Ra.handleClearClick},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ra.clearIcon)))]),_:1},8,["class","onClick"])):createCommentVNode("v-if",!0),Ra.validateState&&Ra.validateIcon?(openBlock(),createBlock(ld,{key:2,class:normalizeClass([Ra.nsInput.e("icon"),Ra.nsInput.e("validateIcon")])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ra.validateIcon)))]),_:1},8,["class"])):createCommentVNode("v-if",!0)],2)],10,["onClick"])]}),content:withCtx(()=>[createVNode(yd,{ref:"menuRef"},{default:withCtx(()=>[Ra.$slots.header?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(Ra.nsSelect.be("dropdown","header")),onClick:withModifiers(()=>{},["stop"])},[renderSlot(Ra.$slots,"header")],10,["onClick"])):createCommentVNode("v-if",!0),withDirectives(createVNode(_d,{id:Ra.contentId,ref:"scrollbarRef",tag:"ul","wrap-class":Ra.nsSelect.be("dropdown","wrap"),"view-class":Ra.nsSelect.be("dropdown","list"),class:normalizeClass([Ra.nsSelect.is("empty",Ra.filteredOptionsCount===0)]),role:"listbox","aria-label":Ra.ariaLabel,"aria-orientation":"vertical"},{default:withCtx(()=>[Ra.showNewOption?(openBlock(),createBlock(cd,{key:0,value:Ra.states.inputValue,created:!0},null,8,["value"])):createCommentVNode("v-if",!0),createVNode(ud,null,{default:withCtx(()=>[renderSlot(Ra.$slots,"default")]),_:3})]),_:3},8,["id","wrap-class","view-class","class","aria-label"]),[[vShow,Ra.states.options.size>0&&!Ra.loading]]),Ra.$slots.loading&&Ra.loading?(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(Ra.nsSelect.be("dropdown","loading"))},[renderSlot(Ra.$slots,"loading")],2)):Ra.loading||Ra.filteredOptionsCount===0?(openBlock(),createElementBlock("div",{key:2,class:normalizeClass(Ra.nsSelect.be("dropdown","empty"))},[renderSlot(Ra.$slots,"empty",{},()=>[createBaseVNode("span",null,toDisplayString(Ra.emptyText),1)])],2)):createCommentVNode("v-if",!0),Ra.$slots.footer?(openBlock(),createElementBlock("div",{key:3,class:normalizeClass(Ra.nsSelect.be("dropdown","footer")),onClick:withModifiers(()=>{},["stop"])},[renderSlot(Ra.$slots,"footer")],10,["onClick"])):createCommentVNode("v-if",!0)]),_:3},512)]),_:3},8,["visible","placement","teleported","popper-class","popper-options","fallback-placements","effect","transition","persistent","onBeforeShow","onHide"])],16,["onMouseleave"])),[[gd,Ra.handleClickOutside,Ra.popperRef]])}var Select=_export_sfc$1(_sfc_main$I,[["render",_sfc_render$2],["__file","select.vue"]]);const _sfc_main$H=defineComponent({name:"ElOptionGroup",componentName:"ElOptionGroup",props:{label:String,disabled:Boolean},setup(Ra){const qa=useNamespace("select"),Ja=ref$1(null),ed=getCurrentInstance(),td=ref$1([]);provide(selectGroupKey,reactive({...toRefs(Ra)}));const rd=computed(()=>td.value.some(cd=>cd.visible===!0)),sd=cd=>{var ud,_d;return((ud=cd.type)==null?void 0:ud.name)==="ElOption"&&!!((_d=cd.component)!=null&&_d.proxy)},od=cd=>{const ud=castArray(cd),_d=[];return ud.forEach(yd=>{var gd,Ed;sd(yd)?_d.push(yd.component.proxy):(gd=yd.children)!=null&&gd.length?_d.push(...od(yd.children)):(Ed=yd.component)!=null&&Ed.subTree&&_d.push(...od(yd.component.subTree))}),_d},ld=()=>{td.value=od(ed.subTree)};return onMounted(()=>{ld()}),useMutationObserver(Ja,ld,{attributes:!0,subtree:!0,childList:!0}),{groupRef:Ja,visible:rd,ns:qa}}});function _sfc_render$1(Ra,qa,Ja,ed,td,rd){return withDirectives((openBlock(),createElementBlock("ul",{ref:"groupRef",class:normalizeClass(Ra.ns.be("group","wrap"))},[createBaseVNode("li",{class:normalizeClass(Ra.ns.be("group","title"))},toDisplayString(Ra.label),3),createBaseVNode("li",null,[createBaseVNode("ul",{class:normalizeClass(Ra.ns.b("group"))},[renderSlot(Ra.$slots,"default")],2)])],2)),[[vShow,Ra.visible]])}var OptionGroup=_export_sfc$1(_sfc_main$H,[["render",_sfc_render$1],["__file","option-group.vue"]]);const ElSelect=withInstall(Select,{Option,OptionGroup}),ElOption=withNoopInstall(Option);withNoopInstall(OptionGroup);const progressProps=buildProps({type:{type:String,default:"line",values:["line","circle","dashboard"]},percentage:{type:Number,default:0,validator:Ra=>Ra>=0&&Ra<=100},status:{type:String,default:"",values:["","success","exception","warning"]},indeterminate:Boolean,duration:{type:Number,default:3},strokeWidth:{type:Number,default:6},strokeLinecap:{type:definePropType(String),default:"round"},textInside:Boolean,width:{type:Number,default:126},showText:{type:Boolean,default:!0},color:{type:definePropType([String,Array,Function]),default:""},striped:Boolean,stripedFlow:Boolean,format:{type:definePropType(Function),default:Ra=>`${Ra}%`}}),__default__$9=defineComponent({name:"ElProgress"}),_sfc_main$G=defineComponent({...__default__$9,props:progressProps,setup(Ra){const qa=Ra,Ja={success:"#13ce66",exception:"#ff4949",warning:"#e6a23c",default:"#20a0ff"},ed=useNamespace("progress"),td=computed(()=>{const Id={width:`${qa.percentage}%`,animationDuration:`${qa.duration}s`},Md=Nd(qa.percentage);return Md.includes("gradient")?Id.background=Md:Id.backgroundColor=Md,Id}),rd=computed(()=>(qa.strokeWidth/qa.width*100).toFixed(1)),sd=computed(()=>["circle","dashboard"].includes(qa.type)?Number.parseInt(`${50-Number.parseFloat(rd.value)/2}`,10):0),od=computed(()=>{const Id=sd.value,Md=qa.type==="dashboard";return`
|
||
M 50 50
|
||
m 0 ${Md?"":"-"}${Id}
|
||
a ${Id} ${Id} 0 1 1 0 ${Md?"-":""}${Id*2}
|
||
a ${Id} ${Id} 0 1 1 0 ${Md?"":"-"}${Id*2}
|
||
`}),ld=computed(()=>2*Math.PI*sd.value),cd=computed(()=>qa.type==="dashboard"?.75:1),ud=computed(()=>`${-1*ld.value*(1-cd.value)/2}px`),_d=computed(()=>({strokeDasharray:`${ld.value*cd.value}px, ${ld.value}px`,strokeDashoffset:ud.value})),yd=computed(()=>({strokeDasharray:`${ld.value*cd.value*(qa.percentage/100)}px, ${ld.value}px`,strokeDashoffset:ud.value,transition:"stroke-dasharray 0.6s ease 0s, stroke 0.6s ease, opacity ease 0.6s"})),gd=computed(()=>{let Id;return qa.color?Id=Nd(qa.percentage):Id=Ja[qa.status]||Ja.default,Id}),Ed=computed(()=>qa.status==="warning"?warning_filled_default:qa.type==="line"?qa.status==="success"?circle_check_default:circle_close_default:qa.status==="success"?check_default:close_default),Td=computed(()=>qa.type==="line"?12+qa.strokeWidth*.4:qa.width*.111111+2),kd=computed(()=>qa.format(qa.percentage));function Rd(Id){const Md=100/Id.length;return Id.map((Pd,qd)=>isString$3(Pd)?{color:Pd,percentage:(qd+1)*Md}:Pd).sort((Pd,qd)=>Pd.percentage-qd.percentage)}const Nd=Id=>{var Md;const{color:Ld}=qa;if(isFunction$4(Ld))return Ld(Id);if(isString$3(Ld))return Ld;{const Pd=Rd(Ld);for(const qd of Pd)if(qd.percentage>Id)return qd.color;return(Md=Pd[Pd.length-1])==null?void 0:Md.color}};return(Id,Md)=>(openBlock(),createElementBlock("div",{class:normalizeClass([unref(ed).b(),unref(ed).m(Id.type),unref(ed).is(Id.status),{[unref(ed).m("without-text")]:!Id.showText,[unref(ed).m("text-inside")]:Id.textInside}]),role:"progressbar","aria-valuenow":Id.percentage,"aria-valuemin":"0","aria-valuemax":"100"},[Id.type==="line"?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(unref(ed).b("bar"))},[createBaseVNode("div",{class:normalizeClass(unref(ed).be("bar","outer")),style:normalizeStyle({height:`${Id.strokeWidth}px`})},[createBaseVNode("div",{class:normalizeClass([unref(ed).be("bar","inner"),{[unref(ed).bem("bar","inner","indeterminate")]:Id.indeterminate},{[unref(ed).bem("bar","inner","striped")]:Id.striped},{[unref(ed).bem("bar","inner","striped-flow")]:Id.stripedFlow}]),style:normalizeStyle(unref(td))},[(Id.showText||Id.$slots.default)&&Id.textInside?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(unref(ed).be("bar","innerText"))},[renderSlot(Id.$slots,"default",{percentage:Id.percentage},()=>[createBaseVNode("span",null,toDisplayString(unref(kd)),1)])],2)):createCommentVNode("v-if",!0)],6)],6)],2)):(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(unref(ed).b("circle")),style:normalizeStyle({height:`${Id.width}px`,width:`${Id.width}px`})},[(openBlock(),createElementBlock("svg",{viewBox:"0 0 100 100"},[createBaseVNode("path",{class:normalizeClass(unref(ed).be("circle","track")),d:unref(od),stroke:`var(${unref(ed).cssVarName("fill-color-light")}, #e5e9f2)`,"stroke-linecap":Id.strokeLinecap,"stroke-width":unref(rd),fill:"none",style:normalizeStyle(unref(_d))},null,14,["d","stroke","stroke-linecap","stroke-width"]),createBaseVNode("path",{class:normalizeClass(unref(ed).be("circle","path")),d:unref(od),stroke:unref(gd),fill:"none",opacity:Id.percentage?1:0,"stroke-linecap":Id.strokeLinecap,"stroke-width":unref(rd),style:normalizeStyle(unref(yd))},null,14,["d","stroke","opacity","stroke-linecap","stroke-width"])]))],6)),(Id.showText||Id.$slots.default)&&!Id.textInside?(openBlock(),createElementBlock("div",{key:2,class:normalizeClass(unref(ed).e("text")),style:normalizeStyle({fontSize:`${unref(Td)}px`})},[renderSlot(Id.$slots,"default",{percentage:Id.percentage},()=>[Id.status?(openBlock(),createBlock(unref(ElIcon),{key:1},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(Ed))))]),_:1})):(openBlock(),createElementBlock("span",{key:0},toDisplayString(unref(kd)),1))])],6)):createCommentVNode("v-if",!0)],10,["aria-valuenow"]))}});var Progress=_export_sfc$1(_sfc_main$G,[["__file","progress.vue"]]);const ElProgress=withInstall(Progress),switchProps=buildProps({modelValue:{type:[Boolean,String,Number],default:!1},disabled:Boolean,loading:Boolean,size:{type:String,validator:isValidComponentSize},width:{type:[String,Number],default:""},inlinePrompt:Boolean,inactiveActionIcon:{type:iconPropType},activeActionIcon:{type:iconPropType},activeIcon:{type:iconPropType},inactiveIcon:{type:iconPropType},activeText:{type:String,default:""},inactiveText:{type:String,default:""},activeValue:{type:[Boolean,String,Number],default:!0},inactiveValue:{type:[Boolean,String,Number],default:!1},name:{type:String,default:""},validateEvent:{type:Boolean,default:!0},beforeChange:{type:definePropType(Function)},id:String,tabindex:{type:[String,Number]},...useAriaProps(["ariaLabel"])}),switchEmits={[UPDATE_MODEL_EVENT]:Ra=>isBoolean$3(Ra)||isString$3(Ra)||isNumber$3(Ra),[CHANGE_EVENT]:Ra=>isBoolean$3(Ra)||isString$3(Ra)||isNumber$3(Ra),[INPUT_EVENT]:Ra=>isBoolean$3(Ra)||isString$3(Ra)||isNumber$3(Ra)},COMPONENT_NAME$4="ElSwitch",__default__$8=defineComponent({name:COMPONENT_NAME$4}),_sfc_main$F=defineComponent({...__default__$8,props:switchProps,emits:switchEmits,setup(Ra,{expose:qa,emit:Ja}){const ed=Ra,{formItem:td}=useFormItem(),rd=useFormSize(),sd=useNamespace("switch"),{inputId:od}=useFormItemInputId(ed,{formItemContext:td}),ld=useFormDisabled(computed(()=>ed.loading)),cd=ref$1(ed.modelValue!==!1),ud=ref$1(),_d=ref$1(),yd=computed(()=>[sd.b(),sd.m(rd.value),sd.is("disabled",ld.value),sd.is("checked",Rd.value)]),gd=computed(()=>[sd.e("label"),sd.em("label","left"),sd.is("active",!Rd.value)]),Ed=computed(()=>[sd.e("label"),sd.em("label","right"),sd.is("active",Rd.value)]),Td=computed(()=>({width:addUnit(ed.width)}));watch(()=>ed.modelValue,()=>{cd.value=!0});const kd=computed(()=>cd.value?ed.modelValue:!1),Rd=computed(()=>kd.value===ed.activeValue);[ed.activeValue,ed.inactiveValue].includes(kd.value)||(Ja(UPDATE_MODEL_EVENT,ed.inactiveValue),Ja(CHANGE_EVENT,ed.inactiveValue),Ja(INPUT_EVENT,ed.inactiveValue)),watch(Rd,Ld=>{var Pd;ud.value.checked=Ld,ed.validateEvent&&((Pd=td==null?void 0:td.validate)==null||Pd.call(td,"change").catch(qd=>void 0))});const Nd=()=>{const Ld=Rd.value?ed.inactiveValue:ed.activeValue;Ja(UPDATE_MODEL_EVENT,Ld),Ja(CHANGE_EVENT,Ld),Ja(INPUT_EVENT,Ld),nextTick$1(()=>{ud.value.checked=Rd.value})},Id=()=>{if(ld.value)return;const{beforeChange:Ld}=ed;if(!Ld){Nd();return}const Pd=Ld();[isPromise(Pd),isBoolean$3(Pd)].includes(!0)||throwError$1(COMPONENT_NAME$4,"beforeChange must return type `Promise<boolean>` or `boolean`"),isPromise(Pd)?Pd.then(Yd=>{Yd&&Nd()}).catch(Yd=>{}):Pd&&Nd()},Md=()=>{var Ld,Pd;(Pd=(Ld=ud.value)==null?void 0:Ld.focus)==null||Pd.call(Ld)};return onMounted(()=>{ud.value.checked=Rd.value}),qa({focus:Md,checked:Rd}),(Ld,Pd)=>(openBlock(),createElementBlock("div",{class:normalizeClass(unref(yd)),onClick:withModifiers(Id,["prevent"])},[createBaseVNode("input",{id:unref(od),ref_key:"input",ref:ud,class:normalizeClass(unref(sd).e("input")),type:"checkbox",role:"switch","aria-checked":unref(Rd),"aria-disabled":unref(ld),"aria-label":Ld.ariaLabel,name:Ld.name,"true-value":Ld.activeValue,"false-value":Ld.inactiveValue,disabled:unref(ld),tabindex:Ld.tabindex,onChange:Nd,onKeydown:withKeys(Id,["enter"])},null,42,["id","aria-checked","aria-disabled","aria-label","name","true-value","false-value","disabled","tabindex","onKeydown"]),!Ld.inlinePrompt&&(Ld.inactiveIcon||Ld.inactiveText)?(openBlock(),createElementBlock("span",{key:0,class:normalizeClass(unref(gd))},[Ld.inactiveIcon?(openBlock(),createBlock(unref(ElIcon),{key:0},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ld.inactiveIcon)))]),_:1})):createCommentVNode("v-if",!0),!Ld.inactiveIcon&&Ld.inactiveText?(openBlock(),createElementBlock("span",{key:1,"aria-hidden":unref(Rd)},toDisplayString(Ld.inactiveText),9,["aria-hidden"])):createCommentVNode("v-if",!0)],2)):createCommentVNode("v-if",!0),createBaseVNode("span",{ref_key:"core",ref:_d,class:normalizeClass(unref(sd).e("core")),style:normalizeStyle(unref(Td))},[Ld.inlinePrompt?(openBlock(),createElementBlock("div",{key:0,class:normalizeClass(unref(sd).e("inner"))},[Ld.activeIcon||Ld.inactiveIcon?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(sd).is("icon"))},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(Rd)?Ld.activeIcon:Ld.inactiveIcon)))]),_:1},8,["class"])):Ld.activeText||Ld.inactiveText?(openBlock(),createElementBlock("span",{key:1,class:normalizeClass(unref(sd).is("text")),"aria-hidden":!unref(Rd)},toDisplayString(unref(Rd)?Ld.activeText:Ld.inactiveText),11,["aria-hidden"])):createCommentVNode("v-if",!0)],2)):createCommentVNode("v-if",!0),createBaseVNode("div",{class:normalizeClass(unref(sd).e("action"))},[Ld.loading?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(sd).is("loading"))},{default:withCtx(()=>[createVNode(unref(loading_default))]),_:1},8,["class"])):unref(Rd)?renderSlot(Ld.$slots,"active-action",{key:1},()=>[Ld.activeActionIcon?(openBlock(),createBlock(unref(ElIcon),{key:0},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ld.activeActionIcon)))]),_:1})):createCommentVNode("v-if",!0)]):unref(Rd)?createCommentVNode("v-if",!0):renderSlot(Ld.$slots,"inactive-action",{key:2},()=>[Ld.inactiveActionIcon?(openBlock(),createBlock(unref(ElIcon),{key:0},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ld.inactiveActionIcon)))]),_:1})):createCommentVNode("v-if",!0)])],2)],6),!Ld.inlinePrompt&&(Ld.activeIcon||Ld.activeText)?(openBlock(),createElementBlock("span",{key:1,class:normalizeClass(unref(Ed))},[Ld.activeIcon?(openBlock(),createBlock(unref(ElIcon),{key:0},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ld.activeIcon)))]),_:1})):createCommentVNode("v-if",!0),!Ld.activeIcon&&Ld.activeText?(openBlock(),createElementBlock("span",{key:1,"aria-hidden":!unref(Rd)},toDisplayString(Ld.activeText),9,["aria-hidden"])):createCommentVNode("v-if",!0)],2)):createCommentVNode("v-if",!0)],10,["onClick"]))}});var Switch=_export_sfc$1(_sfc_main$F,[["__file","switch.vue"]]);const ElSwitch=withInstall(Switch),tabsRootContextKey=Symbol("tabsRootContextKey"),tabBarProps=buildProps({tabs:{type:definePropType(Array),default:()=>mutable([])}}),COMPONENT_NAME$3="ElTabBar",__default__$7=defineComponent({name:COMPONENT_NAME$3}),_sfc_main$E=defineComponent({...__default__$7,props:tabBarProps,setup(Ra,{expose:qa}){const Ja=Ra,ed=getCurrentInstance(),td=inject(tabsRootContextKey);td||throwError$1(COMPONENT_NAME$3,"<el-tabs><el-tab-bar /></el-tabs>");const rd=useNamespace("tabs"),sd=ref$1(),od=ref$1(),ld=()=>{let ud=0,_d=0;const yd=["top","bottom"].includes(td.props.tabPosition)?"width":"height",gd=yd==="width"?"x":"y",Ed=gd==="x"?"left":"top";return Ja.tabs.every(Td=>{var kd,Rd;const Nd=(Rd=(kd=ed.parent)==null?void 0:kd.refs)==null?void 0:Rd[`tab-${Td.uid}`];if(!Nd)return!1;if(!Td.active)return!0;ud=Nd[`offset${capitalize(Ed)}`],_d=Nd[`client${capitalize(yd)}`];const Id=window.getComputedStyle(Nd);return yd==="width"&&(_d-=Number.parseFloat(Id.paddingLeft)+Number.parseFloat(Id.paddingRight),ud+=Number.parseFloat(Id.paddingLeft)),!1}),{[yd]:`${_d}px`,transform:`translate${capitalize(gd)}(${ud}px)`}},cd=()=>od.value=ld();return watch(()=>Ja.tabs,async()=>{await nextTick$1(),cd()},{immediate:!0}),useResizeObserver(sd,()=>cd()),qa({ref:sd,update:cd}),(ud,_d)=>(openBlock(),createElementBlock("div",{ref_key:"barRef",ref:sd,class:normalizeClass([unref(rd).e("active-bar"),unref(rd).is(unref(td).props.tabPosition)]),style:normalizeStyle(od.value)},null,6))}});var TabBar=_export_sfc$1(_sfc_main$E,[["__file","tab-bar.vue"]]);const tabNavProps=buildProps({panes:{type:definePropType(Array),default:()=>mutable([])},currentName:{type:[String,Number],default:""},editable:Boolean,type:{type:String,values:["card","border-card",""],default:""},stretch:Boolean}),tabNavEmits={tabClick:(Ra,qa,Ja)=>Ja instanceof Event,tabRemove:(Ra,qa)=>qa instanceof Event},COMPONENT_NAME$2="ElTabNav",TabNav=defineComponent({name:COMPONENT_NAME$2,props:tabNavProps,emits:tabNavEmits,setup(Ra,{expose:qa,emit:Ja}){const ed=inject(tabsRootContextKey);ed||throwError$1(COMPONENT_NAME$2,"<el-tabs><tab-nav /></el-tabs>");const td=useNamespace("tabs"),rd=useDocumentVisibility(),sd=useWindowFocus(),od=ref$1(),ld=ref$1(),cd=ref$1(),ud=ref$1(),_d=ref$1(!1),yd=ref$1(0),gd=ref$1(!1),Ed=ref$1(!0),Td=computed(()=>["top","bottom"].includes(ed.props.tabPosition)?"width":"height"),kd=computed(()=>({transform:`translate${Td.value==="width"?"X":"Y"}(-${yd.value}px)`})),Rd=()=>{if(!od.value)return;const Yd=od.value[`offset${capitalize(Td.value)}`],Ud=yd.value;if(!Ud)return;const Hd=Ud>Yd?Ud-Yd:0;yd.value=Hd},Nd=()=>{if(!od.value||!ld.value)return;const Yd=ld.value[`offset${capitalize(Td.value)}`],Ud=od.value[`offset${capitalize(Td.value)}`],Hd=yd.value;if(Yd-Hd<=Ud)return;const Vd=Yd-Hd>Ud*2?Hd+Ud:Yd-Ud;yd.value=Vd},Id=async()=>{const Yd=ld.value;if(!_d.value||!cd.value||!od.value||!Yd)return;await nextTick$1();const Ud=cd.value.querySelector(".is-active");if(!Ud)return;const Hd=od.value,Vd=["top","bottom"].includes(ed.props.tabPosition),Jd=Ud.getBoundingClientRect(),Zd=Hd.getBoundingClientRect(),pf=Vd?Yd.offsetWidth-Zd.width:Yd.offsetHeight-Zd.height,Xd=yd.value;let hf=Xd;Vd?(Jd.left<Zd.left&&(hf=Xd-(Zd.left-Jd.left)),Jd.right>Zd.right&&(hf=Xd+Jd.right-Zd.right)):(Jd.top<Zd.top&&(hf=Xd-(Zd.top-Jd.top)),Jd.bottom>Zd.bottom&&(hf=Xd+(Jd.bottom-Zd.bottom))),hf=Math.max(hf,0),yd.value=Math.min(hf,pf)},Md=()=>{var Yd;if(!ld.value||!od.value)return;Ra.stretch&&((Yd=ud.value)==null||Yd.update());const Ud=ld.value[`offset${capitalize(Td.value)}`],Hd=od.value[`offset${capitalize(Td.value)}`],Vd=yd.value;Hd<Ud?(_d.value=_d.value||{},_d.value.prev=Vd,_d.value.next=Vd+Hd<Ud,Ud-Vd<Hd&&(yd.value=Ud-Hd)):(_d.value=!1,Vd>0&&(yd.value=0))},Ld=Yd=>{const Ud=Yd.code,{up:Hd,down:Vd,left:Jd,right:Zd}=EVENT_CODE;if(![Hd,Vd,Jd,Zd].includes(Ud))return;const pf=Array.from(Yd.currentTarget.querySelectorAll("[role=tab]:not(.is-disabled)")),Xd=pf.indexOf(Yd.target);let hf;Ud===Jd||Ud===Hd?Xd===0?hf=pf.length-1:hf=Xd-1:Xd<pf.length-1?hf=Xd+1:hf=0,pf[hf].focus({preventScroll:!0}),pf[hf].click(),Pd()},Pd=()=>{Ed.value&&(gd.value=!0)},qd=()=>gd.value=!1;return watch(rd,Yd=>{Yd==="hidden"?Ed.value=!1:Yd==="visible"&&setTimeout(()=>Ed.value=!0,50)}),watch(sd,Yd=>{Yd?setTimeout(()=>Ed.value=!0,50):Ed.value=!1}),useResizeObserver(cd,Md),onMounted(()=>setTimeout(()=>Id(),0)),onUpdated(()=>Md()),qa({scrollToActiveTab:Id,removeFocus:qd}),()=>{const Yd=_d.value?[createVNode("span",{class:[td.e("nav-prev"),td.is("disabled",!_d.value.prev)],onClick:Rd},[createVNode(ElIcon,null,{default:()=>[createVNode(arrow_left_default,null,null)]})]),createVNode("span",{class:[td.e("nav-next"),td.is("disabled",!_d.value.next)],onClick:Nd},[createVNode(ElIcon,null,{default:()=>[createVNode(arrow_right_default,null,null)]})])]:null,Ud=Ra.panes.map((Hd,Vd)=>{var Jd,Zd,pf,Xd;const hf=Hd.uid,_f=Hd.props.disabled,xf=(Zd=(Jd=Hd.props.name)!=null?Jd:Hd.index)!=null?Zd:`${Vd}`,Lf=!_f&&(Hd.isClosable||Ra.editable);Hd.index=`${Vd}`;const Wf=Lf?createVNode(ElIcon,{class:"is-icon-close",onClick:Sf=>Ja("tabRemove",Hd,Sf)},{default:()=>[createVNode(close_default,null,null)]}):null,Yf=((Xd=(pf=Hd.slots).label)==null?void 0:Xd.call(pf))||Hd.props.label,If=!_f&&Hd.active?0:-1;return createVNode("div",{ref:`tab-${hf}`,class:[td.e("item"),td.is(ed.props.tabPosition),td.is("active",Hd.active),td.is("disabled",_f),td.is("closable",Lf),td.is("focus",gd.value)],id:`tab-${xf}`,key:`tab-${hf}`,"aria-controls":`pane-${xf}`,role:"tab","aria-selected":Hd.active,tabindex:If,onFocus:()=>Pd(),onBlur:()=>qd(),onClick:Sf=>{qd(),Ja("tabClick",Hd,xf,Sf)},onKeydown:Sf=>{Lf&&(Sf.code===EVENT_CODE.delete||Sf.code===EVENT_CODE.backspace)&&Ja("tabRemove",Hd,Sf)}},[Yf,Wf])});return createVNode("div",{ref:cd,class:[td.e("nav-wrap"),td.is("scrollable",!!_d.value),td.is(ed.props.tabPosition)]},[Yd,createVNode("div",{class:td.e("nav-scroll"),ref:od},[createVNode("div",{class:[td.e("nav"),td.is(ed.props.tabPosition),td.is("stretch",Ra.stretch&&["top","bottom"].includes(ed.props.tabPosition))],ref:ld,style:kd.value,role:"tablist",onKeydown:Ld},[Ra.type?null:createVNode(TabBar,{ref:ud,tabs:[...Ra.panes]},null),Ud])])])}}}),tabsProps=buildProps({type:{type:String,values:["card","border-card",""],default:""},closable:Boolean,addable:Boolean,modelValue:{type:[String,Number]},editable:Boolean,tabPosition:{type:String,values:["top","right","bottom","left"],default:"top"},beforeLeave:{type:definePropType(Function),default:()=>!0},stretch:Boolean}),isPaneName=Ra=>isString$3(Ra)||isNumber$3(Ra),tabsEmits={[UPDATE_MODEL_EVENT]:Ra=>isPaneName(Ra),tabClick:(Ra,qa)=>qa instanceof Event,tabChange:Ra=>isPaneName(Ra),edit:(Ra,qa)=>["remove","add"].includes(qa),tabRemove:Ra=>isPaneName(Ra),tabAdd:()=>!0},Tabs=defineComponent({name:"ElTabs",props:tabsProps,emits:tabsEmits,setup(Ra,{emit:qa,slots:Ja,expose:ed}){var td;const rd=useNamespace("tabs"),sd=computed(()=>["left","right"].includes(Ra.tabPosition)),{children:od,addChild:ld,removeChild:cd}=useOrderedChildren(getCurrentInstance(),"ElTabPane"),ud=ref$1(),_d=ref$1((td=Ra.modelValue)!=null?td:"0"),yd=async(Rd,Nd=!1)=>{var Id,Md,Ld;if(!(_d.value===Rd||isUndefined$1(Rd)))try{await((Id=Ra.beforeLeave)==null?void 0:Id.call(Ra,Rd,_d.value))!==!1&&(_d.value=Rd,Nd&&(qa(UPDATE_MODEL_EVENT,Rd),qa("tabChange",Rd)),(Ld=(Md=ud.value)==null?void 0:Md.removeFocus)==null||Ld.call(Md))}catch{}},gd=(Rd,Nd,Id)=>{Rd.props.disabled||(yd(Nd,!0),qa("tabClick",Rd,Id))},Ed=(Rd,Nd)=>{Rd.props.disabled||isUndefined$1(Rd.props.name)||(Nd.stopPropagation(),qa("edit",Rd.props.name,"remove"),qa("tabRemove",Rd.props.name))},Td=()=>{qa("edit",void 0,"add"),qa("tabAdd")};watch(()=>Ra.modelValue,Rd=>yd(Rd)),watch(_d,async()=>{var Rd;await nextTick$1(),(Rd=ud.value)==null||Rd.scrollToActiveTab()}),provide(tabsRootContextKey,{props:Ra,currentName:_d,registerPane:Rd=>{od.value.push(Rd)},sortPane:ld,unregisterPane:cd}),ed({currentName:_d});const kd=({render:Rd})=>Rd();return()=>{const Rd=Ja["add-icon"],Nd=Ra.editable||Ra.addable?createVNode("div",{class:[rd.e("new-tab"),sd.value&&rd.e("new-tab-vertical")],tabindex:"0",onClick:Td,onKeydown:Ld=>{Ld.code===EVENT_CODE.enter&&Td()}},[Rd?renderSlot(Ja,"add-icon"):createVNode(ElIcon,{class:rd.is("icon-plus")},{default:()=>[createVNode(plus_default,null,null)]})]):null,Id=createVNode("div",{class:[rd.e("header"),sd.value&&rd.e("header-vertical"),rd.is(Ra.tabPosition)]},[createVNode(kd,{render:()=>{const Ld=od.value.some(Pd=>Pd.slots.label);return createVNode(TabNav,{ref:ud,currentName:_d.value,editable:Ra.editable,type:Ra.type,panes:od.value,stretch:Ra.stretch,onTabClick:gd,onTabRemove:Ed},{$stable:!Ld})}},null),Nd]),Md=createVNode("div",{class:rd.e("content")},[renderSlot(Ja,"default")]);return createVNode("div",{class:[rd.b(),rd.m(Ra.tabPosition),{[rd.m("card")]:Ra.type==="card",[rd.m("border-card")]:Ra.type==="border-card"}]},[Md,Id])}}}),tabPaneProps=buildProps({label:{type:String,default:""},name:{type:[String,Number]},closable:Boolean,disabled:Boolean,lazy:Boolean}),COMPONENT_NAME$1="ElTabPane",__default__$6=defineComponent({name:COMPONENT_NAME$1}),_sfc_main$D=defineComponent({...__default__$6,props:tabPaneProps,setup(Ra){const qa=Ra,Ja=getCurrentInstance(),ed=useSlots(),td=inject(tabsRootContextKey);td||throwError$1(COMPONENT_NAME$1,"usage: <el-tabs><el-tab-pane /></el-tabs/>");const rd=useNamespace("tab-pane"),sd=ref$1(),od=computed(()=>qa.closable||td.props.closable),ld=computedEager(()=>{var gd;return td.currentName.value===((gd=qa.name)!=null?gd:sd.value)}),cd=ref$1(ld.value),ud=computed(()=>{var gd;return(gd=qa.name)!=null?gd:sd.value}),_d=computedEager(()=>!qa.lazy||cd.value||ld.value);watch(ld,gd=>{gd&&(cd.value=!0)});const yd=reactive({uid:Ja.uid,slots:ed,props:qa,paneName:ud,active:ld,index:sd,isClosable:od});return td.registerPane(yd),onMounted(()=>{td.sortPane(yd)}),onUnmounted(()=>{td.unregisterPane(yd.uid)}),(gd,Ed)=>unref(_d)?withDirectives((openBlock(),createElementBlock("div",{key:0,id:`pane-${unref(ud)}`,class:normalizeClass(unref(rd).b()),role:"tabpanel","aria-hidden":!unref(ld),"aria-labelledby":`tab-${unref(ud)}`},[renderSlot(gd.$slots,"default")],10,["id","aria-hidden","aria-labelledby"])),[[vShow,unref(ld)]]):createCommentVNode("v-if",!0)}});var TabPane=_export_sfc$1(_sfc_main$D,[["__file","tab-pane.vue"]]);const ElTabs=withInstall(Tabs,{TabPane}),ElTabPane=withNoopInstall(TabPane),uploadContextKey=Symbol("uploadContextKey"),SCOPE$1="ElUpload";class UploadAjaxError extends Error{constructor(qa,Ja,ed,td){super(qa),this.name="UploadAjaxError",this.status=Ja,this.method=ed,this.url=td}}function getError(Ra,qa,Ja){let ed;return Ja.response?ed=`${Ja.response.error||Ja.response}`:Ja.responseText?ed=`${Ja.responseText}`:ed=`fail to ${qa.method} ${Ra} ${Ja.status}`,new UploadAjaxError(ed,Ja.status,qa.method,Ra)}function getBody(Ra){const qa=Ra.responseText||Ra.response;if(!qa)return qa;try{return JSON.parse(qa)}catch{return qa}}const ajaxUpload=Ra=>{typeof XMLHttpRequest>"u"&&throwError$1(SCOPE$1,"XMLHttpRequest is undefined");const qa=new XMLHttpRequest,Ja=Ra.action;qa.upload&&qa.upload.addEventListener("progress",rd=>{const sd=rd;sd.percent=rd.total>0?rd.loaded/rd.total*100:0,Ra.onProgress(sd)});const ed=new FormData;if(Ra.data)for(const[rd,sd]of Object.entries(Ra.data))isArray$2(sd)&&sd.length?ed.append(rd,...sd):ed.append(rd,sd);ed.append(Ra.filename,Ra.file,Ra.file.name),qa.addEventListener("error",()=>{Ra.onError(getError(Ja,Ra,qa))}),qa.addEventListener("load",()=>{if(qa.status<200||qa.status>=300)return Ra.onError(getError(Ja,Ra,qa));Ra.onSuccess(getBody(qa))}),qa.open(Ra.method,Ja,!0),Ra.withCredentials&&"withCredentials"in qa&&(qa.withCredentials=!0);const td=Ra.headers||{};if(td instanceof Headers)td.forEach((rd,sd)=>qa.setRequestHeader(sd,rd));else for(const[rd,sd]of Object.entries(td))isNil(sd)||qa.setRequestHeader(rd,String(sd));return qa.send(ed),qa},uploadListTypes=["text","picture","picture-card"];let fileId=1;const genFileId=()=>Date.now()+fileId++,uploadBaseProps=buildProps({action:{type:String,default:"#"},headers:{type:definePropType(Object)},method:{type:String,default:"post"},data:{type:definePropType([Object,Function,Promise]),default:()=>mutable({})},multiple:Boolean,name:{type:String,default:"file"},drag:Boolean,withCredentials:Boolean,showFileList:{type:Boolean,default:!0},accept:{type:String,default:""},fileList:{type:definePropType(Array),default:()=>mutable([])},autoUpload:{type:Boolean,default:!0},listType:{type:String,values:uploadListTypes,default:"text"},httpRequest:{type:definePropType(Function),default:ajaxUpload},disabled:Boolean,limit:Number}),uploadProps=buildProps({...uploadBaseProps,beforeUpload:{type:definePropType(Function),default:NOOP},beforeRemove:{type:definePropType(Function)},onRemove:{type:definePropType(Function),default:NOOP},onChange:{type:definePropType(Function),default:NOOP},onPreview:{type:definePropType(Function),default:NOOP},onSuccess:{type:definePropType(Function),default:NOOP},onProgress:{type:definePropType(Function),default:NOOP},onError:{type:definePropType(Function),default:NOOP},onExceed:{type:definePropType(Function),default:NOOP},crossorigin:{type:definePropType(String)}}),uploadListProps=buildProps({files:{type:definePropType(Array),default:()=>mutable([])},disabled:{type:Boolean,default:!1},handlePreview:{type:definePropType(Function),default:NOOP},listType:{type:String,values:uploadListTypes,default:"text"},crossorigin:{type:definePropType(String)}}),uploadListEmits={remove:Ra=>!!Ra},__default__$5=defineComponent({name:"ElUploadList"}),_sfc_main$C=defineComponent({...__default__$5,props:uploadListProps,emits:uploadListEmits,setup(Ra,{emit:qa}){const Ja=Ra,{t:ed}=useLocale(),td=useNamespace("upload"),rd=useNamespace("icon"),sd=useNamespace("list"),od=useFormDisabled(),ld=ref$1(!1),cd=computed(()=>[td.b("list"),td.bm("list",Ja.listType),td.is("disabled",Ja.disabled)]),ud=_d=>{qa("remove",_d)};return(_d,yd)=>(openBlock(),createBlock(TransitionGroup,{tag:"ul",class:normalizeClass(unref(cd)),name:unref(sd).b()},{default:withCtx(()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(_d.files,(gd,Ed)=>(openBlock(),createElementBlock("li",{key:gd.uid||gd.name,class:normalizeClass([unref(td).be("list","item"),unref(td).is(gd.status),{focusing:ld.value}]),tabindex:"0",onKeydown:withKeys(Td=>!unref(od)&&ud(gd),["delete"]),onFocus:Td=>ld.value=!0,onBlur:Td=>ld.value=!1,onClick:Td=>ld.value=!1},[renderSlot(_d.$slots,"default",{file:gd,index:Ed},()=>[_d.listType==="picture"||gd.status!=="uploading"&&_d.listType==="picture-card"?(openBlock(),createElementBlock("img",{key:0,class:normalizeClass(unref(td).be("list","item-thumbnail")),src:gd.url,crossorigin:_d.crossorigin,alt:""},null,10,["src","crossorigin"])):createCommentVNode("v-if",!0),gd.status==="uploading"||_d.listType!=="picture-card"?(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(unref(td).be("list","item-info"))},[createBaseVNode("a",{class:normalizeClass(unref(td).be("list","item-name")),onClick:withModifiers(Td=>_d.handlePreview(gd),["prevent"])},[createVNode(unref(ElIcon),{class:normalizeClass(unref(rd).m("document"))},{default:withCtx(()=>[createVNode(unref(document_default))]),_:1},8,["class"]),createBaseVNode("span",{class:normalizeClass(unref(td).be("list","item-file-name")),title:gd.name},toDisplayString(gd.name),11,["title"])],10,["onClick"]),gd.status==="uploading"?(openBlock(),createBlock(unref(ElProgress),{key:0,type:_d.listType==="picture-card"?"circle":"line","stroke-width":_d.listType==="picture-card"?6:2,percentage:Number(gd.percentage),style:normalizeStyle(_d.listType==="picture-card"?"":"margin-top: 0.5rem")},null,8,["type","stroke-width","percentage","style"])):createCommentVNode("v-if",!0)],2)):createCommentVNode("v-if",!0),createBaseVNode("label",{class:normalizeClass(unref(td).be("list","item-status-label"))},[_d.listType==="text"?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass([unref(rd).m("upload-success"),unref(rd).m("circle-check")])},{default:withCtx(()=>[createVNode(unref(circle_check_default))]),_:1},8,["class"])):["picture-card","picture"].includes(_d.listType)?(openBlock(),createBlock(unref(ElIcon),{key:1,class:normalizeClass([unref(rd).m("upload-success"),unref(rd).m("check")])},{default:withCtx(()=>[createVNode(unref(check_default))]),_:1},8,["class"])):createCommentVNode("v-if",!0)],2),unref(od)?createCommentVNode("v-if",!0):(openBlock(),createBlock(unref(ElIcon),{key:2,class:normalizeClass(unref(rd).m("close")),onClick:Td=>ud(gd)},{default:withCtx(()=>[createVNode(unref(close_default))]),_:2},1032,["class","onClick"])),createCommentVNode(" Due to close btn only appears when li gets focused disappears after li gets blurred, thus keyboard navigation can never reach close btn"),createCommentVNode(" This is a bug which needs to be fixed "),createCommentVNode(" TODO: Fix the incorrect navigation interaction "),unref(od)?createCommentVNode("v-if",!0):(openBlock(),createElementBlock("i",{key:3,class:normalizeClass(unref(rd).m("close-tip"))},toDisplayString(unref(ed)("el.upload.deleteTip")),3)),_d.listType==="picture-card"?(openBlock(),createElementBlock("span",{key:4,class:normalizeClass(unref(td).be("list","item-actions"))},[createBaseVNode("span",{class:normalizeClass(unref(td).be("list","item-preview")),onClick:Td=>_d.handlePreview(gd)},[createVNode(unref(ElIcon),{class:normalizeClass(unref(rd).m("zoom-in"))},{default:withCtx(()=>[createVNode(unref(zoom_in_default))]),_:1},8,["class"])],10,["onClick"]),unref(od)?createCommentVNode("v-if",!0):(openBlock(),createElementBlock("span",{key:0,class:normalizeClass(unref(td).be("list","item-delete")),onClick:Td=>ud(gd)},[createVNode(unref(ElIcon),{class:normalizeClass(unref(rd).m("delete"))},{default:withCtx(()=>[createVNode(unref(delete_default))]),_:1},8,["class"])],10,["onClick"]))],2)):createCommentVNode("v-if",!0)])],42,["onKeydown","onFocus","onBlur","onClick"]))),128)),renderSlot(_d.$slots,"append")]),_:3},8,["class","name"]))}});var UploadList=_export_sfc$1(_sfc_main$C,[["__file","upload-list.vue"]]);const uploadDraggerProps=buildProps({disabled:{type:Boolean,default:!1}}),uploadDraggerEmits={file:Ra=>isArray$2(Ra)},COMPONENT_NAME="ElUploadDrag",__default__$4=defineComponent({name:COMPONENT_NAME}),_sfc_main$B=defineComponent({...__default__$4,props:uploadDraggerProps,emits:uploadDraggerEmits,setup(Ra,{emit:qa}){inject(uploadContextKey)||throwError$1(COMPONENT_NAME,"usage: <el-upload><el-upload-dragger /></el-upload>");const ed=useNamespace("upload"),td=ref$1(!1),rd=useFormDisabled(),sd=ld=>{if(rd.value)return;td.value=!1,ld.stopPropagation();const cd=Array.from(ld.dataTransfer.files);qa("file",cd)},od=()=>{rd.value||(td.value=!0)};return(ld,cd)=>(openBlock(),createElementBlock("div",{class:normalizeClass([unref(ed).b("dragger"),unref(ed).is("dragover",td.value)]),onDrop:withModifiers(sd,["prevent"]),onDragover:withModifiers(od,["prevent"]),onDragleave:withModifiers(ud=>td.value=!1,["prevent"])},[renderSlot(ld.$slots,"default")],42,["onDrop","onDragover","onDragleave"]))}});var UploadDragger=_export_sfc$1(_sfc_main$B,[["__file","upload-dragger.vue"]]);const uploadContentProps=buildProps({...uploadBaseProps,beforeUpload:{type:definePropType(Function),default:NOOP},onRemove:{type:definePropType(Function),default:NOOP},onStart:{type:definePropType(Function),default:NOOP},onSuccess:{type:definePropType(Function),default:NOOP},onProgress:{type:definePropType(Function),default:NOOP},onError:{type:definePropType(Function),default:NOOP},onExceed:{type:definePropType(Function),default:NOOP}}),__default__$3=defineComponent({name:"ElUploadContent",inheritAttrs:!1}),_sfc_main$A=defineComponent({...__default__$3,props:uploadContentProps,setup(Ra,{expose:qa}){const Ja=Ra,ed=useNamespace("upload"),td=useFormDisabled(),rd=shallowRef({}),sd=shallowRef(),od=Td=>{if(Td.length===0)return;const{autoUpload:kd,limit:Rd,fileList:Nd,multiple:Id,onStart:Md,onExceed:Ld}=Ja;if(Rd&&Nd.length+Td.length>Rd){Ld(Td,Nd);return}Id||(Td=Td.slice(0,1));for(const Pd of Td){const qd=Pd;qd.uid=genFileId(),Md(qd),kd&&ld(qd)}},ld=async Td=>{if(sd.value.value="",!Ja.beforeUpload)return ud(Td);let kd,Rd={};try{const Id=Ja.data,Md=Ja.beforeUpload(Td);Rd=isPlainObject$3(Ja.data)?cloneDeep$1(Ja.data):Ja.data,kd=await Md,isPlainObject$3(Ja.data)&&isEqual(Id,Rd)&&(Rd=cloneDeep$1(Ja.data))}catch{kd=!1}if(kd===!1){Ja.onRemove(Td);return}let Nd=Td;kd instanceof Blob&&(kd instanceof File?Nd=kd:Nd=new File([kd],Td.name,{type:Td.type})),ud(Object.assign(Nd,{uid:Td.uid}),Rd)},cd=async(Td,kd)=>isFunction$4(Td)?Td(kd):Td,ud=async(Td,kd)=>{const{headers:Rd,data:Nd,method:Id,withCredentials:Md,name:Ld,action:Pd,onProgress:qd,onSuccess:Yd,onError:Ud,httpRequest:Hd}=Ja;try{kd=await cd(kd??Nd,Td)}catch{Ja.onRemove(Td);return}const{uid:Vd}=Td,Jd={headers:Rd||{},withCredentials:Md,file:Td,data:kd,method:Id,filename:Ld,action:Pd,onProgress:pf=>{qd(pf,Td)},onSuccess:pf=>{Yd(pf,Td),delete rd.value[Vd]},onError:pf=>{Ud(pf,Td),delete rd.value[Vd]}},Zd=Hd(Jd);rd.value[Vd]=Zd,Zd instanceof Promise&&Zd.then(Jd.onSuccess,Jd.onError)},_d=Td=>{const kd=Td.target.files;kd&&od(Array.from(kd))},yd=()=>{td.value||(sd.value.value="",sd.value.click())},gd=()=>{yd()};return qa({abort:Td=>{entriesOf(rd.value).filter(Td?([Rd])=>String(Td.uid)===Rd:()=>!0).forEach(([Rd,Nd])=>{Nd instanceof XMLHttpRequest&&Nd.abort(),delete rd.value[Rd]})},upload:ld}),(Td,kd)=>(openBlock(),createElementBlock("div",{class:normalizeClass([unref(ed).b(),unref(ed).m(Td.listType),unref(ed).is("drag",Td.drag),unref(ed).is("disabled",unref(td))]),tabindex:unref(td)?"-1":"0",onClick:yd,onKeydown:withKeys(withModifiers(gd,["self"]),["enter","space"])},[Td.drag?(openBlock(),createBlock(UploadDragger,{key:0,disabled:unref(td),onFile:od},{default:withCtx(()=>[renderSlot(Td.$slots,"default")]),_:3},8,["disabled"])):renderSlot(Td.$slots,"default",{key:1}),createBaseVNode("input",{ref_key:"inputRef",ref:sd,class:normalizeClass(unref(ed).e("input")),name:Td.name,disabled:unref(td),multiple:Td.multiple,accept:Td.accept,type:"file",onChange:_d,onClick:withModifiers(()=>{},["stop"])},null,42,["name","disabled","multiple","accept","onClick"])],42,["tabindex","onKeydown"]))}});var UploadContent=_export_sfc$1(_sfc_main$A,[["__file","upload-content.vue"]]);const SCOPE="ElUpload",revokeFileObjectURL=Ra=>{var qa;(qa=Ra.url)!=null&&qa.startsWith("blob:")&&URL.revokeObjectURL(Ra.url)},useHandlers=(Ra,qa)=>{const Ja=useVModel(Ra,"fileList",void 0,{passive:!0}),ed=gd=>Ja.value.find(Ed=>Ed.uid===gd.uid);function td(gd){var Ed;(Ed=qa.value)==null||Ed.abort(gd)}function rd(gd=["ready","uploading","success","fail"]){Ja.value=Ja.value.filter(Ed=>!gd.includes(Ed.status))}function sd(gd){Ja.value=Ja.value.filter(Ed=>Ed!==gd)}const od=(gd,Ed)=>{const Td=ed(Ed);Td&&(console.error(gd),Td.status="fail",sd(Td),Ra.onError(gd,Td,Ja.value),Ra.onChange(Td,Ja.value))},ld=(gd,Ed)=>{const Td=ed(Ed);Td&&(Ra.onProgress(gd,Td,Ja.value),Td.status="uploading",Td.percentage=Math.round(gd.percent))},cd=(gd,Ed)=>{const Td=ed(Ed);Td&&(Td.status="success",Td.response=gd,Ra.onSuccess(gd,Td,Ja.value),Ra.onChange(Td,Ja.value))},ud=gd=>{isNil(gd.uid)&&(gd.uid=genFileId());const Ed={name:gd.name,percentage:0,status:"ready",size:gd.size,raw:gd,uid:gd.uid};if(Ra.listType==="picture-card"||Ra.listType==="picture")try{Ed.url=URL.createObjectURL(gd)}catch(Td){Td.message,Ra.onError(Td,Ed,Ja.value)}Ja.value=[...Ja.value,Ed],Ra.onChange(Ed,Ja.value)},_d=async gd=>{const Ed=gd instanceof File?ed(gd):gd;Ed||throwError$1(SCOPE,"file to be removed not found");const Td=kd=>{td(kd),sd(kd),Ra.onRemove(kd,Ja.value),revokeFileObjectURL(kd)};Ra.beforeRemove?await Ra.beforeRemove(Ed,Ja.value)!==!1&&Td(Ed):Td(Ed)};function yd(){Ja.value.filter(({status:gd})=>gd==="ready").forEach(({raw:gd})=>{var Ed;return gd&&((Ed=qa.value)==null?void 0:Ed.upload(gd))})}return watch(()=>Ra.listType,gd=>{gd!=="picture-card"&&gd!=="picture"||(Ja.value=Ja.value.map(Ed=>{const{raw:Td,url:kd}=Ed;if(!kd&&Td)try{Ed.url=URL.createObjectURL(Td)}catch(Rd){Ra.onError(Rd,Ed,Ja.value)}return Ed}))}),watch(Ja,gd=>{for(const Ed of gd)Ed.uid||(Ed.uid=genFileId()),Ed.status||(Ed.status="success")},{immediate:!0,deep:!0}),{uploadFiles:Ja,abort:td,clearFiles:rd,handleError:od,handleProgress:ld,handleStart:ud,handleSuccess:cd,handleRemove:_d,submit:yd,revokeFileObjectURL}},__default__$2=defineComponent({name:"ElUpload"}),_sfc_main$z=defineComponent({...__default__$2,props:uploadProps,setup(Ra,{expose:qa}){const Ja=Ra,ed=useFormDisabled(),td=shallowRef(),{abort:rd,submit:sd,clearFiles:od,uploadFiles:ld,handleStart:cd,handleError:ud,handleRemove:_d,handleSuccess:yd,handleProgress:gd,revokeFileObjectURL:Ed}=useHandlers(Ja,td),Td=computed(()=>Ja.listType==="picture-card"),kd=computed(()=>({...Ja,fileList:ld.value,onStart:cd,onProgress:gd,onSuccess:yd,onError:ud,onRemove:_d}));return onBeforeUnmount(()=>{ld.value.forEach(Ed)}),provide(uploadContextKey,{accept:toRef$1(Ja,"accept")}),qa({abort:rd,submit:sd,clearFiles:od,handleStart:cd,handleRemove:_d}),(Rd,Nd)=>(openBlock(),createElementBlock("div",null,[unref(Td)&&Rd.showFileList?(openBlock(),createBlock(UploadList,{key:0,disabled:unref(ed),"list-type":Rd.listType,files:unref(ld),crossorigin:Rd.crossorigin,"handle-preview":Rd.onPreview,onRemove:unref(_d)},createSlots({append:withCtx(()=>[createVNode(UploadContent,mergeProps({ref_key:"uploadRef",ref:td},unref(kd)),{default:withCtx(()=>[Rd.$slots.trigger?renderSlot(Rd.$slots,"trigger",{key:0}):createCommentVNode("v-if",!0),!Rd.$slots.trigger&&Rd.$slots.default?renderSlot(Rd.$slots,"default",{key:1}):createCommentVNode("v-if",!0)]),_:3},16)]),_:2},[Rd.$slots.file?{name:"default",fn:withCtx(({file:Id,index:Md})=>[renderSlot(Rd.$slots,"file",{file:Id,index:Md})])}:void 0]),1032,["disabled","list-type","files","crossorigin","handle-preview","onRemove"])):createCommentVNode("v-if",!0),!unref(Td)||unref(Td)&&!Rd.showFileList?(openBlock(),createBlock(UploadContent,mergeProps({key:1,ref_key:"uploadRef",ref:td},unref(kd)),{default:withCtx(()=>[Rd.$slots.trigger?renderSlot(Rd.$slots,"trigger",{key:0}):createCommentVNode("v-if",!0),!Rd.$slots.trigger&&Rd.$slots.default?renderSlot(Rd.$slots,"default",{key:1}):createCommentVNode("v-if",!0)]),_:3},16)):createCommentVNode("v-if",!0),Rd.$slots.trigger?renderSlot(Rd.$slots,"default",{key:2}):createCommentVNode("v-if",!0),renderSlot(Rd.$slots,"tip"),!unref(Td)&&Rd.showFileList?(openBlock(),createBlock(UploadList,{key:3,disabled:unref(ed),"list-type":Rd.listType,files:unref(ld),crossorigin:Rd.crossorigin,"handle-preview":Rd.onPreview,onRemove:unref(_d)},createSlots({_:2},[Rd.$slots.file?{name:"default",fn:withCtx(({file:Id,index:Md})=>[renderSlot(Rd.$slots,"file",{file:Id,index:Md})])}:void 0]),1032,["disabled","list-type","files","crossorigin","handle-preview","onRemove"])):createCommentVNode("v-if",!0)]))}});var Upload=_export_sfc$1(_sfc_main$z,[["__file","upload.vue"]]);const ElUpload=withInstall(Upload);function createLoadingComponent(Ra){let qa;const Ja=ref$1(!1),ed=reactive({...Ra,originalPosition:"",originalOverflow:"",visible:!1});function td(yd){ed.text=yd}function rd(){const yd=ed.parent,gd=_d.ns;if(!yd.vLoadingAddClassList){let Ed=yd.getAttribute("loading-number");Ed=Number.parseInt(Ed)-1,Ed?yd.setAttribute("loading-number",Ed.toString()):(removeClass(yd,gd.bm("parent","relative")),yd.removeAttribute("loading-number")),removeClass(yd,gd.bm("parent","hidden"))}sd(),ud.unmount()}function sd(){var yd,gd;(gd=(yd=_d.$el)==null?void 0:yd.parentNode)==null||gd.removeChild(_d.$el)}function od(){var yd;Ra.beforeClose&&!Ra.beforeClose()||(Ja.value=!0,clearTimeout(qa),qa=setTimeout(ld,400),ed.visible=!1,(yd=Ra.closed)==null||yd.call(Ra))}function ld(){if(!Ja.value)return;const yd=ed.parent;Ja.value=!1,yd.vLoadingAddClassList=void 0,rd()}const ud=createApp(defineComponent({name:"ElLoading",setup(yd,{expose:gd}){const{ns:Ed,zIndex:Td}=useGlobalComponentSettings("loading");return gd({ns:Ed,zIndex:Td}),()=>{const kd=ed.spinner||ed.svg,Rd=h$1("svg",{class:"circular",viewBox:ed.svgViewBox?ed.svgViewBox:"0 0 50 50",...kd?{innerHTML:kd}:{}},[h$1("circle",{class:"path",cx:"25",cy:"25",r:"20",fill:"none"})]),Nd=ed.text?h$1("p",{class:Ed.b("text")},[ed.text]):void 0;return h$1(Transition$1,{name:Ed.b("fade"),onAfterLeave:ld},{default:withCtx(()=>[withDirectives(createVNode("div",{style:{backgroundColor:ed.background||""},class:[Ed.b("mask"),ed.customClass,ed.fullscreen?"is-fullscreen":""]},[h$1("div",{class:Ed.b("spinner")},[Rd,Nd])]),[[vShow,ed.visible]])])})}}})),_d=ud.mount(document.createElement("div"));return{...toRefs(ed),setText:td,removeElLoadingChild:sd,close:od,handleAfterLeave:ld,vm:_d,get $el(){return _d.$el}}}let fullscreenInstance;const Loading=function(Ra={}){if(!isClient)return;const qa=resolveOptions(Ra);if(qa.fullscreen&&fullscreenInstance)return fullscreenInstance;const Ja=createLoadingComponent({...qa,closed:()=>{var td;(td=qa.closed)==null||td.call(qa),qa.fullscreen&&(fullscreenInstance=void 0)}});addStyle(qa,qa.parent,Ja),addClassList(qa,qa.parent,Ja),qa.parent.vLoadingAddClassList=()=>addClassList(qa,qa.parent,Ja);let ed=qa.parent.getAttribute("loading-number");return ed?ed=`${Number.parseInt(ed)+1}`:ed="1",qa.parent.setAttribute("loading-number",ed),qa.parent.appendChild(Ja.$el),nextTick$1(()=>Ja.visible.value=qa.visible),qa.fullscreen&&(fullscreenInstance=Ja),Ja},resolveOptions=Ra=>{var qa,Ja,ed,td;let rd;return isString$3(Ra.target)?rd=(qa=document.querySelector(Ra.target))!=null?qa:document.body:rd=Ra.target||document.body,{parent:rd===document.body||Ra.body?document.body:rd,background:Ra.background||"",svg:Ra.svg||"",svgViewBox:Ra.svgViewBox||"",spinner:Ra.spinner||!1,text:Ra.text||"",fullscreen:rd===document.body&&((Ja=Ra.fullscreen)!=null?Ja:!0),lock:(ed=Ra.lock)!=null?ed:!1,customClass:Ra.customClass||"",visible:(td=Ra.visible)!=null?td:!0,beforeClose:Ra.beforeClose,closed:Ra.closed,target:rd}},addStyle=async(Ra,qa,Ja)=>{const{nextZIndex:ed}=Ja.vm.zIndex||Ja.vm._.exposed.zIndex,td={};if(Ra.fullscreen)Ja.originalPosition.value=getStyle(document.body,"position"),Ja.originalOverflow.value=getStyle(document.body,"overflow"),td.zIndex=ed();else if(Ra.parent===document.body){Ja.originalPosition.value=getStyle(document.body,"position"),await nextTick$1();for(const rd of["top","left"]){const sd=rd==="top"?"scrollTop":"scrollLeft";td[rd]=`${Ra.target.getBoundingClientRect()[rd]+document.body[sd]+document.documentElement[sd]-Number.parseInt(getStyle(document.body,`margin-${rd}`),10)}px`}for(const rd of["height","width"])td[rd]=`${Ra.target.getBoundingClientRect()[rd]}px`}else Ja.originalPosition.value=getStyle(qa,"position");for(const[rd,sd]of Object.entries(td))Ja.$el.style[rd]=sd},addClassList=(Ra,qa,Ja)=>{const ed=Ja.vm.ns||Ja.vm._.exposed.ns;["absolute","fixed","sticky"].includes(Ja.originalPosition.value)?removeClass(qa,ed.bm("parent","relative")):addClass(qa,ed.bm("parent","relative")),Ra.fullscreen&&Ra.lock?addClass(qa,ed.bm("parent","hidden")):removeClass(qa,ed.bm("parent","hidden"))},INSTANCE_KEY=Symbol("ElLoading"),createInstance$1=(Ra,qa)=>{var Ja,ed,td,rd;const sd=qa.instance,od=yd=>isObject$5(qa.value)?qa.value[yd]:void 0,ld=yd=>{const gd=isString$3(yd)&&(sd==null?void 0:sd[yd])||yd;return gd&&ref$1(gd)},cd=yd=>ld(od(yd)||Ra.getAttribute(`element-loading-${hyphenate$1(yd)}`)),ud=(Ja=od("fullscreen"))!=null?Ja:qa.modifiers.fullscreen,_d={text:cd("text"),svg:cd("svg"),svgViewBox:cd("svgViewBox"),spinner:cd("spinner"),background:cd("background"),customClass:cd("customClass"),fullscreen:ud,target:(ed=od("target"))!=null?ed:ud?void 0:Ra,body:(td=od("body"))!=null?td:qa.modifiers.body,lock:(rd=od("lock"))!=null?rd:qa.modifiers.lock};Ra[INSTANCE_KEY]={options:_d,instance:Loading(_d)}},updateOptions=(Ra,qa)=>{for(const Ja of Object.keys(qa))isRef(qa[Ja])&&(qa[Ja].value=Ra[Ja])},vLoading={mounted(Ra,qa){qa.value&&createInstance$1(Ra,qa)},updated(Ra,qa){const Ja=Ra[INSTANCE_KEY];qa.oldValue!==qa.value&&(qa.value&&!qa.oldValue?createInstance$1(Ra,qa):qa.value&&qa.oldValue?isObject$5(qa.value)&&updateOptions(qa.value,Ja.options):Ja==null||Ja.instance.close())},unmounted(Ra){var qa;(qa=Ra[INSTANCE_KEY])==null||qa.instance.close(),Ra[INSTANCE_KEY]=null}},ElLoading={install(Ra){Ra.directive("loading",vLoading),Ra.config.globalProperties.$loading=Loading},directive:vLoading,service:Loading},messageTypes=["success","info","warning","error"],messageDefaults=mutable({customClass:"",center:!1,dangerouslyUseHTMLString:!1,duration:3e3,icon:void 0,id:"",message:"",onClose:void 0,showClose:!1,type:"info",plain:!1,offset:16,zIndex:0,grouping:!1,repeatNum:1,appendTo:isClient?document.body:void 0}),messageProps=buildProps({customClass:{type:String,default:messageDefaults.customClass},center:{type:Boolean,default:messageDefaults.center},dangerouslyUseHTMLString:{type:Boolean,default:messageDefaults.dangerouslyUseHTMLString},duration:{type:Number,default:messageDefaults.duration},icon:{type:iconPropType,default:messageDefaults.icon},id:{type:String,default:messageDefaults.id},message:{type:definePropType([String,Object,Function]),default:messageDefaults.message},onClose:{type:definePropType(Function),default:messageDefaults.onClose},showClose:{type:Boolean,default:messageDefaults.showClose},type:{type:String,values:messageTypes,default:messageDefaults.type},plain:{type:Boolean,default:messageDefaults.plain},offset:{type:Number,default:messageDefaults.offset},zIndex:{type:Number,default:messageDefaults.zIndex},grouping:{type:Boolean,default:messageDefaults.grouping},repeatNum:{type:Number,default:messageDefaults.repeatNum}}),messageEmits={destroy:()=>!0},instances=shallowReactive([]),getInstance=Ra=>{const qa=instances.findIndex(td=>td.id===Ra),Ja=instances[qa];let ed;return qa>0&&(ed=instances[qa-1]),{current:Ja,prev:ed}},getLastOffset=Ra=>{const{prev:qa}=getInstance(Ra);return qa?qa.vm.exposed.bottom.value:0},getOffsetOrSpace=(Ra,qa)=>instances.findIndex(ed=>ed.id===Ra)>0?16:qa,__default__$1=defineComponent({name:"ElMessage"}),_sfc_main$y=defineComponent({...__default__$1,props:messageProps,emits:messageEmits,setup(Ra,{expose:qa}){const Ja=Ra,{Close:ed}=TypeComponents,{ns:td,zIndex:rd}=useGlobalComponentSettings("message"),{currentZIndex:sd,nextZIndex:od}=rd,ld=ref$1(),cd=ref$1(!1),ud=ref$1(0);let _d;const yd=computed(()=>Ja.type?Ja.type==="error"?"danger":Ja.type:"info"),gd=computed(()=>{const qd=Ja.type;return{[td.bm("icon",qd)]:qd&&TypeComponentsMap[qd]}}),Ed=computed(()=>Ja.icon||TypeComponentsMap[Ja.type]||""),Td=computed(()=>getLastOffset(Ja.id)),kd=computed(()=>getOffsetOrSpace(Ja.id,Ja.offset)+Td.value),Rd=computed(()=>ud.value+kd.value),Nd=computed(()=>({top:`${kd.value}px`,zIndex:sd.value}));function Id(){Ja.duration!==0&&({stop:_d}=useTimeoutFn(()=>{Ld()},Ja.duration))}function Md(){_d==null||_d()}function Ld(){cd.value=!1}function Pd({code:qd}){qd===EVENT_CODE.esc&&Ld()}return onMounted(()=>{Id(),od(),cd.value=!0}),watch(()=>Ja.repeatNum,()=>{Md(),Id()}),useEventListener(document,"keydown",Pd),useResizeObserver(ld,()=>{ud.value=ld.value.getBoundingClientRect().height}),qa({visible:cd,bottom:Rd,close:Ld}),(qd,Yd)=>(openBlock(),createBlock(Transition$1,{name:unref(td).b("fade"),onBeforeLeave:qd.onClose,onAfterLeave:Ud=>qd.$emit("destroy"),persisted:""},{default:withCtx(()=>[withDirectives(createBaseVNode("div",{id:qd.id,ref_key:"messageRef",ref:ld,class:normalizeClass([unref(td).b(),{[unref(td).m(qd.type)]:qd.type},unref(td).is("center",qd.center),unref(td).is("closable",qd.showClose),unref(td).is("plain",qd.plain),qd.customClass]),style:normalizeStyle(unref(Nd)),role:"alert",onMouseenter:Md,onMouseleave:Id},[qd.repeatNum>1?(openBlock(),createBlock(unref(ElBadge),{key:0,value:qd.repeatNum,type:unref(yd),class:normalizeClass(unref(td).e("badge"))},null,8,["value","type","class"])):createCommentVNode("v-if",!0),unref(Ed)?(openBlock(),createBlock(unref(ElIcon),{key:1,class:normalizeClass([unref(td).e("icon"),unref(gd)])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(Ed))))]),_:1},8,["class"])):createCommentVNode("v-if",!0),renderSlot(qd.$slots,"default",{},()=>[qd.dangerouslyUseHTMLString?(openBlock(),createElementBlock(Fragment,{key:1},[createCommentVNode(" Caution here, message could've been compromised, never use user's input as message "),createBaseVNode("p",{class:normalizeClass(unref(td).e("content")),innerHTML:qd.message},null,10,["innerHTML"])],2112)):(openBlock(),createElementBlock("p",{key:0,class:normalizeClass(unref(td).e("content"))},toDisplayString(qd.message),3))]),qd.showClose?(openBlock(),createBlock(unref(ElIcon),{key:2,class:normalizeClass(unref(td).e("closeBtn")),onClick:withModifiers(Ld,["stop"])},{default:withCtx(()=>[createVNode(unref(ed))]),_:1},8,["class","onClick"])):createCommentVNode("v-if",!0)],46,["id"]),[[vShow,cd.value]])]),_:3},8,["name","onBeforeLeave","onAfterLeave"]))}});var MessageConstructor=_export_sfc$1(_sfc_main$y,[["__file","message.vue"]]);let seed$1=1;const normalizeOptions=Ra=>{const qa=!Ra||isString$3(Ra)||isVNode(Ra)||isFunction$4(Ra)?{message:Ra}:Ra,Ja={...messageDefaults,...qa};if(!Ja.appendTo)Ja.appendTo=document.body;else if(isString$3(Ja.appendTo)){let ed=document.querySelector(Ja.appendTo);isElement$2(ed)||(ed=document.body),Ja.appendTo=ed}return Ja},closeMessage=Ra=>{const qa=instances.indexOf(Ra);if(qa===-1)return;instances.splice(qa,1);const{handler:Ja}=Ra;Ja.close()},createMessage=({appendTo:Ra,...qa},Ja)=>{const ed=`message_${seed$1++}`,td=qa.onClose,rd=document.createElement("div"),sd={...qa,id:ed,onClose:()=>{td==null||td(),closeMessage(ud)},onDestroy:()=>{render$3(null,rd)}},od=createVNode(MessageConstructor,sd,isFunction$4(sd.message)||isVNode(sd.message)?{default:isFunction$4(sd.message)?sd.message:()=>sd.message}:null);od.appContext=Ja||message._context,render$3(od,rd),Ra.appendChild(rd.firstElementChild);const ld=od.component,ud={id:ed,vnode:od,vm:ld,handler:{close:()=>{ld.exposed.visible.value=!1}},props:od.component.props};return ud},message=(Ra={},qa)=>{if(!isClient)return{close:()=>{}};if(isNumber$3(messageConfig.max)&&instances.length>=messageConfig.max)return{close:()=>{}};const Ja=normalizeOptions(Ra);if(Ja.grouping&&instances.length){const td=instances.find(({vnode:rd})=>{var sd;return((sd=rd.props)==null?void 0:sd.message)===Ja.message});if(td)return td.props.repeatNum+=1,td.props.type=Ja.type,td.handler}const ed=createMessage(Ja,qa);return instances.push(ed),ed.handler};messageTypes.forEach(Ra=>{message[Ra]=(qa={},Ja)=>{const ed=normalizeOptions(qa);return message({...ed,type:Ra},Ja)}});function closeAll$1(Ra){for(const qa of instances)(!Ra||Ra===qa.props.type)&&qa.handler.close()}message.closeAll=closeAll$1;message._context=null;const ElMessage=withInstallFunction(message,"$message"),_sfc_main$x=defineComponent({name:"ElMessageBox",directives:{TrapFocus},components:{ElButton,ElFocusTrap,ElInput,ElOverlay,ElIcon,...TypeComponents},inheritAttrs:!1,props:{buttonSize:{type:String,validator:isValidComponentSize},modal:{type:Boolean,default:!0},lockScroll:{type:Boolean,default:!0},showClose:{type:Boolean,default:!0},closeOnClickModal:{type:Boolean,default:!0},closeOnPressEscape:{type:Boolean,default:!0},closeOnHashChange:{type:Boolean,default:!0},center:Boolean,draggable:Boolean,overflow:Boolean,roundButton:{default:!1,type:Boolean},container:{type:String,default:"body"},boxType:{type:String,default:""}},emits:["vanish","action"],setup(Ra,{emit:qa}){const{locale:Ja,zIndex:ed,ns:td,size:rd}=useGlobalComponentSettings("message-box",computed(()=>Ra.buttonSize)),{t:sd}=Ja,{nextZIndex:od}=ed,ld=ref$1(!1),cd=reactive({autofocus:!0,beforeClose:null,callback:null,cancelButtonText:"",cancelButtonClass:"",confirmButtonText:"",confirmButtonClass:"",customClass:"",customStyle:{},dangerouslyUseHTMLString:!1,distinguishCancelAndClose:!1,icon:"",inputPattern:null,inputPlaceholder:"",inputType:"text",inputValue:null,inputValidator:null,inputErrorMessage:"",message:null,modalFade:!0,modalClass:"",showCancelButton:!1,showConfirmButton:!0,type:"",title:void 0,showInput:!1,action:"",confirmButtonLoading:!1,cancelButtonLoading:!1,confirmButtonLoadingIcon:markRaw(loading_default),cancelButtonLoadingIcon:markRaw(loading_default),confirmButtonDisabled:!1,editorErrorMessage:"",validateError:!1,zIndex:od()}),ud=computed(()=>{const hf=cd.type;return{[td.bm("icon",hf)]:hf&&TypeComponentsMap[hf]}}),_d=useId(),yd=useId(),gd=computed(()=>cd.icon||TypeComponentsMap[cd.type]||""),Ed=computed(()=>!!cd.message),Td=ref$1(),kd=ref$1(),Rd=ref$1(),Nd=ref$1(),Id=ref$1(),Md=computed(()=>cd.confirmButtonClass);watch(()=>cd.inputValue,async hf=>{await nextTick$1(),Ra.boxType==="prompt"&&hf!==null&&Jd()},{immediate:!0}),watch(()=>ld.value,hf=>{var _f,xf;hf&&(Ra.boxType!=="prompt"&&(cd.autofocus?Rd.value=(xf=(_f=Id.value)==null?void 0:_f.$el)!=null?xf:Td.value:Rd.value=Td.value),cd.zIndex=od()),Ra.boxType==="prompt"&&(hf?nextTick$1().then(()=>{var Lf;Nd.value&&Nd.value.$el&&(cd.autofocus?Rd.value=(Lf=Zd())!=null?Lf:Td.value:Rd.value=Td.value)}):(cd.editorErrorMessage="",cd.validateError=!1))});const Ld=computed(()=>Ra.draggable),Pd=computed(()=>Ra.overflow);useDraggable(Td,kd,Ld,Pd),onMounted(async()=>{await nextTick$1(),Ra.closeOnHashChange&&window.addEventListener("hashchange",qd)}),onBeforeUnmount(()=>{Ra.closeOnHashChange&&window.removeEventListener("hashchange",qd)});function qd(){ld.value&&(ld.value=!1,nextTick$1(()=>{cd.action&&qa("action",cd.action)}))}const Yd=()=>{Ra.closeOnClickModal&&Vd(cd.distinguishCancelAndClose?"close":"cancel")},Ud=useSameTarget(Yd),Hd=hf=>{if(cd.inputType!=="textarea")return hf.preventDefault(),Vd("confirm")},Vd=hf=>{var _f;Ra.boxType==="prompt"&&hf==="confirm"&&!Jd()||(cd.action=hf,cd.beforeClose?(_f=cd.beforeClose)==null||_f.call(cd,hf,cd,qd):qd())},Jd=()=>{if(Ra.boxType==="prompt"){const hf=cd.inputPattern;if(hf&&!hf.test(cd.inputValue||""))return cd.editorErrorMessage=cd.inputErrorMessage||sd("el.messagebox.error"),cd.validateError=!0,!1;const _f=cd.inputValidator;if(typeof _f=="function"){const xf=_f(cd.inputValue);if(xf===!1)return cd.editorErrorMessage=cd.inputErrorMessage||sd("el.messagebox.error"),cd.validateError=!0,!1;if(typeof xf=="string")return cd.editorErrorMessage=xf,cd.validateError=!0,!1}}return cd.editorErrorMessage="",cd.validateError=!1,!0},Zd=()=>{const hf=Nd.value.$refs;return hf.input||hf.textarea},pf=()=>{Vd("close")},Xd=()=>{Ra.closeOnPressEscape&&pf()};return Ra.lockScroll&&useLockscreen(ld),{...toRefs(cd),ns:td,overlayEvent:Ud,visible:ld,hasMessage:Ed,typeClass:ud,contentId:_d,inputId:yd,btnSize:rd,iconComponent:gd,confirmButtonClasses:Md,rootRef:Td,focusStartRef:Rd,headerRef:kd,inputRef:Nd,confirmRef:Id,doClose:qd,handleClose:pf,onCloseRequested:Xd,handleWrapperClick:Yd,handleInputEnter:Hd,handleAction:Vd,t:sd}}});function _sfc_render(Ra,qa,Ja,ed,td,rd){const sd=resolveComponent("el-icon"),od=resolveComponent("close"),ld=resolveComponent("el-input"),cd=resolveComponent("el-button"),ud=resolveComponent("el-focus-trap"),_d=resolveComponent("el-overlay");return openBlock(),createBlock(Transition$1,{name:"fade-in-linear",onAfterLeave:yd=>Ra.$emit("vanish"),persisted:""},{default:withCtx(()=>[withDirectives(createVNode(_d,{"z-index":Ra.zIndex,"overlay-class":[Ra.ns.is("message-box"),Ra.modalClass],mask:Ra.modal},{default:withCtx(()=>[createBaseVNode("div",{role:"dialog","aria-label":Ra.title,"aria-modal":"true","aria-describedby":Ra.showInput?void 0:Ra.contentId,class:normalizeClass(`${Ra.ns.namespace.value}-overlay-message-box`),onClick:Ra.overlayEvent.onClick,onMousedown:Ra.overlayEvent.onMousedown,onMouseup:Ra.overlayEvent.onMouseup},[createVNode(ud,{loop:"",trapped:Ra.visible,"focus-trap-el":Ra.rootRef,"focus-start-el":Ra.focusStartRef,onReleaseRequested:Ra.onCloseRequested},{default:withCtx(()=>[createBaseVNode("div",{ref:"rootRef",class:normalizeClass([Ra.ns.b(),Ra.customClass,Ra.ns.is("draggable",Ra.draggable),{[Ra.ns.m("center")]:Ra.center}]),style:normalizeStyle(Ra.customStyle),tabindex:"-1",onClick:withModifiers(()=>{},["stop"])},[Ra.title!==null&&Ra.title!==void 0?(openBlock(),createElementBlock("div",{key:0,ref:"headerRef",class:normalizeClass([Ra.ns.e("header"),{"show-close":Ra.showClose}])},[createBaseVNode("div",{class:normalizeClass(Ra.ns.e("title"))},[Ra.iconComponent&&Ra.center?(openBlock(),createBlock(sd,{key:0,class:normalizeClass([Ra.ns.e("status"),Ra.typeClass])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ra.iconComponent)))]),_:1},8,["class"])):createCommentVNode("v-if",!0),createBaseVNode("span",null,toDisplayString(Ra.title),1)],2),Ra.showClose?(openBlock(),createElementBlock("button",{key:0,type:"button",class:normalizeClass(Ra.ns.e("headerbtn")),"aria-label":Ra.t("el.messagebox.close"),onClick:yd=>Ra.handleAction(Ra.distinguishCancelAndClose?"close":"cancel"),onKeydown:withKeys(withModifiers(yd=>Ra.handleAction(Ra.distinguishCancelAndClose?"close":"cancel"),["prevent"]),["enter"])},[createVNode(sd,{class:normalizeClass(Ra.ns.e("close"))},{default:withCtx(()=>[createVNode(od)]),_:1},8,["class"])],42,["aria-label","onClick","onKeydown"])):createCommentVNode("v-if",!0)],2)):createCommentVNode("v-if",!0),createBaseVNode("div",{id:Ra.contentId,class:normalizeClass(Ra.ns.e("content"))},[createBaseVNode("div",{class:normalizeClass(Ra.ns.e("container"))},[Ra.iconComponent&&!Ra.center&&Ra.hasMessage?(openBlock(),createBlock(sd,{key:0,class:normalizeClass([Ra.ns.e("status"),Ra.typeClass])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(Ra.iconComponent)))]),_:1},8,["class"])):createCommentVNode("v-if",!0),Ra.hasMessage?(openBlock(),createElementBlock("div",{key:1,class:normalizeClass(Ra.ns.e("message"))},[renderSlot(Ra.$slots,"default",{},()=>[Ra.dangerouslyUseHTMLString?(openBlock(),createBlock(resolveDynamicComponent(Ra.showInput?"label":"p"),{key:1,for:Ra.showInput?Ra.inputId:void 0,innerHTML:Ra.message},null,8,["for","innerHTML"])):(openBlock(),createBlock(resolveDynamicComponent(Ra.showInput?"label":"p"),{key:0,for:Ra.showInput?Ra.inputId:void 0},{default:withCtx(()=>[createTextVNode(toDisplayString(Ra.dangerouslyUseHTMLString?"":Ra.message),1)]),_:1},8,["for"]))])],2)):createCommentVNode("v-if",!0)],2),withDirectives(createBaseVNode("div",{class:normalizeClass(Ra.ns.e("input"))},[createVNode(ld,{id:Ra.inputId,ref:"inputRef",modelValue:Ra.inputValue,"onUpdate:modelValue":yd=>Ra.inputValue=yd,type:Ra.inputType,placeholder:Ra.inputPlaceholder,"aria-invalid":Ra.validateError,class:normalizeClass({invalid:Ra.validateError}),onKeydown:withKeys(Ra.handleInputEnter,["enter"])},null,8,["id","modelValue","onUpdate:modelValue","type","placeholder","aria-invalid","class","onKeydown"]),createBaseVNode("div",{class:normalizeClass(Ra.ns.e("errormsg")),style:normalizeStyle({visibility:Ra.editorErrorMessage?"visible":"hidden"})},toDisplayString(Ra.editorErrorMessage),7)],2),[[vShow,Ra.showInput]])],10,["id"]),createBaseVNode("div",{class:normalizeClass(Ra.ns.e("btns"))},[Ra.showCancelButton?(openBlock(),createBlock(cd,{key:0,loading:Ra.cancelButtonLoading,"loading-icon":Ra.cancelButtonLoadingIcon,class:normalizeClass([Ra.cancelButtonClass]),round:Ra.roundButton,size:Ra.btnSize,onClick:yd=>Ra.handleAction("cancel"),onKeydown:withKeys(withModifiers(yd=>Ra.handleAction("cancel"),["prevent"]),["enter"])},{default:withCtx(()=>[createTextVNode(toDisplayString(Ra.cancelButtonText||Ra.t("el.messagebox.cancel")),1)]),_:1},8,["loading","loading-icon","class","round","size","onClick","onKeydown"])):createCommentVNode("v-if",!0),withDirectives(createVNode(cd,{ref:"confirmRef",type:"primary",loading:Ra.confirmButtonLoading,"loading-icon":Ra.confirmButtonLoadingIcon,class:normalizeClass([Ra.confirmButtonClasses]),round:Ra.roundButton,disabled:Ra.confirmButtonDisabled,size:Ra.btnSize,onClick:yd=>Ra.handleAction("confirm"),onKeydown:withKeys(withModifiers(yd=>Ra.handleAction("confirm"),["prevent"]),["enter"])},{default:withCtx(()=>[createTextVNode(toDisplayString(Ra.confirmButtonText||Ra.t("el.messagebox.confirm")),1)]),_:1},8,["loading","loading-icon","class","round","disabled","size","onClick","onKeydown"]),[[vShow,Ra.showConfirmButton]])],2)],14,["onClick"])]),_:3},8,["trapped","focus-trap-el","focus-start-el","onReleaseRequested"])],42,["aria-label","aria-describedby","onClick","onMousedown","onMouseup"])]),_:3},8,["z-index","overlay-class","mask"]),[[vShow,Ra.visible]])]),_:3},8,["onAfterLeave"])}var MessageBoxConstructor=_export_sfc$1(_sfc_main$x,[["render",_sfc_render],["__file","index.vue"]]);const messageInstance=new Map,getAppendToElement=Ra=>{let qa=document.body;return Ra.appendTo&&(isString$3(Ra.appendTo)&&(qa=document.querySelector(Ra.appendTo)),isElement$2(Ra.appendTo)&&(qa=Ra.appendTo),isElement$2(qa)||(qa=document.body)),qa},initInstance=(Ra,qa,Ja=null)=>{const ed=createVNode(MessageBoxConstructor,Ra,isFunction$4(Ra.message)||isVNode(Ra.message)?{default:isFunction$4(Ra.message)?Ra.message:()=>Ra.message}:null);return ed.appContext=Ja,render$3(ed,qa),getAppendToElement(Ra).appendChild(qa.firstElementChild),ed.component},genContainer=()=>document.createElement("div"),showMessage=(Ra,qa)=>{const Ja=genContainer();Ra.onVanish=()=>{render$3(null,Ja),messageInstance.delete(td)},Ra.onAction=rd=>{const sd=messageInstance.get(td);let od;Ra.showInput?od={value:td.inputValue,action:rd}:od=rd,Ra.callback?Ra.callback(od,ed.proxy):rd==="cancel"||rd==="close"?Ra.distinguishCancelAndClose&&rd!=="cancel"?sd.reject("close"):sd.reject("cancel"):sd.resolve(od)};const ed=initInstance(Ra,Ja,qa),td=ed.proxy;for(const rd in Ra)hasOwn$1(Ra,rd)&&!hasOwn$1(td.$props,rd)&&(td[rd]=Ra[rd]);return td.visible=!0,td};function MessageBox(Ra,qa=null){if(!isClient)return Promise.reject();let Ja;return isString$3(Ra)||isVNode(Ra)?Ra={message:Ra}:Ja=Ra.callback,new Promise((ed,td)=>{const rd=showMessage(Ra,qa??MessageBox._context);messageInstance.set(rd,{options:Ra,callback:Ja,resolve:ed,reject:td})})}const MESSAGE_BOX_VARIANTS=["alert","confirm","prompt"],MESSAGE_BOX_DEFAULT_OPTS={alert:{closeOnPressEscape:!1,closeOnClickModal:!1},confirm:{showCancelButton:!0},prompt:{showCancelButton:!0,showInput:!0}};MESSAGE_BOX_VARIANTS.forEach(Ra=>{MessageBox[Ra]=messageBoxFactory(Ra)});function messageBoxFactory(Ra){return(qa,Ja,ed,td)=>{let rd="";return isObject$5(Ja)?(ed=Ja,rd=""):isUndefined$1(Ja)?rd="":rd=Ja,MessageBox(Object.assign({title:rd,message:qa,type:"",...MESSAGE_BOX_DEFAULT_OPTS[Ra]},ed,{boxType:Ra}),td)}}MessageBox.close=()=>{messageInstance.forEach((Ra,qa)=>{qa.doClose()}),messageInstance.clear()};MessageBox._context=null;const _MessageBox=MessageBox;_MessageBox.install=Ra=>{_MessageBox._context=Ra._context,Ra.config.globalProperties.$msgbox=_MessageBox,Ra.config.globalProperties.$messageBox=_MessageBox,Ra.config.globalProperties.$alert=_MessageBox.alert,Ra.config.globalProperties.$confirm=_MessageBox.confirm,Ra.config.globalProperties.$prompt=_MessageBox.prompt};const ElMessageBox=_MessageBox,notificationTypes=["success","info","warning","error"],notificationProps=buildProps({customClass:{type:String,default:""},dangerouslyUseHTMLString:Boolean,duration:{type:Number,default:4500},icon:{type:iconPropType},id:{type:String,default:""},message:{type:definePropType([String,Object]),default:""},offset:{type:Number,default:0},onClick:{type:definePropType(Function),default:()=>{}},onClose:{type:definePropType(Function),required:!0},position:{type:String,values:["top-right","top-left","bottom-right","bottom-left"],default:"top-right"},showClose:{type:Boolean,default:!0},title:{type:String,default:""},type:{type:String,values:[...notificationTypes,""],default:""},zIndex:Number}),notificationEmits={destroy:()=>!0},__default__=defineComponent({name:"ElNotification"}),_sfc_main$w=defineComponent({...__default__,props:notificationProps,emits:notificationEmits,setup(Ra,{expose:qa}){const Ja=Ra,{ns:ed,zIndex:td}=useGlobalComponentSettings("notification"),{nextZIndex:rd,currentZIndex:sd}=td,{Close:od}=CloseComponents,ld=ref$1(!1);let cd;const ud=computed(()=>{const Id=Ja.type;return Id&&TypeComponentsMap[Ja.type]?ed.m(Id):""}),_d=computed(()=>Ja.type&&TypeComponentsMap[Ja.type]||Ja.icon),yd=computed(()=>Ja.position.endsWith("right")?"right":"left"),gd=computed(()=>Ja.position.startsWith("top")?"top":"bottom"),Ed=computed(()=>{var Id;return{[gd.value]:`${Ja.offset}px`,zIndex:(Id=Ja.zIndex)!=null?Id:sd.value}});function Td(){Ja.duration>0&&({stop:cd}=useTimeoutFn(()=>{ld.value&&Rd()},Ja.duration))}function kd(){cd==null||cd()}function Rd(){ld.value=!1}function Nd({code:Id}){Id===EVENT_CODE.delete||Id===EVENT_CODE.backspace?kd():Id===EVENT_CODE.esc?ld.value&&Rd():Td()}return onMounted(()=>{Td(),rd(),ld.value=!0}),useEventListener(document,"keydown",Nd),qa({visible:ld,close:Rd}),(Id,Md)=>(openBlock(),createBlock(Transition$1,{name:unref(ed).b("fade"),onBeforeLeave:Id.onClose,onAfterLeave:Ld=>Id.$emit("destroy"),persisted:""},{default:withCtx(()=>[withDirectives(createBaseVNode("div",{id:Id.id,class:normalizeClass([unref(ed).b(),Id.customClass,unref(yd)]),style:normalizeStyle(unref(Ed)),role:"alert",onMouseenter:kd,onMouseleave:Td,onClick:Id.onClick},[unref(_d)?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass([unref(ed).e("icon"),unref(ud)])},{default:withCtx(()=>[(openBlock(),createBlock(resolveDynamicComponent(unref(_d))))]),_:1},8,["class"])):createCommentVNode("v-if",!0),createBaseVNode("div",{class:normalizeClass(unref(ed).e("group"))},[createBaseVNode("h2",{class:normalizeClass(unref(ed).e("title")),textContent:toDisplayString(Id.title)},null,10,["textContent"]),withDirectives(createBaseVNode("div",{class:normalizeClass(unref(ed).e("content")),style:normalizeStyle(Id.title?void 0:{margin:0})},[renderSlot(Id.$slots,"default",{},()=>[Id.dangerouslyUseHTMLString?(openBlock(),createElementBlock(Fragment,{key:1},[createCommentVNode(" Caution here, message could've been compromised, never use user's input as message "),createBaseVNode("p",{innerHTML:Id.message},null,8,["innerHTML"])],2112)):(openBlock(),createElementBlock("p",{key:0},toDisplayString(Id.message),1))])],6),[[vShow,Id.message]]),Id.showClose?(openBlock(),createBlock(unref(ElIcon),{key:0,class:normalizeClass(unref(ed).e("closeBtn")),onClick:withModifiers(Rd,["stop"])},{default:withCtx(()=>[createVNode(unref(od))]),_:1},8,["class","onClick"])):createCommentVNode("v-if",!0)],2)],46,["id","onClick"]),[[vShow,ld.value]])]),_:3},8,["name","onBeforeLeave","onAfterLeave"]))}});var NotificationConstructor=_export_sfc$1(_sfc_main$w,[["__file","notification.vue"]]);const notifications={"top-left":[],"top-right":[],"bottom-left":[],"bottom-right":[]},GAP_SIZE=16;let seed=1;const notify=function(Ra={},qa=null){if(!isClient)return{close:()=>{}};(typeof Ra=="string"||isVNode(Ra))&&(Ra={message:Ra});const Ja=Ra.position||"top-right";let ed=Ra.offset||0;notifications[Ja].forEach(({vm:ud})=>{var _d;ed+=(((_d=ud.el)==null?void 0:_d.offsetHeight)||0)+GAP_SIZE}),ed+=GAP_SIZE;const td=`notification_${seed++}`,rd=Ra.onClose,sd={...Ra,offset:ed,id:td,onClose:()=>{close$1(td,Ja,rd)}};let od=document.body;isElement$2(Ra.appendTo)?od=Ra.appendTo:isString$3(Ra.appendTo)&&(od=document.querySelector(Ra.appendTo)),isElement$2(od)||(od=document.body);const ld=document.createElement("div"),cd=createVNode(NotificationConstructor,sd,isVNode(sd.message)?{default:()=>sd.message}:null);return cd.appContext=qa??notify._context,cd.props.onDestroy=()=>{render$3(null,ld)},render$3(cd,ld),notifications[Ja].push({vm:cd}),od.appendChild(ld.firstElementChild),{close:()=>{cd.component.exposed.visible.value=!1}}};notificationTypes.forEach(Ra=>{notify[Ra]=(qa={})=>((typeof qa=="string"||isVNode(qa))&&(qa={message:qa}),notify({...qa,type:Ra}))});function close$1(Ra,qa,Ja){const ed=notifications[qa],td=ed.findIndex(({vm:cd})=>{var ud;return((ud=cd.component)==null?void 0:ud.props.id)===Ra});if(td===-1)return;const{vm:rd}=ed[td];if(!rd)return;Ja==null||Ja(rd);const sd=rd.el.offsetHeight,od=qa.split("-")[0];ed.splice(td,1);const ld=ed.length;if(!(ld<1))for(let cd=td;cd<ld;cd++){const{el:ud,component:_d}=ed[cd].vm,yd=Number.parseInt(ud.style[od],10)-sd-GAP_SIZE;_d.props.offset=yd}}function closeAll(){for(const Ra of Object.values(notifications))Ra.forEach(({vm:qa})=>{qa.component.exposed.visible.value=!1})}notify.closeAll=closeAll;notify._context=null;const ElNotification=withInstallFunction(notify,"$notify"),githubConfig={username:"bucketio",repoList:Array.from({length:20},(Ra,qa)=>`img${qa}`),branch:"main",accessTokenList:["ghp_sqQg5y7XC7Fy8XdoocsmdVEYRiRiTZPvbwzTL4MRjQc","ghp_jB5JXzBjpGbgzdoocsmdogWfSHhfCKGVstozw1cAsPv","ghp_zvy8wkHo259g7doocsmdJnUKOQd1WO1SPzZ9G0O9cJD","ghp_DnCJc2Ms0RVZ1doocsmdiWOAN78FurfSeD1Pv2Y28pO","ghp_EsMYDv9WVjXWP5doocsmd1nnDml2DEP95rOiz44bSo0","ghp_L4isHf01nllOOdoocsmdHBGoDG6jscCA09WV44QDvlg","ghp_qWciwYXHPakAUGdoocsmdBOBZdRcV08JThKey3mBZNJ","ghp_rxkvIO08wVL2DMdoocsmd2jDEhcatp2rfVyhd3A7RiS","ghp_1RvkWKboSxr0yVdoocsmd7OtBCpecYwoV6deh3utifJ","ghp_cduanDnAug60ngdoocsmdF1uDstXUi6S9RMhY1qdada","ghp_q6mxuJIkqAcsCXdoocsmdkkjWvzGlMVRuy5zI0IWNDx","ghp_Pv4npPeJpChKFMTdoocsmdCQneopUcqJrqrjl3vrt9A","ghp_gKMCFqMaQiLTqhjdoocsmd7BJE8RyK6AdRw4b42CutS","ghp_2oShgb33qFlqBmadoocsmdludmuLYxBFY5bao1XrsVo","ghp_eYyd3kxWTZmsV8doocsmdDFbAa7AEGQTJgmOd0GUmtY"]},giteeConfig={username:"filesss",repoList:Array.from({length:20},(Ra,qa)=>`img${qa}`),branch:"main",accessTokenList:["ed5fc9866bd6c2fdoocsmddd433f806fd2f399c","5448ffebbbf1151doocsmdc4e337cf814fc8a62","25b05efd2557ca2doocsmd75b5c0835e3395911","11628c7a5aef015doocsmd2eeff9fb9566f0458","cb2f5145ed938dedoocsmdbd063b4ed244eecf8","d8c0b57500672c1doocsmd55f48b866b5ebcd98","78c56eadb88e453doocsmd43ddd95753351771a","03e1a688003948fdoocsmda16fcf41e6f03f1f0","c49121cf4d191fbdoocsmdd6a7877ed537e474a","adfeb2fadcdc4aadoocsmdfe1ee869ac9c968ff","116c94549ca4a0ddoocsmd192653af5c0694616","ecf30ed7f2eb184doocsmd51ea4ec8300371d9e","5837cf2bd5afd93doocsmd73904bed31934949e","b5b7e1c7d57e01fdoocsmd5266f552574297d78","684d55564ffbd0bdoocsmd7d747e5cc23aed6d6","3fc04a9d272ab71doocsmd010c56cb57d88d2ba"]},fontFamilyOptions=[{label:"无衬线",value:"-apple-system-font,BlinkMacSystemFont, Helvetica Neue, PingFang SC, Hiragino Sans GB , Microsoft YaHei UI , Microsoft YaHei ,Arial,sans-serif",desc:"字体123Abc"},{label:"衬线",value:"Optima-Regular, Optima, PingFangSC-light, PingFangTC-light, 'PingFang SC', Cambria, Cochin, Georgia, Times, 'Times New Roman', serif",desc:"字体123Abc"},{label:"等宽",value:"Menlo, Monaco, 'Courier New', monospace",desc:"字体123Abc"}],fontSizeOptions=[{label:"12px",value:"12px",desc:"更小"},{label:"13px",value:"13px",desc:"稍小"},{label:"14px",value:"14px",desc:"推荐"},{label:"15px",value:"15px",desc:"稍大"},{label:"16px",value:"16px",desc:"更大"}],colorOptions=[{label:"经典蓝",value:"#0F4C81",desc:"最新流行"},{label:"翡翠绿",value:"#009874",desc:"优雅清新"},{label:"活力橘",value:"#FA5151",desc:"热情活泼"},{label:"柠檬黄",value:"#FECE00",desc:"明亮温暖"},{label:"樱桃红",value:"#FF445F",desc:"浪漫热情"},{label:"浅紫色",value:"#92617E",desc:"优雅高贵"},{label:"天空蓝",value:"#55C9EA",desc:"清新脱俗"}],codeBlockUrlPrefix="https://cdn.bootcdn.net/ajax/libs/highlight.js/11.10.0/styles/",codeBlockThemeList=["1c-light.min.css","a11y-dark.min.css","a11y-light.min.css","agate.min.css","an-old-hope.min.css","androidstudio.min.css","arduino-light.min.css","arta.min.css","ascetic.min.css","atom-one-dark-reasonable.min.css","atom-one-dark.min.css","atom-one-light.min.css","brown-paper.min.css","codepen-embed.min.css","color-brewer.min.css","dark.min.css","default.min.css","devibeans.min.css","docco.min.css","far.min.css","felipec.min.css","foundation.min.css","github-dark-dimmed.min.css","github-dark.min.css","github.min.css","gml.min.css","googlecode.min.css","gradient-dark.min.css","gradient-light.min.css","grayscale.min.css","hybrid.min.css","idea.min.css","intellij-light.min.css","ir-black.min.css","isbl-editor-dark.min.css","isbl-editor-light.min.css","kimbie-dark.min.css","kimbie-light.min.css","lightfair.min.css","lioshi.min.css","magula.min.css","mono-blue.min.css","monokai-sublime.min.css","monokai.min.css","night-owl.min.css","nnfx-dark.min.css","nnfx-light.min.css","nord.min.css","obsidian.min.css","panda-syntax-dark.min.css","panda-syntax-light.min.css","paraiso-dark.min.css","paraiso-light.min.css","pojoaque.min.css","purebasic.min.css","qtcreator-dark.min.css","qtcreator-light.min.css","rainbow.min.css","routeros.min.css","school-book.min.css","shades-of-purple.min.css","srcery.min.css","stackoverflow-dark.min.css","stackoverflow-light.min.css","sunburst.min.css","tokyo-night-dark.min.css","tokyo-night-light.min.css","tomorrow-night-blue.min.css","tomorrow-night-bright.min.css","vs.min.css","vs2015.min.css","xcode.min.css","xt256.min.css"].sort(),codeBlockThemeOptions=codeBlockThemeList.map(Ra=>{const qa=Ra.replace(".min.css",""),Ja=`${codeBlockUrlPrefix}${Ra}`;return{label:qa,value:Ja,desc:""}}),legendOptions=[{label:"title 优先",value:"title-alt",desc:""},{label:"alt 优先",value:"alt-title",desc:""},{label:"只显示 title",value:"title",desc:""},{label:"只显示 alt",value:"alt",desc:""},{label:"不显示",value:"none",desc:""}];var buffer$1={},base64Js$1={};base64Js$1.byteLength=byteLength;base64Js$1.toByteArray=toByteArray;base64Js$1.fromByteArray=fromByteArray;var lookup=[],revLookup=[],Arr=typeof Uint8Array<"u"?Uint8Array:Array,code="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/";for(var i$4=0,len=code.length;i$4<len;++i$4)lookup[i$4]=code[i$4],revLookup[code.charCodeAt(i$4)]=i$4;revLookup[45]=62;revLookup[95]=63;function getLens(Ra){var qa=Ra.length;if(qa%4>0)throw new Error("Invalid string. Length must be a multiple of 4");var Ja=Ra.indexOf("=");Ja===-1&&(Ja=qa);var ed=Ja===qa?0:4-Ja%4;return[Ja,ed]}function byteLength(Ra){var qa=getLens(Ra),Ja=qa[0],ed=qa[1];return(Ja+ed)*3/4-ed}function _byteLength(Ra,qa,Ja){return(qa+Ja)*3/4-Ja}function toByteArray(Ra){var qa,Ja=getLens(Ra),ed=Ja[0],td=Ja[1],rd=new Arr(_byteLength(Ra,ed,td)),sd=0,od=td>0?ed-4:ed,ld;for(ld=0;ld<od;ld+=4)qa=revLookup[Ra.charCodeAt(ld)]<<18|revLookup[Ra.charCodeAt(ld+1)]<<12|revLookup[Ra.charCodeAt(ld+2)]<<6|revLookup[Ra.charCodeAt(ld+3)],rd[sd++]=qa>>16&255,rd[sd++]=qa>>8&255,rd[sd++]=qa&255;return td===2&&(qa=revLookup[Ra.charCodeAt(ld)]<<2|revLookup[Ra.charCodeAt(ld+1)]>>4,rd[sd++]=qa&255),td===1&&(qa=revLookup[Ra.charCodeAt(ld)]<<10|revLookup[Ra.charCodeAt(ld+1)]<<4|revLookup[Ra.charCodeAt(ld+2)]>>2,rd[sd++]=qa>>8&255,rd[sd++]=qa&255),rd}function tripletToBase64(Ra){return lookup[Ra>>18&63]+lookup[Ra>>12&63]+lookup[Ra>>6&63]+lookup[Ra&63]}function encodeChunk(Ra,qa,Ja){for(var ed,td=[],rd=qa;rd<Ja;rd+=3)ed=(Ra[rd]<<16&16711680)+(Ra[rd+1]<<8&65280)+(Ra[rd+2]&255),td.push(tripletToBase64(ed));return td.join("")}function fromByteArray(Ra){for(var qa,Ja=Ra.length,ed=Ja%3,td=[],rd=16383,sd=0,od=Ja-ed;sd<od;sd+=rd)td.push(encodeChunk(Ra,sd,sd+rd>od?od:sd+rd));return ed===1?(qa=Ra[Ja-1],td.push(lookup[qa>>2]+lookup[qa<<4&63]+"==")):ed===2&&(qa=(Ra[Ja-2]<<8)+Ra[Ja-1],td.push(lookup[qa>>10]+lookup[qa>>4&63]+lookup[qa<<2&63]+"=")),td.join("")}var ieee754$1={};/*! ieee754. BSD-3-Clause License. Feross Aboukhadijeh <https://feross.org/opensource> */ieee754$1.read=function(Ra,qa,Ja,ed,td){var rd,sd,od=td*8-ed-1,ld=(1<<od)-1,cd=ld>>1,ud=-7,_d=Ja?td-1:0,yd=Ja?-1:1,gd=Ra[qa+_d];for(_d+=yd,rd=gd&(1<<-ud)-1,gd>>=-ud,ud+=od;ud>0;rd=rd*256+Ra[qa+_d],_d+=yd,ud-=8);for(sd=rd&(1<<-ud)-1,rd>>=-ud,ud+=ed;ud>0;sd=sd*256+Ra[qa+_d],_d+=yd,ud-=8);if(rd===0)rd=1-cd;else{if(rd===ld)return sd?NaN:(gd?-1:1)*(1/0);sd=sd+Math.pow(2,ed),rd=rd-cd}return(gd?-1:1)*sd*Math.pow(2,rd-ed)};ieee754$1.write=function(Ra,qa,Ja,ed,td,rd){var sd,od,ld,cd=rd*8-td-1,ud=(1<<cd)-1,_d=ud>>1,yd=td===23?Math.pow(2,-24)-Math.pow(2,-77):0,gd=ed?0:rd-1,Ed=ed?1:-1,Td=qa<0||qa===0&&1/qa<0?1:0;for(qa=Math.abs(qa),isNaN(qa)||qa===1/0?(od=isNaN(qa)?1:0,sd=ud):(sd=Math.floor(Math.log(qa)/Math.LN2),qa*(ld=Math.pow(2,-sd))<1&&(sd--,ld*=2),sd+_d>=1?qa+=yd/ld:qa+=yd*Math.pow(2,1-_d),qa*ld>=2&&(sd++,ld/=2),sd+_d>=ud?(od=0,sd=ud):sd+_d>=1?(od=(qa*ld-1)*Math.pow(2,td),sd=sd+_d):(od=qa*Math.pow(2,_d-1)*Math.pow(2,td),sd=0));td>=8;Ra[Ja+gd]=od&255,gd+=Ed,od/=256,td-=8);for(sd=sd<<td|od,cd+=td;cd>0;Ra[Ja+gd]=sd&255,gd+=Ed,sd/=256,cd-=8);Ra[Ja+gd-Ed]|=Td*128};/*!
|
||
* The buffer module from node.js, for the browser.
|
||
*
|
||
* @author Feross Aboukhadijeh <https://feross.org>
|
||
* @license MIT
|
||
*/(function(Ra){const qa=base64Js$1,Ja=ieee754$1,ed=typeof Symbol=="function"&&typeof Symbol.for=="function"?Symbol.for("nodejs.util.inspect.custom"):null;Ra.Buffer=ud,Ra.SlowBuffer=Ld,Ra.INSPECT_MAX_BYTES=50;const td=2147483647;Ra.kMaxLength=td;const{Uint8Array:rd,ArrayBuffer:sd,SharedArrayBuffer:od}=globalThis;ud.TYPED_ARRAY_SUPPORT=ld(),!ud.TYPED_ARRAY_SUPPORT&&typeof console<"u"&&typeof console.error=="function"&&console.error("This browser lacks typed array (Uint8Array) support which is required by `buffer` v5.x. Use `buffer` v4.x if you require old browser support.");function ld(){try{const ih=new rd(1),Rf={foo:function(){return 42}};return Object.setPrototypeOf(Rf,rd.prototype),Object.setPrototypeOf(ih,Rf),ih.foo()===42}catch{return!1}}Object.defineProperty(ud.prototype,"parent",{enumerable:!0,get:function(){if(ud.isBuffer(this))return this.buffer}}),Object.defineProperty(ud.prototype,"offset",{enumerable:!0,get:function(){if(ud.isBuffer(this))return this.byteOffset}});function cd(ih){if(ih>td)throw new RangeError('The value "'+ih+'" is invalid for option "size"');const Rf=new rd(ih);return Object.setPrototypeOf(Rf,ud.prototype),Rf}function ud(ih,Rf,Df){if(typeof ih=="number"){if(typeof Rf=="string")throw new TypeError('The "string" argument must be of type string. Received type number');return Ed(ih)}return _d(ih,Rf,Df)}ud.poolSize=8192;function _d(ih,Rf,Df){if(typeof ih=="string")return Td(ih,Rf);if(sd.isView(ih))return Rd(ih);if(ih==null)throw new TypeError("The first argument must be one of type string, Buffer, ArrayBuffer, Array, or Array-like Object. Received type "+typeof ih);if(jh(ih,sd)||ih&&jh(ih.buffer,sd)||typeof od<"u"&&(jh(ih,od)||ih&&jh(ih.buffer,od)))return Nd(ih,Rf,Df);if(typeof ih=="number")throw new TypeError('The "value" argument must not be of type number. Received type number');const Zf=ih.valueOf&&ih.valueOf();if(Zf!=null&&Zf!==ih)return ud.from(Zf,Rf,Df);const bh=Id(ih);if(bh)return bh;if(typeof Symbol<"u"&&Symbol.toPrimitive!=null&&typeof ih[Symbol.toPrimitive]=="function")return ud.from(ih[Symbol.toPrimitive]("string"),Rf,Df);throw new TypeError("The first argument must be one of type string, Buffer, ArrayBuffer, Array, or Array-like Object. Received type "+typeof ih)}ud.from=function(ih,Rf,Df){return _d(ih,Rf,Df)},Object.setPrototypeOf(ud.prototype,rd.prototype),Object.setPrototypeOf(ud,rd);function yd(ih){if(typeof ih!="number")throw new TypeError('"size" argument must be of type number');if(ih<0)throw new RangeError('The value "'+ih+'" is invalid for option "size"')}function gd(ih,Rf,Df){return yd(ih),ih<=0?cd(ih):Rf!==void 0?typeof Df=="string"?cd(ih).fill(Rf,Df):cd(ih).fill(Rf):cd(ih)}ud.alloc=function(ih,Rf,Df){return gd(ih,Rf,Df)};function Ed(ih){return yd(ih),cd(ih<0?0:Md(ih)|0)}ud.allocUnsafe=function(ih){return Ed(ih)},ud.allocUnsafeSlow=function(ih){return Ed(ih)};function Td(ih,Rf){if((typeof Rf!="string"||Rf==="")&&(Rf="utf8"),!ud.isEncoding(Rf))throw new TypeError("Unknown encoding: "+Rf);const Df=Pd(ih,Rf)|0;let Zf=cd(Df);const bh=Zf.write(ih,Rf);return bh!==Df&&(Zf=Zf.slice(0,bh)),Zf}function kd(ih){const Rf=ih.length<0?0:Md(ih.length)|0,Df=cd(Rf);for(let Zf=0;Zf<Rf;Zf+=1)Df[Zf]=ih[Zf]&255;return Df}function Rd(ih){if(jh(ih,rd)){const Rf=new rd(ih);return Nd(Rf.buffer,Rf.byteOffset,Rf.byteLength)}return kd(ih)}function Nd(ih,Rf,Df){if(Rf<0||ih.byteLength<Rf)throw new RangeError('"offset" is outside of buffer bounds');if(ih.byteLength<Rf+(Df||0))throw new RangeError('"length" is outside of buffer bounds');let Zf;return Rf===void 0&&Df===void 0?Zf=new rd(ih):Df===void 0?Zf=new rd(ih,Rf):Zf=new rd(ih,Rf,Df),Object.setPrototypeOf(Zf,ud.prototype),Zf}function Id(ih){if(ud.isBuffer(ih)){const Rf=Md(ih.length)|0,Df=cd(Rf);return Df.length===0||ih.copy(Df,0,0,Rf),Df}if(ih.length!==void 0)return typeof ih.length!="number"||Zh(ih.length)?cd(0):kd(ih);if(ih.type==="Buffer"&&Array.isArray(ih.data))return kd(ih.data)}function Md(ih){if(ih>=td)throw new RangeError("Attempt to allocate Buffer larger than maximum size: 0x"+td.toString(16)+" bytes");return ih|0}function Ld(ih){return+ih!=ih&&(ih=0),ud.alloc(+ih)}ud.isBuffer=function(Rf){return Rf!=null&&Rf._isBuffer===!0&&Rf!==ud.prototype},ud.compare=function(Rf,Df){if(jh(Rf,rd)&&(Rf=ud.from(Rf,Rf.offset,Rf.byteLength)),jh(Df,rd)&&(Df=ud.from(Df,Df.offset,Df.byteLength)),!ud.isBuffer(Rf)||!ud.isBuffer(Df))throw new TypeError('The "buf1", "buf2" arguments must be one of type Buffer or Uint8Array');if(Rf===Df)return 0;let Zf=Rf.length,bh=Df.length;for(let Lh=0,Hh=Math.min(Zf,bh);Lh<Hh;++Lh)if(Rf[Lh]!==Df[Lh]){Zf=Rf[Lh],bh=Df[Lh];break}return Zf<bh?-1:bh<Zf?1:0},ud.isEncoding=function(Rf){switch(String(Rf).toLowerCase()){case"hex":case"utf8":case"utf-8":case"ascii":case"latin1":case"binary":case"base64":case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return!0;default:return!1}},ud.concat=function(Rf,Df){if(!Array.isArray(Rf))throw new TypeError('"list" argument must be an Array of Buffers');if(Rf.length===0)return ud.alloc(0);let Zf;if(Df===void 0)for(Df=0,Zf=0;Zf<Rf.length;++Zf)Df+=Rf[Zf].length;const bh=ud.allocUnsafe(Df);let Lh=0;for(Zf=0;Zf<Rf.length;++Zf){let Hh=Rf[Zf];if(jh(Hh,rd))Lh+Hh.length>bh.length?(ud.isBuffer(Hh)||(Hh=ud.from(Hh)),Hh.copy(bh,Lh)):rd.prototype.set.call(bh,Hh,Lh);else if(ud.isBuffer(Hh))Hh.copy(bh,Lh);else throw new TypeError('"list" argument must be an Array of Buffers');Lh+=Hh.length}return bh};function Pd(ih,Rf){if(ud.isBuffer(ih))return ih.length;if(sd.isView(ih)||jh(ih,sd))return ih.byteLength;if(typeof ih!="string")throw new TypeError('The "string" argument must be one of type string, Buffer, or ArrayBuffer. Received type '+typeof ih);const Df=ih.length,Zf=arguments.length>2&&arguments[2]===!0;if(!Zf&&Df===0)return 0;let bh=!1;for(;;)switch(Rf){case"ascii":case"latin1":case"binary":return Df;case"utf8":case"utf-8":return gh(ih).length;case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return Df*2;case"hex":return Df>>>1;case"base64":return $h(ih).length;default:if(bh)return Zf?-1:gh(ih).length;Rf=(""+Rf).toLowerCase(),bh=!0}}ud.byteLength=Pd;function qd(ih,Rf,Df){let Zf=!1;if((Rf===void 0||Rf<0)&&(Rf=0),Rf>this.length||((Df===void 0||Df>this.length)&&(Df=this.length),Df<=0)||(Df>>>=0,Rf>>>=0,Df<=Rf))return"";for(ih||(ih="utf8");;)switch(ih){case"hex":return If(this,Rf,Df);case"utf8":case"utf-8":return _f(this,Rf,Df);case"ascii":return Wf(this,Rf,Df);case"latin1":case"binary":return Yf(this,Rf,Df);case"base64":return hf(this,Rf,Df);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return Sf(this,Rf,Df);default:if(Zf)throw new TypeError("Unknown encoding: "+ih);ih=(ih+"").toLowerCase(),Zf=!0}}ud.prototype._isBuffer=!0;function Yd(ih,Rf,Df){const Zf=ih[Rf];ih[Rf]=ih[Df],ih[Df]=Zf}ud.prototype.swap16=function(){const Rf=this.length;if(Rf%2!==0)throw new RangeError("Buffer size must be a multiple of 16-bits");for(let Df=0;Df<Rf;Df+=2)Yd(this,Df,Df+1);return this},ud.prototype.swap32=function(){const Rf=this.length;if(Rf%4!==0)throw new RangeError("Buffer size must be a multiple of 32-bits");for(let Df=0;Df<Rf;Df+=4)Yd(this,Df,Df+3),Yd(this,Df+1,Df+2);return this},ud.prototype.swap64=function(){const Rf=this.length;if(Rf%8!==0)throw new RangeError("Buffer size must be a multiple of 64-bits");for(let Df=0;Df<Rf;Df+=8)Yd(this,Df,Df+7),Yd(this,Df+1,Df+6),Yd(this,Df+2,Df+5),Yd(this,Df+3,Df+4);return this},ud.prototype.toString=function(){const Rf=this.length;return Rf===0?"":arguments.length===0?_f(this,0,Rf):qd.apply(this,arguments)},ud.prototype.toLocaleString=ud.prototype.toString,ud.prototype.equals=function(Rf){if(!ud.isBuffer(Rf))throw new TypeError("Argument must be a Buffer");return this===Rf?!0:ud.compare(this,Rf)===0},ud.prototype.inspect=function(){let Rf="";const Df=Ra.INSPECT_MAX_BYTES;return Rf=this.toString("hex",0,Df).replace(/(.{2})/g,"$1 ").trim(),this.length>Df&&(Rf+=" ... "),"<Buffer "+Rf+">"},ed&&(ud.prototype[ed]=ud.prototype.inspect),ud.prototype.compare=function(Rf,Df,Zf,bh,Lh){if(jh(Rf,rd)&&(Rf=ud.from(Rf,Rf.offset,Rf.byteLength)),!ud.isBuffer(Rf))throw new TypeError('The "target" argument must be one of type Buffer or Uint8Array. Received type '+typeof Rf);if(Df===void 0&&(Df=0),Zf===void 0&&(Zf=Rf?Rf.length:0),bh===void 0&&(bh=0),Lh===void 0&&(Lh=this.length),Df<0||Zf>Rf.length||bh<0||Lh>this.length)throw new RangeError("out of range index");if(bh>=Lh&&Df>=Zf)return 0;if(bh>=Lh)return-1;if(Df>=Zf)return 1;if(Df>>>=0,Zf>>>=0,bh>>>=0,Lh>>>=0,this===Rf)return 0;let Hh=Lh-bh,Xh=Zf-Df;const gm=Math.min(Hh,Xh),om=this.slice(bh,Lh),Gm=Rf.slice(Df,Zf);for(let Fm=0;Fm<gm;++Fm)if(om[Fm]!==Gm[Fm]){Hh=om[Fm],Xh=Gm[Fm];break}return Hh<Xh?-1:Xh<Hh?1:0};function Ud(ih,Rf,Df,Zf,bh){if(ih.length===0)return-1;if(typeof Df=="string"?(Zf=Df,Df=0):Df>2147483647?Df=2147483647:Df<-2147483648&&(Df=-2147483648),Df=+Df,Zh(Df)&&(Df=bh?0:ih.length-1),Df<0&&(Df=ih.length+Df),Df>=ih.length){if(bh)return-1;Df=ih.length-1}else if(Df<0)if(bh)Df=0;else return-1;if(typeof Rf=="string"&&(Rf=ud.from(Rf,Zf)),ud.isBuffer(Rf))return Rf.length===0?-1:Hd(ih,Rf,Df,Zf,bh);if(typeof Rf=="number")return Rf=Rf&255,typeof rd.prototype.indexOf=="function"?bh?rd.prototype.indexOf.call(ih,Rf,Df):rd.prototype.lastIndexOf.call(ih,Rf,Df):Hd(ih,[Rf],Df,Zf,bh);throw new TypeError("val must be string, number or Buffer")}function Hd(ih,Rf,Df,Zf,bh){let Lh=1,Hh=ih.length,Xh=Rf.length;if(Zf!==void 0&&(Zf=String(Zf).toLowerCase(),Zf==="ucs2"||Zf==="ucs-2"||Zf==="utf16le"||Zf==="utf-16le")){if(ih.length<2||Rf.length<2)return-1;Lh=2,Hh/=2,Xh/=2,Df/=2}function gm(Gm,Fm){return Lh===1?Gm[Fm]:Gm.readUInt16BE(Fm*Lh)}let om;if(bh){let Gm=-1;for(om=Df;om<Hh;om++)if(gm(ih,om)===gm(Rf,Gm===-1?0:om-Gm)){if(Gm===-1&&(Gm=om),om-Gm+1===Xh)return Gm*Lh}else Gm!==-1&&(om-=om-Gm),Gm=-1}else for(Df+Xh>Hh&&(Df=Hh-Xh),om=Df;om>=0;om--){let Gm=!0;for(let Fm=0;Fm<Xh;Fm++)if(gm(ih,om+Fm)!==gm(Rf,Fm)){Gm=!1;break}if(Gm)return om}return-1}ud.prototype.includes=function(Rf,Df,Zf){return this.indexOf(Rf,Df,Zf)!==-1},ud.prototype.indexOf=function(Rf,Df,Zf){return Ud(this,Rf,Df,Zf,!0)},ud.prototype.lastIndexOf=function(Rf,Df,Zf){return Ud(this,Rf,Df,Zf,!1)};function Vd(ih,Rf,Df,Zf){Df=Number(Df)||0;const bh=ih.length-Df;Zf?(Zf=Number(Zf),Zf>bh&&(Zf=bh)):Zf=bh;const Lh=Rf.length;Zf>Lh/2&&(Zf=Lh/2);let Hh;for(Hh=0;Hh<Zf;++Hh){const Xh=parseInt(Rf.substr(Hh*2,2),16);if(Zh(Xh))return Hh;ih[Df+Hh]=Xh}return Hh}function Jd(ih,Rf,Df,Zf){return Rh(gh(Rf,ih.length-Df),ih,Df,Zf)}function Zd(ih,Rf,Df,Zf){return Rh(Nh(Rf),ih,Df,Zf)}function pf(ih,Rf,Df,Zf){return Rh($h(Rf),ih,Df,Zf)}function Xd(ih,Rf,Df,Zf){return Rh(dh(Rf,ih.length-Df),ih,Df,Zf)}ud.prototype.write=function(Rf,Df,Zf,bh){if(Df===void 0)bh="utf8",Zf=this.length,Df=0;else if(Zf===void 0&&typeof Df=="string")bh=Df,Zf=this.length,Df=0;else if(isFinite(Df))Df=Df>>>0,isFinite(Zf)?(Zf=Zf>>>0,bh===void 0&&(bh="utf8")):(bh=Zf,Zf=void 0);else throw new Error("Buffer.write(string, encoding, offset[, length]) is no longer supported");const Lh=this.length-Df;if((Zf===void 0||Zf>Lh)&&(Zf=Lh),Rf.length>0&&(Zf<0||Df<0)||Df>this.length)throw new RangeError("Attempt to write outside buffer bounds");bh||(bh="utf8");let Hh=!1;for(;;)switch(bh){case"hex":return Vd(this,Rf,Df,Zf);case"utf8":case"utf-8":return Jd(this,Rf,Df,Zf);case"ascii":case"latin1":case"binary":return Zd(this,Rf,Df,Zf);case"base64":return pf(this,Rf,Df,Zf);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return Xd(this,Rf,Df,Zf);default:if(Hh)throw new TypeError("Unknown encoding: "+bh);bh=(""+bh).toLowerCase(),Hh=!0}},ud.prototype.toJSON=function(){return{type:"Buffer",data:Array.prototype.slice.call(this._arr||this,0)}};function hf(ih,Rf,Df){return Rf===0&&Df===ih.length?qa.fromByteArray(ih):qa.fromByteArray(ih.slice(Rf,Df))}function _f(ih,Rf,Df){Df=Math.min(ih.length,Df);const Zf=[];let bh=Rf;for(;bh<Df;){const Lh=ih[bh];let Hh=null,Xh=Lh>239?4:Lh>223?3:Lh>191?2:1;if(bh+Xh<=Df){let gm,om,Gm,Fm;switch(Xh){case 1:Lh<128&&(Hh=Lh);break;case 2:gm=ih[bh+1],(gm&192)===128&&(Fm=(Lh&31)<<6|gm&63,Fm>127&&(Hh=Fm));break;case 3:gm=ih[bh+1],om=ih[bh+2],(gm&192)===128&&(om&192)===128&&(Fm=(Lh&15)<<12|(gm&63)<<6|om&63,Fm>2047&&(Fm<55296||Fm>57343)&&(Hh=Fm));break;case 4:gm=ih[bh+1],om=ih[bh+2],Gm=ih[bh+3],(gm&192)===128&&(om&192)===128&&(Gm&192)===128&&(Fm=(Lh&15)<<18|(gm&63)<<12|(om&63)<<6|Gm&63,Fm>65535&&Fm<1114112&&(Hh=Fm))}}Hh===null?(Hh=65533,Xh=1):Hh>65535&&(Hh-=65536,Zf.push(Hh>>>10&1023|55296),Hh=56320|Hh&1023),Zf.push(Hh),bh+=Xh}return Lf(Zf)}const xf=4096;function Lf(ih){const Rf=ih.length;if(Rf<=xf)return String.fromCharCode.apply(String,ih);let Df="",Zf=0;for(;Zf<Rf;)Df+=String.fromCharCode.apply(String,ih.slice(Zf,Zf+=xf));return Df}function Wf(ih,Rf,Df){let Zf="";Df=Math.min(ih.length,Df);for(let bh=Rf;bh<Df;++bh)Zf+=String.fromCharCode(ih[bh]&127);return Zf}function Yf(ih,Rf,Df){let Zf="";Df=Math.min(ih.length,Df);for(let bh=Rf;bh<Df;++bh)Zf+=String.fromCharCode(ih[bh]);return Zf}function If(ih,Rf,Df){const Zf=ih.length;(!Rf||Rf<0)&&(Rf=0),(!Df||Df<0||Df>Zf)&&(Df=Zf);let bh="";for(let Lh=Rf;Lh<Df;++Lh)bh+=Wh[ih[Lh]];return bh}function Sf(ih,Rf,Df){const Zf=ih.slice(Rf,Df);let bh="";for(let Lh=0;Lh<Zf.length-1;Lh+=2)bh+=String.fromCharCode(Zf[Lh]+Zf[Lh+1]*256);return bh}ud.prototype.slice=function(Rf,Df){const Zf=this.length;Rf=~~Rf,Df=Df===void 0?Zf:~~Df,Rf<0?(Rf+=Zf,Rf<0&&(Rf=0)):Rf>Zf&&(Rf=Zf),Df<0?(Df+=Zf,Df<0&&(Df=0)):Df>Zf&&(Df=Zf),Df<Rf&&(Df=Rf);const bh=this.subarray(Rf,Df);return Object.setPrototypeOf(bh,ud.prototype),bh};function wf(ih,Rf,Df){if(ih%1!==0||ih<0)throw new RangeError("offset is not uint");if(ih+Rf>Df)throw new RangeError("Trying to access beyond buffer length")}ud.prototype.readUintLE=ud.prototype.readUIntLE=function(Rf,Df,Zf){Rf=Rf>>>0,Df=Df>>>0,Zf||wf(Rf,Df,this.length);let bh=this[Rf],Lh=1,Hh=0;for(;++Hh<Df&&(Lh*=256);)bh+=this[Rf+Hh]*Lh;return bh},ud.prototype.readUintBE=ud.prototype.readUIntBE=function(Rf,Df,Zf){Rf=Rf>>>0,Df=Df>>>0,Zf||wf(Rf,Df,this.length);let bh=this[Rf+--Df],Lh=1;for(;Df>0&&(Lh*=256);)bh+=this[Rf+--Df]*Lh;return bh},ud.prototype.readUint8=ud.prototype.readUInt8=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,1,this.length),this[Rf]},ud.prototype.readUint16LE=ud.prototype.readUInt16LE=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,2,this.length),this[Rf]|this[Rf+1]<<8},ud.prototype.readUint16BE=ud.prototype.readUInt16BE=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,2,this.length),this[Rf]<<8|this[Rf+1]},ud.prototype.readUint32LE=ud.prototype.readUInt32LE=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,4,this.length),(this[Rf]|this[Rf+1]<<8|this[Rf+2]<<16)+this[Rf+3]*16777216},ud.prototype.readUint32BE=ud.prototype.readUInt32BE=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,4,this.length),this[Rf]*16777216+(this[Rf+1]<<16|this[Rf+2]<<8|this[Rf+3])},ud.prototype.readBigUInt64LE=sm(function(Rf){Rf=Rf>>>0,qf(Rf,"offset");const Df=this[Rf],Zf=this[Rf+7];(Df===void 0||Zf===void 0)&&Tf(Rf,this.length-8);const bh=Df+this[++Rf]*2**8+this[++Rf]*2**16+this[++Rf]*2**24,Lh=this[++Rf]+this[++Rf]*2**8+this[++Rf]*2**16+Zf*2**24;return BigInt(bh)+(BigInt(Lh)<<BigInt(32))}),ud.prototype.readBigUInt64BE=sm(function(Rf){Rf=Rf>>>0,qf(Rf,"offset");const Df=this[Rf],Zf=this[Rf+7];(Df===void 0||Zf===void 0)&&Tf(Rf,this.length-8);const bh=Df*2**24+this[++Rf]*2**16+this[++Rf]*2**8+this[++Rf],Lh=this[++Rf]*2**24+this[++Rf]*2**16+this[++Rf]*2**8+Zf;return(BigInt(bh)<<BigInt(32))+BigInt(Lh)}),ud.prototype.readIntLE=function(Rf,Df,Zf){Rf=Rf>>>0,Df=Df>>>0,Zf||wf(Rf,Df,this.length);let bh=this[Rf],Lh=1,Hh=0;for(;++Hh<Df&&(Lh*=256);)bh+=this[Rf+Hh]*Lh;return Lh*=128,bh>=Lh&&(bh-=Math.pow(2,8*Df)),bh},ud.prototype.readIntBE=function(Rf,Df,Zf){Rf=Rf>>>0,Df=Df>>>0,Zf||wf(Rf,Df,this.length);let bh=Df,Lh=1,Hh=this[Rf+--bh];for(;bh>0&&(Lh*=256);)Hh+=this[Rf+--bh]*Lh;return Lh*=128,Hh>=Lh&&(Hh-=Math.pow(2,8*Df)),Hh},ud.prototype.readInt8=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,1,this.length),this[Rf]&128?(255-this[Rf]+1)*-1:this[Rf]},ud.prototype.readInt16LE=function(Rf,Df){Rf=Rf>>>0,Df||wf(Rf,2,this.length);const Zf=this[Rf]|this[Rf+1]<<8;return Zf&32768?Zf|4294901760:Zf},ud.prototype.readInt16BE=function(Rf,Df){Rf=Rf>>>0,Df||wf(Rf,2,this.length);const Zf=this[Rf+1]|this[Rf]<<8;return Zf&32768?Zf|4294901760:Zf},ud.prototype.readInt32LE=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,4,this.length),this[Rf]|this[Rf+1]<<8|this[Rf+2]<<16|this[Rf+3]<<24},ud.prototype.readInt32BE=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,4,this.length),this[Rf]<<24|this[Rf+1]<<16|this[Rf+2]<<8|this[Rf+3]},ud.prototype.readBigInt64LE=sm(function(Rf){Rf=Rf>>>0,qf(Rf,"offset");const Df=this[Rf],Zf=this[Rf+7];(Df===void 0||Zf===void 0)&&Tf(Rf,this.length-8);const bh=this[Rf+4]+this[Rf+5]*2**8+this[Rf+6]*2**16+(Zf<<24);return(BigInt(bh)<<BigInt(32))+BigInt(Df+this[++Rf]*2**8+this[++Rf]*2**16+this[++Rf]*2**24)}),ud.prototype.readBigInt64BE=sm(function(Rf){Rf=Rf>>>0,qf(Rf,"offset");const Df=this[Rf],Zf=this[Rf+7];(Df===void 0||Zf===void 0)&&Tf(Rf,this.length-8);const bh=(Df<<24)+this[++Rf]*2**16+this[++Rf]*2**8+this[++Rf];return(BigInt(bh)<<BigInt(32))+BigInt(this[++Rf]*2**24+this[++Rf]*2**16+this[++Rf]*2**8+Zf)}),ud.prototype.readFloatLE=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,4,this.length),Ja.read(this,Rf,!0,23,4)},ud.prototype.readFloatBE=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,4,this.length),Ja.read(this,Rf,!1,23,4)},ud.prototype.readDoubleLE=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,8,this.length),Ja.read(this,Rf,!0,52,8)},ud.prototype.readDoubleBE=function(Rf,Df){return Rf=Rf>>>0,Df||wf(Rf,8,this.length),Ja.read(this,Rf,!1,52,8)};function Kf(ih,Rf,Df,Zf,bh,Lh){if(!ud.isBuffer(ih))throw new TypeError('"buffer" argument must be a Buffer instance');if(Rf>bh||Rf<Lh)throw new RangeError('"value" argument is out of bounds');if(Df+Zf>ih.length)throw new RangeError("Index out of range")}ud.prototype.writeUintLE=ud.prototype.writeUIntLE=function(Rf,Df,Zf,bh){if(Rf=+Rf,Df=Df>>>0,Zf=Zf>>>0,!bh){const Xh=Math.pow(2,8*Zf)-1;Kf(this,Rf,Df,Zf,Xh,0)}let Lh=1,Hh=0;for(this[Df]=Rf&255;++Hh<Zf&&(Lh*=256);)this[Df+Hh]=Rf/Lh&255;return Df+Zf},ud.prototype.writeUintBE=ud.prototype.writeUIntBE=function(Rf,Df,Zf,bh){if(Rf=+Rf,Df=Df>>>0,Zf=Zf>>>0,!bh){const Xh=Math.pow(2,8*Zf)-1;Kf(this,Rf,Df,Zf,Xh,0)}let Lh=Zf-1,Hh=1;for(this[Df+Lh]=Rf&255;--Lh>=0&&(Hh*=256);)this[Df+Lh]=Rf/Hh&255;return Df+Zf},ud.prototype.writeUint8=ud.prototype.writeUInt8=function(Rf,Df,Zf){return Rf=+Rf,Df=Df>>>0,Zf||Kf(this,Rf,Df,1,255,0),this[Df]=Rf&255,Df+1},ud.prototype.writeUint16LE=ud.prototype.writeUInt16LE=function(Rf,Df,Zf){return Rf=+Rf,Df=Df>>>0,Zf||Kf(this,Rf,Df,2,65535,0),this[Df]=Rf&255,this[Df+1]=Rf>>>8,Df+2},ud.prototype.writeUint16BE=ud.prototype.writeUInt16BE=function(Rf,Df,Zf){return Rf=+Rf,Df=Df>>>0,Zf||Kf(this,Rf,Df,2,65535,0),this[Df]=Rf>>>8,this[Df+1]=Rf&255,Df+2},ud.prototype.writeUint32LE=ud.prototype.writeUInt32LE=function(Rf,Df,Zf){return Rf=+Rf,Df=Df>>>0,Zf||Kf(this,Rf,Df,4,4294967295,0),this[Df+3]=Rf>>>24,this[Df+2]=Rf>>>16,this[Df+1]=Rf>>>8,this[Df]=Rf&255,Df+4},ud.prototype.writeUint32BE=ud.prototype.writeUInt32BE=function(Rf,Df,Zf){return Rf=+Rf,Df=Df>>>0,Zf||Kf(this,Rf,Df,4,4294967295,0),this[Df]=Rf>>>24,this[Df+1]=Rf>>>16,this[Df+2]=Rf>>>8,this[Df+3]=Rf&255,Df+4};function Gf(ih,Rf,Df,Zf,bh){Ch(Rf,Zf,bh,ih,Df,7);let Lh=Number(Rf&BigInt(4294967295));ih[Df++]=Lh,Lh=Lh>>8,ih[Df++]=Lh,Lh=Lh>>8,ih[Df++]=Lh,Lh=Lh>>8,ih[Df++]=Lh;let Hh=Number(Rf>>BigInt(32)&BigInt(4294967295));return ih[Df++]=Hh,Hh=Hh>>8,ih[Df++]=Hh,Hh=Hh>>8,ih[Df++]=Hh,Hh=Hh>>8,ih[Df++]=Hh,Df}function gf(ih,Rf,Df,Zf,bh){Ch(Rf,Zf,bh,ih,Df,7);let Lh=Number(Rf&BigInt(4294967295));ih[Df+7]=Lh,Lh=Lh>>8,ih[Df+6]=Lh,Lh=Lh>>8,ih[Df+5]=Lh,Lh=Lh>>8,ih[Df+4]=Lh;let Hh=Number(Rf>>BigInt(32)&BigInt(4294967295));return ih[Df+3]=Hh,Hh=Hh>>8,ih[Df+2]=Hh,Hh=Hh>>8,ih[Df+1]=Hh,Hh=Hh>>8,ih[Df]=Hh,Df+8}ud.prototype.writeBigUInt64LE=sm(function(Rf,Df=0){return Gf(this,Rf,Df,BigInt(0),BigInt("0xffffffffffffffff"))}),ud.prototype.writeBigUInt64BE=sm(function(Rf,Df=0){return gf(this,Rf,Df,BigInt(0),BigInt("0xffffffffffffffff"))}),ud.prototype.writeIntLE=function(Rf,Df,Zf,bh){if(Rf=+Rf,Df=Df>>>0,!bh){const gm=Math.pow(2,8*Zf-1);Kf(this,Rf,Df,Zf,gm-1,-gm)}let Lh=0,Hh=1,Xh=0;for(this[Df]=Rf&255;++Lh<Zf&&(Hh*=256);)Rf<0&&Xh===0&&this[Df+Lh-1]!==0&&(Xh=1),this[Df+Lh]=(Rf/Hh>>0)-Xh&255;return Df+Zf},ud.prototype.writeIntBE=function(Rf,Df,Zf,bh){if(Rf=+Rf,Df=Df>>>0,!bh){const gm=Math.pow(2,8*Zf-1);Kf(this,Rf,Df,Zf,gm-1,-gm)}let Lh=Zf-1,Hh=1,Xh=0;for(this[Df+Lh]=Rf&255;--Lh>=0&&(Hh*=256);)Rf<0&&Xh===0&&this[Df+Lh+1]!==0&&(Xh=1),this[Df+Lh]=(Rf/Hh>>0)-Xh&255;return Df+Zf},ud.prototype.writeInt8=function(Rf,Df,Zf){return Rf=+Rf,Df=Df>>>0,Zf||Kf(this,Rf,Df,1,127,-128),Rf<0&&(Rf=255+Rf+1),this[Df]=Rf&255,Df+1},ud.prototype.writeInt16LE=function(Rf,Df,Zf){return Rf=+Rf,Df=Df>>>0,Zf||Kf(this,Rf,Df,2,32767,-32768),this[Df]=Rf&255,this[Df+1]=Rf>>>8,Df+2},ud.prototype.writeInt16BE=function(Rf,Df,Zf){return Rf=+Rf,Df=Df>>>0,Zf||Kf(this,Rf,Df,2,32767,-32768),this[Df]=Rf>>>8,this[Df+1]=Rf&255,Df+2},ud.prototype.writeInt32LE=function(Rf,Df,Zf){return Rf=+Rf,Df=Df>>>0,Zf||Kf(this,Rf,Df,4,2147483647,-2147483648),this[Df]=Rf&255,this[Df+1]=Rf>>>8,this[Df+2]=Rf>>>16,this[Df+3]=Rf>>>24,Df+4},ud.prototype.writeInt32BE=function(Rf,Df,Zf){return Rf=+Rf,Df=Df>>>0,Zf||Kf(this,Rf,Df,4,2147483647,-2147483648),Rf<0&&(Rf=4294967295+Rf+1),this[Df]=Rf>>>24,this[Df+1]=Rf>>>16,this[Df+2]=Rf>>>8,this[Df+3]=Rf&255,Df+4},ud.prototype.writeBigInt64LE=sm(function(Rf,Df=0){return Gf(this,Rf,Df,-BigInt("0x8000000000000000"),BigInt("0x7fffffffffffffff"))}),ud.prototype.writeBigInt64BE=sm(function(Rf,Df=0){return gf(this,Rf,Df,-BigInt("0x8000000000000000"),BigInt("0x7fffffffffffffff"))});function mf(ih,Rf,Df,Zf,bh,Lh){if(Df+Zf>ih.length)throw new RangeError("Index out of range");if(Df<0)throw new RangeError("Index out of range")}function $f(ih,Rf,Df,Zf,bh){return Rf=+Rf,Df=Df>>>0,bh||mf(ih,Rf,Df,4),Ja.write(ih,Rf,Df,Zf,23,4),Df+4}ud.prototype.writeFloatLE=function(Rf,Df,Zf){return $f(this,Rf,Df,!0,Zf)},ud.prototype.writeFloatBE=function(Rf,Df,Zf){return $f(this,Rf,Df,!1,Zf)};function zf(ih,Rf,Df,Zf,bh){return Rf=+Rf,Df=Df>>>0,bh||mf(ih,Rf,Df,8),Ja.write(ih,Rf,Df,Zf,52,8),Df+8}ud.prototype.writeDoubleLE=function(Rf,Df,Zf){return zf(this,Rf,Df,!0,Zf)},ud.prototype.writeDoubleBE=function(Rf,Df,Zf){return zf(this,Rf,Df,!1,Zf)},ud.prototype.copy=function(Rf,Df,Zf,bh){if(!ud.isBuffer(Rf))throw new TypeError("argument should be a Buffer");if(Zf||(Zf=0),!bh&&bh!==0&&(bh=this.length),Df>=Rf.length&&(Df=Rf.length),Df||(Df=0),bh>0&&bh<Zf&&(bh=Zf),bh===Zf||Rf.length===0||this.length===0)return 0;if(Df<0)throw new RangeError("targetStart out of bounds");if(Zf<0||Zf>=this.length)throw new RangeError("Index out of range");if(bh<0)throw new RangeError("sourceEnd out of bounds");bh>this.length&&(bh=this.length),Rf.length-Df<bh-Zf&&(bh=Rf.length-Df+Zf);const Lh=bh-Zf;return this===Rf&&typeof rd.prototype.copyWithin=="function"?this.copyWithin(Df,Zf,bh):rd.prototype.set.call(Rf,this.subarray(Zf,bh),Df),Lh},ud.prototype.fill=function(Rf,Df,Zf,bh){if(typeof Rf=="string"){if(typeof Df=="string"?(bh=Df,Df=0,Zf=this.length):typeof Zf=="string"&&(bh=Zf,Zf=this.length),bh!==void 0&&typeof bh!="string")throw new TypeError("encoding must be a string");if(typeof bh=="string"&&!ud.isEncoding(bh))throw new TypeError("Unknown encoding: "+bh);if(Rf.length===1){const Hh=Rf.charCodeAt(0);(bh==="utf8"&&Hh<128||bh==="latin1")&&(Rf=Hh)}}else typeof Rf=="number"?Rf=Rf&255:typeof Rf=="boolean"&&(Rf=Number(Rf));if(Df<0||this.length<Df||this.length<Zf)throw new RangeError("Out of range index");if(Zf<=Df)return this;Df=Df>>>0,Zf=Zf===void 0?this.length:Zf>>>0,Rf||(Rf=0);let Lh;if(typeof Rf=="number")for(Lh=Df;Lh<Zf;++Lh)this[Lh]=Rf;else{const Hh=ud.isBuffer(Rf)?Rf:ud.from(Rf,bh),Xh=Hh.length;if(Xh===0)throw new TypeError('The value "'+Rf+'" is invalid for argument "value"');for(Lh=0;Lh<Zf-Df;++Lh)this[Lh+Df]=Hh[Lh%Xh]}return this};const hh={};function Vf(ih,Rf,Df){hh[ih]=class extends Df{constructor(){super(),Object.defineProperty(this,"message",{value:Rf.apply(this,arguments),writable:!0,configurable:!0}),this.name=`${this.name} [${ih}]`,this.stack,delete this.name}get code(){return ih}set code(bh){Object.defineProperty(this,"code",{configurable:!0,enumerable:!0,value:bh,writable:!0})}toString(){return`${this.name} [${ih}]: ${this.message}`}}}Vf("ERR_BUFFER_OUT_OF_BOUNDS",function(ih){return ih?`${ih} is outside of buffer bounds`:"Attempt to access memory outside buffer bounds"},RangeError),Vf("ERR_INVALID_ARG_TYPE",function(ih,Rf){return`The "${ih}" argument must be of type number. Received type ${typeof Rf}`},TypeError),Vf("ERR_OUT_OF_RANGE",function(ih,Rf,Df){let Zf=`The value of "${ih}" is out of range.`,bh=Df;return Number.isInteger(Df)&&Math.abs(Df)>2**32?bh=kf(String(Df)):typeof Df=="bigint"&&(bh=String(Df),(Df>BigInt(2)**BigInt(32)||Df<-(BigInt(2)**BigInt(32)))&&(bh=kf(bh)),bh+="n"),Zf+=` It must be ${Rf}. Received ${bh}`,Zf},RangeError);function kf(ih){let Rf="",Df=ih.length;const Zf=ih[0]==="-"?1:0;for(;Df>=Zf+4;Df-=3)Rf=`_${ih.slice(Df-3,Df)}${Rf}`;return`${ih.slice(0,Df)}${Rf}`}function Jf(ih,Rf,Df){qf(Rf,"offset"),(ih[Rf]===void 0||ih[Rf+Df]===void 0)&&Tf(Rf,ih.length-(Df+1))}function Ch(ih,Rf,Df,Zf,bh,Lh){if(ih>Df||ih<Rf){const Hh=typeof Rf=="bigint"?"n":"";let Xh;throw Rf===0||Rf===BigInt(0)?Xh=`>= 0${Hh} and < 2${Hh} ** ${(Lh+1)*8}${Hh}`:Xh=`>= -(2${Hh} ** ${(Lh+1)*8-1}${Hh}) and < 2 ** ${(Lh+1)*8-1}${Hh}`,new hh.ERR_OUT_OF_RANGE("value",Xh,ih)}Jf(Zf,bh,Lh)}function qf(ih,Rf){if(typeof ih!="number")throw new hh.ERR_INVALID_ARG_TYPE(Rf,"number",ih)}function Tf(ih,Rf,Df){throw Math.floor(ih)!==ih?(qf(ih,Df),new hh.ERR_OUT_OF_RANGE("offset","an integer",ih)):Rf<0?new hh.ERR_BUFFER_OUT_OF_BOUNDS:new hh.ERR_OUT_OF_RANGE("offset",`>= 0 and <= ${Rf}`,ih)}const Af=/[^+/0-9A-Za-z-_]/g;function Pf(ih){if(ih=ih.split("=")[0],ih=ih.trim().replace(Af,""),ih.length<2)return"";for(;ih.length%4!==0;)ih=ih+"=";return ih}function gh(ih,Rf){Rf=Rf||1/0;let Df;const Zf=ih.length;let bh=null;const Lh=[];for(let Hh=0;Hh<Zf;++Hh){if(Df=ih.charCodeAt(Hh),Df>55295&&Df<57344){if(!bh){if(Df>56319){(Rf-=3)>-1&&Lh.push(239,191,189);continue}else if(Hh+1===Zf){(Rf-=3)>-1&&Lh.push(239,191,189);continue}bh=Df;continue}if(Df<56320){(Rf-=3)>-1&&Lh.push(239,191,189),bh=Df;continue}Df=(bh-55296<<10|Df-56320)+65536}else bh&&(Rf-=3)>-1&&Lh.push(239,191,189);if(bh=null,Df<128){if((Rf-=1)<0)break;Lh.push(Df)}else if(Df<2048){if((Rf-=2)<0)break;Lh.push(Df>>6|192,Df&63|128)}else if(Df<65536){if((Rf-=3)<0)break;Lh.push(Df>>12|224,Df>>6&63|128,Df&63|128)}else if(Df<1114112){if((Rf-=4)<0)break;Lh.push(Df>>18|240,Df>>12&63|128,Df>>6&63|128,Df&63|128)}else throw new Error("Invalid code point")}return Lh}function Nh(ih){const Rf=[];for(let Df=0;Df<ih.length;++Df)Rf.push(ih.charCodeAt(Df)&255);return Rf}function dh(ih,Rf){let Df,Zf,bh;const Lh=[];for(let Hh=0;Hh<ih.length&&!((Rf-=2)<0);++Hh)Df=ih.charCodeAt(Hh),Zf=Df>>8,bh=Df%256,Lh.push(bh),Lh.push(Zf);return Lh}function $h(ih){return qa.toByteArray(Pf(ih))}function Rh(ih,Rf,Df,Zf){let bh;for(bh=0;bh<Zf&&!(bh+Df>=Rf.length||bh>=ih.length);++bh)Rf[bh+Df]=ih[bh];return bh}function jh(ih,Rf){return ih instanceof Rf||ih!=null&&ih.constructor!=null&&ih.constructor.name!=null&&ih.constructor.name===Rf.name}function Zh(ih){return ih!==ih}const Wh=function(){const ih="0123456789abcdef",Rf=new Array(256);for(let Df=0;Df<16;++Df){const Zf=Df*16;for(let bh=0;bh<16;++bh)Rf[Zf+bh]=ih[Df]+ih[bh]}return Rf}();function sm(ih){return typeof BigInt>"u"?fm:ih}function fm(){throw new Error("BigInt not supported")}})(buffer$1);const Buffer$2=buffer$1.Buffer;function isPrimitive(Ra){return Ra==null||typeof Ra!="object"&&typeof Ra!="function"}function isTypedArray$2(Ra){return Ra instanceof Uint8Array||Ra instanceof Uint8ClampedArray||Ra instanceof Uint16Array||Ra instanceof Uint32Array||Ra instanceof BigUint64Array||Ra instanceof Int8Array||Ra instanceof Int16Array||Ra instanceof Int32Array||Ra instanceof BigInt64Array||Ra instanceof Float32Array||Ra instanceof Float64Array}function cloneDeep(Ra){return cloneDeepImpl(Ra)}function cloneDeepImpl(Ra,qa=new Map){if(isPrimitive(Ra))return Ra;if(qa.has(Ra))return qa.get(Ra);if(Array.isArray(Ra)){const Ja=new Array(Ra.length);qa.set(Ra,Ja);for(let ed=0;ed<Ra.length;ed++)Ja[ed]=cloneDeepImpl(Ra[ed],qa);return Object.prototype.hasOwnProperty.call(Ra,"index")&&(Ja.index=Ra.index),Object.prototype.hasOwnProperty.call(Ra,"input")&&(Ja.input=Ra.input),Ja}if(Ra instanceof Date)return new Date(Ra.getTime());if(Ra instanceof RegExp){const Ja=new RegExp(Ra.source,Ra.flags);return Ja.lastIndex=Ra.lastIndex,Ja}if(Ra instanceof Map){const Ja=new Map;qa.set(Ra,Ja);for(const[ed,td]of Ra.entries())Ja.set(ed,cloneDeepImpl(td,qa));return Ja}if(Ra instanceof Set){const Ja=new Set;qa.set(Ra,Ja);for(const ed of Ra.values())Ja.add(cloneDeepImpl(ed,qa));return Ja}if(typeof Buffer$2<"u"&&Buffer$2.isBuffer(Ra))return Ra.subarray();if(isTypedArray$2(Ra)){const Ja=new(Object.getPrototypeOf(Ra)).constructor(Ra.length);qa.set(Ra,Ja);for(let ed=0;ed<Ra.length;ed++)Ja[ed]=cloneDeepImpl(Ra[ed],qa);return Ja}if(Ra instanceof ArrayBuffer||typeof SharedArrayBuffer<"u"&&Ra instanceof SharedArrayBuffer)return Ra.slice(0);if(Ra instanceof DataView){const Ja=new DataView(Ra.buffer.slice(0));return qa.set(Ra,Ja),copyProperties(Ja,Ra,qa),Ja}if(typeof File<"u"&&Ra instanceof File){const Ja=new File([Ra],Ra.name,{type:Ra.type});return qa.set(Ra,Ja),copyProperties(Ja,Ra,qa),Ja}if(Ra instanceof Blob){const Ja=new Blob([Ra],{type:Ra.type});return qa.set(Ra,Ja),copyProperties(Ja,Ra,qa),Ja}if(Ra instanceof Error){const Ja=new Ra.constructor;return qa.set(Ra,Ja),Ja.message=Ra.message,Ja.name=Ra.name,Ja.stack=Ra.stack,Ja.cause=Ra.cause,copyProperties(Ja,Ra,qa),Ja}if(typeof Ra=="object"&&Ra!==null){const Ja={};return qa.set(Ra,Ja),copyProperties(Ja,Ra,qa),Ja}return Ra}function copyProperties(Ra,qa,Ja){const ed=Object.keys(qa);for(let td=0;td<ed.length;td++){const rd=ed[td],sd=Object.getOwnPropertyDescriptor(qa,rd);(sd!=null&&sd.writable||sd!=null&&sd.set)&&(Ra[rd]=cloneDeepImpl(qa[rd],Ja))}}function isObjectLike(Ra){return typeof Ra=="object"&&Ra!==null}function merge$2(Ra,qa){const Ja=Object.keys(qa);for(let ed=0;ed<Ja.length;ed++){const td=Ja[ed],rd=qa[td],sd=Ra[td];Array.isArray(rd)?Ra[td]=merge$2(sd??[],rd):isObjectLike(sd)&&isObjectLike(rd)?Ra[td]=merge$2(sd??{},rd):(sd===void 0||rd!==void 0)&&(Ra[td]=rd)}return Ra}function toMerged(Ra,qa){return merge$2(cloneDeep(Ra),qa)}const defaultTheme={base:{"--md-primary-color":"#000000","text-align":"left","line-height":"1.75"},block:{h1:{display:"table",padding:"0 1em","border-bottom":"2px solid var(--md-primary-color)",margin:"2em auto 1em",color:"var(--el-text-color-regular)","font-size":"1.2em","font-weight":"bold","text-align":"center"},h2:{display:"table",padding:"0 0.2em",margin:"4em auto 2em",color:"#fff",background:"var(--md-primary-color)","font-size":"1.2em","font-weight":"bold","text-align":"center"},h3:{"padding-left":"8px","border-left":"3px solid var(--md-primary-color)",margin:"2em 8px 0.75em 0",color:"var(--el-text-color-regular)","font-size":"1.1em","font-weight":"bold","line-height":"1.2"},h4:{margin:"2em 8px 0.5em",color:"var(--md-primary-color)","font-size":"1em","font-weight":"bold"},p:{margin:"1.5em 8px","letter-spacing":"0.1em",color:"var(--el-text-color-regular)","text-align":"justify"},blockquote:{"font-style":"normal","border-left":"none",padding:"1em","border-radius":"8px",color:"rgba(0,0,0,0.5)",background:"#f7f7f7",margin:"2em 8px"},blockquote_p:{display:"block","font-size":"1em","letter-spacing":"0.1em",color:"rgb(80, 80, 80)"},code_pre:{"font-size":"14px","overflow-x":"auto","border-radius":"8px",padding:"1em","line-height":"1.5",margin:"10px 8px"},code:{margin:0,"white-space":"nowrap","font-family":"Menlo, Operator Mono, Consolas, Monaco, monospace"},image:{display:"block",width:"100% !important",margin:"0.1em auto 0.5em","border-radius":"4px"},ol:{"padding-left":"1em","margin-left":"0",color:"var(--el-text-color-regular)"},ul:{"list-style":"circle","padding-left":"1em","margin-left":"0",color:"var(--el-text-color-regular)"},footnotes:{margin:"0.5em 8px","font-size":"80%",color:"var(--el-text-color-regular)"},figure:{margin:"1.5em 8px",color:"var(--el-text-color-regular)"},hr:{"border-style":"solid","border-width":"1px 0 0","border-color":"rgba(0,0,0,0.1)","-webkit-transform-origin":"0 0","-webkit-transform":"scale(1, 0.5)","transform-origin":"0 0",transform:"scale(1, 0.5)"}},inline:{listitem:{"text-indent":"-1em",display:"block",margin:"0.2em 8px",color:"var(--el-text-color-regular)"},codespan:{"font-size":"90%",color:"#d14",background:"rgba(27,31,35,.05)",padding:"3px 5px","border-radius":"4px"},em:{"font-style":"italic","font-size":"inherit"},link:{color:"#576b95"},wx_link:{color:"#576b95","text-decoration":"none"},strong:{color:"var(--md-primary-color)","font-weight":"bold","font-size":"inherit"},table:{"border-collapse":"collapse","text-align":"center",margin:"1em 8px",color:"var(--el-text-color-regular)"},thead:{background:"rgba(0, 0, 0, 0.05)","font-weight":"bold",color:"var(--el-text-color-regular)"},td:{border:"1px solid #dfdfdf",padding:"0.25em 0.5em",color:"#3f3f3f","word-break":"keep-all"},footnote:{"font-size":"12px",color:"var(--el-text-color-regular)"},figcaption:{"text-align":"center",color:"#888","font-size":"0.8em"}}},graceTheme=toMerged(defaultTheme,{base:{},block:{h1:{padding:"0.5em 1em","border-bottom":"2px solid var(--md-primary-color)","font-size":"1.4em","text-shadow":"2px 2px 4px rgba(0,0,0,0.1)"},h2:{padding:"0.3em 1em","border-radius":"8px","font-size":"1.3em","box-shadow":"0 4px 6px rgba(0,0,0,0.1)"},h3:{"padding-left":"12px","font-size":"1.2em","border-left":"4px solid var(--md-primary-color)","border-bottom":"1px dashed var(--md-primary-color)"},h4:{"font-size":"1.1em"},p:{},blockquote:{"font-style":"italic",padding:"1em 1em 1em 2em","border-left":"4px solid var(--md-primary-color)","border-radius":"6px",color:"rgba(0,0,0,0.6)",background:"linear-gradient(to right, #f7f7f7, #ffffff)","box-shadow":"0 4px 6px rgba(0,0,0,0.05)"},blockquote_p:{},code_pre:{"box-shadow":"inset 0 0 10px rgba(0,0,0,0.05)"},code:{"white-space":"pre-wrap","font-family":"'Fira Code', Menlo, Operator Mono, Consolas, Monaco, monospace"},image:{"border-radius":"8px","box-shadow":"0 4px 8px rgba(0,0,0,0.1)"},ol:{"padding-left":"1.5em"},ul:{"list-style":"none","padding-left":"1.5em"},footnotes:{},figure:{},hr:{height:"1px",border:"none",margin:"2em 0",background:"linear-gradient(to right, rgba(0,0,0,0), rgba(0,0,0,0.1), rgba(0,0,0,0))"}},inline:{listitem:{margin:"0.5em 8px"},codespan:{},em:{},link:{},wx_link:{},strong:{},table:{"border-collapse":"separate","border-spacing":"0","border-radius":"8px",margin:"1em 8px",color:"var(--el-text-color-regular)","box-shadow":"0 4px 6px rgba(0,0,0,0.1)",overflow:"hidden"},thead:{color:"#fff"},td:{padding:"0.5em 1em"},footnote:{color:"rgba(0,0,0,0.5)"},figcaption:{}}}),themeOptions=[{label:"经典",value:"default",desc:""},{label:"优雅",value:"grace",desc:""}],themeMap={default:defaultTheme,grace:graceTheme},prefix="MD",isMac=/Mac/i.test(navigator.userAgent),ctrlKey=isMac?"Cmd":"Ctrl",altKey="Alt",shiftKey="Shift",ctrlSign=isMac?"⌘":"Ctrl",altSign=isMac?"⌥":"Alt",shiftSign=isMac?"⇧":"Shift";function deepFreeze(Ra){return Ra instanceof Map?Ra.clear=Ra.delete=Ra.set=function(){throw new Error("map is read-only")}:Ra instanceof Set&&(Ra.add=Ra.clear=Ra.delete=function(){throw new Error("set is read-only")}),Object.freeze(Ra),Object.getOwnPropertyNames(Ra).forEach(qa=>{const Ja=Ra[qa],ed=typeof Ja;(ed==="object"||ed==="function")&&!Object.isFrozen(Ja)&&deepFreeze(Ja)}),Ra}let Response$1=class{constructor(qa){qa.data===void 0&&(qa.data={}),this.data=qa.data,this.isMatchIgnored=!1}ignoreMatch(){this.isMatchIgnored=!0}};function escapeHTML(Ra){return Ra.replace(/&/g,"&").replace(/</g,"<").replace(/>/g,">").replace(/"/g,""").replace(/'/g,"'")}function inherit$1(Ra,...qa){const Ja=Object.create(null);for(const ed in Ra)Ja[ed]=Ra[ed];return qa.forEach(function(ed){for(const td in ed)Ja[td]=ed[td]}),Ja}const SPAN_CLOSE="</span>",emitsWrappingTags=Ra=>!!Ra.scope,scopeToCSSClass=(Ra,{prefix:qa})=>{if(Ra.startsWith("language:"))return Ra.replace("language:","language-");if(Ra.includes(".")){const Ja=Ra.split(".");return[`${qa}${Ja.shift()}`,...Ja.map((ed,td)=>`${ed}${"_".repeat(td+1)}`)].join(" ")}return`${qa}${Ra}`};class HTMLRenderer{constructor(qa,Ja){this.buffer="",this.classPrefix=Ja.classPrefix,qa.walk(this)}addText(qa){this.buffer+=escapeHTML(qa)}openNode(qa){if(!emitsWrappingTags(qa))return;const Ja=scopeToCSSClass(qa.scope,{prefix:this.classPrefix});this.span(Ja)}closeNode(qa){emitsWrappingTags(qa)&&(this.buffer+=SPAN_CLOSE)}value(){return this.buffer}span(qa){this.buffer+=`<span class="${qa}">`}}const newNode=(Ra={})=>{const qa={children:[]};return Object.assign(qa,Ra),qa};class TokenTree{constructor(){this.rootNode=newNode(),this.stack=[this.rootNode]}get top(){return this.stack[this.stack.length-1]}get root(){return this.rootNode}add(qa){this.top.children.push(qa)}openNode(qa){const Ja=newNode({scope:qa});this.add(Ja),this.stack.push(Ja)}closeNode(){if(this.stack.length>1)return this.stack.pop()}closeAllNodes(){for(;this.closeNode(););}toJSON(){return JSON.stringify(this.rootNode,null,4)}walk(qa){return this.constructor._walk(qa,this.rootNode)}static _walk(qa,Ja){return typeof Ja=="string"?qa.addText(Ja):Ja.children&&(qa.openNode(Ja),Ja.children.forEach(ed=>this._walk(qa,ed)),qa.closeNode(Ja)),qa}static _collapse(qa){typeof qa!="string"&&qa.children&&(qa.children.every(Ja=>typeof Ja=="string")?qa.children=[qa.children.join("")]:qa.children.forEach(Ja=>{TokenTree._collapse(Ja)}))}}class TokenTreeEmitter extends TokenTree{constructor(qa){super(),this.options=qa}addText(qa){qa!==""&&this.add(qa)}startScope(qa){this.openNode(qa)}endScope(){this.closeNode()}__addSublanguage(qa,Ja){const ed=qa.root;Ja&&(ed.scope=`language:${Ja}`),this.add(ed)}toHTML(){return new HTMLRenderer(this,this.options).value()}finalize(){return this.closeAllNodes(),!0}}function source(Ra){return Ra?typeof Ra=="string"?Ra:Ra.source:null}function lookahead(Ra){return concat$2("(?=",Ra,")")}function anyNumberOfTimes(Ra){return concat$2("(?:",Ra,")*")}function optional(Ra){return concat$2("(?:",Ra,")?")}function concat$2(...Ra){return Ra.map(Ja=>source(Ja)).join("")}function stripOptionsFromArgs(Ra){const qa=Ra[Ra.length-1];return typeof qa=="object"&&qa.constructor===Object?(Ra.splice(Ra.length-1,1),qa):{}}function either(...Ra){return"("+(stripOptionsFromArgs(Ra).capture?"":"?:")+Ra.map(ed=>source(ed)).join("|")+")"}function countMatchGroups(Ra){return new RegExp(Ra.toString()+"|").exec("").length-1}function startsWith$1(Ra,qa){const Ja=Ra&&Ra.exec(qa);return Ja&&Ja.index===0}const BACKREF_RE=/\[(?:[^\\\]]|\\.)*\]|\(\??|\\([1-9][0-9]*)|\\./;function _rewriteBackreferences(Ra,{joinWith:qa}){let Ja=0;return Ra.map(ed=>{Ja+=1;const td=Ja;let rd=source(ed),sd="";for(;rd.length>0;){const od=BACKREF_RE.exec(rd);if(!od){sd+=rd;break}sd+=rd.substring(0,od.index),rd=rd.substring(od.index+od[0].length),od[0][0]==="\\"&&od[1]?sd+="\\"+String(Number(od[1])+td):(sd+=od[0],od[0]==="("&&Ja++)}return sd}).map(ed=>`(${ed})`).join(qa)}const MATCH_NOTHING_RE=/\b\B/,IDENT_RE="[a-zA-Z]\\w*",UNDERSCORE_IDENT_RE="[a-zA-Z_]\\w*",NUMBER_RE="\\b\\d+(\\.\\d+)?",C_NUMBER_RE="(-?)(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",BINARY_NUMBER_RE="\\b(0b[01]+)",RE_STARTERS_RE="!|!=|!==|%|%=|&|&&|&=|\\*|\\*=|\\+|\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\?|\\[|\\{|\\(|\\^|\\^=|\\||\\|=|\\|\\||~",SHEBANG=(Ra={})=>{const qa=/^#![ ]*\//;return Ra.binary&&(Ra.begin=concat$2(qa,/.*\b/,Ra.binary,/\b.*/)),inherit$1({scope:"meta",begin:qa,end:/$/,relevance:0,"on:begin":(Ja,ed)=>{Ja.index!==0&&ed.ignoreMatch()}},Ra)},BACKSLASH_ESCAPE={begin:"\\\\[\\s\\S]",relevance:0},APOS_STRING_MODE={scope:"string",begin:"'",end:"'",illegal:"\\n",contains:[BACKSLASH_ESCAPE]},QUOTE_STRING_MODE={scope:"string",begin:'"',end:'"',illegal:"\\n",contains:[BACKSLASH_ESCAPE]},PHRASAL_WORDS_MODE={begin:/\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\b/},COMMENT$1=function(Ra,qa,Ja={}){const ed=inherit$1({scope:"comment",begin:Ra,end:qa,contains:[]},Ja);ed.contains.push({scope:"doctag",begin:"[ ]*(?=(TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):)",end:/(TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):/,excludeBegin:!0,relevance:0});const td=either("I","a","is","so","us","to","at","if","in","it","on",/[A-Za-z]+['](d|ve|re|ll|t|s|n)/,/[A-Za-z]+[-][a-z]+/,/[A-Za-z][a-z]{2,}/);return ed.contains.push({begin:concat$2(/[ ]+/,"(",td,/[.]?[:]?([.][ ]|[ ])/,"){3}")}),ed},C_LINE_COMMENT_MODE=COMMENT$1("//","$"),C_BLOCK_COMMENT_MODE=COMMENT$1("/\\*","\\*/"),HASH_COMMENT_MODE=COMMENT$1("#","$"),NUMBER_MODE={scope:"number",begin:NUMBER_RE,relevance:0},C_NUMBER_MODE={scope:"number",begin:C_NUMBER_RE,relevance:0},BINARY_NUMBER_MODE={scope:"number",begin:BINARY_NUMBER_RE,relevance:0},REGEXP_MODE={scope:"regexp",begin:/\/(?=[^/\n]*\/)/,end:/\/[gimuy]*/,contains:[BACKSLASH_ESCAPE,{begin:/\[/,end:/\]/,relevance:0,contains:[BACKSLASH_ESCAPE]}]},TITLE_MODE={scope:"title",begin:IDENT_RE,relevance:0},UNDERSCORE_TITLE_MODE={scope:"title",begin:UNDERSCORE_IDENT_RE,relevance:0},METHOD_GUARD={begin:"\\.\\s*"+UNDERSCORE_IDENT_RE,relevance:0},END_SAME_AS_BEGIN=function(Ra){return Object.assign(Ra,{"on:begin":(qa,Ja)=>{Ja.data._beginMatch=qa[1]},"on:end":(qa,Ja)=>{Ja.data._beginMatch!==qa[1]&&Ja.ignoreMatch()}})};var MODES=Object.freeze({__proto__:null,APOS_STRING_MODE,BACKSLASH_ESCAPE,BINARY_NUMBER_MODE,BINARY_NUMBER_RE,COMMENT:COMMENT$1,C_BLOCK_COMMENT_MODE,C_LINE_COMMENT_MODE,C_NUMBER_MODE,C_NUMBER_RE,END_SAME_AS_BEGIN,HASH_COMMENT_MODE,IDENT_RE,MATCH_NOTHING_RE,METHOD_GUARD,NUMBER_MODE,NUMBER_RE,PHRASAL_WORDS_MODE,QUOTE_STRING_MODE,REGEXP_MODE,RE_STARTERS_RE,SHEBANG,TITLE_MODE,UNDERSCORE_IDENT_RE,UNDERSCORE_TITLE_MODE});function skipIfHasPrecedingDot(Ra,qa){Ra.input[Ra.index-1]==="."&&qa.ignoreMatch()}function scopeClassName(Ra,qa){Ra.className!==void 0&&(Ra.scope=Ra.className,delete Ra.className)}function beginKeywords(Ra,qa){qa&&Ra.beginKeywords&&(Ra.begin="\\b("+Ra.beginKeywords.split(" ").join("|")+")(?!\\.)(?=\\b|\\s)",Ra.__beforeBegin=skipIfHasPrecedingDot,Ra.keywords=Ra.keywords||Ra.beginKeywords,delete Ra.beginKeywords,Ra.relevance===void 0&&(Ra.relevance=0))}function compileIllegal(Ra,qa){Array.isArray(Ra.illegal)&&(Ra.illegal=either(...Ra.illegal))}function compileMatch(Ra,qa){if(Ra.match){if(Ra.begin||Ra.end)throw new Error("begin & end are not supported with match");Ra.begin=Ra.match,delete Ra.match}}function compileRelevance(Ra,qa){Ra.relevance===void 0&&(Ra.relevance=1)}const beforeMatchExt=(Ra,qa)=>{if(!Ra.beforeMatch)return;if(Ra.starts)throw new Error("beforeMatch cannot be used with starts");const Ja=Object.assign({},Ra);Object.keys(Ra).forEach(ed=>{delete Ra[ed]}),Ra.keywords=Ja.keywords,Ra.begin=concat$2(Ja.beforeMatch,lookahead(Ja.begin)),Ra.starts={relevance:0,contains:[Object.assign(Ja,{endsParent:!0})]},Ra.relevance=0,delete Ja.beforeMatch},COMMON_KEYWORDS=["of","and","for","in","not","or","if","then","parent","list","value"],DEFAULT_KEYWORD_SCOPE="keyword";function compileKeywords(Ra,qa,Ja=DEFAULT_KEYWORD_SCOPE){const ed=Object.create(null);return typeof Ra=="string"?td(Ja,Ra.split(" ")):Array.isArray(Ra)?td(Ja,Ra):Object.keys(Ra).forEach(function(rd){Object.assign(ed,compileKeywords(Ra[rd],qa,rd))}),ed;function td(rd,sd){qa&&(sd=sd.map(od=>od.toLowerCase())),sd.forEach(function(od){const ld=od.split("|");ed[ld[0]]=[rd,scoreForKeyword(ld[0],ld[1])]})}}function scoreForKeyword(Ra,qa){return qa?Number(qa):commonKeyword(Ra)?0:1}function commonKeyword(Ra){return COMMON_KEYWORDS.includes(Ra.toLowerCase())}const seenDeprecations={},error=Ra=>{console.error(Ra)},warn=(Ra,...qa)=>{console.log(`WARN: ${Ra}`,...qa)},deprecated=(Ra,qa)=>{seenDeprecations[`${Ra}/${qa}`]||(console.log(`Deprecated as of ${Ra}. ${qa}`),seenDeprecations[`${Ra}/${qa}`]=!0)},MultiClassError=new Error;function remapScopeNames(Ra,qa,{key:Ja}){let ed=0;const td=Ra[Ja],rd={},sd={};for(let od=1;od<=qa.length;od++)sd[od+ed]=td[od],rd[od+ed]=!0,ed+=countMatchGroups(qa[od-1]);Ra[Ja]=sd,Ra[Ja]._emit=rd,Ra[Ja]._multi=!0}function beginMultiClass(Ra){if(Array.isArray(Ra.begin)){if(Ra.skip||Ra.excludeBegin||Ra.returnBegin)throw error("skip, excludeBegin, returnBegin not compatible with beginScope: {}"),MultiClassError;if(typeof Ra.beginScope!="object"||Ra.beginScope===null)throw error("beginScope must be object"),MultiClassError;remapScopeNames(Ra,Ra.begin,{key:"beginScope"}),Ra.begin=_rewriteBackreferences(Ra.begin,{joinWith:""})}}function endMultiClass(Ra){if(Array.isArray(Ra.end)){if(Ra.skip||Ra.excludeEnd||Ra.returnEnd)throw error("skip, excludeEnd, returnEnd not compatible with endScope: {}"),MultiClassError;if(typeof Ra.endScope!="object"||Ra.endScope===null)throw error("endScope must be object"),MultiClassError;remapScopeNames(Ra,Ra.end,{key:"endScope"}),Ra.end=_rewriteBackreferences(Ra.end,{joinWith:""})}}function scopeSugar(Ra){Ra.scope&&typeof Ra.scope=="object"&&Ra.scope!==null&&(Ra.beginScope=Ra.scope,delete Ra.scope)}function MultiClass(Ra){scopeSugar(Ra),typeof Ra.beginScope=="string"&&(Ra.beginScope={_wrap:Ra.beginScope}),typeof Ra.endScope=="string"&&(Ra.endScope={_wrap:Ra.endScope}),beginMultiClass(Ra),endMultiClass(Ra)}function compileLanguage(Ra){function qa(sd,od){return new RegExp(source(sd),"m"+(Ra.case_insensitive?"i":"")+(Ra.unicodeRegex?"u":"")+(od?"g":""))}class Ja{constructor(){this.matchIndexes={},this.regexes=[],this.matchAt=1,this.position=0}addRule(od,ld){ld.position=this.position++,this.matchIndexes[this.matchAt]=ld,this.regexes.push([ld,od]),this.matchAt+=countMatchGroups(od)+1}compile(){this.regexes.length===0&&(this.exec=()=>null);const od=this.regexes.map(ld=>ld[1]);this.matcherRe=qa(_rewriteBackreferences(od,{joinWith:"|"}),!0),this.lastIndex=0}exec(od){this.matcherRe.lastIndex=this.lastIndex;const ld=this.matcherRe.exec(od);if(!ld)return null;const cd=ld.findIndex((_d,yd)=>yd>0&&_d!==void 0),ud=this.matchIndexes[cd];return ld.splice(0,cd),Object.assign(ld,ud)}}class ed{constructor(){this.rules=[],this.multiRegexes=[],this.count=0,this.lastIndex=0,this.regexIndex=0}getMatcher(od){if(this.multiRegexes[od])return this.multiRegexes[od];const ld=new Ja;return this.rules.slice(od).forEach(([cd,ud])=>ld.addRule(cd,ud)),ld.compile(),this.multiRegexes[od]=ld,ld}resumingScanAtSamePosition(){return this.regexIndex!==0}considerAll(){this.regexIndex=0}addRule(od,ld){this.rules.push([od,ld]),ld.type==="begin"&&this.count++}exec(od){const ld=this.getMatcher(this.regexIndex);ld.lastIndex=this.lastIndex;let cd=ld.exec(od);if(this.resumingScanAtSamePosition()&&!(cd&&cd.index===this.lastIndex)){const ud=this.getMatcher(0);ud.lastIndex=this.lastIndex+1,cd=ud.exec(od)}return cd&&(this.regexIndex+=cd.position+1,this.regexIndex===this.count&&this.considerAll()),cd}}function td(sd){const od=new ed;return sd.contains.forEach(ld=>od.addRule(ld.begin,{rule:ld,type:"begin"})),sd.terminatorEnd&&od.addRule(sd.terminatorEnd,{type:"end"}),sd.illegal&&od.addRule(sd.illegal,{type:"illegal"}),od}function rd(sd,od){const ld=sd;if(sd.isCompiled)return ld;[scopeClassName,compileMatch,MultiClass,beforeMatchExt].forEach(ud=>ud(sd,od)),Ra.compilerExtensions.forEach(ud=>ud(sd,od)),sd.__beforeBegin=null,[beginKeywords,compileIllegal,compileRelevance].forEach(ud=>ud(sd,od)),sd.isCompiled=!0;let cd=null;return typeof sd.keywords=="object"&&sd.keywords.$pattern&&(sd.keywords=Object.assign({},sd.keywords),cd=sd.keywords.$pattern,delete sd.keywords.$pattern),cd=cd||/\w+/,sd.keywords&&(sd.keywords=compileKeywords(sd.keywords,Ra.case_insensitive)),ld.keywordPatternRe=qa(cd,!0),od&&(sd.begin||(sd.begin=/\B|\b/),ld.beginRe=qa(ld.begin),!sd.end&&!sd.endsWithParent&&(sd.end=/\B|\b/),sd.end&&(ld.endRe=qa(ld.end)),ld.terminatorEnd=source(ld.end)||"",sd.endsWithParent&&od.terminatorEnd&&(ld.terminatorEnd+=(sd.end?"|":"")+od.terminatorEnd)),sd.illegal&&(ld.illegalRe=qa(sd.illegal)),sd.contains||(sd.contains=[]),sd.contains=[].concat(...sd.contains.map(function(ud){return expandOrCloneMode(ud==="self"?sd:ud)})),sd.contains.forEach(function(ud){rd(ud,ld)}),sd.starts&&rd(sd.starts,od),ld.matcher=td(ld),ld}if(Ra.compilerExtensions||(Ra.compilerExtensions=[]),Ra.contains&&Ra.contains.includes("self"))throw new Error("ERR: contains `self` is not supported at the top-level of a language. See documentation.");return Ra.classNameAliases=inherit$1(Ra.classNameAliases||{}),rd(Ra)}function dependencyOnParent(Ra){return Ra?Ra.endsWithParent||dependencyOnParent(Ra.starts):!1}function expandOrCloneMode(Ra){return Ra.variants&&!Ra.cachedVariants&&(Ra.cachedVariants=Ra.variants.map(function(qa){return inherit$1(Ra,{variants:null},qa)})),Ra.cachedVariants?Ra.cachedVariants:dependencyOnParent(Ra)?inherit$1(Ra,{starts:Ra.starts?inherit$1(Ra.starts):null}):Object.isFrozen(Ra)?inherit$1(Ra):Ra}var version$1="11.10.0";class HTMLInjectionError extends Error{constructor(qa,Ja){super(qa),this.name="HTMLInjectionError",this.html=Ja}}const escape$4=escapeHTML,inherit$2=inherit$1,NO_MATCH=Symbol("nomatch"),MAX_KEYWORD_HITS=7,HLJS=function(Ra){const qa=Object.create(null),Ja=Object.create(null),ed=[];let td=!0;const rd="Could not find the language '{}', did you forget to load/include a language module?",sd={disableAutodetect:!0,name:"Plain text",contains:[]};let od={ignoreUnescapedHTML:!1,throwUnescapedHTML:!1,noHighlightRe:/^(no-?highlight)$/i,languageDetectRe:/\blang(?:uage)?-([\w-]+)\b/i,classPrefix:"hljs-",cssSelector:"pre code",languages:null,__emitter:TokenTreeEmitter};function ld(_f){return od.noHighlightRe.test(_f)}function cd(_f){let xf=_f.className+" ";xf+=_f.parentNode?_f.parentNode.className:"";const Lf=od.languageDetectRe.exec(xf);if(Lf){const Wf=Ud(Lf[1]);return Wf||(warn(rd.replace("{}",Lf[1])),warn("Falling back to no-highlight mode for this block.",_f)),Wf?Lf[1]:"no-highlight"}return xf.split(/\s+/).find(Wf=>ld(Wf)||Ud(Wf))}function ud(_f,xf,Lf){let Wf="",Yf="";typeof xf=="object"?(Wf=_f,Lf=xf.ignoreIllegals,Yf=xf.language):(deprecated("10.7.0","highlight(lang, code, ...args) has been deprecated."),deprecated("10.7.0",`Please use highlight(code, options) instead.
|
||
https://github.com/highlightjs/highlight.js/issues/2277`),Yf=_f,Wf=xf),Lf===void 0&&(Lf=!0);const If={code:Wf,language:Yf};Xd("before:highlight",If);const Sf=If.result?If.result:_d(If.language,If.code,Lf);return Sf.code=If.code,Xd("after:highlight",Sf),Sf}function _d(_f,xf,Lf,Wf){const Yf=Object.create(null);function If(Wh,sm){return Wh.keywords[sm]}function Sf(){if(!Pf.keywords){Nh.addText(dh);return}let Wh=0;Pf.keywordPatternRe.lastIndex=0;let sm=Pf.keywordPatternRe.exec(dh),fm="";for(;sm;){fm+=dh.substring(Wh,sm.index);const ih=qf.case_insensitive?sm[0].toLowerCase():sm[0],Rf=If(Pf,ih);if(Rf){const[Df,Zf]=Rf;if(Nh.addText(fm),fm="",Yf[ih]=(Yf[ih]||0)+1,Yf[ih]<=MAX_KEYWORD_HITS&&($h+=Zf),Df.startsWith("_"))fm+=sm[0];else{const bh=qf.classNameAliases[Df]||Df;Gf(sm[0],bh)}}else fm+=sm[0];Wh=Pf.keywordPatternRe.lastIndex,sm=Pf.keywordPatternRe.exec(dh)}fm+=dh.substring(Wh),Nh.addText(fm)}function wf(){if(dh==="")return;let Wh=null;if(typeof Pf.subLanguage=="string"){if(!qa[Pf.subLanguage]){Nh.addText(dh);return}Wh=_d(Pf.subLanguage,dh,!0,gh[Pf.subLanguage]),gh[Pf.subLanguage]=Wh._top}else Wh=gd(dh,Pf.subLanguage.length?Pf.subLanguage:null);Pf.relevance>0&&($h+=Wh.relevance),Nh.__addSublanguage(Wh._emitter,Wh.language)}function Kf(){Pf.subLanguage!=null?wf():Sf(),dh=""}function Gf(Wh,sm){Wh!==""&&(Nh.startScope(sm),Nh.addText(Wh),Nh.endScope())}function gf(Wh,sm){let fm=1;const ih=sm.length-1;for(;fm<=ih;){if(!Wh._emit[fm]){fm++;continue}const Rf=qf.classNameAliases[Wh[fm]]||Wh[fm],Df=sm[fm];Rf?Gf(Df,Rf):(dh=Df,Sf(),dh=""),fm++}}function mf(Wh,sm){return Wh.scope&&typeof Wh.scope=="string"&&Nh.openNode(qf.classNameAliases[Wh.scope]||Wh.scope),Wh.beginScope&&(Wh.beginScope._wrap?(Gf(dh,qf.classNameAliases[Wh.beginScope._wrap]||Wh.beginScope._wrap),dh=""):Wh.beginScope._multi&&(gf(Wh.beginScope,sm),dh="")),Pf=Object.create(Wh,{parent:{value:Pf}}),Pf}function $f(Wh,sm,fm){let ih=startsWith$1(Wh.endRe,fm);if(ih){if(Wh["on:end"]){const Rf=new Response$1(Wh);Wh["on:end"](sm,Rf),Rf.isMatchIgnored&&(ih=!1)}if(ih){for(;Wh.endsParent&&Wh.parent;)Wh=Wh.parent;return Wh}}if(Wh.endsWithParent)return $f(Wh.parent,sm,fm)}function zf(Wh){return Pf.matcher.regexIndex===0?(dh+=Wh[0],1):(Zh=!0,0)}function hh(Wh){const sm=Wh[0],fm=Wh.rule,ih=new Response$1(fm),Rf=[fm.__beforeBegin,fm["on:begin"]];for(const Df of Rf)if(Df&&(Df(Wh,ih),ih.isMatchIgnored))return zf(sm);return fm.skip?dh+=sm:(fm.excludeBegin&&(dh+=sm),Kf(),!fm.returnBegin&&!fm.excludeBegin&&(dh=sm)),mf(fm,Wh),fm.returnBegin?0:sm.length}function Vf(Wh){const sm=Wh[0],fm=xf.substring(Wh.index),ih=$f(Pf,Wh,fm);if(!ih)return NO_MATCH;const Rf=Pf;Pf.endScope&&Pf.endScope._wrap?(Kf(),Gf(sm,Pf.endScope._wrap)):Pf.endScope&&Pf.endScope._multi?(Kf(),gf(Pf.endScope,Wh)):Rf.skip?dh+=sm:(Rf.returnEnd||Rf.excludeEnd||(dh+=sm),Kf(),Rf.excludeEnd&&(dh=sm));do Pf.scope&&Nh.closeNode(),!Pf.skip&&!Pf.subLanguage&&($h+=Pf.relevance),Pf=Pf.parent;while(Pf!==ih.parent);return ih.starts&&mf(ih.starts,Wh),Rf.returnEnd?0:sm.length}function kf(){const Wh=[];for(let sm=Pf;sm!==qf;sm=sm.parent)sm.scope&&Wh.unshift(sm.scope);Wh.forEach(sm=>Nh.openNode(sm))}let Jf={};function Ch(Wh,sm){const fm=sm&&sm[0];if(dh+=Wh,fm==null)return Kf(),0;if(Jf.type==="begin"&&sm.type==="end"&&Jf.index===sm.index&&fm===""){if(dh+=xf.slice(sm.index,sm.index+1),!td){const ih=new Error(`0 width match regex (${_f})`);throw ih.languageName=_f,ih.badRule=Jf.rule,ih}return 1}if(Jf=sm,sm.type==="begin")return hh(sm);if(sm.type==="illegal"&&!Lf){const ih=new Error('Illegal lexeme "'+fm+'" for mode "'+(Pf.scope||"<unnamed>")+'"');throw ih.mode=Pf,ih}else if(sm.type==="end"){const ih=Vf(sm);if(ih!==NO_MATCH)return ih}if(sm.type==="illegal"&&fm==="")return 1;if(jh>1e5&&jh>sm.index*3)throw new Error("potential infinite loop, way more iterations than matches");return dh+=fm,fm.length}const qf=Ud(_f);if(!qf)throw error(rd.replace("{}",_f)),new Error('Unknown language: "'+_f+'"');const Tf=compileLanguage(qf);let Af="",Pf=Wf||Tf;const gh={},Nh=new od.__emitter(od);kf();let dh="",$h=0,Rh=0,jh=0,Zh=!1;try{if(qf.__emitTokens)qf.__emitTokens(xf,Nh);else{for(Pf.matcher.considerAll();;){jh++,Zh?Zh=!1:Pf.matcher.considerAll(),Pf.matcher.lastIndex=Rh;const Wh=Pf.matcher.exec(xf);if(!Wh)break;const sm=xf.substring(Rh,Wh.index),fm=Ch(sm,Wh);Rh=Wh.index+fm}Ch(xf.substring(Rh))}return Nh.finalize(),Af=Nh.toHTML(),{language:_f,value:Af,relevance:$h,illegal:!1,_emitter:Nh,_top:Pf}}catch(Wh){if(Wh.message&&Wh.message.includes("Illegal"))return{language:_f,value:escape$4(xf),illegal:!0,relevance:0,_illegalBy:{message:Wh.message,index:Rh,context:xf.slice(Rh-100,Rh+100),mode:Wh.mode,resultSoFar:Af},_emitter:Nh};if(td)return{language:_f,value:escape$4(xf),illegal:!1,relevance:0,errorRaised:Wh,_emitter:Nh,_top:Pf};throw Wh}}function yd(_f){const xf={value:escape$4(_f),illegal:!1,relevance:0,_top:sd,_emitter:new od.__emitter(od)};return xf._emitter.addText(_f),xf}function gd(_f,xf){xf=xf||od.languages||Object.keys(qa);const Lf=yd(_f),Wf=xf.filter(Ud).filter(Vd).map(Kf=>_d(Kf,_f,!1));Wf.unshift(Lf);const Yf=Wf.sort((Kf,Gf)=>{if(Kf.relevance!==Gf.relevance)return Gf.relevance-Kf.relevance;if(Kf.language&&Gf.language){if(Ud(Kf.language).supersetOf===Gf.language)return 1;if(Ud(Gf.language).supersetOf===Kf.language)return-1}return 0}),[If,Sf]=Yf,wf=If;return wf.secondBest=Sf,wf}function Ed(_f,xf,Lf){const Wf=xf&&Ja[xf]||Lf;_f.classList.add("hljs"),_f.classList.add(`language-${Wf}`)}function Td(_f){let xf=null;const Lf=cd(_f);if(ld(Lf))return;if(Xd("before:highlightElement",{el:_f,language:Lf}),_f.dataset.highlighted){console.log("Element previously highlighted. To highlight again, first unset `dataset.highlighted`.",_f);return}if(_f.children.length>0&&(od.ignoreUnescapedHTML||(console.warn("One of your code blocks includes unescaped HTML. This is a potentially serious security risk."),console.warn("https://github.com/highlightjs/highlight.js/wiki/security"),console.warn("The element with unescaped HTML:"),console.warn(_f)),od.throwUnescapedHTML))throw new HTMLInjectionError("One of your code blocks includes unescaped HTML.",_f.innerHTML);xf=_f;const Wf=xf.textContent,Yf=Lf?ud(Wf,{language:Lf,ignoreIllegals:!0}):gd(Wf);_f.innerHTML=Yf.value,_f.dataset.highlighted="yes",Ed(_f,Lf,Yf.language),_f.result={language:Yf.language,re:Yf.relevance,relevance:Yf.relevance},Yf.secondBest&&(_f.secondBest={language:Yf.secondBest.language,relevance:Yf.secondBest.relevance}),Xd("after:highlightElement",{el:_f,result:Yf,text:Wf})}function kd(_f){od=inherit$2(od,_f)}const Rd=()=>{Md(),deprecated("10.6.0","initHighlighting() deprecated. Use highlightAll() now.")};function Nd(){Md(),deprecated("10.6.0","initHighlightingOnLoad() deprecated. Use highlightAll() now.")}let Id=!1;function Md(){if(document.readyState==="loading"){Id=!0;return}document.querySelectorAll(od.cssSelector).forEach(Td)}function Ld(){Id&&Md()}typeof window<"u"&&window.addEventListener&&window.addEventListener("DOMContentLoaded",Ld,!1);function Pd(_f,xf){let Lf=null;try{Lf=xf(Ra)}catch(Wf){if(error("Language definition for '{}' could not be registered.".replace("{}",_f)),td)error(Wf);else throw Wf;Lf=sd}Lf.name||(Lf.name=_f),qa[_f]=Lf,Lf.rawDefinition=xf.bind(null,Ra),Lf.aliases&&Hd(Lf.aliases,{languageName:_f})}function qd(_f){delete qa[_f];for(const xf of Object.keys(Ja))Ja[xf]===_f&&delete Ja[xf]}function Yd(){return Object.keys(qa)}function Ud(_f){return _f=(_f||"").toLowerCase(),qa[_f]||qa[Ja[_f]]}function Hd(_f,{languageName:xf}){typeof _f=="string"&&(_f=[_f]),_f.forEach(Lf=>{Ja[Lf.toLowerCase()]=xf})}function Vd(_f){const xf=Ud(_f);return xf&&!xf.disableAutodetect}function Jd(_f){_f["before:highlightBlock"]&&!_f["before:highlightElement"]&&(_f["before:highlightElement"]=xf=>{_f["before:highlightBlock"](Object.assign({block:xf.el},xf))}),_f["after:highlightBlock"]&&!_f["after:highlightElement"]&&(_f["after:highlightElement"]=xf=>{_f["after:highlightBlock"](Object.assign({block:xf.el},xf))})}function Zd(_f){Jd(_f),ed.push(_f)}function pf(_f){const xf=ed.indexOf(_f);xf!==-1&&ed.splice(xf,1)}function Xd(_f,xf){const Lf=_f;ed.forEach(function(Wf){Wf[Lf]&&Wf[Lf](xf)})}function hf(_f){return deprecated("10.7.0","highlightBlock will be removed entirely in v12.0"),deprecated("10.7.0","Please use highlightElement now."),Td(_f)}Object.assign(Ra,{highlight:ud,highlightAuto:gd,highlightAll:Md,highlightElement:Td,highlightBlock:hf,configure:kd,initHighlighting:Rd,initHighlightingOnLoad:Nd,registerLanguage:Pd,unregisterLanguage:qd,listLanguages:Yd,getLanguage:Ud,registerAliases:Hd,autoDetection:Vd,inherit:inherit$2,addPlugin:Zd,removePlugin:pf}),Ra.debugMode=function(){td=!1},Ra.safeMode=function(){td=!0},Ra.versionString=version$1,Ra.regex={concat:concat$2,lookahead,either,optional,anyNumberOfTimes};for(const _f in MODES)typeof MODES[_f]=="object"&&deepFreeze(MODES[_f]);return Object.assign(Ra,MODES),Ra},highlight=HLJS({});highlight.newInstance=()=>HLJS({});var core$2=highlight;highlight.HighlightJS=highlight;highlight.default=highlight;var _1c_1,hasRequired_1c;function require_1c(){if(hasRequired_1c)return _1c_1;hasRequired_1c=1;function Ra(qa){const Ja="[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]+",rd="далее "+"возврат вызватьисключение выполнить для если и из или иначе иначеесли исключение каждого конецесли конецпопытки конеццикла не новый перейти перем по пока попытка прервать продолжить тогда цикл экспорт ",ld="загрузитьизфайла "+"вебклиент вместо внешнеесоединение клиент конецобласти мобильноеприложениеклиент мобильноеприложениесервер наклиенте наклиентенасервере наклиентенасерверебезконтекста насервере насерверебезконтекста область перед после сервер толстыйклиентобычноеприложение толстыйклиентуправляемоеприложение тонкийклиент ",gd="разделительстраниц разделительстрок символтабуляции "+"ansitooem oemtoansi ввестивидсубконто ввестиперечисление ввестипериод ввестиплансчетов выбранныйплансчетов датагод датамесяц датачисло заголовоксистемы значениевстроку значениеизстроки каталогиб каталогпользователя кодсимв конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид назначитьсчет найтиссылки началопериодаби началостандартногоинтервала начгода начквартала начмесяца начнедели номерднягода номерднянедели номернеделигода обработкаожидания основнойжурналрасчетов основнойплансчетов основнойязык очиститьокносообщений периодстр получитьвремята получитьдатута получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта префиксавтонумерации пропись пустоезначение разм разобратьпозициюдокумента рассчитатьрегистрына рассчитатьрегистрыпо симв создатьобъект статусвозврата стрколичествострок сформироватьпозициюдокумента счетпокоду текущеевремя типзначения типзначениястр установитьтана установитьтапо фиксшаблон шаблон "+"acos asin atan base64значение base64строка cos exp log log10 pow sin sqrt tan xmlзначение xmlстрока xmlтип xmlтипзнч активноеокно безопасныйрежим безопасныйрежимразделенияданных булево ввестидату ввестизначение ввестистроку ввестичисло возможностьчтенияxml вопрос восстановитьзначение врег выгрузитьжурналрегистрации выполнитьобработкуоповещения выполнитьпроверкуправдоступа вычислить год данныеформывзначение дата день деньгода деньнедели добавитьмесяц заблокироватьданныедляредактирования заблокироватьработупользователя завершитьработусистемы загрузитьвнешнююкомпоненту закрытьсправку записатьjson записатьxml записатьдатуjson записьжурналарегистрации заполнитьзначениясвойств запроситьразрешениепользователя запуститьприложение запуститьсистему зафиксироватьтранзакцию значениевданныеформы значениевстрокувнутр значениевфайл значениезаполнено значениеизстрокивнутр значениеизфайла изxmlтипа импортмоделиxdto имякомпьютера имяпользователя инициализироватьпредопределенныеданные информацияобошибке каталогбиблиотекимобильногоустройства каталогвременныхфайлов каталогдокументов каталогпрограммы кодироватьстроку кодлокализацииинформационнойбазы кодсимвола командасистемы конецгода конецдня конецквартала конецмесяца конецминуты конецнедели конецчаса конфигурациябазыданныхизмененадинамически конфигурацияизменена копироватьданныеформы копироватьфайл краткоепредставлениеошибки лев макс местноевремя месяц мин минута монопольныйрежим найти найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы началогода началодня началоквартала началомесяца началоминуты началонедели началочаса начатьзапросразрешенияпользователя начатьзапускприложения начатькопированиефайла начатьперемещениефайла начатьподключениевнешнейкомпоненты начатьподключениерасширенияработыскриптографией начатьподключениерасширенияработысфайлами начатьпоискфайлов начатьполучениекаталогавременныхфайлов начатьполучениекаталогадокументов начатьполучениерабочегокаталогаданныхпользователя начатьполучениефайлов начатьпомещениефайла начатьпомещениефайлов начатьсозданиедвоичныхданныхизфайла начатьсозданиекаталога начатьтранзакцию начатьудалениефайлов начатьустановкувнешнейкомпоненты начатьустановкурасширенияработыскриптографией начатьустановкурасширенияработысфайлами неделягода необходимостьзавершениясоединения номерсеансаинформационнойбазы номерсоединенияинформационнойбазы нрег нстр обновитьинтерфейс обновитьнумерациюобъектов обновитьповторноиспользуемыезначения обработкапрерыванияпользователя объединитьфайлы окр описаниеошибки оповестить оповеститьобизменении отключитьобработчикзапросанастроекклиенталицензирования отключитьобработчикожидания отключитьобработчикоповещения открытьзначение открытьиндекссправки открытьсодержаниесправки открытьсправку открытьформу открытьформумодально отменитьтранзакцию очиститьжурналрегистрации очиститьнастройкипользователя очиститьсообщения параметрыдоступа перейтипонавигационнойссылке переместитьфайл подключитьвнешнююкомпоненту подключитьобработчикзапросанастроекклиенталицензирования подключитьобработчикожидания подключитьобработчикоповещения подключитьрасширениеработыскриптографией подключитьрасширениеработысфайлами подробноепредставлениеошибки показатьвводдаты показатьвводзначения показатьвводстроки показатьвводчисла показатьвопрос показатьзначение показатьинформациюобошибке показатьнакарте показатьоповещениепользователя показатьпредупреждение полноеимяпользователя получитьcomобъект получитьxmlтип получитьадреспоместоположению получитьблокировкусеансов получитьвремязавершенияспящегосеанса получитьвремязасыпанияпассивногосеанса получитьвремяожиданияблокировкиданных получитьданныевыбора получитьдополнительныйпараметрклиенталицензирования получитьдопустимыекодылокализации получитьдопустимыечасовыепояса получитьзаголовокклиентскогоприложения получитьзаголовоксистемы получитьзначенияотборажурналарегистрации получитьидентификаторконфигурации получитьизвременногохранилища получитьимявременногофайла получитьимяклиенталицензирования получитьинформациюэкрановклиента получитьиспользованиежурналарегистрации получитьиспользованиесобытияжурналарегистрации получитькраткийзаголовокприложения получитьмакетоформления получитьмаскувсефайлы получитьмаскувсефайлыклиента получитьмаскувсефайлысервера получитьместоположениепоадресу получитьминимальнуюдлинупаролейпользователей получитьнавигационнуюссылку получитьнавигационнуюссылкуинформационнойбазы получитьобновлениеконфигурациибазыданных получитьобновлениепредопределенныхданныхинформационнойбазы получитьобщиймакет получитьобщуюформу получитьокна получитьоперативнуюотметкувремени получитьотключениебезопасногорежима получитьпараметрыфункциональныхопцийинтерфейса получитьполноеимяпредопределенногозначения получитьпредставлениянавигационныхссылок получитьпроверкусложностипаролейпользователей получитьразделительпути получитьразделительпутиклиента получитьразделительпутисервера получитьсеансыинформационнойбазы получитьскоростьклиентскогосоединения получитьсоединенияинформационнойбазы получитьсообщенияпользователю получитьсоответствиеобъектаиформы получитьсоставстандартногоинтерфейсаodata получитьструктурухранениябазыданных получитьтекущийсеансинформационнойбазы получитьфайл получитьфайлы получитьформу получитьфункциональнуюопцию получитьфункциональнуюопциюинтерфейса получитьчасовойпоясинформационнойбазы пользователиос поместитьвовременноехранилище поместитьфайл поместитьфайлы прав праводоступа предопределенноезначение представлениекодалокализации представлениепериода представлениеправа представлениеприложения представлениесобытияжурналарегистрации представлениечасовогопояса предупреждение прекратитьработусистемы привилегированныйрежим продолжитьвызов прочитатьjson прочитатьxml прочитатьдатуjson пустаястрока рабочийкаталогданныхпользователя разблокироватьданныедляредактирования разделитьфайл разорватьсоединениесвнешнимисточникомданных раскодироватьстроку рольдоступна секунда сигнал символ скопироватьжурналрегистрации смещениелетнеговремени смещениестандартноговремени соединитьбуферыдвоичныхданных создатькаталог создатьфабрикуxdto сокрл сокрлп сокрп сообщить состояние сохранитьзначение сохранитьнастройкипользователя сред стрдлина стрзаканчиваетсяна стрзаменить стрнайти стрначинаетсяс строка строкасоединенияинформационнойбазы стрполучитьстроку стрразделить стрсоединить стрсравнить стрчисловхождений стрчислострок стршаблон текущаядата текущаядатасеанса текущаяуниверсальнаядата текущаяуниверсальнаядатавмиллисекундах текущийвариантинтерфейсаклиентскогоприложения текущийвариантосновногошрифтаклиентскогоприложения текущийкодлокализации текущийрежимзапуска текущийязык текущийязыксистемы тип типзнч транзакцияактивна трег удалитьданныеинформационнойбазы удалитьизвременногохранилища удалитьобъекты удалитьфайлы универсальноевремя установитьбезопасныйрежим установитьбезопасныйрежимразделенияданных установитьблокировкусеансов установитьвнешнююкомпоненту установитьвремязавершенияспящегосеанса установитьвремязасыпанияпассивногосеанса установитьвремяожиданияблокировкиданных установитьзаголовокклиентскогоприложения установитьзаголовоксистемы установитьиспользованиежурналарегистрации установитьиспользованиесобытияжурналарегистрации установитькраткийзаголовокприложения установитьминимальнуюдлинупаролейпользователей установитьмонопольныйрежим установитьнастройкиклиенталицензирования установитьобновлениепредопределенныхданныхинформационнойбазы установитьотключениебезопасногорежима установитьпараметрыфункциональныхопцийинтерфейса установитьпривилегированныйрежим установитьпроверкусложностипаролейпользователей установитьрасширениеработыскриптографией установитьрасширениеработысфайлами установитьсоединениесвнешнимисточникомданных установитьсоответствиеобъектаиформы установитьсоставстандартногоинтерфейсаodata установитьчасовойпоясинформационнойбазы установитьчасовойпояссеанса формат цел час часовойпояс часовойпояссеанса число числопрописью этоадресвременногохранилища "+"wsссылки библиотекакартинок библиотекамакетовоформлениякомпоновкиданных библиотекастилей бизнеспроцессы внешниеисточникиданных внешниеобработки внешниеотчеты встроенныепокупки главныйинтерфейс главныйстиль документы доставляемыеуведомления журналыдокументов задачи информацияобинтернетсоединении использованиерабочейдаты историяработыпользователя константы критерииотбора метаданные обработки отображениерекламы отправкадоставляемыхуведомлений отчеты панельзадачос параметрзапуска параметрысеанса перечисления планывидоврасчета планывидовхарактеристик планыобмена планысчетов полнотекстовыйпоиск пользователиинформационнойбазы последовательности проверкавстроенныхпокупок рабочаядата расширенияконфигурации регистрыбухгалтерии регистрынакопления регистрырасчета регистрысведений регламентныезадания сериализаторxdto справочники средствагеопозиционирования средствакриптографии средствамультимедиа средстваотображениярекламы средствапочты средствателефонии фабрикаxdto файловыепотоки фоновыезадания хранилищанастроек хранилищевариантовотчетов хранилищенастроекданныхформ хранилищеобщихнастроек хранилищепользовательскихнастроекдинамическихсписков хранилищепользовательскихнастроекотчетов хранилищесистемныхнастроек ",Wf="webцвета windowsцвета windowsшрифты библиотекакартинок рамкистиля символы цветастиля шрифтыстиля "+"автоматическоесохранениеданныхформывнастройках автонумерациявформе автораздвижениесерий анимациядиаграммы вариантвыравниванияэлементовизаголовков вариантуправлениявысотойтаблицы вертикальнаяпрокруткаформы вертикальноеположение вертикальноеположениеэлемента видгруппыформы виддекорацииформы виддополненияэлементаформы видизмененияданных видкнопкиформы видпереключателя видподписейкдиаграмме видполяформы видфлажка влияниеразмеранапузырекдиаграммы горизонтальноеположение горизонтальноеположениеэлемента группировкаколонок группировкаподчиненныхэлементовформы группыиэлементы действиеперетаскивания дополнительныйрежимотображения допустимыедействияперетаскивания интервалмеждуэлементамиформы использованиевывода использованиеполосыпрокрутки используемоезначениеточкибиржевойдиаграммы историявыборапривводе источникзначенийоситочекдиаграммы источникзначенияразмерапузырькадиаграммы категориягруппыкоманд максимумсерий начальноеотображениедерева начальноеотображениесписка обновлениетекстаредактирования ориентациядендрограммы ориентациядиаграммы ориентацияметокдиаграммы ориентацияметоксводнойдиаграммы ориентацияэлементаформы отображениевдиаграмме отображениевлегендедиаграммы отображениегруппыкнопок отображениезаголовкашкалыдиаграммы отображениезначенийсводнойдиаграммы отображениезначенияизмерительнойдиаграммы отображениеинтерваладиаграммыганта отображениекнопки отображениекнопкивыбора отображениеобсужденийформы отображениеобычнойгруппы отображениеотрицательныхзначенийпузырьковойдиаграммы отображениепанелипоиска отображениеподсказки отображениепредупрежденияприредактировании отображениеразметкиполосырегулирования отображениестраницформы отображениетаблицы отображениетекстазначениядиаграммыганта отображениеуправленияобычнойгруппы отображениефигурыкнопки палитрацветовдиаграммы поведениеобычнойгруппы поддержкамасштабадендрограммы поддержкамасштабадиаграммыганта поддержкамасштабасводнойдиаграммы поисквтаблицепривводе положениезаголовкаэлементаформы положениекартинкикнопкиформы положениекартинкиэлементаграфическойсхемы положениекоманднойпанелиформы положениекоманднойпанелиэлементаформы положениеопорнойточкиотрисовки положениеподписейкдиаграмме положениеподписейшкалызначенийизмерительнойдиаграммы положениесостоянияпросмотра положениестрокипоиска положениетекстасоединительнойлинии положениеуправленияпоиском положениешкалывремени порядокотображенияточекгоризонтальнойгистограммы порядоксерийвлегендедиаграммы размеркартинки расположениезаголовкашкалыдиаграммы растягиваниеповертикалидиаграммыганта режимавтоотображениясостояния режимвводастроктаблицы режимвыборанезаполненного режимвыделениядаты режимвыделениястрокитаблицы режимвыделениятаблицы режимизмененияразмера режимизменениясвязанногозначения режимиспользованиядиалогапечати режимиспользованияпараметракоманды режиммасштабированияпросмотра режимосновногоокнаклиентскогоприложения режимоткрытияокнаформы режимотображениявыделения режимотображениягеографическойсхемы режимотображениязначенийсерии режимотрисовкисеткиграфическойсхемы режимполупрозрачностидиаграммы режимпробеловдиаграммы режимразмещениянастранице режимредактированияколонки режимсглаживаниядиаграммы режимсглаживанияиндикатора режимсписказадач сквозноевыравнивание сохранениеданныхформывнастройках способзаполнениятекстазаголовкашкалыдиаграммы способопределенияограничивающегозначениядиаграммы стандартнаягруппакоманд стандартноеоформление статусоповещенияпользователя стильстрелки типаппроксимациилиниитрендадиаграммы типдиаграммы типединицышкалывремени типимпортасерийслоягеографическойсхемы типлиниигеографическойсхемы типлиниидиаграммы типмаркерагеографическойсхемы типмаркерадиаграммы типобластиоформления типорганизацииисточникаданныхгеографическойсхемы типотображениясериислоягеографическойсхемы типотображенияточечногообъектагеографическойсхемы типотображенияшкалыэлементалегендыгеографическойсхемы типпоискаобъектовгеографическойсхемы типпроекциигеографическойсхемы типразмещенияизмерений типразмещенияреквизитовизмерений типрамкиэлементауправления типсводнойдиаграммы типсвязидиаграммыганта типсоединениязначенийпосериямдиаграммы типсоединенияточекдиаграммы типсоединительнойлинии типстороныэлементаграфическойсхемы типформыотчета типшкалырадарнойдиаграммы факторлиниитрендадиаграммы фигуракнопки фигурыграфическойсхемы фиксациявтаблице форматдняшкалывремени форматкартинки ширинаподчиненныхэлементовформы "+"виддвижениябухгалтерии виддвижениянакопления видпериодарегистрарасчета видсчета видточкимаршрутабизнеспроцесса использованиеагрегатарегистранакопления использованиегруппиэлементов использованиережимапроведения использованиесреза периодичностьагрегатарегистранакопления режимавтовремя режимзаписидокумента режимпроведениядокумента "+"авторегистрацияизменений допустимыйномерсообщения отправкаэлементаданных получениеэлементаданных "+"использованиерасшифровкитабличногодокумента ориентациястраницы положениеитоговколоноксводнойтаблицы положениеитоговстроксводнойтаблицы положениетекстаотносительнокартинки расположениезаголовкагруппировкитабличногодокумента способчтениязначенийтабличногодокумента типдвустороннейпечати типзаполненияобластитабличногодокумента типкурсоровтабличногодокумента типлиниирисункатабличногодокумента типлинииячейкитабличногодокумента типнаправленияпереходатабличногодокумента типотображениявыделениятабличногодокумента типотображениялинийсводнойтаблицы типразмещениятекстатабличногодокумента типрисункатабличногодокумента типсмещениятабличногодокумента типузоратабличногодокумента типфайлатабличногодокумента точностьпечати чередованиерасположениястраниц "+"отображениевремениэлементовпланировщика "+"типфайлаформатированногодокумента "+"обходрезультатазапроса типзаписизапроса "+"видзаполнениярасшифровкипостроителяотчета типдобавленияпредставлений типизмеренияпостроителяотчета типразмещенияитогов "+"доступкфайлу режимдиалогавыборафайла режимоткрытияфайла "+"типизмеренияпостроителязапроса "+"видданныханализа методкластеризации типединицыинтервалавременианализаданных типзаполнениятаблицырезультатаанализаданных типиспользованиячисловыхзначенийанализаданных типисточникаданныхпоискаассоциаций типколонкианализаданныхдереворешений типколонкианализаданныхкластеризация типколонкианализаданныхобщаястатистика типколонкианализаданныхпоискассоциаций типколонкианализаданныхпоискпоследовательностей типколонкимоделипрогноза типмерырасстоянияанализаданных типотсеченияправилассоциации типполяанализаданных типстандартизациианализаданных типупорядочиванияправилассоциациианализаданных типупорядочиванияшаблоновпоследовательностейанализаданных типупрощениядереварешений "+"wsнаправлениепараметра вариантxpathxs вариантзаписидатыjson вариантпростоготипаxs видгруппымоделиxs видфасетаxdto действиепостроителяdom завершенностьпростоготипаxs завершенностьсоставноготипаxs завершенностьсхемыxs запрещенныеподстановкиxs исключениягруппподстановкиxs категорияиспользованияатрибутаxs категорияограниченияидентичностиxs категорияограниченияпространствименxs методнаследованияxs модельсодержимогоxs назначениетипаxml недопустимыеподстановкиxs обработкапробельныхсимволовxs обработкасодержимогоxs ограничениезначенияxs параметрыотбораузловdom переносстрокjson позициявдокументеdom пробельныесимволыxml типатрибутаxml типзначенияjson типканоническогоxml типкомпонентыxs типпроверкиxml типрезультатаdomxpath типузлаdom типузлаxml формаxml формапредставленияxs форматдатыjson экранированиесимволовjson "+"видсравнениякомпоновкиданных действиеобработкирасшифровкикомпоновкиданных направлениесортировкикомпоновкиданных расположениевложенныхэлементоврезультатакомпоновкиданных расположениеитоговкомпоновкиданных расположениегруппировкикомпоновкиданных расположениеполейгруппировкикомпоновкиданных расположениеполякомпоновкиданных расположениереквизитовкомпоновкиданных расположениересурсовкомпоновкиданных типбухгалтерскогоостаткакомпоновкиданных типвыводатекстакомпоновкиданных типгруппировкикомпоновкиданных типгруппыэлементовотборакомпоновкиданных типдополненияпериодакомпоновкиданных типзаголовкаполейкомпоновкиданных типмакетагруппировкикомпоновкиданных типмакетаобластикомпоновкиданных типостаткакомпоновкиданных типпериодакомпоновкиданных типразмещениятекстакомпоновкиданных типсвязинаборовданныхкомпоновкиданных типэлементарезультатакомпоновкиданных расположениелегендыдиаграммыкомпоновкиданных типпримененияотборакомпоновкиданных режимотображенияэлементанастройкикомпоновкиданных режимотображениянастроеккомпоновкиданных состояниеэлементанастройкикомпоновкиданных способвосстановлениянастроеккомпоновкиданных режимкомпоновкирезультата использованиепараметракомпоновкиданных автопозицияресурсовкомпоновкиданных вариантиспользованиягруппировкикомпоновкиданных расположениересурсоввдиаграммекомпоновкиданных фиксациякомпоновкиданных использованиеусловногооформлениякомпоновкиданных "+"важностьинтернетпочтовогосообщения обработкатекстаинтернетпочтовогосообщения способкодированияинтернетпочтовоговложения способкодированиянеasciiсимволовинтернетпочтовогосообщения типтекстапочтовогосообщения протоколинтернетпочты статусразборапочтовогосообщения "+"режимтранзакциизаписижурналарегистрации статустранзакциизаписижурналарегистрации уровеньжурналарегистрации "+"расположениехранилищасертификатовкриптографии режимвключениясертификатовкриптографии режимпроверкисертификатакриптографии типхранилищасертификатовкриптографии "+"кодировкаименфайловвzipфайле методсжатияzip методшифрованияzip режимвосстановленияпутейфайловzip режимобработкиподкаталоговzip режимсохраненияпутейzip уровеньсжатияzip "+"звуковоеоповещение направлениепереходакстроке позициявпотоке порядокбайтов режимблокировкиданных режимуправленияблокировкойданных сервисвстроенныхпокупок состояниефоновогозадания типподписчикадоставляемыхуведомлений уровеньиспользованиязащищенногосоединенияftp "+"направлениепорядкасхемызапроса типдополненияпериодамисхемызапроса типконтрольнойточкисхемызапроса типобъединениясхемызапроса типпараметрадоступнойтаблицысхемызапроса типсоединениясхемызапроса "+"httpметод автоиспользованиеобщегореквизита автопрефиксномеразадачи вариантвстроенногоязыка видиерархии видрегистранакопления видтаблицывнешнегоисточникаданных записьдвиженийприпроведении заполнениепоследовательностей индексирование использованиебазыпланавидоврасчета использованиебыстроговыбора использованиеобщегореквизита использованиеподчинения использованиеполнотекстовогопоиска использованиеразделяемыхданныхобщегореквизита использованиереквизита назначениеиспользованияприложения назначениерасширенияконфигурации направлениепередачи обновлениепредопределенныхданных оперативноепроведение основноепредставлениевидарасчета основноепредставлениевидахарактеристики основноепредставлениезадачи основноепредставлениепланаобмена основноепредставлениесправочника основноепредставлениесчета перемещениеграницыприпроведении периодичностьномерабизнеспроцесса периодичностьномерадокумента периодичностьрегистрарасчета периодичностьрегистрасведений повторноеиспользованиевозвращаемыхзначений полнотекстовыйпоискпривводепостроке принадлежностьобъекта проведение разделениеаутентификацииобщегореквизита разделениеданныхобщегореквизита разделениерасширенийконфигурацииобщегореквизита режимавтонумерацииобъектов режимзаписирегистра режимиспользованиямодальности режимиспользованиясинхронныхвызововрасширенийплатформыивнешнихкомпонент режимповторногоиспользованиясеансов режимполученияданныхвыборапривводепостроке режимсовместимости режимсовместимостиинтерфейса режимуправленияблокировкойданныхпоумолчанию сериикодовпланавидовхарактеристик сериикодовпланасчетов сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования типданныхтаблицывнешнегоисточникаданных типкодапланавидоврасчета типкодасправочника типмакета типномерабизнеспроцесса типномерадокумента типномеразадачи типформы удалениедвижений "+"важностьпроблемыприменениярасширенияконфигурации вариантинтерфейсаклиентскогоприложения вариантмасштабаформклиентскогоприложения вариантосновногошрифтаклиентскогоприложения вариантстандартногопериода вариантстандартнойдатыначала видграницы видкартинки видотображенияполнотекстовогопоиска видрамки видсравнения видцвета видчисловогозначения видшрифта допустимаядлина допустимыйзнак использованиеbyteordermark использованиеметаданныхполнотекстовогопоиска источникрасширенийконфигурации клавиша кодвозвратадиалога кодировкаxbase кодировкатекста направлениепоиска направлениесортировки обновлениепредопределенныхданных обновлениеприизмененииданных отображениепанелиразделов проверказаполнения режимдиалогавопрос режимзапускаклиентскогоприложения режимокругления режимоткрытияформприложения режимполнотекстовогопоиска скоростьклиентскогосоединения состояниевнешнегоисточникаданных состояниеобновленияконфигурациибазыданных способвыборасертификатаwindows способкодированиястроки статуссообщения типвнешнейкомпоненты типплатформы типповеденияклавишиenter типэлементаинформацииовыполненииобновленияконфигурациибазыданных уровеньизоляциитранзакций хешфункция частидаты",Sf="comобъект ftpсоединение httpзапрос httpсервисответ httpсоединение wsопределения wsпрокси xbase анализданных аннотацияxs блокировкаданных буфердвоичныхданных включениеxs выражениекомпоновкиданных генераторслучайныхчисел географическаясхема географическиекоординаты графическаясхема группамоделиxs данныерасшифровкикомпоновкиданных двоичныеданные дендрограмма диаграмма диаграммаганта диалогвыборафайла диалогвыборацвета диалогвыборашрифта диалограсписаниярегламентногозадания диалогредактированиястандартногопериода диапазон документdom документhtml документацияxs доставляемоеуведомление записьdom записьfastinfoset записьhtml записьjson записьxml записьzipфайла записьданных записьтекста записьузловdom запрос защищенноесоединениеopenssl значенияполейрасшифровкикомпоновкиданных извлечениетекста импортxs интернетпочта интернетпочтовоесообщение интернетпочтовыйпрофиль интернетпрокси интернетсоединение информациядляприложенияxs использованиеатрибутаxs использованиесобытияжурналарегистрации источникдоступныхнастроеккомпоновкиданных итераторузловdom картинка квалификаторыдаты квалификаторыдвоичныхданных квалификаторыстроки квалификаторычисла компоновщикмакетакомпоновкиданных компоновщикнастроеккомпоновкиданных конструктормакетаоформлениякомпоновкиданных конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных макетоформлениякомпоновкиданных маскаxs менеджеркриптографии наборсхемxml настройкикомпоновкиданных настройкисериализацииjson обработкакартинок обработкарасшифровкикомпоновкиданных обходдереваdom объявлениеатрибутаxs объявлениенотацииxs объявлениеэлементаxs описаниеиспользованиясобытиядоступжурналарегистрации описаниеиспользованиясобытияотказвдоступежурналарегистрации описаниеобработкирасшифровкикомпоновкиданных описаниепередаваемогофайла описаниетипов определениегруппыатрибутовxs определениегруппымоделиxs определениеограниченияидентичностиxs определениепростоготипаxs определениесоставноготипаxs определениетипадокументаdom определенияxpathxs отборкомпоновкиданных пакетотображаемыхдокументов параметрвыбора параметркомпоновкиданных параметрызаписиjson параметрызаписиxml параметрычтенияxml переопределениеxs планировщик полеанализаданных полекомпоновкиданных построительdom построительзапроса построительотчета построительотчетаанализаданных построительсхемxml поток потоквпамяти почта почтовоесообщение преобразованиеxsl преобразованиекканоническомуxml процессорвыводарезультатакомпоновкиданныхвколлекциюзначений процессорвыводарезультатакомпоновкиданныхвтабличныйдокумент процессоркомпоновкиданных разыменовательпространствименdom рамка расписаниерегламентногозадания расширенноеимяxml результатчтенияданных своднаядиаграмма связьпараметравыбора связьпотипу связьпотипукомпоновкиданных сериализаторxdto сертификатклиентаwindows сертификатклиентафайл сертификаткриптографии сертификатыудостоверяющихцентровwindows сертификатыудостоверяющихцентровфайл сжатиеданных системнаяинформация сообщениепользователю сочетаниеклавиш сравнениезначений стандартнаядатаначала стандартныйпериод схемаxml схемакомпоновкиданных табличныйдокумент текстовыйдокумент тестируемоеприложение типданныхxml уникальныйидентификатор фабрикаxdto файл файловыйпоток фасетдлиныxs фасетколичестваразрядовдробнойчастиxs фасетмаксимальноговключающегозначенияxs фасетмаксимальногоисключающегозначенияxs фасетмаксимальнойдлиныxs фасетминимальноговключающегозначенияxs фасетминимальногоисключающегозначенияxs фасетминимальнойдлиныxs фасетобразцаxs фасетобщегоколичестваразрядовxs фасетперечисленияxs фасетпробельныхсимволовxs фильтрузловdom форматированнаястрока форматированныйдокумент фрагментxs хешированиеданных хранилищезначения цвет чтениеfastinfoset чтениеhtml чтениеjson чтениеxml чтениеzipфайла чтениеданных чтениетекста чтениеузловdom шрифт элементрезультатакомпоновкиданных "+"comsafearray деревозначений массив соответствие списокзначений структура таблицазначений фиксированнаяструктура фиксированноесоответствие фиксированныймассив ",wf="null истина ложь неопределено",Kf=qa.inherit(qa.NUMBER_MODE),Gf={className:"string",begin:'"|\\|',end:'"|$',contains:[{begin:'""'}]},gf={begin:"'",end:"'",excludeBegin:!0,excludeEnd:!0,contains:[{className:"number",begin:"\\d{4}([\\.\\\\/:-]?\\d{2}){0,5}"}]},mf={match:/[;()+\-:=,]/,className:"punctuation",relevance:0},$f=qa.inherit(qa.C_LINE_COMMENT_MODE),zf={className:"meta",begin:"#|&",end:"$",keywords:{$pattern:Ja,keyword:rd+ld},contains:[$f]},hh={className:"symbol",begin:"~",end:";|:",excludeEnd:!0},Vf={className:"function",variants:[{begin:"процедура|функция",end:"\\)",keywords:"процедура функция"},{begin:"конецпроцедуры|конецфункции",keywords:"конецпроцедуры конецфункции"}],contains:[{begin:"\\(",end:"\\)",endsParent:!0,contains:[{className:"params",begin:Ja,end:",",excludeEnd:!0,endsWithParent:!0,keywords:{$pattern:Ja,keyword:"знач",literal:wf},contains:[Kf,Gf,gf]},$f]},qa.inherit(qa.TITLE_MODE,{begin:Ja})]};return{name:"1C:Enterprise",case_insensitive:!0,keywords:{$pattern:Ja,keyword:rd,built_in:gd,class:Wf,type:Sf,literal:wf},contains:[zf,Vf,$f,hh,Kf,Gf,gf,mf]}}return _1c_1=Ra,_1c_1}var abnf_1,hasRequiredAbnf;function requireAbnf(){if(hasRequiredAbnf)return abnf_1;hasRequiredAbnf=1;function Ra(qa){const Ja=qa.regex,ed=/^[a-zA-Z][a-zA-Z0-9-]*/,td=["ALPHA","BIT","CHAR","CR","CRLF","CTL","DIGIT","DQUOTE","HEXDIG","HTAB","LF","LWSP","OCTET","SP","VCHAR","WSP"],rd=qa.COMMENT(/;/,/$/),sd={scope:"symbol",match:/%b[0-1]+(-[0-1]+|(\.[0-1]+)+)?/},od={scope:"symbol",match:/%d[0-9]+(-[0-9]+|(\.[0-9]+)+)?/},ld={scope:"symbol",match:/%x[0-9A-F]+(-[0-9A-F]+|(\.[0-9A-F]+)+)?/},cd={scope:"symbol",match:/%[si](?=".*")/},ud={scope:"attribute",match:Ja.concat(ed,/(?=\s*=)/)};return{name:"Augmented Backus-Naur Form",illegal:/[!@#$^&',?+~`|:]/,keywords:td,contains:[{scope:"operator",match:/=\/?/},ud,rd,sd,od,ld,cd,qa.QUOTE_STRING_MODE,qa.NUMBER_MODE]}}return abnf_1=Ra,abnf_1}var accesslog_1,hasRequiredAccesslog;function requireAccesslog(){if(hasRequiredAccesslog)return accesslog_1;hasRequiredAccesslog=1;function Ra(qa){const Ja=qa.regex,ed=["GET","POST","HEAD","PUT","DELETE","CONNECT","OPTIONS","PATCH","TRACE"];return{name:"Apache Access Log",contains:[{className:"number",begin:/^\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(:\d{1,5})?\b/,relevance:5},{className:"number",begin:/\b\d+\b/,relevance:0},{className:"string",begin:Ja.concat(/"/,Ja.either(...ed)),end:/"/,keywords:ed,illegal:/\n/,relevance:5,contains:[{begin:/HTTP\/[12]\.\d'/,relevance:5}]},{className:"string",begin:/\[\d[^\]\n]{8,}\]/,illegal:/\n/,relevance:1},{className:"string",begin:/\[/,end:/\]/,illegal:/\n/,relevance:0},{className:"string",begin:/"Mozilla\/\d\.\d \(/,end:/"/,illegal:/\n/,relevance:3},{className:"string",begin:/"/,end:/"/,illegal:/\n/,relevance:0}]}}return accesslog_1=Ra,accesslog_1}var actionscript_1,hasRequiredActionscript;function requireActionscript(){if(hasRequiredActionscript)return actionscript_1;hasRequiredActionscript=1;function Ra(qa){const Ja=qa.regex,ed=/[a-zA-Z_$][a-zA-Z0-9_$]*/,td=Ja.concat(ed,Ja.concat("(\\.",ed,")*")),rd=/([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)/,sd={className:"rest_arg",begin:/[.]{3}/,end:ed,relevance:10};return{name:"ActionScript",aliases:["as"],keywords:{keyword:["as","break","case","catch","class","const","continue","default","delete","do","dynamic","each","else","extends","final","finally","for","function","get","if","implements","import","in","include","instanceof","interface","internal","is","namespace","native","new","override","package","private","protected","public","return","set","static","super","switch","this","throw","try","typeof","use","var","void","while","with"],literal:["true","false","null","undefined"]},contains:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.C_NUMBER_MODE,{match:[/\bpackage/,/\s+/,td],className:{1:"keyword",3:"title.class"}},{match:[/\b(?:class|interface|extends|implements)/,/\s+/,ed],className:{1:"keyword",3:"title.class"}},{className:"meta",beginKeywords:"import include",end:/;/,keywords:{keyword:"import include"}},{beginKeywords:"function",end:/[{;]/,excludeEnd:!0,illegal:/\S/,contains:[qa.inherit(qa.TITLE_MODE,{className:"title.function"}),{className:"params",begin:/\(/,end:/\)/,contains:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,sd]},{begin:Ja.concat(/:\s*/,rd)}]},qa.METHOD_GUARD],illegal:/#/}}return actionscript_1=Ra,actionscript_1}var ada_1,hasRequiredAda;function requireAda(){if(hasRequiredAda)return ada_1;hasRequiredAda=1;function Ra(qa){const Ja="\\d(_|\\d)*",ed="[eE][-+]?"+Ja,td=Ja+"(\\."+Ja+")?("+ed+")?",rd="\\w+",od="\\b("+(Ja+"#"+rd+"(\\."+rd+")?#("+ed+")?")+"|"+td+")",ld="[A-Za-z](_?[A-Za-z0-9.])*",cd=`[]\\{\\}%#'"`,ud=qa.COMMENT("--","$"),_d={begin:"\\s+:\\s+",end:"\\s*(:=|;|\\)|=>|$)",illegal:cd,contains:[{beginKeywords:"loop for declare others",endsParent:!0},{className:"keyword",beginKeywords:"not null constant access function procedure in out aliased exception"},{className:"type",begin:ld,endsParent:!0,relevance:0}]};return{name:"Ada",case_insensitive:!0,keywords:{keyword:["abort","else","new","return","abs","elsif","not","reverse","abstract","end","accept","entry","select","access","exception","of","separate","aliased","exit","or","some","all","others","subtype","and","for","out","synchronized","array","function","overriding","at","tagged","generic","package","task","begin","goto","pragma","terminate","body","private","then","if","procedure","type","case","in","protected","constant","interface","is","raise","use","declare","range","delay","limited","record","when","delta","loop","rem","while","digits","renames","with","do","mod","requeue","xor"],literal:["True","False"]},contains:[ud,{className:"string",begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{className:"string",begin:/'.'/},{className:"number",begin:od,relevance:0},{className:"symbol",begin:"'"+ld},{className:"title",begin:"(\\bwith\\s+)?(\\bprivate\\s+)?\\bpackage\\s+(\\bbody\\s+)?",end:"(is|$)",keywords:"package body",excludeBegin:!0,excludeEnd:!0,illegal:cd},{begin:"(\\b(with|overriding)\\s+)?\\b(function|procedure)\\s+",end:"(\\bis|\\bwith|\\brenames|\\)\\s*;)",keywords:"overriding function procedure with is renames return",returnBegin:!0,contains:[ud,{className:"title",begin:"(\\bwith\\s+)?\\b(function|procedure)\\s+",end:"(\\(|\\s+|$)",excludeBegin:!0,excludeEnd:!0,illegal:cd},_d,{className:"type",begin:"\\breturn\\s+",end:"(\\s+|;|$)",keywords:"return",excludeBegin:!0,excludeEnd:!0,endsParent:!0,illegal:cd}]},{className:"type",begin:"\\b(sub)?type\\s+",end:"\\s+",keywords:"type",excludeBegin:!0,illegal:cd},_d]}}return ada_1=Ra,ada_1}var angelscript_1,hasRequiredAngelscript;function requireAngelscript(){if(hasRequiredAngelscript)return angelscript_1;hasRequiredAngelscript=1;function Ra(qa){const Ja={className:"built_in",begin:"\\b(void|bool|int8|int16|int32|int64|int|uint8|uint16|uint32|uint64|uint|string|ref|array|double|float|auto|dictionary)"},ed={className:"symbol",begin:"[a-zA-Z0-9_]+@"},td={className:"keyword",begin:"<",end:">",contains:[Ja,ed]};return Ja.contains=[td],ed.contains=[td],{name:"AngelScript",aliases:["asc"],keywords:["for","in|0","break","continue","while","do|0","return","if","else","case","switch","namespace","is","cast","or","and","xor","not","get|0","in","inout|10","out","override","set|0","private","public","const","default|0","final","shared","external","mixin|10","enum","typedef","funcdef","this","super","import","from","interface","abstract|0","try","catch","protected","explicit","property"],illegal:"(^using\\s+[A-Za-z0-9_\\.]+;$|\\bfunction\\s*[^\\(])",contains:[{className:"string",begin:"'",end:"'",illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE],relevance:0},{className:"string",begin:'"""',end:'"""'},{className:"string",begin:'"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE],relevance:0},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"string",begin:"^\\s*\\[",end:"\\]"},{beginKeywords:"interface namespace",end:/\{/,illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]},{beginKeywords:"class",end:/\{/,illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+",contains:[{begin:"[:,]\\s*",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]}]}]},Ja,ed,{className:"literal",begin:"\\b(null|true|false)"},{className:"number",relevance:0,begin:"(-?)(\\b0[xXbBoOdD][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?f?|\\.\\d+f?)([eE][-+]?\\d+f?)?)"}]}}return angelscript_1=Ra,angelscript_1}var apache_1,hasRequiredApache;function requireApache(){if(hasRequiredApache)return apache_1;hasRequiredApache=1;function Ra(qa){const Ja={className:"number",begin:/[$%]\d+/},ed={className:"number",begin:/\b\d+/},td={className:"number",begin:/\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(:\d{1,5})?/},rd={className:"number",begin:/:\d{1,5}/};return{name:"Apache config",aliases:["apacheconf"],case_insensitive:!0,contains:[qa.HASH_COMMENT_MODE,{className:"section",begin:/<\/?/,end:/>/,contains:[td,rd,qa.inherit(qa.QUOTE_STRING_MODE,{relevance:0})]},{className:"attribute",begin:/\w+/,relevance:0,keywords:{_:["order","deny","allow","setenv","rewriterule","rewriteengine","rewritecond","documentroot","sethandler","errordocument","loadmodule","options","header","listen","serverroot","servername"]},starts:{end:/$/,relevance:0,keywords:{literal:"on off all deny allow"},contains:[{className:"meta",begin:/\s\[/,end:/\]$/},{className:"variable",begin:/[\$%]\{/,end:/\}/,contains:["self",Ja]},td,ed,qa.QUOTE_STRING_MODE]}}],illegal:/\S/}}return apache_1=Ra,apache_1}var applescript_1,hasRequiredApplescript;function requireApplescript(){if(hasRequiredApplescript)return applescript_1;hasRequiredApplescript=1;function Ra(qa){const Ja=qa.regex,ed=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),td={className:"params",begin:/\(/,end:/\)/,contains:["self",qa.C_NUMBER_MODE,ed]},rd=qa.COMMENT(/--/,/$/),sd=qa.COMMENT(/\(\*/,/\*\)/,{contains:["self",rd]}),od=[rd,sd,qa.HASH_COMMENT_MODE],ld=[/apart from/,/aside from/,/instead of/,/out of/,/greater than/,/isn't|(doesn't|does not) (equal|come before|come after|contain)/,/(greater|less) than( or equal)?/,/(starts?|ends|begins?) with/,/contained by/,/comes (before|after)/,/a (ref|reference)/,/POSIX (file|path)/,/(date|time) string/,/quoted form/],cd=[/clipboard info/,/the clipboard/,/info for/,/list (disks|folder)/,/mount volume/,/path to/,/(close|open for) access/,/(get|set) eof/,/current date/,/do shell script/,/get volume settings/,/random number/,/set volume/,/system attribute/,/system info/,/time to GMT/,/(load|run|store) script/,/scripting components/,/ASCII (character|number)/,/localized string/,/choose (application|color|file|file name|folder|from list|remote application|URL)/,/display (alert|dialog)/];return{name:"AppleScript",aliases:["osascript"],keywords:{keyword:"about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without",literal:"AppleScript false linefeed return pi quote result space tab true",built_in:"alias application boolean class constant date file integer list number real record string text activate beep count delay launch log offset read round run say summarize write character characters contents day frontmost id item length month name|0 paragraph paragraphs rest reverse running time version weekday word words year"},contains:[ed,qa.C_NUMBER_MODE,{className:"built_in",begin:Ja.concat(/\b/,Ja.either(...cd),/\b/)},{className:"built_in",begin:/^\s*return\b/},{className:"literal",begin:/\b(text item delimiters|current application|missing value)\b/},{className:"keyword",begin:Ja.concat(/\b/,Ja.either(...ld),/\b/)},{beginKeywords:"on",illegal:/[${=;\n]/,contains:[qa.UNDERSCORE_TITLE_MODE,td]},...od],illegal:/\/\/|->|=>|\[\[/}}return applescript_1=Ra,applescript_1}var arcade_1,hasRequiredArcade;function requireArcade(){if(hasRequiredArcade)return arcade_1;hasRequiredArcade=1;function Ra(qa){const Ja=qa.regex,ed="[A-Za-z_][0-9A-Za-z_]*",td={keyword:["break","case","catch","continue","debugger","do","else","export","for","function","if","import","in","new","return","switch","try","var","void","while"],literal:["BackSlash","DoubleQuote","ForwardSlash","Infinity","NaN","NewLine","PI","SingleQuote","Tab","TextFormatting","false","null","true","undefined"],built_in:["Abs","Acos","All","Angle","Any","Area","AreaGeodetic","Array","Asin","Atan","Atan2","Attachments","Average","Back","Bearing","Boolean","Buffer","BufferGeodetic","Ceil","Centroid","ChangeTimeZone","Clip","Concatenate","Console","Constrain","Contains","ConvertDirection","ConvexHull","Cos","Count","Crosses","Cut","Date|0","DateAdd","DateDiff","DateOnly","Day","Decode","DefaultValue","Densify","DensifyGeodetic","Dictionary","Difference","Disjoint","Distance","DistanceGeodetic","Distinct","Domain","DomainCode","DomainName","EnvelopeIntersects","Equals","Erase","Exp","Expects","Extent","Feature","FeatureSet","FeatureSetByAssociation","FeatureSetById","FeatureSetByName","FeatureSetByPortalItem","FeatureSetByRelationshipClass","FeatureSetByRelationshipName","Filter","Find","First|0","Floor","FromCharCode","FromCodePoint","FromJSON","Front","GdbVersion","Generalize","Geometry","GetEnvironment","GetFeatureSet","GetFeatureSetInfo","GetUser","GroupBy","Guid","HasKey","HasValue","Hash","Hour","IIf","ISOMonth","ISOWeek","ISOWeekday","ISOYear","Includes","IndexOf","Insert","Intersection","Intersects","IsEmpty","IsNan","IsSelfIntersecting","IsSimple","Left|0","Length","Length3D","LengthGeodetic","Log","Lower","Map","Max","Mean","Mid","Millisecond","Min","Minute","Month","MultiPartToSinglePart","Multipoint","NearestCoordinate","NearestVertex","NextSequenceValue","None","Now","Number","Offset","OrderBy","Overlaps","Point","Polygon","Polyline","Pop","Portal","Pow","Proper","Push","Random","Reduce","Relate","Replace","Resize","Reverse","Right|0","RingIsClockwise","Rotate","Round","Schema","Second","SetGeometry","Simplify","Sin","Slice","Sort","Splice","Split","Sqrt","StandardizeGuid","Stdev","SubtypeCode","SubtypeName","Subtypes","Sum","SymmetricDifference","Tan","Text","Time","TimeZone","TimeZoneOffset","Timestamp","ToCharCode","ToCodePoint","ToHex","ToLocal","ToUTC","Today","Top|0","Touches","TrackAccelerationAt","TrackAccelerationWindow","TrackCurrentAcceleration","TrackCurrentDistance","TrackCurrentSpeed","TrackCurrentTime","TrackDistanceAt","TrackDistanceWindow","TrackDuration","TrackFieldWindow","TrackGeometryWindow","TrackIndex","TrackSpeedAt","TrackSpeedWindow","TrackStartTime","TrackWindow","Trim","TypeOf","Union","Upper","UrlEncode","Variance","Week","Weekday","When|0","Within","Year|0"]},rd=["aggregatedFeatures","analytic","config","datapoint","datastore","editcontext","feature","featureSet","feedfeature","fencefeature","fencenotificationtype","join","layer","locationupdate","map","measure","measure","originalFeature","record","reference","rowindex","sourcedatastore","sourcefeature","sourcelayer","target","targetdatastore","targetfeature","targetlayer","value","view"],sd={className:"symbol",begin:"\\$"+Ja.either(...rd)},od={className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:qa.C_NUMBER_RE}],relevance:0},ld={className:"subst",begin:"\\$\\{",end:"\\}",keywords:td,contains:[]},cd={className:"string",begin:"`",end:"`",contains:[qa.BACKSLASH_ESCAPE,ld]};ld.contains=[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,cd,od,qa.REGEXP_MODE];const ud=ld.contains.concat([qa.C_BLOCK_COMMENT_MODE,qa.C_LINE_COMMENT_MODE]);return{name:"ArcGIS Arcade",case_insensitive:!0,keywords:td,contains:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,cd,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,sd,od,{begin:/[{,]\s*/,relevance:0,contains:[{begin:ed+"\\s*:",returnBegin:!0,relevance:0,contains:[{className:"attr",begin:ed,relevance:0}]}]},{begin:"("+qa.RE_STARTERS_RE+"|\\b(return)\\b)\\s*",keywords:"return",contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+ed+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:ed},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:td,contains:ud}]}]}],relevance:0},{beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[qa.inherit(qa.TITLE_MODE,{className:"title.function",begin:ed}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:ud}],illegal:/\[|%/},{begin:/\$[(.]/}],illegal:/#(?!!)/}}return arcade_1=Ra,arcade_1}var arduino_1,hasRequiredArduino;function requireArduino(){if(hasRequiredArduino)return arduino_1;hasRequiredArduino=1;function Ra(Ja){const ed=Ja.regex,td=Ja.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),rd="decltype\\(auto\\)",sd="[a-zA-Z_]\\w*::",ld="(?!struct)("+rd+"|"+ed.optional(sd)+"[a-zA-Z_]\\w*"+ed.optional("<[^<>]+>")+")",cd={className:"type",begin:"\\b[a-z\\d_]*_t\\b"},_d={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[Ja.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+"\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)"+"|.)",end:"'",illegal:"."},Ja.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},yd={className:"number",variants:[{begin:"[+-]?(?:(?:[0-9](?:'?[0-9])*\\.(?:[0-9](?:'?[0-9])*)?|\\.[0-9](?:'?[0-9])*)(?:[Ee][+-]?[0-9](?:'?[0-9])*)?|[0-9](?:'?[0-9])*[Ee][+-]?[0-9](?:'?[0-9])*|0[Xx](?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*(?:\\.(?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)?)?|\\.[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)[Pp][+-]?[0-9](?:'?[0-9])*)(?:[Ff](?:16|32|64|128)?|(BF|bf)16|[Ll]|)"},{begin:"[+-]?\\b(?:0[Bb][01](?:'?[01])*|0[Xx][0-9A-Fa-f](?:'?[0-9A-Fa-f])*|0(?:'?[0-7])*|[1-9](?:'?[0-9])*)(?:[Uu](?:LL?|ll?)|[Uu][Zz]?|(?:LL?|ll?)[Uu]?|[Zz][Uu]|)"}],relevance:0},gd={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},Ja.inherit(_d,{className:"string"}),{className:"string",begin:/<.*?>/},td,Ja.C_BLOCK_COMMENT_MODE]},Ed={className:"title",begin:ed.optional(sd)+Ja.IDENT_RE,relevance:0},Td=ed.optional(sd)+Ja.IDENT_RE+"\\s*\\(",kd=["alignas","alignof","and","and_eq","asm","atomic_cancel","atomic_commit","atomic_noexcept","auto","bitand","bitor","break","case","catch","class","co_await","co_return","co_yield","compl","concept","const_cast|10","consteval","constexpr","constinit","continue","decltype","default","delete","do","dynamic_cast|10","else","enum","explicit","export","extern","false","final","for","friend","goto","if","import","inline","module","mutable","namespace","new","noexcept","not","not_eq","nullptr","operator","or","or_eq","override","private","protected","public","reflexpr","register","reinterpret_cast|10","requires","return","sizeof","static_assert","static_cast|10","struct","switch","synchronized","template","this","thread_local","throw","transaction_safe","transaction_safe_dynamic","true","try","typedef","typeid","typename","union","using","virtual","volatile","while","xor","xor_eq"],Rd=["bool","char","char16_t","char32_t","char8_t","double","float","int","long","short","void","wchar_t","unsigned","signed","const","static"],Nd=["any","auto_ptr","barrier","binary_semaphore","bitset","complex","condition_variable","condition_variable_any","counting_semaphore","deque","false_type","future","imaginary","initializer_list","istringstream","jthread","latch","lock_guard","multimap","multiset","mutex","optional","ostringstream","packaged_task","pair","promise","priority_queue","queue","recursive_mutex","recursive_timed_mutex","scoped_lock","set","shared_future","shared_lock","shared_mutex","shared_timed_mutex","shared_ptr","stack","string_view","stringstream","timed_mutex","thread","true_type","tuple","unique_lock","unique_ptr","unordered_map","unordered_multimap","unordered_multiset","unordered_set","variant","vector","weak_ptr","wstring","wstring_view"],Id=["abort","abs","acos","apply","as_const","asin","atan","atan2","calloc","ceil","cerr","cin","clog","cos","cosh","cout","declval","endl","exchange","exit","exp","fabs","floor","fmod","forward","fprintf","fputs","free","frexp","fscanf","future","invoke","isalnum","isalpha","iscntrl","isdigit","isgraph","islower","isprint","ispunct","isspace","isupper","isxdigit","labs","launder","ldexp","log","log10","make_pair","make_shared","make_shared_for_overwrite","make_tuple","make_unique","malloc","memchr","memcmp","memcpy","memset","modf","move","pow","printf","putchar","puts","realloc","scanf","sin","sinh","snprintf","sprintf","sqrt","sscanf","std","stderr","stdin","stdout","strcat","strchr","strcmp","strcpy","strcspn","strlen","strncat","strncmp","strncpy","strpbrk","strrchr","strspn","strstr","swap","tan","tanh","terminate","to_underlying","tolower","toupper","vfprintf","visit","vprintf","vsprintf"],Pd={type:Rd,keyword:kd,literal:["NULL","false","nullopt","nullptr","true"],built_in:["_Pragma"],_type_hints:Nd},qd={className:"function.dispatch",relevance:0,keywords:{_hint:Id},begin:ed.concat(/\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!switch)/,/(?!while)/,Ja.IDENT_RE,ed.lookahead(/(<[^<>]+>|)\s*\(/))},Yd=[qd,gd,cd,td,Ja.C_BLOCK_COMMENT_MODE,yd,_d],Ud={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:Pd,contains:Yd.concat([{begin:/\(/,end:/\)/,keywords:Pd,contains:Yd.concat(["self"]),relevance:0}]),relevance:0},Hd={className:"function",begin:"("+ld+"[\\*&\\s]+)+"+Td,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:Pd,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:rd,keywords:Pd,relevance:0},{begin:Td,returnBegin:!0,contains:[Ed],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[_d,yd]},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:Pd,relevance:0,contains:[td,Ja.C_BLOCK_COMMENT_MODE,_d,yd,cd,{begin:/\(/,end:/\)/,keywords:Pd,relevance:0,contains:["self",td,Ja.C_BLOCK_COMMENT_MODE,_d,yd,cd]}]},cd,td,Ja.C_BLOCK_COMMENT_MODE,gd]};return{name:"C++",aliases:["cc","c++","h++","hpp","hh","hxx","cxx"],keywords:Pd,illegal:"</",classNameAliases:{"function.dispatch":"built_in"},contains:[].concat(Ud,Hd,qd,Yd,[gd,{begin:"\\b(deque|list|queue|priority_queue|pair|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array|tuple|optional|variant|function)\\s*<(?!<)",end:">",keywords:Pd,contains:["self",cd]},{begin:Ja.IDENT_RE+"::",keywords:Pd},{match:[/\b(?:enum(?:\s+(?:class|struct))?|class|struct|union)/,/\s+/,/\w+/],className:{1:"keyword",3:"title.class"}}])}}function qa(Ja){const ed={type:["boolean","byte","word","String"],built_in:["KeyboardController","MouseController","SoftwareSerial","EthernetServer","EthernetClient","LiquidCrystal","RobotControl","GSMVoiceCall","EthernetUDP","EsploraTFT","HttpClient","RobotMotor","WiFiClient","GSMScanner","FileSystem","Scheduler","GSMServer","YunClient","YunServer","IPAddress","GSMClient","GSMModem","Keyboard","Ethernet","Console","GSMBand","Esplora","Stepper","Process","WiFiUDP","GSM_SMS","Mailbox","USBHost","Firmata","PImage","Client","Server","GSMPIN","FileIO","Bridge","Serial","EEPROM","Stream","Mouse","Audio","Servo","File","Task","GPRS","WiFi","Wire","TFT","GSM","SPI","SD"],_hints:["setup","loop","runShellCommandAsynchronously","analogWriteResolution","retrieveCallingNumber","printFirmwareVersion","analogReadResolution","sendDigitalPortPair","noListenOnLocalhost","readJoystickButton","setFirmwareVersion","readJoystickSwitch","scrollDisplayRight","getVoiceCallStatus","scrollDisplayLeft","writeMicroseconds","delayMicroseconds","beginTransmission","getSignalStrength","runAsynchronously","getAsynchronously","listenOnLocalhost","getCurrentCarrier","readAccelerometer","messageAvailable","sendDigitalPorts","lineFollowConfig","countryNameWrite","runShellCommand","readStringUntil","rewindDirectory","readTemperature","setClockDivider","readLightSensor","endTransmission","analogReference","detachInterrupt","countryNameRead","attachInterrupt","encryptionType","readBytesUntil","robotNameWrite","readMicrophone","robotNameRead","cityNameWrite","userNameWrite","readJoystickY","readJoystickX","mouseReleased","openNextFile","scanNetworks","noInterrupts","digitalWrite","beginSpeaker","mousePressed","isActionDone","mouseDragged","displayLogos","noAutoscroll","addParameter","remoteNumber","getModifiers","keyboardRead","userNameRead","waitContinue","processInput","parseCommand","printVersion","readNetworks","writeMessage","blinkVersion","cityNameRead","readMessage","setDataMode","parsePacket","isListening","setBitOrder","beginPacket","isDirectory","motorsWrite","drawCompass","digitalRead","clearScreen","serialEvent","rightToLeft","setTextSize","leftToRight","requestFrom","keyReleased","compassRead","analogWrite","interrupts","WiFiServer","disconnect","playMelody","parseFloat","autoscroll","getPINUsed","setPINUsed","setTimeout","sendAnalog","readSlider","analogRead","beginWrite","createChar","motorsStop","keyPressed","tempoWrite","readButton","subnetMask","debugPrint","macAddress","writeGreen","randomSeed","attachGPRS","readString","sendString","remotePort","releaseAll","mouseMoved","background","getXChange","getYChange","answerCall","getResult","voiceCall","endPacket","constrain","getSocket","writeJSON","getButton","available","connected","findUntil","readBytes","exitValue","readGreen","writeBlue","startLoop","IPAddress","isPressed","sendSysex","pauseMode","gatewayIP","setCursor","getOemKey","tuneWrite","noDisplay","loadImage","switchPIN","onRequest","onReceive","changePIN","playFile","noBuffer","parseInt","overflow","checkPIN","knobRead","beginTFT","bitClear","updateIR","bitWrite","position","writeRGB","highByte","writeRed","setSpeed","readBlue","noStroke","remoteIP","transfer","shutdown","hangCall","beginSMS","endWrite","attached","maintain","noCursor","checkReg","checkPUK","shiftOut","isValid","shiftIn","pulseIn","connect","println","localIP","pinMode","getIMEI","display","noBlink","process","getBand","running","beginSD","drawBMP","lowByte","setBand","release","bitRead","prepare","pointTo","readRed","setMode","noFill","remove","listen","stroke","detach","attach","noTone","exists","buffer","height","bitSet","circle","config","cursor","random","IRread","setDNS","endSMS","getKey","micros","millis","begin","print","write","ready","flush","width","isPIN","blink","clear","press","mkdir","rmdir","close","point","yield","image","BSSID","click","delay","read","text","move","peek","beep","rect","line","open","seek","fill","size","turn","stop","home","find","step","tone","sqrt","RSSI","SSID","end","bit","tan","cos","sin","pow","map","abs","max","min","get","run","put"],literal:["DIGITAL_MESSAGE","FIRMATA_STRING","ANALOG_MESSAGE","REPORT_DIGITAL","REPORT_ANALOG","INPUT_PULLUP","SET_PIN_MODE","INTERNAL2V56","SYSTEM_RESET","LED_BUILTIN","INTERNAL1V1","SYSEX_START","INTERNAL","EXTERNAL","DEFAULT","OUTPUT","INPUT","HIGH","LOW"]},td=Ra(Ja),rd=td.keywords;return rd.type=[...rd.type,...ed.type],rd.literal=[...rd.literal,...ed.literal],rd.built_in=[...rd.built_in,...ed.built_in],rd._hints=ed._hints,td.name="Arduino",td.aliases=["ino"],td.supersetOf="cpp",td}return arduino_1=qa,arduino_1}var armasm_1,hasRequiredArmasm;function requireArmasm(){if(hasRequiredArmasm)return armasm_1;hasRequiredArmasm=1;function Ra(qa){const Ja={variants:[qa.COMMENT("^[ \\t]*(?=#)","$",{relevance:0,excludeBegin:!0}),qa.COMMENT("[;@]","$",{relevance:0}),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]};return{name:"ARM Assembly",case_insensitive:!0,aliases:["arm"],keywords:{$pattern:"\\.?"+qa.IDENT_RE,meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 w0 w1 w2 w3 w4 w5 w6 w7 w8 w9 w10 w11 w12 w13 w14 w15 w16 w17 w18 w19 w20 w21 w22 w23 w24 w25 w26 w27 w28 w29 w30 x0 x1 x2 x3 x4 x5 x6 x7 x8 x9 x10 x11 x12 x13 x14 x15 x16 x17 x18 x19 x20 x21 x22 x23 x24 x25 x26 x27 x28 x29 x30 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 {PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @"},contains:[{className:"keyword",begin:"\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?(?=\\s)"},Ja,qa.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"[#$=]?0x[0-9a-f]+"},{begin:"[#$=]?0b[01]+"},{begin:"[#$=]\\d+"},{begin:"\\b\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^[ \\t]*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"^[a-z_\\.\\$][a-z0-9_\\.\\$]+"},{begin:"[=#]\\w+"}],relevance:0}]}}return armasm_1=Ra,armasm_1}var xml_1,hasRequiredXml$1;function requireXml$1(){if(hasRequiredXml$1)return xml_1;hasRequiredXml$1=1;function Ra(qa){const Ja=qa.regex,ed=Ja.concat(/[\p{L}_]/u,Ja.optional(/[\p{L}0-9_.-]*:/u),/[\p{L}0-9_.-]*/u),td=/[\p{L}0-9._:-]+/u,rd={className:"symbol",begin:/&[a-z]+;|&#[0-9]+;|&#x[a-f0-9]+;/},sd={begin:/\s/,contains:[{className:"keyword",begin:/#?[a-z_][a-z1-9_-]+/,illegal:/\n/}]},od=qa.inherit(sd,{begin:/\(/,end:/\)/}),ld=qa.inherit(qa.APOS_STRING_MODE,{className:"string"}),cd=qa.inherit(qa.QUOTE_STRING_MODE,{className:"string"}),ud={endsWithParent:!0,illegal:/</,relevance:0,contains:[{className:"attr",begin:td,relevance:0},{begin:/=\s*/,relevance:0,contains:[{className:"string",endsParent:!0,variants:[{begin:/"/,end:/"/,contains:[rd]},{begin:/'/,end:/'/,contains:[rd]},{begin:/[^\s"'=<>`]+/}]}]}]};return{name:"HTML, XML",aliases:["html","xhtml","rss","atom","xjb","xsd","xsl","plist","wsf","svg"],case_insensitive:!0,unicodeRegex:!0,contains:[{className:"meta",begin:/<![a-z]/,end:/>/,relevance:10,contains:[sd,cd,ld,od,{begin:/\[/,end:/\]/,contains:[{className:"meta",begin:/<![a-z]/,end:/>/,contains:[sd,od,cd,ld]}]}]},qa.COMMENT(/<!--/,/-->/,{relevance:10}),{begin:/<!\[CDATA\[/,end:/\]\]>/,relevance:10},rd,{className:"meta",end:/\?>/,variants:[{begin:/<\?xml/,relevance:10,contains:[cd]},{begin:/<\?[a-z][a-z0-9]+/}]},{className:"tag",begin:/<style(?=\s|>)/,end:/>/,keywords:{name:"style"},contains:[ud],starts:{end:/<\/style>/,returnEnd:!0,subLanguage:["css","xml"]}},{className:"tag",begin:/<script(?=\s|>)/,end:/>/,keywords:{name:"script"},contains:[ud],starts:{end:/<\/script>/,returnEnd:!0,subLanguage:["javascript","handlebars","xml"]}},{className:"tag",begin:/<>|<\/>/},{className:"tag",begin:Ja.concat(/</,Ja.lookahead(Ja.concat(ed,Ja.either(/\/>/,/>/,/\s/)))),end:/\/?>/,contains:[{className:"name",begin:ed,relevance:0,starts:ud}]},{className:"tag",begin:Ja.concat(/<\//,Ja.lookahead(Ja.concat(ed,/>/))),contains:[{className:"name",begin:ed,relevance:0},{begin:/>/,relevance:0,endsParent:!0}]}]}}return xml_1=Ra,xml_1}var asciidoc_1,hasRequiredAsciidoc;function requireAsciidoc(){if(hasRequiredAsciidoc)return asciidoc_1;hasRequiredAsciidoc=1;function Ra(qa){const Ja=qa.regex,ed={begin:"^'{3,}[ \\t]*$",relevance:10},td=[{begin:/\\[*_`]/},{begin:/\\\\\*{2}[^\n]*?\*{2}/},{begin:/\\\\_{2}[^\n]*_{2}/},{begin:/\\\\`{2}[^\n]*`{2}/},{begin:/[:;}][*_`](?![*_`])/}],rd=[{className:"strong",begin:/\*{2}([^\n]+?)\*{2}/},{className:"strong",begin:Ja.concat(/\*\*/,/((\*(?!\*)|\\[^\n]|[^*\n\\])+\n)+/,/(\*(?!\*)|\\[^\n]|[^*\n\\])*/,/\*\*/),relevance:0},{className:"strong",begin:/\B\*(\S|\S[^\n]*?\S)\*(?!\w)/},{className:"strong",begin:/\*[^\s]([^\n]+\n)+([^\n]+)\*/}],sd=[{className:"emphasis",begin:/_{2}([^\n]+?)_{2}/},{className:"emphasis",begin:Ja.concat(/__/,/((_(?!_)|\\[^\n]|[^_\n\\])+\n)+/,/(_(?!_)|\\[^\n]|[^_\n\\])*/,/__/),relevance:0},{className:"emphasis",begin:/\b_(\S|\S[^\n]*?\S)_(?!\w)/},{className:"emphasis",begin:/_[^\s]([^\n]+\n)+([^\n]+)_/},{className:"emphasis",begin:"\\B'(?!['\\s])",end:"(\\n{2}|')",contains:[{begin:"\\\\'\\w",relevance:0}],relevance:0}],od={className:"symbol",begin:"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\s+",relevance:10},ld={className:"bullet",begin:"^(\\*+|-+|\\.+|[^\\n]+?::)\\s+"};return{name:"AsciiDoc",aliases:["adoc"],contains:[qa.COMMENT("^/{4,}\\n","\\n/{4,}$",{relevance:10}),qa.COMMENT("^//","$",{relevance:0}),{className:"title",begin:"^\\.\\w.*$"},{begin:"^[=\\*]{4,}\\n",end:"\\n^[=\\*]{4,}$",relevance:10},{className:"section",relevance:10,variants:[{begin:"^(={1,6})[ ].+?([ ]\\1)?$"},{begin:"^[^\\[\\]\\n]+?\\n[=\\-~\\^\\+]{2,}$"}]},{className:"meta",begin:"^:.+?:",end:"\\s",excludeEnd:!0,relevance:10},{className:"meta",begin:"^\\[.+?\\]$",relevance:0},{className:"quote",begin:"^_{4,}\\n",end:"\\n_{4,}$",relevance:10},{className:"code",begin:"^[\\-\\.]{4,}\\n",end:"\\n[\\-\\.]{4,}$",relevance:10},{begin:"^\\+{4,}\\n",end:"\\n\\+{4,}$",contains:[{begin:"<",end:">",subLanguage:"xml",relevance:0}],relevance:10},ld,od,...td,...rd,...sd,{className:"string",variants:[{begin:"``.+?''"},{begin:"`.+?'"}]},{className:"code",begin:/`{2}/,end:/(\n{2}|`{2})/},{className:"code",begin:"(`.+?`|\\+.+?\\+)",relevance:0},{className:"code",begin:"^[ \\t]",end:"$",relevance:0},ed,{begin:"(link:)?(http|https|ftp|file|irc|image:?):\\S+?\\[[^[]*?\\]",returnBegin:!0,contains:[{begin:"(link|image:?):",relevance:0},{className:"link",begin:"\\w",end:"[^\\[]+",relevance:0},{className:"string",begin:"\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0,relevance:0}],relevance:10}]}}return asciidoc_1=Ra,asciidoc_1}var aspectj_1,hasRequiredAspectj;function requireAspectj(){if(hasRequiredAspectj)return aspectj_1;hasRequiredAspectj=1;function Ra(qa){const Ja=qa.regex,ed=["false","synchronized","int","abstract","float","private","char","boolean","static","null","if","const","for","true","while","long","throw","strictfp","finally","protected","import","native","final","return","void","enum","else","extends","implements","break","transient","new","catch","instanceof","byte","super","volatile","case","assert","short","package","default","double","public","try","this","switch","continue","throws","privileged","aspectOf","adviceexecution","proceed","cflowbelow","cflow","initialization","preinitialization","staticinitialization","withincode","target","within","execution","getWithinTypeName","handler","thisJoinPoint","thisJoinPointStaticPart","thisEnclosingJoinPointStaticPart","declare","parents","warning","error","soft","precedence","thisAspectInstance"],td=["get","set","args","call"];return{name:"AspectJ",keywords:ed,illegal:/<\/|#/,contains:[qa.COMMENT(/\/\*\*/,/\*\//,{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:/@[A-Za-z]+/}]}),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{className:"class",beginKeywords:"aspect",end:/[{;=]/,excludeEnd:!0,illegal:/[:;"\[\]]/,contains:[{beginKeywords:"extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton"},qa.UNDERSCORE_TITLE_MODE,{begin:/\([^\)]*/,end:/[)]+/,keywords:ed.concat(td),excludeEnd:!1}]},{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,relevance:0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},qa.UNDERSCORE_TITLE_MODE]},{beginKeywords:"pointcut after before around throwing returning",end:/[)]/,excludeEnd:!1,illegal:/["\[\]]/,contains:[{begin:Ja.concat(qa.UNDERSCORE_IDENT_RE,/\s*\(/),returnBegin:!0,contains:[qa.UNDERSCORE_TITLE_MODE]}]},{begin:/[:]/,returnBegin:!0,end:/[{;]/,relevance:0,excludeEnd:!1,keywords:ed,illegal:/["\[\]]/,contains:[{begin:Ja.concat(qa.UNDERSCORE_IDENT_RE,/\s*\(/),keywords:ed.concat(td),relevance:0},qa.QUOTE_STRING_MODE]},{beginKeywords:"new throw",relevance:0},{className:"function",begin:/\w+ +\w+(\.\w+)?\s*\([^\)]*\)\s*((throws)[\w\s,]+)?[\{;]/,returnBegin:!0,end:/[{;=]/,keywords:ed,excludeEnd:!0,contains:[{begin:Ja.concat(qa.UNDERSCORE_IDENT_RE,/\s*\(/),returnBegin:!0,relevance:0,contains:[qa.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,relevance:0,keywords:ed,contains:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},qa.C_NUMBER_MODE,{className:"meta",begin:/@[A-Za-z]+/}]}}return aspectj_1=Ra,aspectj_1}var autohotkey_1,hasRequiredAutohotkey;function requireAutohotkey(){if(hasRequiredAutohotkey)return autohotkey_1;hasRequiredAutohotkey=1;function Ra(qa){const Ja={begin:"`[\\s\\S]"};return{name:"AutoHotkey",case_insensitive:!0,aliases:["ahk"],keywords:{keyword:"Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group",literal:"true false NOT AND OR",built_in:"ComSpec Clipboard ClipboardAll ErrorLevel"},contains:[Ja,qa.inherit(qa.QUOTE_STRING_MODE,{contains:[Ja]}),qa.COMMENT(";","$",{relevance:0}),qa.C_BLOCK_COMMENT_MODE,{className:"number",begin:qa.NUMBER_RE,relevance:0},{className:"variable",begin:"%[a-zA-Z0-9#_$@]+%"},{className:"built_in",begin:"^\\s*\\w+\\s*(,|%)"},{className:"title",variants:[{begin:'^[^\\n";]+::(?!=)'},{begin:'^[^\\n";]+:(?!=)',relevance:0}]},{className:"meta",begin:"^\\s*#\\w+",end:"$",relevance:0},{className:"built_in",begin:"A_[a-zA-Z0-9]+"},{begin:",\\s*,"}]}}return autohotkey_1=Ra,autohotkey_1}var autoit_1,hasRequiredAutoit;function requireAutoit(){if(hasRequiredAutoit)return autoit_1;hasRequiredAutoit=1;function Ra(qa){const Ja="ByRef Case Const ContinueCase ContinueLoop Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With",ed=["EndRegion","forcedef","forceref","ignorefunc","include","include-once","NoTrayIcon","OnAutoItStartRegister","pragma","Region","RequireAdmin","Tidy_Off","Tidy_On","Tidy_Parameters"],td="True False And Null Not Or Default",rd="Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait WinWaitActive WinWaitClose WinWaitNotActive",sd={variants:[qa.COMMENT(";","$",{relevance:0}),qa.COMMENT("#cs","#ce"),qa.COMMENT("#comments-start","#comments-end")]},od={begin:"\\$[A-z0-9_]+"},ld={className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},cd={variants:[qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE]},ud={className:"meta",begin:"#",end:"$",keywords:{keyword:ed},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",keywords:{keyword:"include"},end:"$",contains:[ld,{className:"string",variants:[{begin:"<",end:">"},{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]}]},ld,sd]},_d={className:"symbol",begin:"@[A-z0-9_]+"},yd={beginKeywords:"Func",end:"$",illegal:"\\$|\\[|%",contains:[qa.inherit(qa.UNDERSCORE_TITLE_MODE,{className:"title.function"}),{className:"params",begin:"\\(",end:"\\)",contains:[od,ld,cd]}]};return{name:"AutoIt",case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:Ja,built_in:rd,literal:td},contains:[sd,od,ld,cd,ud,_d,yd]}}return autoit_1=Ra,autoit_1}var avrasm_1,hasRequiredAvrasm;function requireAvrasm(){if(hasRequiredAvrasm)return avrasm_1;hasRequiredAvrasm=1;function Ra(qa){return{name:"AVR Assembly",case_insensitive:!0,keywords:{$pattern:"\\.?"+qa.IDENT_RE,keyword:"adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub subi swap tst wdr",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf",meta:".byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set"},contains:[qa.C_BLOCK_COMMENT_MODE,qa.COMMENT(";","$",{relevance:0}),qa.C_NUMBER_MODE,qa.BINARY_NUMBER_MODE,{className:"number",begin:"\\b(\\$[a-zA-Z0-9]+|0o[0-7]+)"},qa.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",illegal:"[^\\\\][^']"},{className:"symbol",begin:"^[A-Za-z0-9_.$]+:"},{className:"meta",begin:"#",end:"$"},{className:"subst",begin:"@[0-9]+"}]}}return avrasm_1=Ra,avrasm_1}var awk_1,hasRequiredAwk;function requireAwk(){if(hasRequiredAwk)return awk_1;hasRequiredAwk=1;function Ra(qa){const Ja={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)\}/}]},ed="BEGIN END if else while do for in break continue delete next nextfile function func exit|10",td={className:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,relevance:10},{begin:/(u|b)?r?"""/,end:/"""/,relevance:10},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)"/,end:/"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)"/,end:/"/},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]};return{name:"Awk",keywords:{keyword:ed},contains:[Ja,td,qa.REGEXP_MODE,qa.HASH_COMMENT_MODE,qa.NUMBER_MODE]}}return awk_1=Ra,awk_1}var axapta_1,hasRequiredAxapta;function requireAxapta(){if(hasRequiredAxapta)return axapta_1;hasRequiredAxapta=1;function Ra(qa){const Ja=qa.UNDERSCORE_IDENT_RE,sd={keyword:["abstract","as","asc","avg","break","breakpoint","by","byref","case","catch","changecompany","class","client","client","common","const","continue","count","crosscompany","delegate","delete_from","desc","display","div","do","edit","else","eventhandler","exists","extends","final","finally","firstfast","firstonly","firstonly1","firstonly10","firstonly100","firstonly1000","flush","for","forceliterals","forcenestedloop","forceplaceholders","forceselectorder","forupdate","from","generateonly","group","hint","if","implements","in","index","insert_recordset","interface","internal","is","join","like","maxof","minof","mod","namespace","new","next","nofetch","notexists","optimisticlock","order","outer","pessimisticlock","print","private","protected","public","readonly","repeatableread","retry","return","reverse","select","server","setting","static","sum","super","switch","this","throw","try","ttsabort","ttsbegin","ttscommit","unchecked","update_recordset","using","validtimestate","void","where","while"],built_in:["anytype","boolean","byte","char","container","date","double","enum","guid","int","int64","long","real","short","str","utcdatetime","var"],literal:["default","false","null","true"]},od={variants:[{match:[/(class|interface)\s+/,Ja,/\s+(extends|implements)\s+/,Ja]},{match:[/class\s+/,Ja]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:sd};return{name:"X++",aliases:["x++"],keywords:sd,contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},od]}}return axapta_1=Ra,axapta_1}var bash_1,hasRequiredBash;function requireBash(){if(hasRequiredBash)return bash_1;hasRequiredBash=1;function Ra(qa){const Ja=qa.regex,ed={},td={begin:/\$\{/,end:/\}/,contains:["self",{begin:/:-/,contains:[ed]}]};Object.assign(ed,{className:"variable",variants:[{begin:Ja.concat(/\$[\w\d#@][\w\d_]*/,"(?![\\w\\d])(?![$])")},td]});const rd={className:"subst",begin:/\$\(/,end:/\)/,contains:[qa.BACKSLASH_ESCAPE]},sd=qa.inherit(qa.COMMENT(),{match:[/(^|\s)/,/#.*$/],scope:{2:"comment"}}),od={begin:/<<-?\s*(?=\w+)/,starts:{contains:[qa.END_SAME_AS_BEGIN({begin:/(\w+)/,end:/(\w+)/,className:"string"})]}},ld={className:"string",begin:/"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE,ed,rd]};rd.contains.push(ld);const cd={match:/\\"/},ud={className:"string",begin:/'/,end:/'/},_d={match:/\\'/},yd={begin:/\$?\(\(/,end:/\)\)/,contains:[{begin:/\d+#[0-9a-f]+/,className:"number"},qa.NUMBER_MODE,ed]},gd=["fish","bash","zsh","sh","csh","ksh","tcsh","dash","scsh"],Ed=qa.SHEBANG({binary:`(${gd.join("|")})`,relevance:10}),Td={className:"function",begin:/\w[\w\d_]*\s*\(\s*\)\s*\{/,returnBegin:!0,contains:[qa.inherit(qa.TITLE_MODE,{begin:/\w[\w\d_]*/})],relevance:0},kd=["if","then","else","elif","fi","for","while","until","in","do","done","case","esac","function","select"],Rd=["true","false"],Nd={match:/(\/[a-z._-]+)+/},Id=["break","cd","continue","eval","exec","exit","export","getopts","hash","pwd","readonly","return","shift","test","times","trap","umask","unset"],Md=["alias","bind","builtin","caller","command","declare","echo","enable","help","let","local","logout","mapfile","printf","read","readarray","source","sudo","type","typeset","ulimit","unalias"],Ld=["autoload","bg","bindkey","bye","cap","chdir","clone","comparguments","compcall","compctl","compdescribe","compfiles","compgroups","compquote","comptags","comptry","compvalues","dirs","disable","disown","echotc","echoti","emulate","fc","fg","float","functions","getcap","getln","history","integer","jobs","kill","limit","log","noglob","popd","print","pushd","pushln","rehash","sched","setcap","setopt","stat","suspend","ttyctl","unfunction","unhash","unlimit","unsetopt","vared","wait","whence","where","which","zcompile","zformat","zftp","zle","zmodload","zparseopts","zprof","zpty","zregexparse","zsocket","zstyle","ztcp"],Pd=["chcon","chgrp","chown","chmod","cp","dd","df","dir","dircolors","ln","ls","mkdir","mkfifo","mknod","mktemp","mv","realpath","rm","rmdir","shred","sync","touch","truncate","vdir","b2sum","base32","base64","cat","cksum","comm","csplit","cut","expand","fmt","fold","head","join","md5sum","nl","numfmt","od","paste","ptx","pr","sha1sum","sha224sum","sha256sum","sha384sum","sha512sum","shuf","sort","split","sum","tac","tail","tr","tsort","unexpand","uniq","wc","arch","basename","chroot","date","dirname","du","echo","env","expr","factor","groups","hostid","id","link","logname","nice","nohup","nproc","pathchk","pinky","printenv","printf","pwd","readlink","runcon","seq","sleep","stat","stdbuf","stty","tee","test","timeout","tty","uname","unlink","uptime","users","who","whoami","yes"];return{name:"Bash",aliases:["sh","zsh"],keywords:{$pattern:/\b[a-z][a-z0-9._-]+\b/,keyword:kd,literal:Rd,built_in:[...Id,...Md,"set","shopt",...Ld,...Pd]},contains:[Ed,qa.SHEBANG(),Td,yd,sd,od,Nd,ld,cd,ud,_d,ed]}}return bash_1=Ra,bash_1}var basic_1,hasRequiredBasic;function requireBasic(){if(hasRequiredBasic)return basic_1;hasRequiredBasic=1;function Ra(qa){return{name:"BASIC",case_insensitive:!0,illegal:"^.",keywords:{$pattern:"[a-zA-Z][a-zA-Z0-9_$%!#]*",keyword:["ABS","ASC","AND","ATN","AUTO|0","BEEP","BLOAD|10","BSAVE|10","CALL","CALLS","CDBL","CHAIN","CHDIR","CHR$|10","CINT","CIRCLE","CLEAR","CLOSE","CLS","COLOR","COM","COMMON","CONT","COS","CSNG","CSRLIN","CVD","CVI","CVS","DATA","DATE$","DEFDBL","DEFINT","DEFSNG","DEFSTR","DEF|0","SEG","USR","DELETE","DIM","DRAW","EDIT","END","ENVIRON","ENVIRON$","EOF","EQV","ERASE","ERDEV","ERDEV$","ERL","ERR","ERROR","EXP","FIELD","FILES","FIX","FOR|0","FRE","GET","GOSUB|10","GOTO","HEX$","IF","THEN","ELSE|0","INKEY$","INP","INPUT","INPUT#","INPUT$","INSTR","IMP","INT","IOCTL","IOCTL$","KEY","ON","OFF","LIST","KILL","LEFT$","LEN","LET","LINE","LLIST","LOAD","LOC","LOCATE","LOF","LOG","LPRINT","USING","LSET","MERGE","MID$","MKDIR","MKD$","MKI$","MKS$","MOD","NAME","NEW","NEXT","NOISE","NOT","OCT$","ON","OR","PEN","PLAY","STRIG","OPEN","OPTION","BASE","OUT","PAINT","PALETTE","PCOPY","PEEK","PMAP","POINT","POKE","POS","PRINT","PRINT]","PSET","PRESET","PUT","RANDOMIZE","READ","REM","RENUM","RESET|0","RESTORE","RESUME","RETURN|0","RIGHT$","RMDIR","RND","RSET","RUN","SAVE","SCREEN","SGN","SHELL","SIN","SOUND","SPACE$","SPC","SQR","STEP","STICK","STOP","STR$","STRING$","SWAP","SYSTEM","TAB","TAN","TIME$","TIMER","TROFF","TRON","TO","USR","VAL","VARPTR","VARPTR$","VIEW","WAIT","WHILE","WEND","WIDTH","WINDOW","WRITE","XOR"]},contains:[qa.QUOTE_STRING_MODE,qa.COMMENT("REM","$",{relevance:10}),qa.COMMENT("'","$",{relevance:0}),{className:"symbol",begin:"^[0-9]+ ",relevance:10},{className:"number",begin:"\\b\\d+(\\.\\d+)?([edED]\\d+)?[#!]?",relevance:0},{className:"number",begin:"(&[hH][0-9a-fA-F]{1,4})"},{className:"number",begin:"(&[oO][0-7]{1,6})"}]}}return basic_1=Ra,basic_1}var bnf_1,hasRequiredBnf;function requireBnf(){if(hasRequiredBnf)return bnf_1;hasRequiredBnf=1;function Ra(qa){return{name:"Backus–Naur Form",contains:[{className:"attribute",begin:/</,end:/>/},{begin:/::=/,end:/$/,contains:[{begin:/</,end:/>/},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]}]}}return bnf_1=Ra,bnf_1}var brainfuck_1,hasRequiredBrainfuck;function requireBrainfuck(){if(hasRequiredBrainfuck)return brainfuck_1;hasRequiredBrainfuck=1;function Ra(qa){const Ja={className:"literal",begin:/[+-]+/,relevance:0};return{name:"Brainfuck",aliases:["bf"],contains:[qa.COMMENT(/[^\[\]\.,\+\-<> \r\n]/,/[\[\]\.,\+\-<> \r\n]/,{contains:[{match:/[ ]+[^\[\]\.,\+\-<> \r\n]/,relevance:0}],returnEnd:!0,relevance:0}),{className:"title",begin:"[\\[\\]]",relevance:0},{className:"string",begin:"[\\.,]",relevance:0},{begin:/(?=\+\+|--)/,contains:[Ja]},Ja]}}return brainfuck_1=Ra,brainfuck_1}var c_1,hasRequiredC;function requireC(){if(hasRequiredC)return c_1;hasRequiredC=1;function Ra(qa){const Ja=qa.regex,ed=qa.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),td="decltype\\(auto\\)",rd="[a-zA-Z_]\\w*::",od="("+td+"|"+Ja.optional(rd)+"[a-zA-Z_]\\w*"+Ja.optional("<[^<>]+>")+")",ld={className:"type",variants:[{begin:"\\b[a-z\\d_]*_t\\b"},{match:/\batomic_[a-z]{3,6}\b/}]},ud={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+"\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)"+"|.)",end:"'",illegal:"."},qa.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},_d={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},yd={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef elifdef elifndef include"},contains:[{begin:/\\\n/,relevance:0},qa.inherit(ud,{className:"string"}),{className:"string",begin:/<.*?>/},ed,qa.C_BLOCK_COMMENT_MODE]},gd={className:"title",begin:Ja.optional(rd)+qa.IDENT_RE,relevance:0},Ed=Ja.optional(rd)+qa.IDENT_RE+"\\s*\\(",Rd={keyword:["asm","auto","break","case","continue","default","do","else","enum","extern","for","fortran","goto","if","inline","register","restrict","return","sizeof","typeof","typeof_unqual","struct","switch","typedef","union","volatile","while","_Alignas","_Alignof","_Atomic","_Generic","_Noreturn","_Static_assert","_Thread_local","alignas","alignof","noreturn","static_assert","thread_local","_Pragma"],type:["float","double","signed","unsigned","int","short","long","char","void","_Bool","_BitInt","_Complex","_Imaginary","_Decimal32","_Decimal64","_Decimal96","_Decimal128","_Decimal64x","_Decimal128x","_Float16","_Float32","_Float64","_Float128","_Float32x","_Float64x","_Float128x","const","static","constexpr","complex","bool","imaginary"],literal:"true false NULL",built_in:"std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set pair bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap priority_queue make_pair array shared_ptr abort terminate abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf endl initializer_list unique_ptr"},Nd=[yd,ld,ed,qa.C_BLOCK_COMMENT_MODE,_d,ud],Id={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:Rd,contains:Nd.concat([{begin:/\(/,end:/\)/,keywords:Rd,contains:Nd.concat(["self"]),relevance:0}]),relevance:0},Md={begin:"("+od+"[\\*&\\s]+)+"+Ed,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:Rd,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:td,keywords:Rd,relevance:0},{begin:Ed,returnBegin:!0,contains:[qa.inherit(gd,{className:"title.function"})],relevance:0},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:Rd,relevance:0,contains:[ed,qa.C_BLOCK_COMMENT_MODE,ud,_d,ld,{begin:/\(/,end:/\)/,keywords:Rd,relevance:0,contains:["self",ed,qa.C_BLOCK_COMMENT_MODE,ud,_d,ld]}]},ld,ed,qa.C_BLOCK_COMMENT_MODE,yd]};return{name:"C",aliases:["h"],keywords:Rd,disableAutodetect:!0,illegal:"</",contains:[].concat(Id,Md,Nd,[yd,{begin:qa.IDENT_RE+"::",keywords:Rd},{className:"class",beginKeywords:"enum class struct union",end:/[{;:<>=]/,contains:[{beginKeywords:"final class struct"},qa.TITLE_MODE]}]),exports:{preprocessor:yd,strings:ud,keywords:Rd}}}return c_1=Ra,c_1}var cal_1,hasRequiredCal;function requireCal(){if(hasRequiredCal)return cal_1;hasRequiredCal=1;function Ra(qa){const Ja=qa.regex,ed=["div","mod","in","and","or","not","xor","asserterror","begin","case","do","downto","else","end","exit","for","local","if","of","repeat","then","to","until","while","with","var"],td="false true",rd=[qa.C_LINE_COMMENT_MODE,qa.COMMENT(/\{/,/\}/,{relevance:0}),qa.COMMENT(/\(\*/,/\*\)/,{relevance:10})],sd={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},od={className:"string",begin:/(#\d+)+/},ld={className:"number",begin:"\\b\\d+(\\.\\d+)?(DT|D|T)",relevance:0},cd={className:"string",begin:'"',end:'"'},ud={match:[/procedure/,/\s+/,/[a-zA-Z_][\w@]*/,/\s*/],scope:{1:"keyword",3:"title.function"},contains:[{className:"params",begin:/\(/,end:/\)/,keywords:ed,contains:[sd,od,qa.NUMBER_MODE]},...rd]},_d=["Table","Form","Report","Dataport","Codeunit","XMLport","MenuSuite","Page","Query"],yd={match:[/OBJECT/,/\s+/,Ja.either(..._d),/\s+/,/\d+/,/\s+(?=[^\s])/,/.*/,/$/],relevance:3,scope:{1:"keyword",3:"type",5:"number",7:"title"}};return{name:"C/AL",case_insensitive:!0,keywords:{keyword:ed,literal:td},illegal:/\/\*/,contains:[{match:/[\w]+(?=\=)/,scope:"attribute",relevance:0},sd,od,ld,cd,qa.NUMBER_MODE,yd,ud]}}return cal_1=Ra,cal_1}var capnproto_1,hasRequiredCapnproto;function requireCapnproto(){if(hasRequiredCapnproto)return capnproto_1;hasRequiredCapnproto=1;function Ra(qa){const Ja=["struct","enum","interface","union","group","import","using","const","annotation","extends","in","of","on","as","with","from","fixed"],ed=["Void","Bool","Int8","Int16","Int32","Int64","UInt8","UInt16","UInt32","UInt64","Float32","Float64","Text","Data","AnyPointer","AnyStruct","Capability","List"],td=["true","false"],rd={variants:[{match:[/(struct|enum|interface)/,/\s+/,qa.IDENT_RE]},{match:[/extends/,/\s*\(/,qa.IDENT_RE,/\s*\)/]}],scope:{1:"keyword",3:"title.class"}};return{name:"Cap’n Proto",aliases:["capnp"],keywords:{keyword:Ja,type:ed,literal:td},contains:[qa.QUOTE_STRING_MODE,qa.NUMBER_MODE,qa.HASH_COMMENT_MODE,{className:"meta",begin:/@0x[\w\d]{16};/,illegal:/\n/},{className:"symbol",begin:/@\d+\b/},rd]}}return capnproto_1=Ra,capnproto_1}var ceylon_1,hasRequiredCeylon;function requireCeylon(){if(hasRequiredCeylon)return ceylon_1;hasRequiredCeylon=1;function Ra(qa){const Ja=["assembly","module","package","import","alias","class","interface","object","given","value","assign","void","function","new","of","extends","satisfies","abstracts","in","out","return","break","continue","throw","assert","dynamic","if","else","switch","case","for","while","try","catch","finally","then","let","this","outer","super","is","exists","nonempty"],ed=["shared","abstract","formal","default","actual","variable","late","native","deprecated","final","sealed","annotation","suppressWarnings","small"],td=["doc","by","license","see","throws","tagged"],rd={className:"subst",excludeBegin:!0,excludeEnd:!0,begin:/``/,end:/``/,keywords:Ja,relevance:10},sd=[{className:"string",begin:'"""',end:'"""',relevance:10},{className:"string",begin:'"',end:'"',contains:[rd]},{className:"string",begin:"'",end:"'"},{className:"number",begin:"#[0-9a-fA-F_]+|\\$[01_]+|[0-9_]+(?:\\.[0-9_](?:[eE][+-]?\\d+)?)?[kMGTPmunpf]?",relevance:0}];return rd.contains=sd,{name:"Ceylon",keywords:{keyword:Ja.concat(ed),meta:td},illegal:"\\$[^01]|#[^0-9a-fA-F]",contains:[qa.C_LINE_COMMENT_MODE,qa.COMMENT("/\\*","\\*/",{contains:["self"]}),{className:"meta",begin:'@[a-z]\\w*(?::"[^"]*")?'}].concat(sd)}}return ceylon_1=Ra,ceylon_1}var clean_1,hasRequiredClean;function requireClean(){if(hasRequiredClean)return clean_1;hasRequiredClean=1;function Ra(qa){return{name:"Clean",aliases:["icl","dcl"],keywords:{keyword:["if","let","in","with","where","case","of","class","instance","otherwise","implementation","definition","system","module","from","import","qualified","as","special","code","inline","foreign","export","ccall","stdcall","generic","derive","infix","infixl","infixr"],built_in:"Int Real Char Bool",literal:"True False"},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,{begin:"->|<-[|:]?|#!?|>>=|\\{\\||\\|\\}|:==|=:|<>"}]}}return clean_1=Ra,clean_1}var clojure_1,hasRequiredClojure;function requireClojure(){if(hasRequiredClojure)return clojure_1;hasRequiredClojure=1;function Ra(qa){const Ja="a-zA-Z_\\-!.?+*=<>&'",ed="[#]?["+Ja+"]["+Ja+"0-9/;:$#]*",td="def defonce defprotocol defstruct defmulti defmethod defn- defn defmacro deftype defrecord",rd={$pattern:ed,built_in:td+" cond apply if-not if-let if not not= =|0 <|0 >|0 <=|0 >=|0 ==|0 +|0 /|0 *|0 -|0 rem quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last drop-while while intern condp case reduced cycle split-at split-with repeat replicate iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter monitor-exit macroexpand macroexpand-1 for dosync and or when when-not when-let comp juxt partial sequence memoize constantly complement identity assert peek pop doto proxy first rest cons cast coll last butlast sigs reify second ffirst fnext nfirst nnext meta with-meta ns in-ns create-ns import refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize"},sd={begin:ed,relevance:0},od={scope:"number",relevance:0,variants:[{match:/[-+]?0[xX][0-9a-fA-F]+N?/},{match:/[-+]?0[0-7]+N?/},{match:/[-+]?[1-9][0-9]?[rR][0-9a-zA-Z]+N?/},{match:/[-+]?[0-9]+\/[0-9]+N?/},{match:/[-+]?[0-9]+((\.[0-9]*([eE][+-]?[0-9]+)?M?)|([eE][+-]?[0-9]+M?|M))/},{match:/[-+]?([1-9][0-9]*|0)N?/}]},ld={scope:"character",variants:[{match:/\\o[0-3]?[0-7]{1,2}/},{match:/\\u[0-9a-fA-F]{4}/},{match:/\\(newline|space|tab|formfeed|backspace|return)/},{match:/\\\S/,relevance:0}]},cd={scope:"regex",begin:/#"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE]},ud=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),_d={scope:"punctuation",match:/,/,relevance:0},yd=qa.COMMENT(";","$",{relevance:0}),gd={className:"literal",begin:/\b(true|false|nil)\b/},Ed={begin:"\\[|(#::?"+ed+")?\\{",end:"[\\]\\}]",relevance:0},Td={className:"symbol",begin:"[:]{1,2}"+ed},kd={begin:"\\(",end:"\\)"},Rd={endsWithParent:!0,relevance:0},Nd={keywords:rd,className:"name",begin:ed,relevance:0,starts:Rd},Id=[_d,kd,ld,cd,ud,yd,Td,Ed,od,gd,sd],Md={beginKeywords:td,keywords:{$pattern:ed,keyword:td},end:'(\\[|#|\\d|"|:|\\{|\\)|\\(|$)',contains:[{className:"title",begin:ed,relevance:0,excludeEnd:!0,endsParent:!0}].concat(Id)};return kd.contains=[Md,Nd,Rd],Rd.contains=Id,Ed.contains=Id,{name:"Clojure",aliases:["clj","edn"],illegal:/\S/,contains:[_d,kd,ld,cd,ud,yd,Td,Ed,od,gd]}}return clojure_1=Ra,clojure_1}var clojureRepl_1,hasRequiredClojureRepl;function requireClojureRepl(){if(hasRequiredClojureRepl)return clojureRepl_1;hasRequiredClojureRepl=1;function Ra(qa){return{name:"Clojure REPL",contains:[{className:"meta.prompt",begin:/^([\w.-]+|\s*#_)?=>/,starts:{end:/$/,subLanguage:"clojure"}}]}}return clojureRepl_1=Ra,clojureRepl_1}var cmake_1,hasRequiredCmake;function requireCmake(){if(hasRequiredCmake)return cmake_1;hasRequiredCmake=1;function Ra(qa){return{name:"CMake",aliases:["cmake.in"],case_insensitive:!0,keywords:{keyword:"break cmake_host_system_information cmake_minimum_required cmake_parse_arguments cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro endwhile execute_process file find_file find_library find_package find_path find_program foreach function get_cmake_property get_directory_property get_filename_component get_property if include include_guard list macro mark_as_advanced math message option return separate_arguments set_directory_properties set_property set site_name string unset variable_watch while add_compile_definitions add_compile_options add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_link_options add_subdirectory add_test aux_source_directory build_command create_test_sourcelist define_property enable_language enable_testing export fltk_wrap_ui get_source_file_property get_target_property get_test_property include_directories include_external_msproject include_regular_expression install link_directories link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions set_source_files_properties set_target_properties set_tests_properties source_group target_compile_definitions target_compile_features target_compile_options target_include_directories target_link_directories target_link_libraries target_link_options target_sources try_compile try_run ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ctest_test ctest_update ctest_upload build_name exec_program export_library_dependencies install_files install_programs install_targets load_command make_directory output_required_files remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or not command policy target test exists is_newer_than is_directory is_symlink is_absolute matches less greater equal less_equal greater_equal strless strgreater strequal strless_equal strgreater_equal version_less version_greater version_equal version_less_equal version_greater_equal in_list defined"},contains:[{className:"variable",begin:/\$\{/,end:/\}/},qa.COMMENT(/#\[\[/,/]]/),qa.HASH_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.NUMBER_MODE]}}return cmake_1=Ra,cmake_1}var coffeescript_1,hasRequiredCoffeescript;function requireCoffeescript(){if(hasRequiredCoffeescript)return coffeescript_1;hasRequiredCoffeescript=1;const Ra=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],qa=["true","false","null","undefined","NaN","Infinity"],Ja=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],ed=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],td=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],rd=[].concat(td,Ja,ed);function sd(od){const ld=["npm","print"],cd=["yes","no","on","off"],ud=["then","unless","until","loop","by","when","and","or","is","isnt","not"],_d=["var","const","let","function","static"],yd=Ld=>Pd=>!Ld.includes(Pd),gd={keyword:Ra.concat(ud).filter(yd(_d)),literal:qa.concat(cd),built_in:rd.concat(ld)},Ed="[A-Za-z$_][0-9A-Za-z$_]*",Td={className:"subst",begin:/#\{/,end:/\}/,keywords:gd},kd=[od.BINARY_NUMBER_MODE,od.inherit(od.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[od.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[od.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[od.BACKSLASH_ESCAPE,Td]},{begin:/"/,end:/"/,contains:[od.BACKSLASH_ESCAPE,Td]}]},{className:"regexp",variants:[{begin:"///",end:"///",contains:[Td,od.HASH_COMMENT_MODE]},{begin:"//[gim]{0,3}(?=\\W)",relevance:0},{begin:/\/(?![ *]).*?(?![\\]).\/[gim]{0,3}(?=\W)/}]},{begin:"@"+Ed},{subLanguage:"javascript",excludeBegin:!0,excludeEnd:!0,variants:[{begin:"```",end:"```"},{begin:"`",end:"`"}]}];Td.contains=kd;const Rd=od.inherit(od.TITLE_MODE,{begin:Ed}),Nd="(\\(.*\\)\\s*)?\\B[-=]>",Id={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:gd,contains:["self"].concat(kd)}]},Md={variants:[{match:[/class\s+/,Ed,/\s+extends\s+/,Ed]},{match:[/class\s+/,Ed]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:gd};return{name:"CoffeeScript",aliases:["coffee","cson","iced"],keywords:gd,illegal:/\/\*/,contains:[...kd,od.COMMENT("###","###"),od.HASH_COMMENT_MODE,{className:"function",begin:"^\\s*"+Ed+"\\s*=\\s*"+Nd,end:"[-=]>",returnBegin:!0,contains:[Rd,Id]},{begin:/[:\(,=]\s*/,relevance:0,contains:[{className:"function",begin:Nd,end:"[-=]>",returnBegin:!0,contains:[Id]}]},Md,{begin:Ed+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}]}}return coffeescript_1=sd,coffeescript_1}var coq_1,hasRequiredCoq;function requireCoq(){if(hasRequiredCoq)return coq_1;hasRequiredCoq=1;function Ra(qa){return{name:"Coq",keywords:{keyword:["_|0","as","at","cofix","else","end","exists","exists2","fix","for","forall","fun","if","IF","in","let","match","mod","Prop","return","Set","then","Type","using","where","with","Abort","About","Add","Admit","Admitted","All","Arguments","Assumptions","Axiom","Back","BackTo","Backtrack","Bind","Blacklist","Canonical","Cd","Check","Class","Classes","Close","Coercion","Coercions","CoFixpoint","CoInductive","Collection","Combined","Compute","Conjecture","Conjectures","Constant","constr","Constraint","Constructors","Context","Corollary","CreateHintDb","Cut","Declare","Defined","Definition","Delimit","Dependencies","Dependent","Derive","Drop","eauto","End","Equality","Eval","Example","Existential","Existentials","Existing","Export","exporting","Extern","Extract","Extraction","Fact","Field","Fields","File","Fixpoint","Focus","for","From","Function","Functional","Generalizable","Global","Goal","Grab","Grammar","Graph","Guarded","Heap","Hint","HintDb","Hints","Hypotheses","Hypothesis","ident","Identity","If","Immediate","Implicit","Import","Include","Inductive","Infix","Info","Initial","Inline","Inspect","Instance","Instances","Intro","Intros","Inversion","Inversion_clear","Language","Left","Lemma","Let","Libraries","Library","Load","LoadPath","Local","Locate","Ltac","ML","Mode","Module","Modules","Monomorphic","Morphism","Next","NoInline","Notation","Obligation","Obligations","Opaque","Open","Optimize","Options","Parameter","Parameters","Parametric","Path","Paths","pattern","Polymorphic","Preterm","Print","Printing","Program","Projections","Proof","Proposition","Pwd","Qed","Quit","Rec","Record","Recursive","Redirect","Relation","Remark","Remove","Require","Reserved","Reset","Resolve","Restart","Rewrite","Right","Ring","Rings","Save","Scheme","Scope","Scopes","Script","Search","SearchAbout","SearchHead","SearchPattern","SearchRewrite","Section","Separate","Set","Setoid","Show","Solve","Sorted","Step","Strategies","Strategy","Structure","SubClass","Table","Tables","Tactic","Term","Test","Theorem","Time","Timeout","Transparent","Type","Typeclasses","Types","Undelimit","Undo","Unfocus","Unfocused","Unfold","Universe","Universes","Unset","Unshelve","using","Variable","Variables","Variant","Verbose","Visibility","where","with"],built_in:["abstract","absurd","admit","after","apply","as","assert","assumption","at","auto","autorewrite","autounfold","before","bottom","btauto","by","case","case_eq","cbn","cbv","change","classical_left","classical_right","clear","clearbody","cofix","compare","compute","congruence","constr_eq","constructor","contradict","contradiction","cut","cutrewrite","cycle","decide","decompose","dependent","destruct","destruction","dintuition","discriminate","discrR","do","double","dtauto","eapply","eassumption","eauto","ecase","econstructor","edestruct","ediscriminate","eelim","eexact","eexists","einduction","einjection","eleft","elim","elimtype","enough","equality","erewrite","eright","esimplify_eq","esplit","evar","exact","exactly_once","exfalso","exists","f_equal","fail","field","field_simplify","field_simplify_eq","first","firstorder","fix","fold","fourier","functional","generalize","generalizing","gfail","give_up","has_evar","hnf","idtac","in","induction","injection","instantiate","intro","intro_pattern","intros","intuition","inversion","inversion_clear","is_evar","is_var","lapply","lazy","left","lia","lra","move","native_compute","nia","nsatz","omega","once","pattern","pose","progress","proof","psatz","quote","record","red","refine","reflexivity","remember","rename","repeat","replace","revert","revgoals","rewrite","rewrite_strat","right","ring","ring_simplify","rtauto","set","setoid_reflexivity","setoid_replace","setoid_rewrite","setoid_symmetry","setoid_transitivity","shelve","shelve_unifiable","simpl","simple","simplify_eq","solve","specialize","split","split_Rabs","split_Rmult","stepl","stepr","subst","sum","swap","symmetry","tactic","tauto","time","timeout","top","transitivity","trivial","try","tryif","unfold","unify","until","using","vm_compute","with"]},contains:[qa.QUOTE_STRING_MODE,qa.COMMENT("\\(\\*","\\*\\)"),qa.C_NUMBER_MODE,{className:"type",excludeBegin:!0,begin:"\\|\\s*",end:"\\w+"},{begin:/[-=]>/}]}}return coq_1=Ra,coq_1}var cos_1,hasRequiredCos;function requireCos(){if(hasRequiredCos)return cos_1;hasRequiredCos=1;function Ra(qa){return{name:"Caché Object Script",case_insensitive:!0,aliases:["cls"],keywords:"property parameter class classmethod clientmethod extends as break catch close continue do d|0 else elseif for goto halt hang h|0 if job j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 tcommit throw trollback try tstart use view while write w|0 xecute x|0 zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit zsync ascii",contains:[{className:"number",begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)",relevance:0},{className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]}]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"comment",begin:/;/,end:"$",relevance:0},{className:"built_in",begin:/(?:\$\$?|\.\.)\^?[a-zA-Z]+/},{className:"built_in",begin:/\$\$\$[a-zA-Z]+/},{className:"built_in",begin:/%[a-z]+(?:\.[a-z]+)*/},{className:"symbol",begin:/\^%?[a-zA-Z][\w]*/},{className:"keyword",begin:/##class|##super|#define|#dim/},{begin:/&sql\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,subLanguage:"sql"},{begin:/&(js|jscript|javascript)</,end:/>/,excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"},{begin:/&html<\s*</,end:/>\s*>/,subLanguage:"xml"}]}}return cos_1=Ra,cos_1}var cpp_1,hasRequiredCpp;function requireCpp(){if(hasRequiredCpp)return cpp_1;hasRequiredCpp=1;function Ra(qa){const Ja=qa.regex,ed=qa.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),td="decltype\\(auto\\)",rd="[a-zA-Z_]\\w*::",od="(?!struct)("+td+"|"+Ja.optional(rd)+"[a-zA-Z_]\\w*"+Ja.optional("<[^<>]+>")+")",ld={className:"type",begin:"\\b[a-z\\d_]*_t\\b"},ud={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+"\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)"+"|.)",end:"'",illegal:"."},qa.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},_d={className:"number",variants:[{begin:"[+-]?(?:(?:[0-9](?:'?[0-9])*\\.(?:[0-9](?:'?[0-9])*)?|\\.[0-9](?:'?[0-9])*)(?:[Ee][+-]?[0-9](?:'?[0-9])*)?|[0-9](?:'?[0-9])*[Ee][+-]?[0-9](?:'?[0-9])*|0[Xx](?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*(?:\\.(?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)?)?|\\.[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)[Pp][+-]?[0-9](?:'?[0-9])*)(?:[Ff](?:16|32|64|128)?|(BF|bf)16|[Ll]|)"},{begin:"[+-]?\\b(?:0[Bb][01](?:'?[01])*|0[Xx][0-9A-Fa-f](?:'?[0-9A-Fa-f])*|0(?:'?[0-7])*|[1-9](?:'?[0-9])*)(?:[Uu](?:LL?|ll?)|[Uu][Zz]?|(?:LL?|ll?)[Uu]?|[Zz][Uu]|)"}],relevance:0},yd={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},qa.inherit(ud,{className:"string"}),{className:"string",begin:/<.*?>/},ed,qa.C_BLOCK_COMMENT_MODE]},gd={className:"title",begin:Ja.optional(rd)+qa.IDENT_RE,relevance:0},Ed=Ja.optional(rd)+qa.IDENT_RE+"\\s*\\(",Td=["alignas","alignof","and","and_eq","asm","atomic_cancel","atomic_commit","atomic_noexcept","auto","bitand","bitor","break","case","catch","class","co_await","co_return","co_yield","compl","concept","const_cast|10","consteval","constexpr","constinit","continue","decltype","default","delete","do","dynamic_cast|10","else","enum","explicit","export","extern","false","final","for","friend","goto","if","import","inline","module","mutable","namespace","new","noexcept","not","not_eq","nullptr","operator","or","or_eq","override","private","protected","public","reflexpr","register","reinterpret_cast|10","requires","return","sizeof","static_assert","static_cast|10","struct","switch","synchronized","template","this","thread_local","throw","transaction_safe","transaction_safe_dynamic","true","try","typedef","typeid","typename","union","using","virtual","volatile","while","xor","xor_eq"],kd=["bool","char","char16_t","char32_t","char8_t","double","float","int","long","short","void","wchar_t","unsigned","signed","const","static"],Rd=["any","auto_ptr","barrier","binary_semaphore","bitset","complex","condition_variable","condition_variable_any","counting_semaphore","deque","false_type","future","imaginary","initializer_list","istringstream","jthread","latch","lock_guard","multimap","multiset","mutex","optional","ostringstream","packaged_task","pair","promise","priority_queue","queue","recursive_mutex","recursive_timed_mutex","scoped_lock","set","shared_future","shared_lock","shared_mutex","shared_timed_mutex","shared_ptr","stack","string_view","stringstream","timed_mutex","thread","true_type","tuple","unique_lock","unique_ptr","unordered_map","unordered_multimap","unordered_multiset","unordered_set","variant","vector","weak_ptr","wstring","wstring_view"],Nd=["abort","abs","acos","apply","as_const","asin","atan","atan2","calloc","ceil","cerr","cin","clog","cos","cosh","cout","declval","endl","exchange","exit","exp","fabs","floor","fmod","forward","fprintf","fputs","free","frexp","fscanf","future","invoke","isalnum","isalpha","iscntrl","isdigit","isgraph","islower","isprint","ispunct","isspace","isupper","isxdigit","labs","launder","ldexp","log","log10","make_pair","make_shared","make_shared_for_overwrite","make_tuple","make_unique","malloc","memchr","memcmp","memcpy","memset","modf","move","pow","printf","putchar","puts","realloc","scanf","sin","sinh","snprintf","sprintf","sqrt","sscanf","std","stderr","stdin","stdout","strcat","strchr","strcmp","strcpy","strcspn","strlen","strncat","strncmp","strncpy","strpbrk","strrchr","strspn","strstr","swap","tan","tanh","terminate","to_underlying","tolower","toupper","vfprintf","visit","vprintf","vsprintf"],Ld={type:kd,keyword:Td,literal:["NULL","false","nullopt","nullptr","true"],built_in:["_Pragma"],_type_hints:Rd},Pd={className:"function.dispatch",relevance:0,keywords:{_hint:Nd},begin:Ja.concat(/\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!switch)/,/(?!while)/,qa.IDENT_RE,Ja.lookahead(/(<[^<>]+>|)\s*\(/))},qd=[Pd,yd,ld,ed,qa.C_BLOCK_COMMENT_MODE,_d,ud],Yd={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:Ld,contains:qd.concat([{begin:/\(/,end:/\)/,keywords:Ld,contains:qd.concat(["self"]),relevance:0}]),relevance:0},Ud={className:"function",begin:"("+od+"[\\*&\\s]+)+"+Ed,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:Ld,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:td,keywords:Ld,relevance:0},{begin:Ed,returnBegin:!0,contains:[gd],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[ud,_d]},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:Ld,relevance:0,contains:[ed,qa.C_BLOCK_COMMENT_MODE,ud,_d,ld,{begin:/\(/,end:/\)/,keywords:Ld,relevance:0,contains:["self",ed,qa.C_BLOCK_COMMENT_MODE,ud,_d,ld]}]},ld,ed,qa.C_BLOCK_COMMENT_MODE,yd]};return{name:"C++",aliases:["cc","c++","h++","hpp","hh","hxx","cxx"],keywords:Ld,illegal:"</",classNameAliases:{"function.dispatch":"built_in"},contains:[].concat(Yd,Ud,Pd,qd,[yd,{begin:"\\b(deque|list|queue|priority_queue|pair|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array|tuple|optional|variant|function)\\s*<(?!<)",end:">",keywords:Ld,contains:["self",ld]},{begin:qa.IDENT_RE+"::",keywords:Ld},{match:[/\b(?:enum(?:\s+(?:class|struct))?|class|struct|union)/,/\s+/,/\w+/],className:{1:"keyword",3:"title.class"}}])}}return cpp_1=Ra,cpp_1}var crmsh_1,hasRequiredCrmsh;function requireCrmsh(){if(hasRequiredCrmsh)return crmsh_1;hasRequiredCrmsh=1;function Ra(qa){const Ja="primitive rsc_template",ed="group clone ms master location colocation order fencing_topology rsc_ticket acl_target acl_group user role tag xml";return{name:"crmsh",aliases:["crm","pcmk"],case_insensitive:!0,keywords:{keyword:"params meta operations op rule attributes utilization"+" "+"read write deny defined not_defined in_range date spec in ref reference attribute type xpath version and or lt gt tag lte gte eq ne \\"+" "+"number string",literal:"Master Started Slave Stopped start promote demote stop monitor true false"},contains:[qa.HASH_COMMENT_MODE,{beginKeywords:"node",starts:{end:"\\s*([\\w_-]+:)?",starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*"}}},{beginKeywords:Ja,starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*",starts:{end:"\\s*@?[\\w_][\\w_\\.:-]*"}}},{begin:"\\b("+ed.split(" ").join("|")+")\\s+",keywords:ed,starts:{className:"title",end:"[\\$\\w_][\\w_-]*"}},{beginKeywords:"property rsc_defaults op_defaults",starts:{className:"title",end:"\\s*([\\w_-]+:)?"}},qa.QUOTE_STRING_MODE,{className:"meta",begin:"(ocf|systemd|service|lsb):[\\w_:-]+",relevance:0},{className:"number",begin:"\\b\\d+(\\.\\d+)?(ms|s|h|m)?",relevance:0},{className:"literal",begin:"[-]?(infinity|inf)",relevance:0},{className:"attr",begin:/([A-Za-z$_#][\w_-]+)=/,relevance:0},{className:"tag",begin:"</?",end:"/?>",relevance:0}]}}return crmsh_1=Ra,crmsh_1}var crystal_1,hasRequiredCrystal;function requireCrystal(){if(hasRequiredCrystal)return crystal_1;hasRequiredCrystal=1;function Ra(qa){const Ja="(_?[ui](8|16|32|64|128))?",ed="(_?f(32|64))?",td="[a-zA-Z_]\\w*[!?=]?",rd="[a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\*\\*|\\[\\][=?]?",sd="[A-Za-z_]\\w*(::\\w+)*(\\?|!)?",od={$pattern:td,keyword:"abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield __DIR__ __END_LINE__ __FILE__ __LINE__",literal:"false nil true"},ld={className:"subst",begin:/#\{/,end:/\}/,keywords:od},cd={className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])"},ud={className:"template-variable",variants:[{begin:"\\{\\{",end:"\\}\\}"},{begin:"\\{%",end:"%\\}"}],keywords:od};function _d(Nd,Id){const Md=[{begin:Nd,end:Id}];return Md[0].contains=Md,Md}const yd={className:"string",contains:[qa.BACKSLASH_ESCAPE,ld],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:"%[Qwi]?\\(",end:"\\)",contains:_d("\\(","\\)")},{begin:"%[Qwi]?\\[",end:"\\]",contains:_d("\\[","\\]")},{begin:"%[Qwi]?\\{",end:/\}/,contains:_d(/\{/,/\}/)},{begin:"%[Qwi]?<",end:">",contains:_d("<",">")},{begin:"%[Qwi]?\\|",end:"\\|"},{begin:/<<-\w+$/,end:/^\s*\w+$/}],relevance:0},gd={className:"string",variants:[{begin:"%q\\(",end:"\\)",contains:_d("\\(","\\)")},{begin:"%q\\[",end:"\\]",contains:_d("\\[","\\]")},{begin:"%q\\{",end:/\}/,contains:_d(/\{/,/\}/)},{begin:"%q<",end:">",contains:_d("<",">")},{begin:"%q\\|",end:"\\|"},{begin:/<<-'\w+'$/,end:/^\s*\w+$/}],relevance:0},Ed={begin:"(?!%\\})("+qa.RE_STARTERS_RE+"|\\n|\\b(case|if|select|unless|until|when|while)\\b)\\s*",keywords:"case if select unless until when while",contains:[{className:"regexp",contains:[qa.BACKSLASH_ESCAPE,ld],variants:[{begin:"//[a-z]*",relevance:0},{begin:"/(?!\\/)",end:"/[a-z]*"}]}],relevance:0},Td={className:"regexp",contains:[qa.BACKSLASH_ESCAPE,ld],variants:[{begin:"%r\\(",end:"\\)",contains:_d("\\(","\\)")},{begin:"%r\\[",end:"\\]",contains:_d("\\[","\\]")},{begin:"%r\\{",end:/\}/,contains:_d(/\{/,/\}/)},{begin:"%r<",end:">",contains:_d("<",">")},{begin:"%r\\|",end:"\\|"}],relevance:0},kd={className:"meta",begin:"@\\[",end:"\\]",contains:[qa.inherit(qa.QUOTE_STRING_MODE,{className:"string"})]},Rd=[ud,yd,gd,Td,Ed,kd,cd,qa.HASH_COMMENT_MODE,{className:"class",beginKeywords:"class module struct",end:"$|;",illegal:/=/,contains:[qa.HASH_COMMENT_MODE,qa.inherit(qa.TITLE_MODE,{begin:sd}),{begin:"<"}]},{className:"class",beginKeywords:"lib enum union",end:"$|;",illegal:/=/,contains:[qa.HASH_COMMENT_MODE,qa.inherit(qa.TITLE_MODE,{begin:sd})]},{beginKeywords:"annotation",end:"$|;",illegal:/=/,contains:[qa.HASH_COMMENT_MODE,qa.inherit(qa.TITLE_MODE,{begin:sd})],relevance:2},{className:"function",beginKeywords:"def",end:/\B\b/,contains:[qa.inherit(qa.TITLE_MODE,{begin:rd,endsParent:!0})]},{className:"function",beginKeywords:"fun macro",end:/\B\b/,contains:[qa.inherit(qa.TITLE_MODE,{begin:rd,endsParent:!0})],relevance:2},{className:"symbol",begin:qa.UNDERSCORE_IDENT_RE+"(!|\\?)?:",relevance:0},{className:"symbol",begin:":",contains:[yd,{begin:rd}],relevance:0},{className:"number",variants:[{begin:"\\b0b([01_]+)"+Ja},{begin:"\\b0o([0-7_]+)"+Ja},{begin:"\\b0x([A-Fa-f0-9_]+)"+Ja},{begin:"\\b([1-9][0-9_]*[0-9]|[0-9])(\\.[0-9][0-9_]*)?([eE]_?[-+]?[0-9_]*)?"+ed+"(?!_)"},{begin:"\\b([1-9][0-9_]*|0)"+Ja}],relevance:0}];return ld.contains=Rd,ud.contains=Rd.slice(1),{name:"Crystal",aliases:["cr"],keywords:od,contains:Rd}}return crystal_1=Ra,crystal_1}var csharp_1,hasRequiredCsharp;function requireCsharp(){if(hasRequiredCsharp)return csharp_1;hasRequiredCsharp=1;function Ra(qa){const Ja=["bool","byte","char","decimal","delegate","double","dynamic","enum","float","int","long","nint","nuint","object","sbyte","short","string","ulong","uint","ushort"],ed=["public","private","protected","static","internal","protected","abstract","async","extern","override","unsafe","virtual","new","sealed","partial"],td=["default","false","null","true"],rd=["abstract","as","base","break","case","catch","class","const","continue","do","else","event","explicit","extern","finally","fixed","for","foreach","goto","if","implicit","in","interface","internal","is","lock","namespace","new","operator","out","override","params","private","protected","public","readonly","record","ref","return","scoped","sealed","sizeof","stackalloc","static","struct","switch","this","throw","try","typeof","unchecked","unsafe","using","virtual","void","volatile","while"],sd=["add","alias","and","ascending","async","await","by","descending","equals","from","get","global","group","init","into","join","let","nameof","not","notnull","on","or","orderby","partial","remove","select","set","unmanaged","value|0","var","when","where","with","yield"],od={keyword:rd.concat(sd),built_in:Ja,literal:td},ld=qa.inherit(qa.TITLE_MODE,{begin:"[a-zA-Z](\\.?\\w)*"}),cd={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)(u|U|l|L|ul|UL|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},ud={className:"string",begin:/"""("*)(?!")(.|\n)*?"""\1/,relevance:1},_d={className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},yd=qa.inherit(_d,{illegal:/\n/}),gd={className:"subst",begin:/\{/,end:/\}/,keywords:od},Ed=qa.inherit(gd,{illegal:/\n/}),Td={className:"string",begin:/\$"/,end:'"',illegal:/\n/,contains:[{begin:/\{\{/},{begin:/\}\}/},qa.BACKSLASH_ESCAPE,Ed]},kd={className:"string",begin:/\$@"/,end:'"',contains:[{begin:/\{\{/},{begin:/\}\}/},{begin:'""'},gd]},Rd=qa.inherit(kd,{illegal:/\n/,contains:[{begin:/\{\{/},{begin:/\}\}/},{begin:'""'},Ed]});gd.contains=[kd,Td,_d,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,cd,qa.C_BLOCK_COMMENT_MODE],Ed.contains=[Rd,Td,yd,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,cd,qa.inherit(qa.C_BLOCK_COMMENT_MODE,{illegal:/\n/})];const Nd={variants:[ud,kd,Td,_d,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]},Id={begin:"<",end:">",contains:[{beginKeywords:"in out"},ld]},Md=qa.IDENT_RE+"(<"+qa.IDENT_RE+"(\\s*,\\s*"+qa.IDENT_RE+")*>)?(\\[\\])?",Ld={begin:"@"+qa.IDENT_RE,relevance:0};return{name:"C#",aliases:["cs","c#"],keywords:od,illegal:/::/,contains:[qa.COMMENT("///","$",{returnBegin:!0,contains:[{className:"doctag",variants:[{begin:"///",relevance:0},{begin:"<!--|-->"},{begin:"</?",end:">"}]}]}),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"meta",begin:"#",end:"$",keywords:{keyword:"if else elif endif define undef warning error line region endregion pragma checksum"}},Nd,cd,{beginKeywords:"class interface",relevance:0,end:/[{;=]/,illegal:/[^\s:,]/,contains:[{beginKeywords:"where class"},ld,Id,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{beginKeywords:"namespace",relevance:0,end:/[{;=]/,illegal:/[^\s:]/,contains:[ld,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{beginKeywords:"record",relevance:0,end:/[{;=]/,illegal:/[^\s:]/,contains:[ld,Id,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{className:"meta",begin:"^\\s*\\[(?=[\\w])",excludeBegin:!0,end:"\\]",excludeEnd:!0,contains:[{className:"string",begin:/"/,end:/"/}]},{beginKeywords:"new return throw await else",relevance:0},{className:"function",begin:"("+Md+"\\s+)+"+qa.IDENT_RE+"\\s*(<[^=]+>\\s*)?\\(",returnBegin:!0,end:/\s*[{;=]/,excludeEnd:!0,keywords:od,contains:[{beginKeywords:ed.join(" "),relevance:0},{begin:qa.IDENT_RE+"\\s*(<[^=]+>\\s*)?\\(",returnBegin:!0,contains:[qa.TITLE_MODE,Id],relevance:0},{match:/\(\)/},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:od,relevance:0,contains:[Nd,cd,qa.C_BLOCK_COMMENT_MODE]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},Ld]}}return csharp_1=Ra,csharp_1}var csp_1,hasRequiredCsp;function requireCsp(){if(hasRequiredCsp)return csp_1;hasRequiredCsp=1;function Ra(qa){return{name:"CSP",case_insensitive:!1,keywords:{$pattern:"[a-zA-Z][a-zA-Z0-9_-]*",keyword:["base-uri","child-src","connect-src","default-src","font-src","form-action","frame-ancestors","frame-src","img-src","manifest-src","media-src","object-src","plugin-types","report-uri","sandbox","script-src","style-src","trusted-types","unsafe-hashes","worker-src"]},contains:[{className:"string",begin:"'",end:"'"},{className:"attribute",begin:"^Content",end:":",excludeEnd:!0}]}}return csp_1=Ra,csp_1}var css_1,hasRequiredCss$1;function requireCss$1(){if(hasRequiredCss$1)return css_1;hasRequiredCss$1=1;const Ra=cd=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:cd.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[cd.APOS_STRING_MODE,cd.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:cd.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),qa=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","optgroup","option","p","picture","q","quote","samp","section","select","source","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],Ja=["defs","g","marker","mask","pattern","svg","switch","symbol","feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feFlood","feGaussianBlur","feImage","feMerge","feMorphology","feOffset","feSpecularLighting","feTile","feTurbulence","linearGradient","radialGradient","stop","circle","ellipse","image","line","path","polygon","polyline","rect","text","use","textPath","tspan","foreignObject","clipPath"],ed=[...qa,...Ja],td=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"].sort().reverse(),rd=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"].sort().reverse(),sd=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"].sort().reverse(),od=["accent-color","align-content","align-items","align-self","alignment-baseline","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","baseline-shift","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-end-end-radius","border-end-start-radius","border-right-color","border-right-style","border-right-width","border-spacing","border-start-end-radius","border-start-start-radius","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","cx","cy","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","color-scheme","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","empty-cells","enable-background","fill","fill-opacity","fill-rule","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","flood-color","flood-opacity","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-horizontal","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","inset","inset-block","inset-block-end","inset-block-start","inset-inline","inset-inline-end","inset-inline-start","isolation","kerning","justify-content","justify-items","justify-self","left","letter-spacing","lighting-color","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","marker","marker-end","marker-mid","marker-start","mask","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","r","resize","rest","rest-after","rest-before","right","rotate","row-gap","scale","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","shape-rendering","stop-color","stop-opacity","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","speak","speak-as","src","tab-size","table-layout","text-anchor","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip-ink","text-decoration-style","text-decoration-thickness","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-offset","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","translate","unicode-bidi","vector-effect","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","x","y","z-index"].sort().reverse();function ld(cd){const ud=cd.regex,_d=Ra(cd),yd={begin:/-(webkit|moz|ms|o)-(?=[a-z])/},gd="and or not only",Ed=/@-?\w[\w]*(-\w+)*/,Td="[a-zA-Z-][a-zA-Z0-9_-]*",kd=[cd.APOS_STRING_MODE,cd.QUOTE_STRING_MODE];return{name:"CSS",case_insensitive:!0,illegal:/[=|'\$]/,keywords:{keyframePosition:"from to"},classNameAliases:{keyframePosition:"selector-tag"},contains:[_d.BLOCK_COMMENT,yd,_d.CSS_NUMBER_MODE,{className:"selector-id",begin:/#[A-Za-z0-9_-]+/,relevance:0},{className:"selector-class",begin:"\\."+Td,relevance:0},_d.ATTRIBUTE_SELECTOR_MODE,{className:"selector-pseudo",variants:[{begin:":("+rd.join("|")+")"},{begin:":(:)?("+sd.join("|")+")"}]},_d.CSS_VARIABLE,{className:"attribute",begin:"\\b("+od.join("|")+")\\b"},{begin:/:/,end:/[;}{]/,contains:[_d.BLOCK_COMMENT,_d.HEXCOLOR,_d.IMPORTANT,_d.CSS_NUMBER_MODE,...kd,{begin:/(url|data-uri)\(/,end:/\)/,relevance:0,keywords:{built_in:"url data-uri"},contains:[...kd,{className:"string",begin:/[^)]/,endsWithParent:!0,excludeEnd:!0}]},_d.FUNCTION_DISPATCH]},{begin:ud.lookahead(/@/),end:"[{;]",relevance:0,illegal:/:/,contains:[{className:"keyword",begin:Ed},{begin:/\s/,endsWithParent:!0,excludeEnd:!0,relevance:0,keywords:{$pattern:/[a-z-]+/,keyword:gd,attribute:td.join(" ")},contains:[{begin:/[a-z-]+(?=:)/,className:"attribute"},...kd,_d.CSS_NUMBER_MODE]}]},{className:"selector-tag",begin:"\\b("+ed.join("|")+")\\b"}]}}return css_1=ld,css_1}var d_1,hasRequiredD;function requireD(){if(hasRequiredD)return d_1;hasRequiredD=1;function Ra(qa){const Ja={$pattern:qa.UNDERSCORE_IDENT_RE,keyword:"abstract alias align asm assert auto body break byte case cast catch class const continue debug default delete deprecated do else enum export extern final finally for foreach foreach_reverse|10 goto if immutable import in inout int interface invariant is lazy macro mixin module new nothrow out override package pragma private protected public pure ref return scope shared static struct super switch synchronized template this throw try typedef typeid typeof union unittest version void volatile while with __FILE__ __LINE__ __gshared|10 __thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__",built_in:"bool cdouble cent cfloat char creal dchar delegate double dstring float function idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar wstring",literal:"false null true"},ed="(0|[1-9][\\d_]*)",td="(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)",rd="0[bB][01_]+",sd="([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*)",od="0[xX]"+sd,ld="([eE][+-]?"+td+")",cd="("+td+"(\\.\\d*|"+ld+")|\\d+\\."+td+"|\\."+ed+ld+"?)",ud="(0[xX]("+sd+"\\."+sd+"|\\.?"+sd+")[pP][+-]?"+td+")",_d="("+ed+"|"+rd+"|"+od+")",yd="("+ud+"|"+cd+")",gd=`\\\\(['"\\?\\\\abfnrtv]|u[\\dA-Fa-f]{4}|[0-7]{1,3}|x[\\dA-Fa-f]{2}|U[\\dA-Fa-f]{8})|&[a-zA-Z\\d]{2,};`,Ed={className:"number",begin:"\\b"+_d+"(L|u|U|Lu|LU|uL|UL)?",relevance:0},Td={className:"number",begin:"\\b("+yd+"([fF]|L|i|[fF]i|Li)?|"+_d+"(i|[fF]i|Li))",relevance:0},kd={className:"string",begin:"'("+gd+"|.)",end:"'",illegal:"."},Nd={className:"string",begin:'"',contains:[{begin:gd,relevance:0}],end:'"[cwd]?'},Id={className:"string",begin:'[rq]"',end:'"[cwd]?',relevance:5},Md={className:"string",begin:"`",end:"`[cwd]?"},Ld={className:"string",begin:'x"[\\da-fA-F\\s\\n\\r]*"[cwd]?',relevance:10},Pd={className:"string",begin:'q"\\{',end:'\\}"'},qd={className:"meta",begin:"^#!",end:"$",relevance:5},Yd={className:"meta",begin:"#(line)",end:"$",relevance:5},Ud={className:"keyword",begin:"@[a-zA-Z_][a-zA-Z_\\d]*"},Hd=qa.COMMENT("\\/\\+","\\+\\/",{contains:["self"],relevance:10});return{name:"D",keywords:Ja,contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,Hd,Ld,Nd,Id,Md,Pd,Td,Ed,kd,qd,Yd,Ud]}}return d_1=Ra,d_1}var markdown_1,hasRequiredMarkdown;function requireMarkdown(){if(hasRequiredMarkdown)return markdown_1;hasRequiredMarkdown=1;function Ra(qa){const Ja=qa.regex,ed={begin:/<\/?[A-Za-z_]/,end:">",subLanguage:"xml",relevance:0},td={begin:"^[-\\*]{3,}",end:"$"},rd={className:"code",variants:[{begin:"(`{3,})[^`](.|\\n)*?\\1`*[ ]*"},{begin:"(~{3,})[^~](.|\\n)*?\\1~*[ ]*"},{begin:"```",end:"```+[ ]*$"},{begin:"~~~",end:"~~~+[ ]*$"},{begin:"`.+?`"},{begin:"(?=^( {4}|\\t))",contains:[{begin:"^( {4}|\\t)",end:"(\\n)$"}],relevance:0}]},sd={className:"bullet",begin:"^[ ]*([*+-]|(\\d+\\.))(?=\\s+)",end:"\\s+",excludeEnd:!0},od={begin:/^\[[^\n]+\]:/,returnBegin:!0,contains:[{className:"symbol",begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0},{className:"link",begin:/:\s*/,end:/$/,excludeBegin:!0}]},ld=/[A-Za-z][A-Za-z0-9+.-]*/,cd={variants:[{begin:/\[.+?\]\[.*?\]/,relevance:0},{begin:/\[.+?\]\(((data|javascript|mailto):|(?:http|ftp)s?:\/\/).*?\)/,relevance:2},{begin:Ja.concat(/\[.+?\]\(/,ld,/:\/\/.*?\)/),relevance:2},{begin:/\[.+?\]\([./?&#].*?\)/,relevance:1},{begin:/\[.*?\]\(.*?\)/,relevance:0}],returnBegin:!0,contains:[{match:/\[(?=\])/},{className:"string",relevance:0,begin:"\\[",end:"\\]",excludeBegin:!0,returnEnd:!0},{className:"link",relevance:0,begin:"\\]\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"symbol",relevance:0,begin:"\\]\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0}]},ud={className:"strong",contains:[],variants:[{begin:/_{2}(?!\s)/,end:/_{2}/},{begin:/\*{2}(?!\s)/,end:/\*{2}/}]},_d={className:"emphasis",contains:[],variants:[{begin:/\*(?![*\s])/,end:/\*/},{begin:/_(?![_\s])/,end:/_/,relevance:0}]},yd=qa.inherit(ud,{contains:[]}),gd=qa.inherit(_d,{contains:[]});ud.contains.push(gd),_d.contains.push(yd);let Ed=[ed,cd];return[ud,_d,yd,gd].forEach(Nd=>{Nd.contains=Nd.contains.concat(Ed)}),Ed=Ed.concat(ud,_d),{name:"Markdown",aliases:["md","mkdown","mkd"],contains:[{className:"section",variants:[{begin:"^#{1,6}",end:"$",contains:Ed},{begin:"(?=^.+?\\n[=-]{2,}$)",contains:[{begin:"^[=-]*$"},{begin:"^",end:"\\n",contains:Ed}]}]},ed,sd,ud,_d,{className:"quote",begin:"^>\\s+",contains:Ed,end:"$"},rd,td,cd,od,{scope:"literal",match:/&([a-zA-Z0-9]+|#[0-9]{1,7}|#[Xx][0-9a-fA-F]{1,6});/}]}}return markdown_1=Ra,markdown_1}var dart_1,hasRequiredDart;function requireDart(){if(hasRequiredDart)return dart_1;hasRequiredDart=1;function Ra(qa){const Ja={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"}]},ed={className:"subst",variants:[{begin:/\$\{/,end:/\}/}],keywords:"true false null this is new super"},td={className:"string",variants:[{begin:"r'''",end:"'''"},{begin:'r"""',end:'"""'},{begin:"r'",end:"'",illegal:"\\n"},{begin:'r"',end:'"',illegal:"\\n"},{begin:"'''",end:"'''",contains:[qa.BACKSLASH_ESCAPE,Ja,ed]},{begin:'"""',end:'"""',contains:[qa.BACKSLASH_ESCAPE,Ja,ed]},{begin:"'",end:"'",illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE,Ja,ed]},{begin:'"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE,Ja,ed]}]};ed.contains=[qa.C_NUMBER_MODE,td];const rd=["Comparable","DateTime","Duration","Function","Iterable","Iterator","List","Map","Match","Object","Pattern","RegExp","Set","Stopwatch","String","StringBuffer","StringSink","Symbol","Type","Uri","bool","double","int","num","Element","ElementList"],sd=rd.map(cd=>`${cd}?`);return{name:"Dart",keywords:{keyword:["abstract","as","assert","async","await","base","break","case","catch","class","const","continue","covariant","default","deferred","do","dynamic","else","enum","export","extends","extension","external","factory","false","final","finally","for","Function","get","hide","if","implements","import","in","interface","is","late","library","mixin","new","null","on","operator","part","required","rethrow","return","sealed","set","show","static","super","switch","sync","this","throw","true","try","typedef","var","void","when","while","with","yield"],built_in:rd.concat(sd).concat(["Never","Null","dynamic","print","document","querySelector","querySelectorAll","window"]),$pattern:/[A-Za-z][A-Za-z0-9_]*\??/},contains:[td,qa.COMMENT(/\/\*\*(?!\/)/,/\*\//,{subLanguage:"markdown",relevance:0}),qa.COMMENT(/\/{3,} ?/,/$/,{contains:[{subLanguage:"markdown",begin:".",end:"$",relevance:0}]}),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"class interface",end:/\{/,excludeEnd:!0,contains:[{beginKeywords:"extends implements"},qa.UNDERSCORE_TITLE_MODE]},qa.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"},{begin:"=>"}]}}return dart_1=Ra,dart_1}var delphi_1,hasRequiredDelphi;function requireDelphi(){if(hasRequiredDelphi)return delphi_1;hasRequiredDelphi=1;function Ra(qa){const Ja=["exports","register","file","shl","array","record","property","for","mod","while","set","ally","label","uses","raise","not","stored","class","safecall","var","interface","or","private","static","exit","index","inherited","to","else","stdcall","override","shr","asm","far","resourcestring","finalization","packed","virtual","out","and","protected","library","do","xorwrite","goto","near","function","end","div","overload","object","unit","begin","string","on","inline","repeat","until","destructor","write","message","program","with","read","initialization","except","default","nil","if","case","cdecl","in","downto","threadvar","of","try","pascal","const","external","constructor","type","public","then","implementation","finally","published","procedure","absolute","reintroduce","operator","as","is","abstract","alias","assembler","bitpacked","break","continue","cppdecl","cvar","enumerator","experimental","platform","deprecated","unimplemented","dynamic","export","far16","forward","generic","helper","implements","interrupt","iochecks","local","name","nodefault","noreturn","nostackframe","oldfpccall","otherwise","saveregisters","softfloat","specialize","strict","unaligned","varargs"],ed=[qa.C_LINE_COMMENT_MODE,qa.COMMENT(/\{/,/\}/,{relevance:0}),qa.COMMENT(/\(\*/,/\*\)/,{relevance:10})],td={className:"meta",variants:[{begin:/\{\$/,end:/\}/},{begin:/\(\*\$/,end:/\*\)/}]},rd={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},sd={className:"number",relevance:0,variants:[{match:/\b\d[\d_]*(\.\d[\d_]*)?/},{match:/\$[\dA-Fa-f_]+/},{match:/\$/,relevance:0},{match:/&[0-7][0-7_]*/},{match:/%[01_]+/},{match:/%/,relevance:0}]},od={className:"string",variants:[{match:/#\d[\d_]*/},{match:/#\$[\dA-Fa-f][\dA-Fa-f_]*/},{match:/#&[0-7][0-7_]*/},{match:/#%[01][01_]*/}]},ld={begin:qa.IDENT_RE+"\\s*=\\s*class\\s*\\(",returnBegin:!0,contains:[qa.TITLE_MODE]},cd={className:"function",beginKeywords:"function constructor destructor procedure",end:/[:;]/,keywords:"function constructor|10 destructor|10 procedure|10",contains:[qa.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:Ja,contains:[rd,od,td].concat(ed)},td].concat(ed)};return{name:"Delphi",aliases:["dpr","dfm","pas","pascal"],case_insensitive:!0,keywords:Ja,illegal:/"|\$[G-Zg-z]|\/\*|<\/|\|/,contains:[rd,od,sd,ld,cd,td].concat(ed)}}return delphi_1=Ra,delphi_1}var diff_1,hasRequiredDiff;function requireDiff(){if(hasRequiredDiff)return diff_1;hasRequiredDiff=1;function Ra(qa){const Ja=qa.regex;return{name:"Diff",aliases:["patch"],contains:[{className:"meta",relevance:10,match:Ja.either(/^@@ +-\d+,\d+ +\+\d+,\d+ +@@/,/^\*\*\* +\d+,\d+ +\*\*\*\*$/,/^--- +\d+,\d+ +----$/)},{className:"comment",variants:[{begin:Ja.either(/Index: /,/^index/,/={3,}/,/^-{3}/,/^\*{3} /,/^\+{3}/,/^diff --git/),end:/$/},{match:/^\*{15}$/}]},{className:"addition",begin:/^\+/,end:/$/},{className:"deletion",begin:/^-/,end:/$/},{className:"addition",begin:/^!/,end:/$/}]}}return diff_1=Ra,diff_1}var django_1,hasRequiredDjango;function requireDjango(){if(hasRequiredDjango)return django_1;hasRequiredDjango=1;function Ra(qa){const Ja={begin:/\|[A-Za-z]+:?/,keywords:{name:"truncatewords removetags linebreaksbr yesno get_digit timesince random striptags filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort dictsortreversed default_if_none pluralize lower join center default truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize localtime utc timezone"},contains:[qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE]};return{name:"Django",aliases:["jinja"],case_insensitive:!0,subLanguage:"xml",contains:[qa.COMMENT(/\{%\s*comment\s*%\}/,/\{%\s*endcomment\s*%\}/),qa.COMMENT(/\{#/,/#\}/),{className:"template-tag",begin:/\{%/,end:/%\}/,contains:[{className:"name",begin:/\w+/,keywords:{name:"comment endcomment load templatetag ifchanged endifchanged if endif firstof for endfor ifnotequal endifnotequal widthratio extends include spaceless endspaceless regroup ifequal endifequal ssi now with cycle url filter endfilter debug block endblock else autoescape endautoescape csrf_token empty elif endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix plural get_current_language language get_available_languages get_current_language_bidi get_language_info get_language_info_list localize endlocalize localtime endlocaltime timezone endtimezone get_current_timezone verbatim"},starts:{endsWithParent:!0,keywords:"in by as",contains:[Ja],relevance:0}}]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:[Ja]}]}}return django_1=Ra,django_1}var dns_1,hasRequiredDns;function requireDns(){if(hasRequiredDns)return dns_1;hasRequiredDns=1;function Ra(qa){return{name:"DNS Zone",aliases:["bind","zone"],keywords:["IN","A","AAAA","AFSDB","APL","CAA","CDNSKEY","CDS","CERT","CNAME","DHCID","DLV","DNAME","DNSKEY","DS","HIP","IPSECKEY","KEY","KX","LOC","MX","NAPTR","NS","NSEC","NSEC3","NSEC3PARAM","PTR","RRSIG","RP","SIG","SOA","SRV","SSHFP","TA","TKEY","TLSA","TSIG","TXT"],contains:[qa.COMMENT(";","$",{relevance:0}),{className:"meta",begin:/^\$(TTL|GENERATE|INCLUDE|ORIGIN)\b/},{className:"number",begin:"((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:)))\\b"},{className:"number",begin:"((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9]).){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\b"},qa.inherit(qa.NUMBER_MODE,{begin:/\b\d+[dhwm]?/})]}}return dns_1=Ra,dns_1}var dockerfile_1,hasRequiredDockerfile;function requireDockerfile(){if(hasRequiredDockerfile)return dockerfile_1;hasRequiredDockerfile=1;function Ra(qa){return{name:"Dockerfile",aliases:["docker"],case_insensitive:!0,keywords:["from","maintainer","expose","env","arg","user","onbuild","stopsignal"],contains:[qa.HASH_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.NUMBER_MODE,{beginKeywords:"run cmd entrypoint volume add copy workdir label healthcheck shell",starts:{end:/[^\\]$/,subLanguage:"bash"}}],illegal:"</"}}return dockerfile_1=Ra,dockerfile_1}var dos_1,hasRequiredDos;function requireDos(){if(hasRequiredDos)return dos_1;hasRequiredDos=1;function Ra(qa){const Ja=qa.COMMENT(/^\s*@?rem\b/,/$/,{relevance:10});return{name:"Batch file (DOS)",aliases:["bat","cmd"],case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:["if","else","goto","for","in","do","call","exit","not","exist","errorlevel","defined","equ","neq","lss","leq","gtr","geq"],built_in:["prn","nul","lpt3","lpt2","lpt1","con","com4","com3","com2","com1","aux","shift","cd","dir","echo","setlocal","endlocal","set","pause","copy","append","assoc","at","attrib","break","cacls","cd","chcp","chdir","chkdsk","chkntfs","cls","cmd","color","comp","compact","convert","date","dir","diskcomp","diskcopy","doskey","erase","fs","find","findstr","format","ftype","graftabl","help","keyb","label","md","mkdir","mode","more","move","path","pause","print","popd","pushd","promt","rd","recover","rem","rename","replace","restore","rmdir","shift","sort","start","subst","time","title","tree","type","ver","verify","vol","ping","net","ipconfig","taskkill","xcopy","ren","del"]},contains:[{className:"variable",begin:/%%[^ ]|%[^ ]+?%|![^ ]+?!/},{className:"function",begin:{className:"symbol",begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)",relevance:0}.begin,end:"goto:eof",contains:[qa.inherit(qa.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),Ja]},{className:"number",begin:"\\b\\d+",relevance:0},Ja]}}return dos_1=Ra,dos_1}var dsconfig_1,hasRequiredDsconfig;function requireDsconfig(){if(hasRequiredDsconfig)return dsconfig_1;hasRequiredDsconfig=1;function Ra(qa){return{keywords:"dsconfig",contains:[{className:"keyword",begin:"^dsconfig",end:/\s/,excludeEnd:!0,relevance:10},{className:"built_in",begin:/(list|create|get|set|delete)-(\w+)/,end:/\s/,excludeEnd:!0,illegal:"!@#$%^&*()",relevance:10},{className:"built_in",begin:/--(\w+)/,end:/\s/,excludeEnd:!0},{className:"string",begin:/"/,end:/"/},{className:"string",begin:/'/,end:/'/},{className:"string",begin:/[\w\-?]+:\w+/,end:/\W/,relevance:0},{className:"string",begin:/\w+(\-\w+)*/,end:/(?=\W)/,relevance:0},qa.HASH_COMMENT_MODE]}}return dsconfig_1=Ra,dsconfig_1}var dts_1,hasRequiredDts;function requireDts(){if(hasRequiredDts)return dts_1;hasRequiredDts=1;function Ra(qa){const Ja={className:"string",variants:[qa.inherit(qa.QUOTE_STRING_MODE,{begin:'((u8?|U)|L)?"'}),{begin:'(u8?|U)?R"',end:'"',contains:[qa.BACKSLASH_ESCAPE]},{begin:"'\\\\?.",end:"'",illegal:"."}]},ed={className:"number",variants:[{begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)(u|U|l|L|ul|UL|f|F)"},{begin:qa.C_NUMBER_RE}],relevance:0},td={className:"meta",begin:"#",end:"$",keywords:{keyword:"if else elif endif define undef ifdef ifndef"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",keywords:{keyword:"include"},contains:[qa.inherit(Ja,{className:"string"}),{className:"string",begin:"<",end:">",illegal:"\\n"}]},Ja,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},rd={className:"variable",begin:/&[a-z\d_]*\b/},sd={className:"keyword",begin:"/[a-z][a-z\\d-]*/"},od={className:"symbol",begin:"^\\s*[a-zA-Z_][a-zA-Z\\d_]*:"},ld={className:"params",relevance:0,begin:"<",end:">",contains:[ed,rd]},cd={className:"title.class",begin:/[a-zA-Z_][a-zA-Z\d_@-]*(?=\s\{)/,relevance:.2},ud={className:"title.class",begin:/^\/(?=\s*\{)/,relevance:10},_d={match:/[a-z][a-z-,]+(?=;)/,relevance:0,scope:"attr"},yd={relevance:0,match:[/[a-z][a-z-,]+/,/\s*/,/=/],scope:{1:"attr",3:"operator"}},gd={scope:"punctuation",relevance:0,match:/\};|[;{}]/};return{name:"Device Tree",contains:[ud,rd,sd,od,cd,yd,_d,ld,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,ed,Ja,td,gd,{begin:qa.IDENT_RE+"::",keywords:""}]}}return dts_1=Ra,dts_1}var dust_1,hasRequiredDust;function requireDust(){if(hasRequiredDust)return dust_1;hasRequiredDust=1;function Ra(qa){return{name:"Dust",aliases:["dst"],case_insensitive:!0,subLanguage:"xml",contains:[{className:"template-tag",begin:/\{[#\/]/,end:/\}/,illegal:/;/,contains:[{className:"name",begin:/[a-zA-Z\.-]+/,starts:{endsWithParent:!0,relevance:0,contains:[qa.QUOTE_STRING_MODE]}}]},{className:"template-variable",begin:/\{/,end:/\}/,illegal:/;/,keywords:"if eq ne lt lte gt gte select default math sep"}]}}return dust_1=Ra,dust_1}var ebnf_1,hasRequiredEbnf;function requireEbnf(){if(hasRequiredEbnf)return ebnf_1;hasRequiredEbnf=1;function Ra(qa){const Ja=qa.COMMENT(/\(\*/,/\*\)/),ed={className:"attribute",begin:/^[ ]*[a-zA-Z]+([\s_-]+[a-zA-Z]+)*/},rd={begin:/=/,end:/[.;]/,contains:[Ja,{className:"meta",begin:/\?.*\?/},{className:"string",variants:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{begin:"`",end:"`"}]}]};return{name:"Extended Backus-Naur Form",illegal:/\S/,contains:[Ja,ed,rd]}}return ebnf_1=Ra,ebnf_1}var elixir_1,hasRequiredElixir;function requireElixir(){if(hasRequiredElixir)return elixir_1;hasRequiredElixir=1;function Ra(qa){const Ja=qa.regex,ed="[a-zA-Z_][a-zA-Z0-9_.]*(!|\\?)?",td="[a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?",od={$pattern:ed,keyword:["after","alias","and","case","catch","cond","defstruct","defguard","do","else","end","fn","for","if","import","in","not","or","quote","raise","receive","require","reraise","rescue","try","unless","unquote","unquote_splicing","use","when","with|0"],literal:["false","nil","true"]},ld={className:"subst",begin:/#\{/,end:/\}/,keywords:od},cd={className:"number",begin:"(\\b0o[0-7_]+)|(\\b0b[01_]+)|(\\b0x[0-9a-fA-F_]+)|(-?\\b[0-9][0-9_]*(\\.[0-9_]+([eE][-+]?[0-9]+)?)?)",relevance:0},_d={match:/\\[\s\S]/,scope:"char.escape",relevance:0},yd=`[/|([{<"']`,gd=[{begin:/"/,end:/"/},{begin:/'/,end:/'/},{begin:/\//,end:/\//},{begin:/\|/,end:/\|/},{begin:/\(/,end:/\)/},{begin:/\[/,end:/\]/},{begin:/\{/,end:/\}/},{begin:/</,end:/>/}],Ed=Pd=>({scope:"char.escape",begin:Ja.concat(/\\/,Pd),relevance:0}),Td={className:"string",begin:"~[a-z](?="+yd+")",contains:gd.map(Pd=>qa.inherit(Pd,{contains:[Ed(Pd.end),_d,ld]}))},kd={className:"string",begin:"~[A-Z](?="+yd+")",contains:gd.map(Pd=>qa.inherit(Pd,{contains:[Ed(Pd.end)]}))},Rd={className:"regex",variants:[{begin:"~r(?="+yd+")",contains:gd.map(Pd=>qa.inherit(Pd,{end:Ja.concat(Pd.end,/[uismxfU]{0,7}/),contains:[Ed(Pd.end),_d,ld]}))},{begin:"~R(?="+yd+")",contains:gd.map(Pd=>qa.inherit(Pd,{end:Ja.concat(Pd.end,/[uismxfU]{0,7}/),contains:[Ed(Pd.end)]}))}]},Nd={className:"string",contains:[qa.BACKSLASH_ESCAPE,ld],variants:[{begin:/"""/,end:/"""/},{begin:/'''/,end:/'''/},{begin:/~S"""/,end:/"""/,contains:[]},{begin:/~S"/,end:/"/,contains:[]},{begin:/~S'''/,end:/'''/,contains:[]},{begin:/~S'/,end:/'/,contains:[]},{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},Id={className:"function",beginKeywords:"def defp defmacro defmacrop",end:/\B\b/,contains:[qa.inherit(qa.TITLE_MODE,{begin:ed,endsParent:!0})]},Md=qa.inherit(Id,{className:"class",beginKeywords:"defimpl defmodule defprotocol defrecord",end:/\bdo\b|$|;/}),Ld=[Nd,Rd,kd,Td,qa.HASH_COMMENT_MODE,Md,Id,{begin:"::"},{className:"symbol",begin:":(?![\\s:])",contains:[Nd,{begin:td}],relevance:0},{className:"symbol",begin:ed+":(?!:)",relevance:0},{className:"title.class",begin:/(\b[A-Z][a-zA-Z0-9_]+)/,relevance:0},cd,{className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))"}];return ld.contains=Ld,{name:"Elixir",aliases:["ex","exs"],keywords:od,contains:Ld}}return elixir_1=Ra,elixir_1}var elm_1,hasRequiredElm;function requireElm(){if(hasRequiredElm)return elm_1;hasRequiredElm=1;function Ra(qa){const Ja={variants:[qa.COMMENT("--","$"),qa.COMMENT(/\{-/,/-\}/,{contains:["self"]})]},ed={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},td={begin:"\\(",end:"\\)",illegal:'"',contains:[{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},Ja]},rd={begin:/\{/,end:/\}/,contains:td.contains},sd={className:"string",begin:"'\\\\?.",end:"'",illegal:"."};return{name:"Elm",keywords:["let","in","if","then","else","case","of","where","module","import","exposing","type","alias","as","infix","infixl","infixr","port","effect","command","subscription"],contains:[{beginKeywords:"port effect module",end:"exposing",keywords:"port effect module where command subscription exposing",contains:[td,Ja],illegal:"\\W\\.|;"},{begin:"import",end:"$",keywords:"import as exposing",contains:[td,Ja],illegal:"\\W\\.|;"},{begin:"type",end:"$",keywords:"type alias",contains:[ed,td,rd,Ja]},{beginKeywords:"infix infixl infixr",end:"$",contains:[qa.C_NUMBER_MODE,Ja]},{begin:"port",end:"$",keywords:"port",contains:[Ja]},sd,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,ed,qa.inherit(qa.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),Ja,{begin:"->|<-"}],illegal:/;/}}return elm_1=Ra,elm_1}var ruby_1,hasRequiredRuby;function requireRuby(){if(hasRequiredRuby)return ruby_1;hasRequiredRuby=1;function Ra(qa){const Ja=qa.regex,ed="([a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?)",td=Ja.either(/\b([A-Z]+[a-z0-9]+)+/,/\b([A-Z]+[a-z0-9]+)+[A-Z]+/),rd=Ja.concat(td,/(::\w+)*/),od={"variable.constant":["__FILE__","__LINE__","__ENCODING__"],"variable.language":["self","super"],keyword:["alias","and","begin","BEGIN","break","case","class","defined","do","else","elsif","end","END","ensure","for","if","in","module","next","not","or","redo","require","rescue","retry","return","then","undef","unless","until","when","while","yield",...["include","extend","prepend","public","private","protected","raise","throw"]],built_in:["proc","lambda","attr_accessor","attr_reader","attr_writer","define_method","private_constant","module_function"],literal:["true","false","nil"]},ld={className:"doctag",begin:"@[A-Za-z]+"},cd={begin:"#<",end:">"},ud=[qa.COMMENT("#","$",{contains:[ld]}),qa.COMMENT("^=begin","^=end",{contains:[ld],relevance:10}),qa.COMMENT("^__END__",qa.MATCH_NOTHING_RE)],_d={className:"subst",begin:/#\{/,end:/\}/,keywords:od},yd={className:"string",contains:[qa.BACKSLASH_ESCAPE,_d],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:/%[qQwWx]?\(/,end:/\)/},{begin:/%[qQwWx]?\[/,end:/\]/},{begin:/%[qQwWx]?\{/,end:/\}/},{begin:/%[qQwWx]?</,end:/>/},{begin:/%[qQwWx]?\//,end:/\//},{begin:/%[qQwWx]?%/,end:/%/},{begin:/%[qQwWx]?-/,end:/-/},{begin:/%[qQwWx]?\|/,end:/\|/},{begin:/\B\?(\\\d{1,3})/},{begin:/\B\?(\\x[A-Fa-f0-9]{1,2})/},{begin:/\B\?(\\u\{?[A-Fa-f0-9]{1,6}\}?)/},{begin:/\B\?(\\M-\\C-|\\M-\\c|\\c\\M-|\\M-|\\C-\\M-)[\x20-\x7e]/},{begin:/\B\?\\(c|C-)[\x20-\x7e]/},{begin:/\B\?\\?\S/},{begin:Ja.concat(/<<[-~]?'?/,Ja.lookahead(/(\w+)(?=\W)[^\n]*\n(?:[^\n]*\n)*?\s*\1\b/)),contains:[qa.END_SAME_AS_BEGIN({begin:/(\w+)/,end:/(\w+)/,contains:[qa.BACKSLASH_ESCAPE,_d]})]}]},gd="[1-9](_?[0-9])*|0",Ed="[0-9](_?[0-9])*",Td={className:"number",relevance:0,variants:[{begin:`\\b(${gd})(\\.(${Ed}))?([eE][+-]?(${Ed})|r)?i?\\b`},{begin:"\\b0[dD][0-9](_?[0-9])*r?i?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*r?i?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*r?i?\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*r?i?\\b"},{begin:"\\b0(_?[0-7])+r?i?\\b"}]},kd={variants:[{match:/\(\)/},{className:"params",begin:/\(/,end:/(?=\))/,excludeBegin:!0,endsParent:!0,keywords:od}]},qd=[yd,{variants:[{match:[/class\s+/,rd,/\s+<\s+/,rd]},{match:[/\b(class|module)\s+/,rd]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:od},{match:[/(include|extend)\s+/,rd],scope:{2:"title.class"},keywords:od},{relevance:0,match:[rd,/\.new[. (]/],scope:{1:"title.class"}},{relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"},{relevance:0,match:td,scope:"title.class"},{match:[/def/,/\s+/,ed],scope:{1:"keyword",3:"title.function"},contains:[kd]},{begin:qa.IDENT_RE+"::"},{className:"symbol",begin:qa.UNDERSCORE_IDENT_RE+"(!|\\?)?:",relevance:0},{className:"symbol",begin:":(?!\\s)",contains:[yd,{begin:ed}],relevance:0},Td,{className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])"},{className:"params",begin:/\|/,end:/\|/,excludeBegin:!0,excludeEnd:!0,relevance:0,keywords:od},{begin:"("+qa.RE_STARTERS_RE+"|unless)\\s*",keywords:"unless",contains:[{className:"regexp",contains:[qa.BACKSLASH_ESCAPE,_d],illegal:/\n/,variants:[{begin:"/",end:"/[a-z]*"},{begin:/%r\{/,end:/\}[a-z]*/},{begin:"%r\\(",end:"\\)[a-z]*"},{begin:"%r!",end:"![a-z]*"},{begin:"%r\\[",end:"\\][a-z]*"}]}].concat(cd,ud),relevance:0}].concat(cd,ud);_d.contains=qd,kd.contains=qd;const Vd=[{begin:/^\s*=>/,starts:{end:"$",contains:qd}},{className:"meta.prompt",begin:"^("+"[>?]>"+"|"+"[\\w#]+\\(\\w+\\):\\d+:\\d+[>*]"+"|"+"(\\w+-)?\\d+\\.\\d+\\.\\d+(p\\d+)?[^\\d][^>]+>"+")(?=[ ])",starts:{end:"$",keywords:od,contains:qd}}];return ud.unshift(cd),{name:"Ruby",aliases:["rb","gemspec","podspec","thor","irb"],keywords:od,illegal:/\/\*/,contains:[qa.SHEBANG({binary:"ruby"})].concat(Vd).concat(ud).concat(qd)}}return ruby_1=Ra,ruby_1}var erb_1,hasRequiredErb;function requireErb(){if(hasRequiredErb)return erb_1;hasRequiredErb=1;function Ra(qa){return{name:"ERB",subLanguage:"xml",contains:[qa.COMMENT("<%#","%>"),{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}return erb_1=Ra,erb_1}var erlangRepl_1,hasRequiredErlangRepl;function requireErlangRepl(){if(hasRequiredErlangRepl)return erlangRepl_1;hasRequiredErlangRepl=1;function Ra(qa){const Ja=qa.regex;return{name:"Erlang REPL",keywords:{built_in:"spawn spawn_link self",keyword:"after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor"},contains:[{className:"meta.prompt",begin:"^[0-9]+> ",relevance:10},qa.COMMENT("%","$"),{className:"number",begin:"\\b(\\d+(_\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\d+(_\\d+)*(\\.\\d+(_\\d+)*)?([eE][-+]?\\d+)?)",relevance:0},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{begin:Ja.concat(/\?(::)?/,/([A-Z]\w*)/,/((::)[A-Z]\w*)*/)},{begin:"->"},{begin:"ok"},{begin:"!"},{begin:"(\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\b[a-z'][a-zA-Z0-9_']*)",relevance:0},{begin:"[A-Z][a-zA-Z0-9_']*",relevance:0}]}}return erlangRepl_1=Ra,erlangRepl_1}var erlang_1,hasRequiredErlang;function requireErlang(){if(hasRequiredErlang)return erlang_1;hasRequiredErlang=1;function Ra(qa){const Ja="[a-z'][a-zA-Z0-9_']*",ed="("+Ja+":"+Ja+"|"+Ja+")",td={keyword:"after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor",literal:"false true"},rd=qa.COMMENT("%","$"),sd={className:"number",begin:"\\b(\\d+(_\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\d+(_\\d+)*(\\.\\d+(_\\d+)*)?([eE][-+]?\\d+)?)",relevance:0},od={begin:"fun\\s+"+Ja+"/\\d+"},ld={begin:ed+"\\(",end:"\\)",returnBegin:!0,relevance:0,contains:[{begin:ed,relevance:0},{begin:"\\(",end:"\\)",endsWithParent:!0,returnEnd:!0,relevance:0}]},cd={begin:/\{/,end:/\}/,relevance:0},ud={begin:"\\b_([A-Z][A-Za-z0-9_]*)?",relevance:0},_d={begin:"[A-Z][a-zA-Z0-9_]*",relevance:0},yd={begin:"#"+qa.UNDERSCORE_IDENT_RE,relevance:0,returnBegin:!0,contains:[{begin:"#"+qa.UNDERSCORE_IDENT_RE,relevance:0},{begin:/\{/,end:/\}/,relevance:0}]},gd={scope:"string",match:/\$(\\([^0-9]|[0-9]{1,3}|)|.)/},Ed={beginKeywords:"fun receive if try case",end:"end",keywords:td};Ed.contains=[rd,od,qa.inherit(qa.APOS_STRING_MODE,{className:""}),Ed,ld,qa.QUOTE_STRING_MODE,sd,cd,ud,_d,yd,gd];const Td=[rd,od,Ed,ld,qa.QUOTE_STRING_MODE,sd,cd,ud,_d,yd,gd];ld.contains[1].contains=Td,cd.contains=Td,yd.contains[1].contains=Td;const kd=["-module","-record","-undef","-export","-ifdef","-ifndef","-author","-copyright","-doc","-vsn","-import","-include","-include_lib","-compile","-define","-else","-endif","-file","-behaviour","-behavior","-spec"],Rd={className:"params",begin:"\\(",end:"\\)",contains:Td};return{name:"Erlang",aliases:["erl"],keywords:td,illegal:"(</|\\*=|\\+=|-=|/\\*|\\*/|\\(\\*|\\*\\))",contains:[{className:"function",begin:"^"+Ja+"\\s*\\(",end:"->",returnBegin:!0,illegal:"\\(|#|//|/\\*|\\\\|:|;",contains:[Rd,qa.inherit(qa.TITLE_MODE,{begin:Ja})],starts:{end:";|\\.",keywords:td,contains:Td}},rd,{begin:"^-",end:"\\.",relevance:0,excludeEnd:!0,returnBegin:!0,keywords:{$pattern:"-"+qa.IDENT_RE,keyword:kd.map(Nd=>`${Nd}|1.5`).join(" ")},contains:[Rd]},sd,qa.QUOTE_STRING_MODE,yd,ud,_d,cd,gd,{begin:/\.$/}]}}return erlang_1=Ra,erlang_1}var excel_1,hasRequiredExcel;function requireExcel(){if(hasRequiredExcel)return excel_1;hasRequiredExcel=1;function Ra(qa){return{name:"Excel formulae",aliases:["xlsx","xls"],case_insensitive:!0,keywords:{$pattern:/[a-zA-Z][\w\.]*/,built_in:["ABS","ACCRINT","ACCRINTM","ACOS","ACOSH","ACOT","ACOTH","AGGREGATE","ADDRESS","AMORDEGRC","AMORLINC","AND","ARABIC","AREAS","ASC","ASIN","ASINH","ATAN","ATAN2","ATANH","AVEDEV","AVERAGE","AVERAGEA","AVERAGEIF","AVERAGEIFS","BAHTTEXT","BASE","BESSELI","BESSELJ","BESSELK","BESSELY","BETADIST","BETA.DIST","BETAINV","BETA.INV","BIN2DEC","BIN2HEX","BIN2OCT","BINOMDIST","BINOM.DIST","BINOM.DIST.RANGE","BINOM.INV","BITAND","BITLSHIFT","BITOR","BITRSHIFT","BITXOR","CALL","CEILING","CEILING.MATH","CEILING.PRECISE","CELL","CHAR","CHIDIST","CHIINV","CHITEST","CHISQ.DIST","CHISQ.DIST.RT","CHISQ.INV","CHISQ.INV.RT","CHISQ.TEST","CHOOSE","CLEAN","CODE","COLUMN","COLUMNS","COMBIN","COMBINA","COMPLEX","CONCAT","CONCATENATE","CONFIDENCE","CONFIDENCE.NORM","CONFIDENCE.T","CONVERT","CORREL","COS","COSH","COT","COTH","COUNT","COUNTA","COUNTBLANK","COUNTIF","COUNTIFS","COUPDAYBS","COUPDAYS","COUPDAYSNC","COUPNCD","COUPNUM","COUPPCD","COVAR","COVARIANCE.P","COVARIANCE.S","CRITBINOM","CSC","CSCH","CUBEKPIMEMBER","CUBEMEMBER","CUBEMEMBERPROPERTY","CUBERANKEDMEMBER","CUBESET","CUBESETCOUNT","CUBEVALUE","CUMIPMT","CUMPRINC","DATE","DATEDIF","DATEVALUE","DAVERAGE","DAY","DAYS","DAYS360","DB","DBCS","DCOUNT","DCOUNTA","DDB","DEC2BIN","DEC2HEX","DEC2OCT","DECIMAL","DEGREES","DELTA","DEVSQ","DGET","DISC","DMAX","DMIN","DOLLAR","DOLLARDE","DOLLARFR","DPRODUCT","DSTDEV","DSTDEVP","DSUM","DURATION","DVAR","DVARP","EDATE","EFFECT","ENCODEURL","EOMONTH","ERF","ERF.PRECISE","ERFC","ERFC.PRECISE","ERROR.TYPE","EUROCONVERT","EVEN","EXACT","EXP","EXPON.DIST","EXPONDIST","FACT","FACTDOUBLE","FALSE|0","F.DIST","FDIST","F.DIST.RT","FILTERXML","FIND","FINDB","F.INV","F.INV.RT","FINV","FISHER","FISHERINV","FIXED","FLOOR","FLOOR.MATH","FLOOR.PRECISE","FORECAST","FORECAST.ETS","FORECAST.ETS.CONFINT","FORECAST.ETS.SEASONALITY","FORECAST.ETS.STAT","FORECAST.LINEAR","FORMULATEXT","FREQUENCY","F.TEST","FTEST","FV","FVSCHEDULE","GAMMA","GAMMA.DIST","GAMMADIST","GAMMA.INV","GAMMAINV","GAMMALN","GAMMALN.PRECISE","GAUSS","GCD","GEOMEAN","GESTEP","GETPIVOTDATA","GROWTH","HARMEAN","HEX2BIN","HEX2DEC","HEX2OCT","HLOOKUP","HOUR","HYPERLINK","HYPGEOM.DIST","HYPGEOMDIST","IF","IFERROR","IFNA","IFS","IMABS","IMAGINARY","IMARGUMENT","IMCONJUGATE","IMCOS","IMCOSH","IMCOT","IMCSC","IMCSCH","IMDIV","IMEXP","IMLN","IMLOG10","IMLOG2","IMPOWER","IMPRODUCT","IMREAL","IMSEC","IMSECH","IMSIN","IMSINH","IMSQRT","IMSUB","IMSUM","IMTAN","INDEX","INDIRECT","INFO","INT","INTERCEPT","INTRATE","IPMT","IRR","ISBLANK","ISERR","ISERROR","ISEVEN","ISFORMULA","ISLOGICAL","ISNA","ISNONTEXT","ISNUMBER","ISODD","ISREF","ISTEXT","ISO.CEILING","ISOWEEKNUM","ISPMT","JIS","KURT","LARGE","LCM","LEFT","LEFTB","LEN","LENB","LINEST","LN","LOG","LOG10","LOGEST","LOGINV","LOGNORM.DIST","LOGNORMDIST","LOGNORM.INV","LOOKUP","LOWER","MATCH","MAX","MAXA","MAXIFS","MDETERM","MDURATION","MEDIAN","MID","MIDBs","MIN","MINIFS","MINA","MINUTE","MINVERSE","MIRR","MMULT","MOD","MODE","MODE.MULT","MODE.SNGL","MONTH","MROUND","MULTINOMIAL","MUNIT","N","NA","NEGBINOM.DIST","NEGBINOMDIST","NETWORKDAYS","NETWORKDAYS.INTL","NOMINAL","NORM.DIST","NORMDIST","NORMINV","NORM.INV","NORM.S.DIST","NORMSDIST","NORM.S.INV","NORMSINV","NOT","NOW","NPER","NPV","NUMBERVALUE","OCT2BIN","OCT2DEC","OCT2HEX","ODD","ODDFPRICE","ODDFYIELD","ODDLPRICE","ODDLYIELD","OFFSET","OR","PDURATION","PEARSON","PERCENTILE.EXC","PERCENTILE.INC","PERCENTILE","PERCENTRANK.EXC","PERCENTRANK.INC","PERCENTRANK","PERMUT","PERMUTATIONA","PHI","PHONETIC","PI","PMT","POISSON.DIST","POISSON","POWER","PPMT","PRICE","PRICEDISC","PRICEMAT","PROB","PRODUCT","PROPER","PV","QUARTILE","QUARTILE.EXC","QUARTILE.INC","QUOTIENT","RADIANS","RAND","RANDBETWEEN","RANK.AVG","RANK.EQ","RANK","RATE","RECEIVED","REGISTER.ID","REPLACE","REPLACEB","REPT","RIGHT","RIGHTB","ROMAN","ROUND","ROUNDDOWN","ROUNDUP","ROW","ROWS","RRI","RSQ","RTD","SEARCH","SEARCHB","SEC","SECH","SECOND","SERIESSUM","SHEET","SHEETS","SIGN","SIN","SINH","SKEW","SKEW.P","SLN","SLOPE","SMALL","SQL.REQUEST","SQRT","SQRTPI","STANDARDIZE","STDEV","STDEV.P","STDEV.S","STDEVA","STDEVP","STDEVPA","STEYX","SUBSTITUTE","SUBTOTAL","SUM","SUMIF","SUMIFS","SUMPRODUCT","SUMSQ","SUMX2MY2","SUMX2PY2","SUMXMY2","SWITCH","SYD","T","TAN","TANH","TBILLEQ","TBILLPRICE","TBILLYIELD","T.DIST","T.DIST.2T","T.DIST.RT","TDIST","TEXT","TEXTJOIN","TIME","TIMEVALUE","T.INV","T.INV.2T","TINV","TODAY","TRANSPOSE","TREND","TRIM","TRIMMEAN","TRUE|0","TRUNC","T.TEST","TTEST","TYPE","UNICHAR","UNICODE","UPPER","VALUE","VAR","VAR.P","VAR.S","VARA","VARP","VARPA","VDB","VLOOKUP","WEBSERVICE","WEEKDAY","WEEKNUM","WEIBULL","WEIBULL.DIST","WORKDAY","WORKDAY.INTL","XIRR","XNPV","XOR","YEAR","YEARFRAC","YIELD","YIELDDISC","YIELDMAT","Z.TEST","ZTEST"]},contains:[{begin:/^=/,end:/[^=]/,returnEnd:!0,illegal:/=/,relevance:10},{className:"symbol",begin:/\b[A-Z]{1,2}\d+\b/,end:/[^\d]/,excludeEnd:!0,relevance:0},{className:"symbol",begin:/[A-Z]{0,2}\d*:[A-Z]{0,2}\d*/,relevance:0},qa.BACKSLASH_ESCAPE,qa.QUOTE_STRING_MODE,{className:"number",begin:qa.NUMBER_RE+"(%)?",relevance:0},qa.COMMENT(/\bN\(/,/\)/,{excludeBegin:!0,excludeEnd:!0,illegal:/\n/})]}}return excel_1=Ra,excel_1}var fix_1,hasRequiredFix;function requireFix(){if(hasRequiredFix)return fix_1;hasRequiredFix=1;function Ra(qa){return{name:"FIX",contains:[{begin:/[^\u2401\u0001]+/,end:/[\u2401\u0001]/,excludeEnd:!0,returnBegin:!0,returnEnd:!1,contains:[{begin:/([^\u2401\u0001=]+)/,end:/=([^\u2401\u0001=]+)/,returnEnd:!0,returnBegin:!1,className:"attr"},{begin:/=/,end:/([\u2401\u0001])/,excludeEnd:!0,excludeBegin:!0,className:"string"}]}],case_insensitive:!0}}return fix_1=Ra,fix_1}var flix_1,hasRequiredFlix;function requireFlix(){if(hasRequiredFlix)return flix_1;hasRequiredFlix=1;function Ra(qa){const Ja={className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},ed={className:"string",variants:[{begin:'"',end:'"'}]},rd={className:"function",beginKeywords:"def",end:/[:={\[(\n;]/,excludeEnd:!0,contains:[{className:"title",relevance:0,begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/}]};return{name:"Flix",keywords:{keyword:["case","class","def","else","enum","if","impl","import","in","lat","rel","index","let","match","namespace","switch","type","yield","with"],literal:["true","false"]},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,Ja,ed,rd,qa.C_NUMBER_MODE]}}return flix_1=Ra,flix_1}var fortran_1,hasRequiredFortran;function requireFortran(){if(hasRequiredFortran)return fortran_1;hasRequiredFortran=1;function Ra(qa){const Ja=qa.regex,ed={className:"params",begin:"\\(",end:"\\)"},td={variants:[qa.COMMENT("!","$",{relevance:0}),qa.COMMENT("^C[ ]","$",{relevance:0}),qa.COMMENT("^C$","$",{relevance:0})]},rd=/(_[a-z_\d]+)?/,sd=/([de][+-]?\d+)?/,od={className:"number",variants:[{begin:Ja.concat(/\b\d+/,/\.(\d*)/,sd,rd)},{begin:Ja.concat(/\b\d+/,sd,rd)},{begin:Ja.concat(/\.\d+/,sd,rd)}],relevance:0},ld={className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[qa.UNDERSCORE_TITLE_MODE,ed]},cd={className:"string",relevance:0,variants:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]};return{name:"Fortran",case_insensitive:!0,aliases:["f90","f95"],keywords:{$pattern:/\b[a-z][a-z0-9_]+\b|\.[a-z][a-z0-9_]+\./,keyword:["kind","do","concurrent","local","shared","while","private","call","intrinsic","where","elsewhere","type","endtype","endmodule","endselect","endinterface","end","enddo","endif","if","forall","endforall","only","contains","default","return","stop","then","block","endblock","endassociate","public","subroutine|10","function","program",".and.",".or.",".not.",".le.",".eq.",".ge.",".gt.",".lt.","goto","save","else","use","module","select","case","access","blank","direct","exist","file","fmt","form","formatted","iostat","name","named","nextrec","number","opened","rec","recl","sequential","status","unformatted","unit","continue","format","pause","cycle","exit","c_null_char","c_alert","c_backspace","c_form_feed","flush","wait","decimal","round","iomsg","synchronous","nopass","non_overridable","pass","protected","volatile","abstract","extends","import","non_intrinsic","value","deferred","generic","final","enumerator","class","associate","bind","enum","c_int","c_short","c_long","c_long_long","c_signed_char","c_size_t","c_int8_t","c_int16_t","c_int32_t","c_int64_t","c_int_least8_t","c_int_least16_t","c_int_least32_t","c_int_least64_t","c_int_fast8_t","c_int_fast16_t","c_int_fast32_t","c_int_fast64_t","c_intmax_t","C_intptr_t","c_float","c_double","c_long_double","c_float_complex","c_double_complex","c_long_double_complex","c_bool","c_char","c_null_ptr","c_null_funptr","c_new_line","c_carriage_return","c_horizontal_tab","c_vertical_tab","iso_c_binding","c_loc","c_funloc","c_associated","c_f_pointer","c_ptr","c_funptr","iso_fortran_env","character_storage_size","error_unit","file_storage_size","input_unit","iostat_end","iostat_eor","numeric_storage_size","output_unit","c_f_procpointer","ieee_arithmetic","ieee_support_underflow_control","ieee_get_underflow_mode","ieee_set_underflow_mode","newunit","contiguous","recursive","pad","position","action","delim","readwrite","eor","advance","nml","interface","procedure","namelist","include","sequence","elemental","pure","impure","integer","real","character","complex","logical","codimension","dimension","allocatable|10","parameter","external","implicit|10","none","double","precision","assign","intent","optional","pointer","target","in","out","common","equivalence","data"],literal:[".False.",".True."],built_in:["alog","alog10","amax0","amax1","amin0","amin1","amod","cabs","ccos","cexp","clog","csin","csqrt","dabs","dacos","dasin","datan","datan2","dcos","dcosh","ddim","dexp","dint","dlog","dlog10","dmax1","dmin1","dmod","dnint","dsign","dsin","dsinh","dsqrt","dtan","dtanh","float","iabs","idim","idint","idnint","ifix","isign","max0","max1","min0","min1","sngl","algama","cdabs","cdcos","cdexp","cdlog","cdsin","cdsqrt","cqabs","cqcos","cqexp","cqlog","cqsin","cqsqrt","dcmplx","dconjg","derf","derfc","dfloat","dgamma","dimag","dlgama","iqint","qabs","qacos","qasin","qatan","qatan2","qcmplx","qconjg","qcos","qcosh","qdim","qerf","qerfc","qexp","qgamma","qimag","qlgama","qlog","qlog10","qmax1","qmin1","qmod","qnint","qsign","qsin","qsinh","qsqrt","qtan","qtanh","abs","acos","aimag","aint","anint","asin","atan","atan2","char","cmplx","conjg","cos","cosh","exp","ichar","index","int","log","log10","max","min","nint","sign","sin","sinh","sqrt","tan","tanh","print","write","dim","lge","lgt","lle","llt","mod","nullify","allocate","deallocate","adjustl","adjustr","all","allocated","any","associated","bit_size","btest","ceiling","count","cshift","date_and_time","digits","dot_product","eoshift","epsilon","exponent","floor","fraction","huge","iand","ibclr","ibits","ibset","ieor","ior","ishft","ishftc","lbound","len_trim","matmul","maxexponent","maxloc","maxval","merge","minexponent","minloc","minval","modulo","mvbits","nearest","pack","present","product","radix","random_number","random_seed","range","repeat","reshape","rrspacing","scale","scan","selected_int_kind","selected_real_kind","set_exponent","shape","size","spacing","spread","sum","system_clock","tiny","transpose","trim","ubound","unpack","verify","achar","iachar","transfer","dble","entry","dprod","cpu_time","command_argument_count","get_command","get_command_argument","get_environment_variable","is_iostat_end","ieee_arithmetic","ieee_support_underflow_control","ieee_get_underflow_mode","ieee_set_underflow_mode","is_iostat_eor","move_alloc","new_line","selected_char_kind","same_type_as","extends_type_of","acosh","asinh","atanh","bessel_j0","bessel_j1","bessel_jn","bessel_y0","bessel_y1","bessel_yn","erf","erfc","erfc_scaled","gamma","log_gamma","hypot","norm2","atomic_define","atomic_ref","execute_command_line","leadz","trailz","storage_size","merge_bits","bge","bgt","ble","blt","dshiftl","dshiftr","findloc","iall","iany","iparity","image_index","lcobound","ucobound","maskl","maskr","num_images","parity","popcnt","poppar","shifta","shiftl","shiftr","this_image","sync","change","team","co_broadcast","co_max","co_min","co_sum","co_reduce"]},illegal:/\/\*/,contains:[cd,ld,{begin:/^C\s*=(?!=)/,relevance:0},td,od]}}return fortran_1=Ra,fortran_1}var fsharp_1,hasRequiredFsharp;function requireFsharp(){if(hasRequiredFsharp)return fsharp_1;hasRequiredFsharp=1;function Ra(od){return new RegExp(od.replace(/[-/\\^$*+?.()|[\]{}]/g,"\\$&"),"m")}function qa(od){return od?typeof od=="string"?od:od.source:null}function Ja(od){return ed("(?=",od,")")}function ed(...od){return od.map(cd=>qa(cd)).join("")}function td(od){const ld=od[od.length-1];return typeof ld=="object"&&ld.constructor===Object?(od.splice(od.length-1,1),ld):{}}function rd(...od){return"("+(td(od).capture?"":"?:")+od.map(ud=>qa(ud)).join("|")+")"}function sd(od){const ld=["abstract","and","as","assert","base","begin","class","default","delegate","do","done","downcast","downto","elif","else","end","exception","extern","finally","fixed","for","fun","function","global","if","in","inherit","inline","interface","internal","lazy","let","match","member","module","mutable","namespace","new","of","open","or","override","private","public","rec","return","static","struct","then","to","try","type","upcast","use","val","void","when","while","with","yield"],cd={scope:"keyword",match:/\b(yield|return|let|do|match|use)!/},ud=["if","else","endif","line","nowarn","light","r","i","I","load","time","help","quit"],_d=["true","false","null","Some","None","Ok","Error","infinity","infinityf","nan","nanf"],yd=["__LINE__","__SOURCE_DIRECTORY__","__SOURCE_FILE__"],gd=["bool","byte","sbyte","int8","int16","int32","uint8","uint16","uint32","int","uint","int64","uint64","nativeint","unativeint","decimal","float","double","float32","single","char","string","unit","bigint","option","voption","list","array","seq","byref","exn","inref","nativeptr","obj","outref","voidptr","Result"],Td={keyword:ld,literal:_d,built_in:["not","ref","raise","reraise","dict","readOnlyDict","set","get","enum","sizeof","typeof","typedefof","nameof","nullArg","invalidArg","invalidOp","id","fst","snd","ignore","lock","using","box","unbox","tryUnbox","printf","printfn","sprintf","eprintf","eprintfn","fprintf","fprintfn","failwith","failwithf"],"variable.constant":yd},Rd={variants:[od.COMMENT(/\(\*(?!\))/,/\*\)/,{contains:["self"]}),od.C_LINE_COMMENT_MODE]},Nd=/[a-zA-Z_](\w|')*/,Id={scope:"variable",begin:/``/,end:/``/},Md=/\B('|\^)/,Ld={scope:"symbol",variants:[{match:ed(Md,/``.*?``/)},{match:ed(Md,od.UNDERSCORE_IDENT_RE)}],relevance:0},Pd=function({includeEqual:Kf}){let Gf;Kf?Gf="!%&*+-/<=>@^|~?":Gf="!%&*+-/<>@^|~?";const gf=Array.from(Gf),mf=ed("[",...gf.map(Ra),"]"),$f=rd(mf,/\./),zf=ed($f,Ja($f)),hh=rd(ed(zf,$f,"*"),ed(mf,"+"));return{scope:"operator",match:rd(hh,/:\?>/,/:\?/,/:>/,/:=/,/::?/,/\$/),relevance:0}},qd=Pd({includeEqual:!0}),Yd=Pd({includeEqual:!1}),Ud=function(Kf,Gf){return{begin:ed(Kf,Ja(ed(/\s*/,rd(/\w/,/'/,/\^/,/#/,/``/,/\(/,/{\|/)))),beginScope:Gf,end:Ja(rd(/\n/,/=/)),relevance:0,keywords:od.inherit(Td,{type:gd}),contains:[Rd,Ld,od.inherit(Id,{scope:null}),Yd]}},Hd=Ud(/:/,"operator"),Vd=Ud(/\bof\b/,"keyword"),Jd={begin:[/(^|\s+)/,/type/,/\s+/,Nd],beginScope:{2:"keyword",4:"title.class"},end:Ja(/\(|=|$/),keywords:Td,contains:[Rd,od.inherit(Id,{scope:null}),Ld,{scope:"operator",match:/<|>/},Hd]},Zd={scope:"computation-expression",match:/\b[_a-z]\w*(?=\s*\{)/},pf={begin:[/^\s*/,ed(/#/,rd(...ud)),/\b/],beginScope:{2:"meta"},end:Ja(/\s|$/)},Xd={variants:[od.BINARY_NUMBER_MODE,od.C_NUMBER_MODE]},hf={scope:"string",begin:/"/,end:/"/,contains:[od.BACKSLASH_ESCAPE]},_f={scope:"string",begin:/@"/,end:/"/,contains:[{match:/""/},od.BACKSLASH_ESCAPE]},xf={scope:"string",begin:/"""/,end:/"""/,relevance:2},Lf={scope:"subst",begin:/\{/,end:/\}/,keywords:Td},Wf={scope:"string",begin:/\$"/,end:/"/,contains:[{match:/\{\{/},{match:/\}\}/},od.BACKSLASH_ESCAPE,Lf]},Yf={scope:"string",begin:/(\$@|@\$)"/,end:/"/,contains:[{match:/\{\{/},{match:/\}\}/},{match:/""/},od.BACKSLASH_ESCAPE,Lf]},If={scope:"string",begin:/\$"""/,end:/"""/,contains:[{match:/\{\{/},{match:/\}\}/},Lf],relevance:2},Sf={scope:"string",match:ed(/'/,rd(/[^\\']/,/\\(?:.|\d{3}|x[a-fA-F\d]{2}|u[a-fA-F\d]{4}|U[a-fA-F\d]{8})/),/'/)};return Lf.contains=[Yf,Wf,_f,hf,Sf,cd,Rd,Id,Hd,Zd,pf,Xd,Ld,qd],{name:"F#",aliases:["fs","f#"],keywords:Td,illegal:/\/\*/,classNameAliases:{"computation-expression":"keyword"},contains:[cd,{variants:[If,Yf,Wf,xf,_f,hf,Sf]},Rd,Id,Jd,{scope:"meta",begin:/\[</,end:/>\]/,relevance:2,contains:[Id,xf,_f,hf,Sf,Xd]},Vd,Hd,Zd,pf,Xd,Ld,qd]}}return fsharp_1=sd,fsharp_1}var gams_1,hasRequiredGams;function requireGams(){if(hasRequiredGams)return gams_1;hasRequiredGams=1;function Ra(qa){const Ja=qa.regex,ed={keyword:"abort acronym acronyms alias all and assign binary card diag display else eq file files for free ge gt if integer le loop lt maximizing minimizing model models ne negative no not option options or ord positive prod put putpage puttl repeat sameas semicont semiint smax smin solve sos1 sos2 sum system table then until using while xor yes",literal:"eps inf na",built_in:"abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power randBinomial randLinear randTriangle round rPower sigmoid sign signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion handleCollect handleDelete handleStatus handleSubmit heapFree heapLimit heapSize jobHandle jobKill jobStatus jobTerminate licenseLevel licenseStatus maxExecError sleep timeClose timeComp timeElapsed timeExec timeStart"},td={className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0},rd={className:"symbol",variants:[{begin:/=[lgenxc]=/},{begin:/\$/}]},sd={className:"comment",variants:[{begin:"'",end:"'"},{begin:'"',end:'"'}],illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE]},od={begin:"/",end:"/",keywords:ed,contains:[sd,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,qa.C_NUMBER_MODE]},ld=/[a-z0-9&#*=?@\\><:,()$[\]_.{}!+%^-]+/,cd={begin:/[a-z][a-z0-9_]*(\([a-z0-9_, ]*\))?[ \t]+/,excludeBegin:!0,end:"$",endsWithParent:!0,contains:[sd,od,{className:"comment",begin:Ja.concat(ld,Ja.anyNumberOfTimes(Ja.concat(/[ ]+/,ld))),relevance:0}]};return{name:"GAMS",aliases:["gms"],case_insensitive:!0,keywords:ed,contains:[qa.COMMENT(/^\$ontext/,/^\$offtext/),{className:"meta",begin:"^\\$[a-z0-9]+",end:"$",returnBegin:!0,contains:[{className:"keyword",begin:"^\\$[a-z0-9]+"}]},qa.COMMENT("^\\*","$"),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,{beginKeywords:"set sets parameter parameters variable variables scalar scalars equation equations",end:";",contains:[qa.COMMENT("^\\*","$"),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,od,cd]},{beginKeywords:"table",end:";",returnBegin:!0,contains:[{beginKeywords:"table",end:"$",contains:[cd]},qa.COMMENT("^\\*","$"),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,qa.C_NUMBER_MODE]},{className:"function",begin:/^[a-z][a-z0-9_,\-+' ()$]+\.{2}/,returnBegin:!0,contains:[{className:"title",begin:/^[a-z0-9_]+/},td,rd]},qa.C_NUMBER_MODE,rd]}}return gams_1=Ra,gams_1}var gauss_1,hasRequiredGauss;function requireGauss(){if(hasRequiredGauss)return gauss_1;hasRequiredGauss=1;function Ra(qa){const Ja={keyword:"bool break call callexe checkinterrupt clear clearg closeall cls comlog compile continue create debug declare delete disable dlibrary dllcall do dos ed edit else elseif enable end endfor endif endp endo errorlog errorlogat expr external fn for format goto gosub graph if keyword let lib library line load loadarray loadexe loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen scroll setarray show sparse stop string struct system trace trap threadfor threadendfor threadbegin threadjoin threadstat threadend until use while winprint ne ge le gt lt and xor or not eq eqv",built_in:"abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester strtrim",literal:"DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR"},ed=qa.COMMENT("@","@"),td={className:"meta",begin:"#",end:"$",keywords:{keyword:"define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",keywords:{keyword:"include"},contains:[{className:"string",begin:'"',end:'"',illegal:"\\n"}]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,ed]},rd={begin:/\bstruct\s+/,end:/\s/,keywords:"struct",contains:[{className:"type",begin:qa.UNDERSCORE_IDENT_RE,relevance:0}]},sd=[{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,endsWithParent:!0,relevance:0,contains:[{className:"literal",begin:/\.\.\./},qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE,ed,rd]}],od={className:"title",begin:qa.UNDERSCORE_IDENT_RE,relevance:0},ld=function(gd,Ed,Td){const kd=qa.inherit({className:"function",beginKeywords:gd,end:Ed,excludeEnd:!0,contains:[].concat(sd)},{});return kd.contains.push(od),kd.contains.push(qa.C_NUMBER_MODE),kd.contains.push(qa.C_BLOCK_COMMENT_MODE),kd.contains.push(ed),kd},cd={className:"built_in",begin:"\\b("+Ja.built_in.split(" ").join("|")+")\\b"},ud={className:"string",begin:'"',end:'"',contains:[qa.BACKSLASH_ESCAPE],relevance:0},_d={begin:qa.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,keywords:Ja,relevance:0,contains:[{beginKeywords:Ja.keyword},cd,{className:"built_in",begin:qa.UNDERSCORE_IDENT_RE,relevance:0}]},yd={begin:/\(/,end:/\)/,relevance:0,keywords:{built_in:Ja.built_in,literal:Ja.literal},contains:[qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE,ed,cd,_d,ud,"self"]};return _d.contains.push(yd),{name:"GAUSS",aliases:["gss"],case_insensitive:!0,keywords:Ja,illegal:/(\{[%#]|[%#]\}| <- )/,contains:[qa.C_NUMBER_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,ed,ud,td,{className:"keyword",begin:/\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/},ld("proc keyword",";"),ld("fn","="),{beginKeywords:"for threadfor",end:/;/,relevance:0,contains:[qa.C_BLOCK_COMMENT_MODE,ed,yd]},{variants:[{begin:qa.UNDERSCORE_IDENT_RE+"\\."+qa.UNDERSCORE_IDENT_RE},{begin:qa.UNDERSCORE_IDENT_RE+"\\s*="}],relevance:0},_d,rd]}}return gauss_1=Ra,gauss_1}var gcode_1,hasRequiredGcode;function requireGcode(){if(hasRequiredGcode)return gcode_1;hasRequiredGcode=1;function Ra(qa){const Ja="[A-Z_][A-Z0-9_.]*",ed="%",td={$pattern:Ja,keyword:"IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT EQ LT GT NE GE LE OR XOR"},rd={className:"meta",begin:"([O])([0-9]+)"},sd=qa.inherit(qa.C_NUMBER_MODE,{begin:"([-+]?((\\.\\d+)|(\\d+)(\\.\\d*)?))|"+qa.C_NUMBER_RE}),od=[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.COMMENT(/\(/,/\)/),sd,qa.inherit(qa.APOS_STRING_MODE,{illegal:null}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{className:"name",begin:"([G])([0-9]+\\.?[0-9]?)"},{className:"name",begin:"([M])([0-9]+\\.?[0-9]?)"},{className:"attr",begin:"(VC|VS|#)",end:"(\\d+)"},{className:"attr",begin:"(VZOFX|VZOFY|VZOFZ)"},{className:"built_in",begin:"(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\[)",contains:[sd],end:"\\]"},{className:"symbol",variants:[{begin:"N",end:"\\d+",illegal:"\\W"}]}];return{name:"G-code (ISO 6983)",aliases:["nc"],case_insensitive:!0,keywords:td,contains:[{className:"meta",begin:ed},rd].concat(od)}}return gcode_1=Ra,gcode_1}var gherkin_1,hasRequiredGherkin;function requireGherkin(){if(hasRequiredGherkin)return gherkin_1;hasRequiredGherkin=1;function Ra(qa){return{name:"Gherkin",aliases:["feature"],keywords:"Feature Background Ability Business Need Scenario Scenarios Scenario Outline Scenario Template Examples Given And Then But When",contains:[{className:"symbol",begin:"\\*",relevance:0},{className:"meta",begin:"@[^@\\s]+"},{begin:"\\|",end:"\\|\\w*$",contains:[{className:"string",begin:"[^|]+"}]},{className:"variable",begin:"<",end:">"},qa.HASH_COMMENT_MODE,{className:"string",begin:'"""',end:'"""'},qa.QUOTE_STRING_MODE]}}return gherkin_1=Ra,gherkin_1}var glsl_1,hasRequiredGlsl;function requireGlsl(){if(hasRequiredGlsl)return glsl_1;hasRequiredGlsl=1;function Ra(qa){return{name:"GLSL",keywords:{keyword:"break continue discard do else for if return while switch case default attribute binding buffer ccw centroid centroid varying coherent column_major const cw depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip triangles triangles_adjacency uniform varying vertices volatile writeonly",type:"atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBuffer iimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void",built_in:"gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow",literal:"true false"},illegal:'"',contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"}]}}return glsl_1=Ra,glsl_1}var gml_1,hasRequiredGml;function requireGml(){if(hasRequiredGml)return gml_1;hasRequiredGml=1;function Ra(qa){return{name:"GML",case_insensitive:!1,keywords:{keyword:["#endregion","#macro","#region","and","begin","break","case","constructor","continue","default","delete","div","do","else","end","enum","exit","for","function","globalvar","if","mod","new","not","or","repeat","return","static","switch","then","until","var","while","with","xor"],built_in:["abs","alarm_get","alarm_set","angle_difference","animcurve_channel_evaluate","animcurve_channel_new","animcurve_create","animcurve_destroy","animcurve_exists","animcurve_get","animcurve_get_channel","animcurve_get_channel_index","animcurve_point_new","ansi_char","application_get_position","application_surface_draw_enable","application_surface_enable","application_surface_is_enabled","arccos","arcsin","arctan","arctan2","array_all","array_any","array_concat","array_contains","array_contains_ext","array_copy","array_copy_while","array_create","array_create_ext","array_delete","array_equals","array_filter","array_filter_ext","array_find_index","array_first","array_foreach","array_get","array_get_index","array_insert","array_intersection","array_last","array_length","array_map","array_map_ext","array_pop","array_push","array_reduce","array_resize","array_reverse","array_reverse_ext","array_set","array_shuffle","array_shuffle_ext","array_sort","array_union","array_unique","array_unique_ext","asset_add_tags","asset_clear_tags","asset_get_ids","asset_get_index","asset_get_tags","asset_get_type","asset_has_any_tag","asset_has_tags","asset_remove_tags","audio_bus_clear_emitters","audio_bus_create","audio_bus_get_emitters","audio_channel_num","audio_create_buffer_sound","audio_create_play_queue","audio_create_stream","audio_create_sync_group","audio_debug","audio_destroy_stream","audio_destroy_sync_group","audio_effect_create","audio_emitter_bus","audio_emitter_create","audio_emitter_exists","audio_emitter_falloff","audio_emitter_free","audio_emitter_gain","audio_emitter_get_bus","audio_emitter_get_gain","audio_emitter_get_listener_mask","audio_emitter_get_pitch","audio_emitter_get_vx","audio_emitter_get_vy","audio_emitter_get_vz","audio_emitter_get_x","audio_emitter_get_y","audio_emitter_get_z","audio_emitter_pitch","audio_emitter_position","audio_emitter_set_listener_mask","audio_emitter_velocity","audio_exists","audio_falloff_set_model","audio_free_buffer_sound","audio_free_play_queue","audio_get_listener_count","audio_get_listener_info","audio_get_listener_mask","audio_get_master_gain","audio_get_name","audio_get_recorder_count","audio_get_recorder_info","audio_get_type","audio_group_get_assets","audio_group_get_gain","audio_group_is_loaded","audio_group_load","audio_group_load_progress","audio_group_name","audio_group_set_gain","audio_group_stop_all","audio_group_unload","audio_is_paused","audio_is_playing","audio_listener_get_data","audio_listener_orientation","audio_listener_position","audio_listener_set_orientation","audio_listener_set_position","audio_listener_set_velocity","audio_listener_velocity","audio_master_gain","audio_pause_all","audio_pause_sound","audio_pause_sync_group","audio_play_in_sync_group","audio_play_sound","audio_play_sound_at","audio_play_sound_ext","audio_play_sound_on","audio_queue_sound","audio_resume_all","audio_resume_sound","audio_resume_sync_group","audio_set_listener_mask","audio_set_master_gain","audio_sound_gain","audio_sound_get_audio_group","audio_sound_get_gain","audio_sound_get_listener_mask","audio_sound_get_loop","audio_sound_get_loop_end","audio_sound_get_loop_start","audio_sound_get_pitch","audio_sound_get_track_position","audio_sound_is_playable","audio_sound_length","audio_sound_loop","audio_sound_loop_end","audio_sound_loop_start","audio_sound_pitch","audio_sound_set_listener_mask","audio_sound_set_track_position","audio_start_recording","audio_start_sync_group","audio_stop_all","audio_stop_recording","audio_stop_sound","audio_stop_sync_group","audio_sync_group_debug","audio_sync_group_get_track_pos","audio_sync_group_is_paused","audio_sync_group_is_playing","audio_system_is_available","audio_system_is_initialised","base64_decode","base64_encode","bool","browser_input_capture","buffer_async_group_begin","buffer_async_group_end","buffer_async_group_option","buffer_base64_decode","buffer_base64_decode_ext","buffer_base64_encode","buffer_compress","buffer_copy","buffer_copy_from_vertex_buffer","buffer_copy_stride","buffer_crc32","buffer_create","buffer_create_from_vertex_buffer","buffer_create_from_vertex_buffer_ext","buffer_decompress","buffer_delete","buffer_exists","buffer_fill","buffer_get_address","buffer_get_alignment","buffer_get_size","buffer_get_surface","buffer_get_type","buffer_load","buffer_load_async","buffer_load_ext","buffer_load_partial","buffer_md5","buffer_peek","buffer_poke","buffer_read","buffer_resize","buffer_save","buffer_save_async","buffer_save_ext","buffer_seek","buffer_set_surface","buffer_set_used_size","buffer_sha1","buffer_sizeof","buffer_tell","buffer_write","call_cancel","call_later","camera_apply","camera_copy_transforms","camera_create","camera_create_view","camera_destroy","camera_get_active","camera_get_begin_script","camera_get_default","camera_get_end_script","camera_get_proj_mat","camera_get_update_script","camera_get_view_angle","camera_get_view_border_x","camera_get_view_border_y","camera_get_view_height","camera_get_view_mat","camera_get_view_speed_x","camera_get_view_speed_y","camera_get_view_target","camera_get_view_width","camera_get_view_x","camera_get_view_y","camera_set_begin_script","camera_set_default","camera_set_end_script","camera_set_proj_mat","camera_set_update_script","camera_set_view_angle","camera_set_view_border","camera_set_view_mat","camera_set_view_pos","camera_set_view_size","camera_set_view_speed","camera_set_view_target","ceil","choose","chr","clamp","clickable_add","clickable_add_ext","clickable_change","clickable_change_ext","clickable_delete","clickable_exists","clickable_set_style","clipboard_get_text","clipboard_has_text","clipboard_set_text","cloud_file_save","cloud_string_save","cloud_synchronise","code_is_compiled","collision_circle","collision_circle_list","collision_ellipse","collision_ellipse_list","collision_line","collision_line_list","collision_point","collision_point_list","collision_rectangle","collision_rectangle_list","color_get_blue","color_get_green","color_get_hue","color_get_red","color_get_saturation","color_get_value","colour_get_blue","colour_get_green","colour_get_hue","colour_get_red","colour_get_saturation","colour_get_value","cos","darccos","darcsin","darctan","darctan2","date_compare_date","date_compare_datetime","date_compare_time","date_create_datetime","date_current_datetime","date_date_of","date_date_string","date_datetime_string","date_day_span","date_days_in_month","date_days_in_year","date_get_day","date_get_day_of_year","date_get_hour","date_get_hour_of_year","date_get_minute","date_get_minute_of_year","date_get_month","date_get_second","date_get_second_of_year","date_get_timezone","date_get_week","date_get_weekday","date_get_year","date_hour_span","date_inc_day","date_inc_hour","date_inc_minute","date_inc_month","date_inc_second","date_inc_week","date_inc_year","date_is_today","date_leap_year","date_minute_span","date_month_span","date_second_span","date_set_timezone","date_time_of","date_time_string","date_valid_datetime","date_week_span","date_year_span","db_to_lin","dbg_add_font_glyphs","dbg_button","dbg_checkbox","dbg_color","dbg_colour","dbg_drop_down","dbg_same_line","dbg_section","dbg_section_delete","dbg_section_exists","dbg_slider","dbg_slider_int","dbg_sprite","dbg_text","dbg_text_input","dbg_view","dbg_view_delete","dbg_view_exists","dbg_watch","dcos","debug_event","debug_get_callstack","degtorad","device_get_tilt_x","device_get_tilt_y","device_get_tilt_z","device_is_keypad_open","device_mouse_check_button","device_mouse_check_button_pressed","device_mouse_check_button_released","device_mouse_dbclick_enable","device_mouse_raw_x","device_mouse_raw_y","device_mouse_x","device_mouse_x_to_gui","device_mouse_y","device_mouse_y_to_gui","directory_create","directory_destroy","directory_exists","display_get_dpi_x","display_get_dpi_y","display_get_frequency","display_get_gui_height","display_get_gui_width","display_get_height","display_get_orientation","display_get_sleep_margin","display_get_timing_method","display_get_width","display_mouse_get_x","display_mouse_get_y","display_mouse_set","display_reset","display_set_gui_maximise","display_set_gui_maximize","display_set_gui_size","display_set_sleep_margin","display_set_timing_method","display_set_ui_visibility","distance_to_object","distance_to_point","dot_product","dot_product_3d","dot_product_3d_normalised","dot_product_3d_normalized","dot_product_normalised","dot_product_normalized","draw_arrow","draw_button","draw_circle","draw_circle_color","draw_circle_colour","draw_clear","draw_clear_alpha","draw_ellipse","draw_ellipse_color","draw_ellipse_colour","draw_enable_drawevent","draw_enable_skeleton_blendmodes","draw_enable_swf_aa","draw_flush","draw_get_alpha","draw_get_color","draw_get_colour","draw_get_enable_skeleton_blendmodes","draw_get_font","draw_get_halign","draw_get_lighting","draw_get_swf_aa_level","draw_get_valign","draw_getpixel","draw_getpixel_ext","draw_healthbar","draw_highscore","draw_light_define_ambient","draw_light_define_direction","draw_light_define_point","draw_light_enable","draw_light_get","draw_light_get_ambient","draw_line","draw_line_color","draw_line_colour","draw_line_width","draw_line_width_color","draw_line_width_colour","draw_path","draw_point","draw_point_color","draw_point_colour","draw_primitive_begin","draw_primitive_begin_texture","draw_primitive_end","draw_rectangle","draw_rectangle_color","draw_rectangle_colour","draw_roundrect","draw_roundrect_color","draw_roundrect_color_ext","draw_roundrect_colour","draw_roundrect_colour_ext","draw_roundrect_ext","draw_self","draw_set_alpha","draw_set_circle_precision","draw_set_color","draw_set_colour","draw_set_font","draw_set_halign","draw_set_lighting","draw_set_swf_aa_level","draw_set_valign","draw_skeleton","draw_skeleton_collision","draw_skeleton_instance","draw_skeleton_time","draw_sprite","draw_sprite_ext","draw_sprite_general","draw_sprite_part","draw_sprite_part_ext","draw_sprite_pos","draw_sprite_stretched","draw_sprite_stretched_ext","draw_sprite_tiled","draw_sprite_tiled_ext","draw_surface","draw_surface_ext","draw_surface_general","draw_surface_part","draw_surface_part_ext","draw_surface_stretched","draw_surface_stretched_ext","draw_surface_tiled","draw_surface_tiled_ext","draw_text","draw_text_color","draw_text_colour","draw_text_ext","draw_text_ext_color","draw_text_ext_colour","draw_text_ext_transformed","draw_text_ext_transformed_color","draw_text_ext_transformed_colour","draw_text_transformed","draw_text_transformed_color","draw_text_transformed_colour","draw_texture_flush","draw_tile","draw_tilemap","draw_triangle","draw_triangle_color","draw_triangle_colour","draw_vertex","draw_vertex_color","draw_vertex_colour","draw_vertex_texture","draw_vertex_texture_color","draw_vertex_texture_colour","ds_exists","ds_grid_add","ds_grid_add_disk","ds_grid_add_grid_region","ds_grid_add_region","ds_grid_clear","ds_grid_copy","ds_grid_create","ds_grid_destroy","ds_grid_get","ds_grid_get_disk_max","ds_grid_get_disk_mean","ds_grid_get_disk_min","ds_grid_get_disk_sum","ds_grid_get_max","ds_grid_get_mean","ds_grid_get_min","ds_grid_get_sum","ds_grid_height","ds_grid_multiply","ds_grid_multiply_disk","ds_grid_multiply_grid_region","ds_grid_multiply_region","ds_grid_read","ds_grid_resize","ds_grid_set","ds_grid_set_disk","ds_grid_set_grid_region","ds_grid_set_region","ds_grid_shuffle","ds_grid_sort","ds_grid_to_mp_grid","ds_grid_value_disk_exists","ds_grid_value_disk_x","ds_grid_value_disk_y","ds_grid_value_exists","ds_grid_value_x","ds_grid_value_y","ds_grid_width","ds_grid_write","ds_list_add","ds_list_clear","ds_list_copy","ds_list_create","ds_list_delete","ds_list_destroy","ds_list_empty","ds_list_find_index","ds_list_find_value","ds_list_insert","ds_list_is_list","ds_list_is_map","ds_list_mark_as_list","ds_list_mark_as_map","ds_list_read","ds_list_replace","ds_list_set","ds_list_shuffle","ds_list_size","ds_list_sort","ds_list_write","ds_map_add","ds_map_add_list","ds_map_add_map","ds_map_clear","ds_map_copy","ds_map_create","ds_map_delete","ds_map_destroy","ds_map_empty","ds_map_exists","ds_map_find_first","ds_map_find_last","ds_map_find_next","ds_map_find_previous","ds_map_find_value","ds_map_is_list","ds_map_is_map","ds_map_keys_to_array","ds_map_read","ds_map_replace","ds_map_replace_list","ds_map_replace_map","ds_map_secure_load","ds_map_secure_load_buffer","ds_map_secure_save","ds_map_secure_save_buffer","ds_map_set","ds_map_size","ds_map_values_to_array","ds_map_write","ds_priority_add","ds_priority_change_priority","ds_priority_clear","ds_priority_copy","ds_priority_create","ds_priority_delete_max","ds_priority_delete_min","ds_priority_delete_value","ds_priority_destroy","ds_priority_empty","ds_priority_find_max","ds_priority_find_min","ds_priority_find_priority","ds_priority_read","ds_priority_size","ds_priority_write","ds_queue_clear","ds_queue_copy","ds_queue_create","ds_queue_dequeue","ds_queue_destroy","ds_queue_empty","ds_queue_enqueue","ds_queue_head","ds_queue_read","ds_queue_size","ds_queue_tail","ds_queue_write","ds_set_precision","ds_stack_clear","ds_stack_copy","ds_stack_create","ds_stack_destroy","ds_stack_empty","ds_stack_pop","ds_stack_push","ds_stack_read","ds_stack_size","ds_stack_top","ds_stack_write","dsin","dtan","effect_clear","effect_create_above","effect_create_below","effect_create_depth","effect_create_layer","environment_get_variable","event_inherited","event_perform","event_perform_async","event_perform_object","event_user","exception_unhandled_handler","exp","extension_exists","extension_get_option_count","extension_get_option_names","extension_get_option_value","extension_get_options","extension_get_version","external_call","external_define","external_free","file_attributes","file_bin_close","file_bin_open","file_bin_position","file_bin_read_byte","file_bin_rewrite","file_bin_seek","file_bin_size","file_bin_write_byte","file_copy","file_delete","file_exists","file_find_close","file_find_first","file_find_next","file_rename","file_text_close","file_text_eof","file_text_eoln","file_text_open_append","file_text_open_from_string","file_text_open_read","file_text_open_write","file_text_read_real","file_text_read_string","file_text_readln","file_text_write_real","file_text_write_string","file_text_writeln","filename_change_ext","filename_dir","filename_drive","filename_ext","filename_name","filename_path","floor","font_add","font_add_enable_aa","font_add_get_enable_aa","font_add_sprite","font_add_sprite_ext","font_cache_glyph","font_delete","font_enable_effects","font_enable_sdf","font_exists","font_get_bold","font_get_first","font_get_fontname","font_get_info","font_get_italic","font_get_last","font_get_name","font_get_sdf_enabled","font_get_sdf_spread","font_get_size","font_get_texture","font_get_uvs","font_replace_sprite","font_replace_sprite_ext","font_sdf_spread","font_set_cache_size","frac","fx_create","fx_get_name","fx_get_parameter","fx_get_parameter_names","fx_get_parameters","fx_get_single_layer","fx_set_parameter","fx_set_parameters","fx_set_single_layer","game_change","game_end","game_get_speed","game_load","game_load_buffer","game_restart","game_save","game_save_buffer","game_set_speed","gamepad_axis_count","gamepad_axis_value","gamepad_button_check","gamepad_button_check_pressed","gamepad_button_check_released","gamepad_button_count","gamepad_button_value","gamepad_get_axis_deadzone","gamepad_get_button_threshold","gamepad_get_description","gamepad_get_device_count","gamepad_get_guid","gamepad_get_mapping","gamepad_get_option","gamepad_hat_count","gamepad_hat_value","gamepad_is_connected","gamepad_is_supported","gamepad_remove_mapping","gamepad_set_axis_deadzone","gamepad_set_button_threshold","gamepad_set_color","gamepad_set_colour","gamepad_set_option","gamepad_set_vibration","gamepad_test_mapping","gc_collect","gc_enable","gc_get_stats","gc_get_target_frame_time","gc_is_enabled","gc_target_frame_time","gesture_double_tap_distance","gesture_double_tap_time","gesture_drag_distance","gesture_drag_time","gesture_flick_speed","gesture_get_double_tap_distance","gesture_get_double_tap_time","gesture_get_drag_distance","gesture_get_drag_time","gesture_get_flick_speed","gesture_get_pinch_angle_away","gesture_get_pinch_angle_towards","gesture_get_pinch_distance","gesture_get_rotate_angle","gesture_get_rotate_time","gesture_get_tap_count","gesture_pinch_angle_away","gesture_pinch_angle_towards","gesture_pinch_distance","gesture_rotate_angle","gesture_rotate_time","gesture_tap_count","get_integer","get_integer_async","get_login_async","get_open_filename","get_open_filename_ext","get_save_filename","get_save_filename_ext","get_string","get_string_async","get_timer","gif_add_surface","gif_open","gif_save","gif_save_buffer","gml_pragma","gml_release_mode","gpu_get_alphatestenable","gpu_get_alphatestref","gpu_get_blendenable","gpu_get_blendmode","gpu_get_blendmode_dest","gpu_get_blendmode_destalpha","gpu_get_blendmode_ext","gpu_get_blendmode_ext_sepalpha","gpu_get_blendmode_src","gpu_get_blendmode_srcalpha","gpu_get_colorwriteenable","gpu_get_colourwriteenable","gpu_get_cullmode","gpu_get_depth","gpu_get_fog","gpu_get_state","gpu_get_tex_filter","gpu_get_tex_filter_ext","gpu_get_tex_max_aniso","gpu_get_tex_max_aniso_ext","gpu_get_tex_max_mip","gpu_get_tex_max_mip_ext","gpu_get_tex_min_mip","gpu_get_tex_min_mip_ext","gpu_get_tex_mip_bias","gpu_get_tex_mip_bias_ext","gpu_get_tex_mip_enable","gpu_get_tex_mip_enable_ext","gpu_get_tex_mip_filter","gpu_get_tex_mip_filter_ext","gpu_get_tex_repeat","gpu_get_tex_repeat_ext","gpu_get_texfilter","gpu_get_texfilter_ext","gpu_get_texrepeat","gpu_get_texrepeat_ext","gpu_get_zfunc","gpu_get_ztestenable","gpu_get_zwriteenable","gpu_pop_state","gpu_push_state","gpu_set_alphatestenable","gpu_set_alphatestref","gpu_set_blendenable","gpu_set_blendmode","gpu_set_blendmode_ext","gpu_set_blendmode_ext_sepalpha","gpu_set_colorwriteenable","gpu_set_colourwriteenable","gpu_set_cullmode","gpu_set_depth","gpu_set_fog","gpu_set_state","gpu_set_tex_filter","gpu_set_tex_filter_ext","gpu_set_tex_max_aniso","gpu_set_tex_max_aniso_ext","gpu_set_tex_max_mip","gpu_set_tex_max_mip_ext","gpu_set_tex_min_mip","gpu_set_tex_min_mip_ext","gpu_set_tex_mip_bias","gpu_set_tex_mip_bias_ext","gpu_set_tex_mip_enable","gpu_set_tex_mip_enable_ext","gpu_set_tex_mip_filter","gpu_set_tex_mip_filter_ext","gpu_set_tex_repeat","gpu_set_tex_repeat_ext","gpu_set_texfilter","gpu_set_texfilter_ext","gpu_set_texrepeat","gpu_set_texrepeat_ext","gpu_set_zfunc","gpu_set_ztestenable","gpu_set_zwriteenable","handle_parse","highscore_add","highscore_clear","highscore_name","highscore_value","http_get","http_get_file","http_get_request_crossorigin","http_post_string","http_request","http_set_request_crossorigin","iap_acquire","iap_activate","iap_consume","iap_enumerate_products","iap_product_details","iap_purchase_details","iap_restore_all","iap_status","ini_close","ini_key_delete","ini_key_exists","ini_open","ini_open_from_string","ini_read_real","ini_read_string","ini_section_delete","ini_section_exists","ini_write_real","ini_write_string","instance_activate_all","instance_activate_layer","instance_activate_object","instance_activate_region","instance_change","instance_copy","instance_create_depth","instance_create_layer","instance_deactivate_all","instance_deactivate_layer","instance_deactivate_object","instance_deactivate_region","instance_destroy","instance_exists","instance_find","instance_furthest","instance_id_get","instance_nearest","instance_number","instance_place","instance_place_list","instance_position","instance_position_list","instanceof","int64","io_clear","irandom","irandom_range","is_array","is_bool","is_callable","is_debug_overlay_open","is_handle","is_infinity","is_instanceof","is_int32","is_int64","is_keyboard_used_debug_overlay","is_method","is_mouse_over_debug_overlay","is_nan","is_numeric","is_ptr","is_real","is_string","is_struct","is_undefined","json_decode","json_encode","json_parse","json_stringify","keyboard_check","keyboard_check_direct","keyboard_check_pressed","keyboard_check_released","keyboard_clear","keyboard_get_map","keyboard_get_numlock","keyboard_key_press","keyboard_key_release","keyboard_set_map","keyboard_set_numlock","keyboard_unset_map","keyboard_virtual_height","keyboard_virtual_hide","keyboard_virtual_show","keyboard_virtual_status","layer_add_instance","layer_background_alpha","layer_background_blend","layer_background_change","layer_background_create","layer_background_destroy","layer_background_exists","layer_background_get_alpha","layer_background_get_blend","layer_background_get_htiled","layer_background_get_id","layer_background_get_index","layer_background_get_speed","layer_background_get_sprite","layer_background_get_stretch","layer_background_get_visible","layer_background_get_vtiled","layer_background_get_xscale","layer_background_get_yscale","layer_background_htiled","layer_background_index","layer_background_speed","layer_background_sprite","layer_background_stretch","layer_background_visible","layer_background_vtiled","layer_background_xscale","layer_background_yscale","layer_clear_fx","layer_create","layer_depth","layer_destroy","layer_destroy_instances","layer_element_move","layer_enable_fx","layer_exists","layer_force_draw_depth","layer_fx_is_enabled","layer_get_all","layer_get_all_elements","layer_get_depth","layer_get_element_layer","layer_get_element_type","layer_get_forced_depth","layer_get_fx","layer_get_hspeed","layer_get_id","layer_get_id_at_depth","layer_get_name","layer_get_script_begin","layer_get_script_end","layer_get_shader","layer_get_target_room","layer_get_visible","layer_get_vspeed","layer_get_x","layer_get_y","layer_has_instance","layer_hspeed","layer_instance_get_instance","layer_is_draw_depth_forced","layer_reset_target_room","layer_script_begin","layer_script_end","layer_sequence_angle","layer_sequence_create","layer_sequence_destroy","layer_sequence_exists","layer_sequence_get_angle","layer_sequence_get_headdir","layer_sequence_get_headpos","layer_sequence_get_instance","layer_sequence_get_length","layer_sequence_get_sequence","layer_sequence_get_speedscale","layer_sequence_get_x","layer_sequence_get_xscale","layer_sequence_get_y","layer_sequence_get_yscale","layer_sequence_headdir","layer_sequence_headpos","layer_sequence_is_finished","layer_sequence_is_paused","layer_sequence_pause","layer_sequence_play","layer_sequence_speedscale","layer_sequence_x","layer_sequence_xscale","layer_sequence_y","layer_sequence_yscale","layer_set_fx","layer_set_target_room","layer_set_visible","layer_shader","layer_sprite_alpha","layer_sprite_angle","layer_sprite_blend","layer_sprite_change","layer_sprite_create","layer_sprite_destroy","layer_sprite_exists","layer_sprite_get_alpha","layer_sprite_get_angle","layer_sprite_get_blend","layer_sprite_get_id","layer_sprite_get_index","layer_sprite_get_speed","layer_sprite_get_sprite","layer_sprite_get_x","layer_sprite_get_xscale","layer_sprite_get_y","layer_sprite_get_yscale","layer_sprite_index","layer_sprite_speed","layer_sprite_x","layer_sprite_xscale","layer_sprite_y","layer_sprite_yscale","layer_tile_alpha","layer_tile_blend","layer_tile_change","layer_tile_create","layer_tile_destroy","layer_tile_exists","layer_tile_get_alpha","layer_tile_get_blend","layer_tile_get_region","layer_tile_get_sprite","layer_tile_get_visible","layer_tile_get_x","layer_tile_get_xscale","layer_tile_get_y","layer_tile_get_yscale","layer_tile_region","layer_tile_visible","layer_tile_x","layer_tile_xscale","layer_tile_y","layer_tile_yscale","layer_tilemap_create","layer_tilemap_destroy","layer_tilemap_exists","layer_tilemap_get_id","layer_vspeed","layer_x","layer_y","lengthdir_x","lengthdir_y","lerp","lin_to_db","ln","load_csv","log10","log2","logn","make_color_hsv","make_color_rgb","make_colour_hsv","make_colour_rgb","math_get_epsilon","math_set_epsilon","matrix_build","matrix_build_identity","matrix_build_lookat","matrix_build_projection_ortho","matrix_build_projection_perspective","matrix_build_projection_perspective_fov","matrix_get","matrix_multiply","matrix_set","matrix_stack_clear","matrix_stack_is_empty","matrix_stack_pop","matrix_stack_push","matrix_stack_set","matrix_stack_top","matrix_transform_vertex","max","md5_file","md5_string_unicode","md5_string_utf8","mean","median","merge_color","merge_colour","method","method_call","method_get_index","method_get_self","min","motion_add","motion_set","mouse_check_button","mouse_check_button_pressed","mouse_check_button_released","mouse_clear","mouse_wheel_down","mouse_wheel_up","move_and_collide","move_bounce_all","move_bounce_solid","move_contact_all","move_contact_solid","move_outside_all","move_outside_solid","move_random","move_snap","move_towards_point","move_wrap","mp_grid_add_cell","mp_grid_add_instances","mp_grid_add_rectangle","mp_grid_clear_all","mp_grid_clear_cell","mp_grid_clear_rectangle","mp_grid_create","mp_grid_destroy","mp_grid_draw","mp_grid_get_cell","mp_grid_path","mp_grid_to_ds_grid","mp_linear_path","mp_linear_path_object","mp_linear_step","mp_linear_step_object","mp_potential_path","mp_potential_path_object","mp_potential_settings","mp_potential_step","mp_potential_step_object","nameof","network_connect","network_connect_async","network_connect_raw","network_connect_raw_async","network_create_server","network_create_server_raw","network_create_socket","network_create_socket_ext","network_destroy","network_resolve","network_send_broadcast","network_send_packet","network_send_raw","network_send_udp","network_send_udp_raw","network_set_config","network_set_timeout","object_exists","object_get_mask","object_get_name","object_get_parent","object_get_persistent","object_get_physics","object_get_solid","object_get_sprite","object_get_visible","object_is_ancestor","object_set_mask","object_set_persistent","object_set_solid","object_set_sprite","object_set_visible","ord","os_check_permission","os_get_config","os_get_info","os_get_language","os_get_region","os_is_network_connected","os_is_paused","os_lock_orientation","os_powersave_enable","os_request_permission","os_set_orientation_lock","parameter_count","parameter_string","part_emitter_burst","part_emitter_clear","part_emitter_create","part_emitter_delay","part_emitter_destroy","part_emitter_destroy_all","part_emitter_enable","part_emitter_exists","part_emitter_interval","part_emitter_region","part_emitter_relative","part_emitter_stream","part_particles_burst","part_particles_clear","part_particles_count","part_particles_create","part_particles_create_color","part_particles_create_colour","part_system_angle","part_system_automatic_draw","part_system_automatic_update","part_system_clear","part_system_color","part_system_colour","part_system_create","part_system_create_layer","part_system_depth","part_system_destroy","part_system_draw_order","part_system_drawit","part_system_exists","part_system_get_info","part_system_get_layer","part_system_global_space","part_system_layer","part_system_position","part_system_update","part_type_alpha1","part_type_alpha2","part_type_alpha3","part_type_blend","part_type_clear","part_type_color1","part_type_color2","part_type_color3","part_type_color_hsv","part_type_color_mix","part_type_color_rgb","part_type_colour1","part_type_colour2","part_type_colour3","part_type_colour_hsv","part_type_colour_mix","part_type_colour_rgb","part_type_create","part_type_death","part_type_destroy","part_type_direction","part_type_exists","part_type_gravity","part_type_life","part_type_orientation","part_type_scale","part_type_shape","part_type_size","part_type_size_x","part_type_size_y","part_type_speed","part_type_sprite","part_type_step","part_type_subimage","particle_exists","particle_get_info","path_add","path_add_point","path_append","path_assign","path_change_point","path_clear_points","path_delete","path_delete_point","path_duplicate","path_end","path_exists","path_flip","path_get_closed","path_get_kind","path_get_length","path_get_name","path_get_number","path_get_point_speed","path_get_point_x","path_get_point_y","path_get_precision","path_get_speed","path_get_x","path_get_y","path_insert_point","path_mirror","path_rescale","path_reverse","path_rotate","path_set_closed","path_set_kind","path_set_precision","path_shift","path_start","physics_apply_angular_impulse","physics_apply_force","physics_apply_impulse","physics_apply_local_force","physics_apply_local_impulse","physics_apply_torque","physics_draw_debug","physics_fixture_add_point","physics_fixture_bind","physics_fixture_bind_ext","physics_fixture_create","physics_fixture_delete","physics_fixture_set_angular_damping","physics_fixture_set_awake","physics_fixture_set_box_shape","physics_fixture_set_chain_shape","physics_fixture_set_circle_shape","physics_fixture_set_collision_group","physics_fixture_set_density","physics_fixture_set_edge_shape","physics_fixture_set_friction","physics_fixture_set_kinematic","physics_fixture_set_linear_damping","physics_fixture_set_polygon_shape","physics_fixture_set_restitution","physics_fixture_set_sensor","physics_get_density","physics_get_friction","physics_get_restitution","physics_joint_delete","physics_joint_distance_create","physics_joint_enable_motor","physics_joint_friction_create","physics_joint_gear_create","physics_joint_get_value","physics_joint_prismatic_create","physics_joint_pulley_create","physics_joint_revolute_create","physics_joint_rope_create","physics_joint_set_value","physics_joint_weld_create","physics_joint_wheel_create","physics_mass_properties","physics_particle_count","physics_particle_create","physics_particle_delete","physics_particle_delete_region_box","physics_particle_delete_region_circle","physics_particle_delete_region_poly","physics_particle_draw","physics_particle_draw_ext","physics_particle_get_damping","physics_particle_get_data","physics_particle_get_data_particle","physics_particle_get_density","physics_particle_get_gravity_scale","physics_particle_get_group_flags","physics_particle_get_max_count","physics_particle_get_radius","physics_particle_group_add_point","physics_particle_group_begin","physics_particle_group_box","physics_particle_group_circle","physics_particle_group_count","physics_particle_group_delete","physics_particle_group_end","physics_particle_group_get_ang_vel","physics_particle_group_get_angle","physics_particle_group_get_centre_x","physics_particle_group_get_centre_y","physics_particle_group_get_data","physics_particle_group_get_inertia","physics_particle_group_get_mass","physics_particle_group_get_vel_x","physics_particle_group_get_vel_y","physics_particle_group_get_x","physics_particle_group_get_y","physics_particle_group_join","physics_particle_group_polygon","physics_particle_set_category_flags","physics_particle_set_damping","physics_particle_set_density","physics_particle_set_flags","physics_particle_set_gravity_scale","physics_particle_set_group_flags","physics_particle_set_max_count","physics_particle_set_radius","physics_pause_enable","physics_remove_fixture","physics_set_density","physics_set_friction","physics_set_restitution","physics_test_overlap","physics_world_create","physics_world_draw_debug","physics_world_gravity","physics_world_update_iterations","physics_world_update_speed","place_empty","place_free","place_meeting","place_snapped","point_direction","point_distance","point_distance_3d","point_in_circle","point_in_rectangle","point_in_triangle","position_change","position_destroy","position_empty","position_meeting","power","ptr","radtodeg","random","random_get_seed","random_range","random_set_seed","randomise","randomize","real","rectangle_in_circle","rectangle_in_rectangle","rectangle_in_triangle","ref_create","rollback_chat","rollback_create_game","rollback_define_extra_network_latency","rollback_define_input","rollback_define_input_frame_delay","rollback_define_mock_input","rollback_define_player","rollback_display_events","rollback_get_info","rollback_get_input","rollback_get_player_prefs","rollback_join_game","rollback_leave_game","rollback_set_player_prefs","rollback_start_game","rollback_sync_on_frame","rollback_use_late_join","rollback_use_manual_start","rollback_use_player_prefs","rollback_use_random_input","room_add","room_assign","room_duplicate","room_exists","room_get_camera","room_get_info","room_get_name","room_get_viewport","room_goto","room_goto_next","room_goto_previous","room_instance_add","room_instance_clear","room_next","room_previous","room_restart","room_set_camera","room_set_height","room_set_persistent","room_set_view_enabled","room_set_viewport","room_set_width","round","scheduler_resolution_get","scheduler_resolution_set","screen_save","screen_save_part","script_execute","script_execute_ext","script_exists","script_get_name","sequence_create","sequence_destroy","sequence_exists","sequence_get","sequence_get_objects","sequence_instance_override_object","sequence_keyframe_new","sequence_keyframedata_new","sequence_track_new","sha1_file","sha1_string_unicode","sha1_string_utf8","shader_current","shader_enable_corner_id","shader_get_name","shader_get_sampler_index","shader_get_uniform","shader_is_compiled","shader_reset","shader_set","shader_set_uniform_f","shader_set_uniform_f_array","shader_set_uniform_f_buffer","shader_set_uniform_i","shader_set_uniform_i_array","shader_set_uniform_matrix","shader_set_uniform_matrix_array","shaders_are_supported","shop_leave_rating","show_debug_message","show_debug_message_ext","show_debug_overlay","show_error","show_message","show_message_async","show_question","show_question_async","sign","sin","skeleton_animation_clear","skeleton_animation_get","skeleton_animation_get_duration","skeleton_animation_get_event_frames","skeleton_animation_get_ext","skeleton_animation_get_frame","skeleton_animation_get_frames","skeleton_animation_get_position","skeleton_animation_is_finished","skeleton_animation_is_looping","skeleton_animation_list","skeleton_animation_mix","skeleton_animation_set","skeleton_animation_set_ext","skeleton_animation_set_frame","skeleton_animation_set_position","skeleton_attachment_create","skeleton_attachment_create_color","skeleton_attachment_create_colour","skeleton_attachment_destroy","skeleton_attachment_exists","skeleton_attachment_get","skeleton_attachment_replace","skeleton_attachment_replace_color","skeleton_attachment_replace_colour","skeleton_attachment_set","skeleton_bone_data_get","skeleton_bone_data_set","skeleton_bone_list","skeleton_bone_state_get","skeleton_bone_state_set","skeleton_collision_draw_set","skeleton_find_slot","skeleton_get_bounds","skeleton_get_minmax","skeleton_get_num_bounds","skeleton_skin_create","skeleton_skin_get","skeleton_skin_list","skeleton_skin_set","skeleton_slot_alpha_get","skeleton_slot_color_get","skeleton_slot_color_set","skeleton_slot_colour_get","skeleton_slot_colour_set","skeleton_slot_data","skeleton_slot_data_instance","skeleton_slot_list","sprite_add","sprite_add_ext","sprite_add_from_surface","sprite_assign","sprite_collision_mask","sprite_create_from_surface","sprite_delete","sprite_duplicate","sprite_exists","sprite_flush","sprite_flush_multi","sprite_get_bbox_bottom","sprite_get_bbox_left","sprite_get_bbox_mode","sprite_get_bbox_right","sprite_get_bbox_top","sprite_get_height","sprite_get_info","sprite_get_name","sprite_get_nineslice","sprite_get_number","sprite_get_speed","sprite_get_speed_type","sprite_get_texture","sprite_get_tpe","sprite_get_uvs","sprite_get_width","sprite_get_xoffset","sprite_get_yoffset","sprite_merge","sprite_nineslice_create","sprite_prefetch","sprite_prefetch_multi","sprite_replace","sprite_save","sprite_save_strip","sprite_set_alpha_from_sprite","sprite_set_bbox","sprite_set_bbox_mode","sprite_set_cache_size","sprite_set_cache_size_ext","sprite_set_nineslice","sprite_set_offset","sprite_set_speed","sqr","sqrt","static_get","static_set","string","string_byte_at","string_byte_length","string_char_at","string_concat","string_concat_ext","string_copy","string_count","string_delete","string_digits","string_ends_with","string_ext","string_foreach","string_format","string_hash_to_newline","string_height","string_height_ext","string_insert","string_join","string_join_ext","string_last_pos","string_last_pos_ext","string_length","string_letters","string_lettersdigits","string_lower","string_ord_at","string_pos","string_pos_ext","string_repeat","string_replace","string_replace_all","string_set_byte_at","string_split","string_split_ext","string_starts_with","string_trim","string_trim_end","string_trim_start","string_upper","string_width","string_width_ext","struct_exists","struct_foreach","struct_get","struct_get_from_hash","struct_get_names","struct_names_count","struct_remove","struct_set","struct_set_from_hash","surface_copy","surface_copy_part","surface_create","surface_create_ext","surface_depth_disable","surface_exists","surface_format_is_supported","surface_free","surface_get_depth_disable","surface_get_format","surface_get_height","surface_get_target","surface_get_target_ext","surface_get_texture","surface_get_width","surface_getpixel","surface_getpixel_ext","surface_reset_target","surface_resize","surface_save","surface_save_part","surface_set_target","surface_set_target_ext","tag_get_asset_ids","tag_get_assets","tan","texture_debug_messages","texture_flush","texture_get_height","texture_get_texel_height","texture_get_texel_width","texture_get_uvs","texture_get_width","texture_global_scale","texture_is_ready","texture_prefetch","texture_set_stage","texturegroup_get_fonts","texturegroup_get_names","texturegroup_get_sprites","texturegroup_get_status","texturegroup_get_textures","texturegroup_get_tilesets","texturegroup_load","texturegroup_set_mode","texturegroup_unload","tile_get_empty","tile_get_flip","tile_get_index","tile_get_mirror","tile_get_rotate","tile_set_empty","tile_set_flip","tile_set_index","tile_set_mirror","tile_set_rotate","tilemap_clear","tilemap_get","tilemap_get_at_pixel","tilemap_get_cell_x_at_pixel","tilemap_get_cell_y_at_pixel","tilemap_get_frame","tilemap_get_global_mask","tilemap_get_height","tilemap_get_mask","tilemap_get_tile_height","tilemap_get_tile_width","tilemap_get_tileset","tilemap_get_width","tilemap_get_x","tilemap_get_y","tilemap_set","tilemap_set_at_pixel","tilemap_set_global_mask","tilemap_set_height","tilemap_set_mask","tilemap_set_width","tilemap_tileset","tilemap_x","tilemap_y","tileset_get_info","tileset_get_name","tileset_get_texture","tileset_get_uvs","time_bpm_to_seconds","time_seconds_to_bpm","time_source_create","time_source_destroy","time_source_exists","time_source_get_children","time_source_get_parent","time_source_get_period","time_source_get_reps_completed","time_source_get_reps_remaining","time_source_get_state","time_source_get_time_remaining","time_source_get_units","time_source_pause","time_source_reconfigure","time_source_reset","time_source_resume","time_source_start","time_source_stop","timeline_add","timeline_clear","timeline_delete","timeline_exists","timeline_get_name","timeline_max_moment","timeline_moment_add_script","timeline_moment_clear","timeline_size","typeof","url_get_domain","url_open","url_open_ext","url_open_full","uwp_device_touchscreen_available","uwp_livetile_badge_clear","uwp_livetile_badge_notification","uwp_livetile_notification_begin","uwp_livetile_notification_end","uwp_livetile_notification_expiry","uwp_livetile_notification_image_add","uwp_livetile_notification_secondary_begin","uwp_livetile_notification_tag","uwp_livetile_notification_template_add","uwp_livetile_notification_text_add","uwp_livetile_queue_enable","uwp_livetile_tile_clear","uwp_secondarytile_badge_clear","uwp_secondarytile_badge_notification","uwp_secondarytile_delete","uwp_secondarytile_pin","uwp_secondarytile_tile_clear","variable_clone","variable_get_hash","variable_global_exists","variable_global_get","variable_global_set","variable_instance_exists","variable_instance_get","variable_instance_get_names","variable_instance_names_count","variable_instance_set","variable_struct_exists","variable_struct_get","variable_struct_get_names","variable_struct_names_count","variable_struct_remove","variable_struct_set","vertex_argb","vertex_begin","vertex_color","vertex_colour","vertex_create_buffer","vertex_create_buffer_ext","vertex_create_buffer_from_buffer","vertex_create_buffer_from_buffer_ext","vertex_delete_buffer","vertex_end","vertex_float1","vertex_float2","vertex_float3","vertex_float4","vertex_format_add_color","vertex_format_add_colour","vertex_format_add_custom","vertex_format_add_normal","vertex_format_add_position","vertex_format_add_position_3d","vertex_format_add_texcoord","vertex_format_begin","vertex_format_delete","vertex_format_end","vertex_format_get_info","vertex_freeze","vertex_get_buffer_size","vertex_get_number","vertex_normal","vertex_position","vertex_position_3d","vertex_submit","vertex_submit_ext","vertex_texcoord","vertex_ubyte4","vertex_update_buffer_from_buffer","vertex_update_buffer_from_vertex","video_close","video_draw","video_enable_loop","video_get_duration","video_get_format","video_get_position","video_get_status","video_get_volume","video_is_looping","video_open","video_pause","video_resume","video_seek_to","video_set_volume","view_get_camera","view_get_hport","view_get_surface_id","view_get_visible","view_get_wport","view_get_xport","view_get_yport","view_set_camera","view_set_hport","view_set_surface_id","view_set_visible","view_set_wport","view_set_xport","view_set_yport","virtual_key_add","virtual_key_delete","virtual_key_hide","virtual_key_show","wallpaper_set_config","wallpaper_set_subscriptions","weak_ref_alive","weak_ref_any_alive","weak_ref_create","window_center","window_device","window_enable_borderless_fullscreen","window_get_borderless_fullscreen","window_get_caption","window_get_color","window_get_colour","window_get_cursor","window_get_fullscreen","window_get_height","window_get_showborder","window_get_visible_rects","window_get_width","window_get_x","window_get_y","window_handle","window_has_focus","window_mouse_get_delta_x","window_mouse_get_delta_y","window_mouse_get_locked","window_mouse_get_x","window_mouse_get_y","window_mouse_set","window_mouse_set_locked","window_set_caption","window_set_color","window_set_colour","window_set_cursor","window_set_fullscreen","window_set_max_height","window_set_max_width","window_set_min_height","window_set_min_width","window_set_position","window_set_rectangle","window_set_showborder","window_set_size","window_view_mouse_get_x","window_view_mouse_get_y","window_views_mouse_get_x","window_views_mouse_get_y","winphone_tile_background_color","winphone_tile_background_colour","zip_add_file","zip_create","zip_save","zip_unzip","zip_unzip_async"],symbol:["AudioEffect","AudioEffectType","AudioLFOType","GM_build_date","GM_build_type","GM_is_sandboxed","GM_project_filename","GM_runtime_version","GM_version","NaN","_GMFILE_","_GMFUNCTION_","_GMLINE_","alignmentH","alignmentV","all","animcurvetype_bezier","animcurvetype_catmullrom","animcurvetype_linear","asset_animationcurve","asset_font","asset_object","asset_path","asset_room","asset_script","asset_sequence","asset_shader","asset_sound","asset_sprite","asset_tiles","asset_timeline","asset_unknown","audio_3D","audio_bus_main","audio_falloff_exponent_distance","audio_falloff_exponent_distance_clamped","audio_falloff_exponent_distance_scaled","audio_falloff_inverse_distance","audio_falloff_inverse_distance_clamped","audio_falloff_inverse_distance_scaled","audio_falloff_linear_distance","audio_falloff_linear_distance_clamped","audio_falloff_none","audio_mono","audio_stereo","bboxkind_diamond","bboxkind_ellipse","bboxkind_precise","bboxkind_rectangular","bboxmode_automatic","bboxmode_fullimage","bboxmode_manual","bm_add","bm_dest_alpha","bm_dest_color","bm_dest_colour","bm_inv_dest_alpha","bm_inv_dest_color","bm_inv_dest_colour","bm_inv_src_alpha","bm_inv_src_color","bm_inv_src_colour","bm_max","bm_normal","bm_one","bm_src_alpha","bm_src_alpha_sat","bm_src_color","bm_src_colour","bm_subtract","bm_zero","browser_chrome","browser_edge","browser_firefox","browser_ie","browser_ie_mobile","browser_not_a_browser","browser_opera","browser_safari","browser_safari_mobile","browser_tizen","browser_unknown","browser_windows_store","buffer_bool","buffer_f16","buffer_f32","buffer_f64","buffer_fast","buffer_fixed","buffer_grow","buffer_s16","buffer_s32","buffer_s8","buffer_seek_end","buffer_seek_relative","buffer_seek_start","buffer_string","buffer_text","buffer_u16","buffer_u32","buffer_u64","buffer_u8","buffer_vbuffer","buffer_wrap","c_aqua","c_black","c_blue","c_dkgray","c_dkgrey","c_fuchsia","c_gray","c_green","c_grey","c_lime","c_ltgray","c_ltgrey","c_maroon","c_navy","c_olive","c_orange","c_purple","c_red","c_silver","c_teal","c_white","c_yellow","cache_directory","characterSpacing","cmpfunc_always","cmpfunc_equal","cmpfunc_greater","cmpfunc_greaterequal","cmpfunc_less","cmpfunc_lessequal","cmpfunc_never","cmpfunc_notequal","coreColor","coreColour","cr_appstart","cr_arrow","cr_beam","cr_cross","cr_default","cr_drag","cr_handpoint","cr_hourglass","cr_none","cr_size_all","cr_size_nesw","cr_size_ns","cr_size_nwse","cr_size_we","cr_uparrow","cull_clockwise","cull_counterclockwise","cull_noculling","device_emulator","device_ios_ipad","device_ios_ipad_retina","device_ios_iphone","device_ios_iphone5","device_ios_iphone6","device_ios_iphone6plus","device_ios_iphone_retina","device_ios_unknown","device_tablet","display_landscape","display_landscape_flipped","display_portrait","display_portrait_flipped","dll_cdecl","dll_stdcall","dropShadowEnabled","dropShadowEnabled","ds_type_grid","ds_type_list","ds_type_map","ds_type_priority","ds_type_queue","ds_type_stack","ef_cloud","ef_ellipse","ef_explosion","ef_firework","ef_flare","ef_rain","ef_ring","ef_smoke","ef_smokeup","ef_snow","ef_spark","ef_star","effectsEnabled","effectsEnabled","ev_alarm","ev_animation_end","ev_animation_event","ev_animation_update","ev_async_audio_playback","ev_async_audio_playback_ended","ev_async_audio_recording","ev_async_dialog","ev_async_push_notification","ev_async_save_load","ev_async_save_load","ev_async_social","ev_async_system_event","ev_async_web","ev_async_web_cloud","ev_async_web_iap","ev_async_web_image_load","ev_async_web_networking","ev_async_web_steam","ev_audio_playback","ev_audio_playback_ended","ev_audio_recording","ev_boundary","ev_boundary_view0","ev_boundary_view1","ev_boundary_view2","ev_boundary_view3","ev_boundary_view4","ev_boundary_view5","ev_boundary_view6","ev_boundary_view7","ev_broadcast_message","ev_cleanup","ev_collision","ev_create","ev_destroy","ev_dialog_async","ev_draw","ev_draw_begin","ev_draw_end","ev_draw_normal","ev_draw_post","ev_draw_pre","ev_end_of_path","ev_game_end","ev_game_start","ev_gesture","ev_gesture_double_tap","ev_gesture_drag_end","ev_gesture_drag_start","ev_gesture_dragging","ev_gesture_flick","ev_gesture_pinch_end","ev_gesture_pinch_in","ev_gesture_pinch_out","ev_gesture_pinch_start","ev_gesture_rotate_end","ev_gesture_rotate_start","ev_gesture_rotating","ev_gesture_tap","ev_global_gesture_double_tap","ev_global_gesture_drag_end","ev_global_gesture_drag_start","ev_global_gesture_dragging","ev_global_gesture_flick","ev_global_gesture_pinch_end","ev_global_gesture_pinch_in","ev_global_gesture_pinch_out","ev_global_gesture_pinch_start","ev_global_gesture_rotate_end","ev_global_gesture_rotate_start","ev_global_gesture_rotating","ev_global_gesture_tap","ev_global_left_button","ev_global_left_press","ev_global_left_release","ev_global_middle_button","ev_global_middle_press","ev_global_middle_release","ev_global_right_button","ev_global_right_press","ev_global_right_release","ev_gui","ev_gui_begin","ev_gui_end","ev_joystick1_button1","ev_joystick1_button2","ev_joystick1_button3","ev_joystick1_button4","ev_joystick1_button5","ev_joystick1_button6","ev_joystick1_button7","ev_joystick1_button8","ev_joystick1_down","ev_joystick1_left","ev_joystick1_right","ev_joystick1_up","ev_joystick2_button1","ev_joystick2_button2","ev_joystick2_button3","ev_joystick2_button4","ev_joystick2_button5","ev_joystick2_button6","ev_joystick2_button7","ev_joystick2_button8","ev_joystick2_down","ev_joystick2_left","ev_joystick2_right","ev_joystick2_up","ev_keyboard","ev_keypress","ev_keyrelease","ev_left_button","ev_left_press","ev_left_release","ev_middle_button","ev_middle_press","ev_middle_release","ev_mouse","ev_mouse_enter","ev_mouse_leave","ev_mouse_wheel_down","ev_mouse_wheel_up","ev_no_button","ev_no_more_health","ev_no_more_lives","ev_other","ev_outside","ev_outside_view0","ev_outside_view1","ev_outside_view2","ev_outside_view3","ev_outside_view4","ev_outside_view5","ev_outside_view6","ev_outside_view7","ev_pre_create","ev_push_notification","ev_right_button","ev_right_press","ev_right_release","ev_room_end","ev_room_start","ev_social","ev_step","ev_step_begin","ev_step_end","ev_step_normal","ev_system_event","ev_trigger","ev_user0","ev_user1","ev_user10","ev_user11","ev_user12","ev_user13","ev_user14","ev_user15","ev_user2","ev_user3","ev_user4","ev_user5","ev_user6","ev_user7","ev_user8","ev_user9","ev_web_async","ev_web_cloud","ev_web_iap","ev_web_image_load","ev_web_networking","ev_web_sound_load","ev_web_steam","fa_archive","fa_bottom","fa_center","fa_directory","fa_hidden","fa_left","fa_middle","fa_none","fa_readonly","fa_right","fa_sysfile","fa_top","fa_volumeid","false","frameSizeX","frameSizeY","gamespeed_fps","gamespeed_microseconds","global","glowColor","glowColour","glowEnabled","glowEnabled","glowEnd","glowStart","gp_axis_acceleration_x","gp_axis_acceleration_y","gp_axis_acceleration_z","gp_axis_angular_velocity_x","gp_axis_angular_velocity_y","gp_axis_angular_velocity_z","gp_axis_orientation_w","gp_axis_orientation_x","gp_axis_orientation_y","gp_axis_orientation_z","gp_axislh","gp_axislv","gp_axisrh","gp_axisrv","gp_face1","gp_face2","gp_face3","gp_face4","gp_padd","gp_padl","gp_padr","gp_padu","gp_select","gp_shoulderl","gp_shoulderlb","gp_shoulderr","gp_shoulderrb","gp_start","gp_stickl","gp_stickr","iap_available","iap_canceled","iap_ev_consume","iap_ev_product","iap_ev_purchase","iap_ev_restore","iap_ev_storeload","iap_failed","iap_purchased","iap_refunded","iap_status_available","iap_status_loading","iap_status_processing","iap_status_restoring","iap_status_unavailable","iap_status_uninitialised","iap_storeload_failed","iap_storeload_ok","iap_unavailable","infinity","kbv_autocapitalize_characters","kbv_autocapitalize_none","kbv_autocapitalize_sentences","kbv_autocapitalize_words","kbv_returnkey_continue","kbv_returnkey_default","kbv_returnkey_done","kbv_returnkey_emergency","kbv_returnkey_go","kbv_returnkey_google","kbv_returnkey_join","kbv_returnkey_next","kbv_returnkey_route","kbv_returnkey_search","kbv_returnkey_send","kbv_returnkey_yahoo","kbv_type_ascii","kbv_type_default","kbv_type_email","kbv_type_numbers","kbv_type_phone","kbv_type_phone_name","kbv_type_url","layerelementtype_background","layerelementtype_instance","layerelementtype_oldtilemap","layerelementtype_particlesystem","layerelementtype_sequence","layerelementtype_sprite","layerelementtype_tile","layerelementtype_tilemap","layerelementtype_undefined","leaderboard_type_number","leaderboard_type_time_mins_secs","lighttype_dir","lighttype_point","lineSpacing","m_axisx","m_axisx_gui","m_axisy","m_axisy_gui","m_scroll_down","m_scroll_up","matrix_projection","matrix_view","matrix_world","mb_any","mb_left","mb_middle","mb_none","mb_right","mb_side1","mb_side2","mip_markedonly","mip_off","mip_on","network_config_avoid_time_wait","network_config_connect_timeout","network_config_disable_multicast","network_config_disable_reliable_udp","network_config_enable_multicast","network_config_enable_reliable_udp","network_config_use_non_blocking_socket","network_config_websocket_protocol","network_connect_active","network_connect_blocking","network_connect_nonblocking","network_connect_none","network_connect_passive","network_send_binary","network_send_text","network_socket_bluetooth","network_socket_tcp","network_socket_udp","network_socket_ws","network_socket_wss","network_type_connect","network_type_data","network_type_disconnect","network_type_down","network_type_non_blocking_connect","network_type_up","network_type_up_failed","nineslice_blank","nineslice_bottom","nineslice_center","nineslice_centre","nineslice_hide","nineslice_left","nineslice_mirror","nineslice_repeat","nineslice_right","nineslice_stretch","nineslice_top","noone","of_challenge_lose","of_challenge_tie","of_challenge_win","os_android","os_gdk","os_gxgames","os_ios","os_linux","os_macosx","os_operagx","os_permission_denied","os_permission_denied_dont_request","os_permission_granted","os_ps3","os_ps4","os_ps5","os_psvita","os_switch","os_tvos","os_unknown","os_uwp","os_win8native","os_windows","os_winphone","os_xboxone","os_xboxseriesxs","other","outlineColor","outlineColour","outlineDist","outlineEnabled","outlineEnabled","paragraphSpacing","path_action_continue","path_action_restart","path_action_reverse","path_action_stop","phy_debug_render_aabb","phy_debug_render_collision_pairs","phy_debug_render_coms","phy_debug_render_core_shapes","phy_debug_render_joints","phy_debug_render_obb","phy_debug_render_shapes","phy_joint_anchor_1_x","phy_joint_anchor_1_y","phy_joint_anchor_2_x","phy_joint_anchor_2_y","phy_joint_angle","phy_joint_angle_limits","phy_joint_damping_ratio","phy_joint_frequency","phy_joint_length_1","phy_joint_length_2","phy_joint_lower_angle_limit","phy_joint_max_force","phy_joint_max_length","phy_joint_max_motor_force","phy_joint_max_motor_torque","phy_joint_max_torque","phy_joint_motor_force","phy_joint_motor_speed","phy_joint_motor_torque","phy_joint_reaction_force_x","phy_joint_reaction_force_y","phy_joint_reaction_torque","phy_joint_speed","phy_joint_translation","phy_joint_upper_angle_limit","phy_particle_data_flag_category","phy_particle_data_flag_color","phy_particle_data_flag_colour","phy_particle_data_flag_position","phy_particle_data_flag_typeflags","phy_particle_data_flag_velocity","phy_particle_flag_colormixing","phy_particle_flag_colourmixing","phy_particle_flag_elastic","phy_particle_flag_powder","phy_particle_flag_spring","phy_particle_flag_tensile","phy_particle_flag_viscous","phy_particle_flag_wall","phy_particle_flag_water","phy_particle_flag_zombie","phy_particle_group_flag_rigid","phy_particle_group_flag_solid","pi","pointer_invalid","pointer_null","pr_linelist","pr_linestrip","pr_pointlist","pr_trianglefan","pr_trianglelist","pr_trianglestrip","ps_distr_gaussian","ps_distr_invgaussian","ps_distr_linear","ps_mode_burst","ps_mode_stream","ps_shape_diamond","ps_shape_ellipse","ps_shape_line","ps_shape_rectangle","pt_shape_circle","pt_shape_cloud","pt_shape_disk","pt_shape_explosion","pt_shape_flare","pt_shape_line","pt_shape_pixel","pt_shape_ring","pt_shape_smoke","pt_shape_snow","pt_shape_spark","pt_shape_sphere","pt_shape_square","pt_shape_star","rollback_chat_message","rollback_connect_error","rollback_connect_info","rollback_connected_to_peer","rollback_connection_rejected","rollback_disconnected_from_peer","rollback_end_game","rollback_game_full","rollback_game_info","rollback_game_interrupted","rollback_game_resumed","rollback_high_latency","rollback_player_prefs","rollback_protocol_rejected","rollback_synchronized_with_peer","rollback_synchronizing_with_peer","self","seqaudiokey_loop","seqaudiokey_oneshot","seqdir_left","seqdir_right","seqinterpolation_assign","seqinterpolation_lerp","seqplay_loop","seqplay_oneshot","seqplay_pingpong","seqtextkey_bottom","seqtextkey_center","seqtextkey_justify","seqtextkey_left","seqtextkey_middle","seqtextkey_right","seqtextkey_top","seqtracktype_audio","seqtracktype_bool","seqtracktype_clipmask","seqtracktype_clipmask_mask","seqtracktype_clipmask_subject","seqtracktype_color","seqtracktype_colour","seqtracktype_empty","seqtracktype_graphic","seqtracktype_group","seqtracktype_instance","seqtracktype_message","seqtracktype_moment","seqtracktype_particlesystem","seqtracktype_real","seqtracktype_sequence","seqtracktype_spriteframes","seqtracktype_string","seqtracktype_text","shadowColor","shadowColour","shadowOffsetX","shadowOffsetY","shadowSoftness","sprite_add_ext_error_cancelled","sprite_add_ext_error_decompressfailed","sprite_add_ext_error_loadfailed","sprite_add_ext_error_setupfailed","sprite_add_ext_error_spritenotfound","sprite_add_ext_error_unknown","spritespeed_framespergameframe","spritespeed_framespersecond","surface_r16float","surface_r32float","surface_r8unorm","surface_rg8unorm","surface_rgba16float","surface_rgba32float","surface_rgba4unorm","surface_rgba8unorm","texturegroup_status_fetched","texturegroup_status_loaded","texturegroup_status_loading","texturegroup_status_unloaded","tf_anisotropic","tf_linear","tf_point","thickness","tile_flip","tile_index_mask","tile_mirror","tile_rotate","time_source_expire_after","time_source_expire_nearest","time_source_game","time_source_global","time_source_state_active","time_source_state_initial","time_source_state_paused","time_source_state_stopped","time_source_units_frames","time_source_units_seconds","timezone_local","timezone_utc","tm_countvsyncs","tm_sleep","tm_systemtiming","true","ty_real","ty_string","undefined","vertex_type_color","vertex_type_colour","vertex_type_float1","vertex_type_float2","vertex_type_float3","vertex_type_float4","vertex_type_ubyte4","vertex_usage_binormal","vertex_usage_blendindices","vertex_usage_blendweight","vertex_usage_color","vertex_usage_colour","vertex_usage_depth","vertex_usage_fog","vertex_usage_normal","vertex_usage_position","vertex_usage_psize","vertex_usage_sample","vertex_usage_tangent","vertex_usage_texcoord","video_format_rgba","video_format_yuv","video_status_closed","video_status_paused","video_status_playing","video_status_preparing","vk_add","vk_alt","vk_anykey","vk_backspace","vk_control","vk_decimal","vk_delete","vk_divide","vk_down","vk_end","vk_enter","vk_escape","vk_f1","vk_f10","vk_f11","vk_f12","vk_f2","vk_f3","vk_f4","vk_f5","vk_f6","vk_f7","vk_f8","vk_f9","vk_home","vk_insert","vk_lalt","vk_lcontrol","vk_left","vk_lshift","vk_multiply","vk_nokey","vk_numpad0","vk_numpad1","vk_numpad2","vk_numpad3","vk_numpad4","vk_numpad5","vk_numpad6","vk_numpad7","vk_numpad8","vk_numpad9","vk_pagedown","vk_pageup","vk_pause","vk_printscreen","vk_ralt","vk_rcontrol","vk_return","vk_right","vk_rshift","vk_shift","vk_space","vk_subtract","vk_tab","vk_up","wallpaper_config","wallpaper_subscription_data","wrap"],"variable.language":["alarm","application_surface","argument","argument0","argument1","argument2","argument3","argument4","argument5","argument6","argument7","argument8","argument9","argument10","argument11","argument12","argument13","argument14","argument15","argument_count","async_load","background_color","background_colour","background_showcolor","background_showcolour","bbox_bottom","bbox_left","bbox_right","bbox_top","browser_height","browser_width","colour?ColourTrack","current_day","current_hour","current_minute","current_month","current_second","current_time","current_weekday","current_year","cursor_sprite","debug_mode","delta_time","depth","direction","display_aa","drawn_by_sequence","event_action","event_data","event_number","event_object","event_type","font_texture_page_size","fps","fps_real","friction","game_display_name","game_id","game_project_name","game_save_id","gravity","gravity_direction","health","hspeed","iap_data","id","image_alpha","image_angle","image_blend","image_index","image_number","image_speed","image_xscale","image_yscale","in_collision_tree","in_sequence","instance_count","instance_id","keyboard_key","keyboard_lastchar","keyboard_lastkey","keyboard_string","layer","lives","longMessage","managed","mask_index","message","mouse_button","mouse_lastbutton","mouse_x","mouse_y","object_index","os_browser","os_device","os_type","os_version","path_endaction","path_index","path_orientation","path_position","path_positionprevious","path_scale","path_speed","persistent","phy_active","phy_angular_damping","phy_angular_velocity","phy_bullet","phy_col_normal_x","phy_col_normal_y","phy_collision_points","phy_collision_x","phy_collision_y","phy_com_x","phy_com_y","phy_dynamic","phy_fixed_rotation","phy_inertia","phy_kinematic","phy_linear_damping","phy_linear_velocity_x","phy_linear_velocity_y","phy_mass","phy_position_x","phy_position_xprevious","phy_position_y","phy_position_yprevious","phy_rotation","phy_sleeping","phy_speed","phy_speed_x","phy_speed_y","player_avatar_sprite","player_avatar_url","player_id","player_local","player_type","player_user_id","program_directory","rollback_api_server","rollback_confirmed_frame","rollback_current_frame","rollback_event_id","rollback_event_param","rollback_game_running","room","room_first","room_height","room_last","room_persistent","room_speed","room_width","score","script","sequence_instance","solid","speed","sprite_height","sprite_index","sprite_width","sprite_xoffset","sprite_yoffset","stacktrace","temp_directory","timeline_index","timeline_loop","timeline_position","timeline_running","timeline_speed","view_camera","view_current","view_enabled","view_hport","view_surface_id","view_visible","view_wport","view_xport","view_yport","visible","vspeed","webgl_enabled","working_directory","x","xprevious","xstart","y","yprevious","ystart"]},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE]}}return gml_1=Ra,gml_1}var go_1,hasRequiredGo;function requireGo(){if(hasRequiredGo)return go_1;hasRequiredGo=1;function Ra(qa){const sd={keyword:["break","case","chan","const","continue","default","defer","else","fallthrough","for","func","go","goto","if","import","interface","map","package","range","return","select","struct","switch","type","var"],type:["bool","byte","complex64","complex128","error","float32","float64","int8","int16","int32","int64","string","uint8","uint16","uint32","uint64","int","uint","uintptr","rune"],literal:["true","false","iota","nil"],built_in:["append","cap","close","complex","copy","imag","len","make","new","panic","print","println","real","recover","delete"]};return{name:"Go",aliases:["golang"],keywords:sd,illegal:"</",contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"string",variants:[qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,{begin:"`",end:"`"}]},{className:"number",variants:[{match:/-?\b0[xX]\.[a-fA-F0-9](_?[a-fA-F0-9])*[pP][+-]?\d(_?\d)*i?/,relevance:0},{match:/-?\b0[xX](_?[a-fA-F0-9])+((\.([a-fA-F0-9](_?[a-fA-F0-9])*)?)?[pP][+-]?\d(_?\d)*)?i?/,relevance:0},{match:/-?\b0[oO](_?[0-7])*i?/,relevance:0},{match:/-?\.\d(_?\d)*([eE][+-]?\d(_?\d)*)?i?/,relevance:0},{match:/-?\b\d(_?\d)*(\.(\d(_?\d)*)?)?([eE][+-]?\d(_?\d)*)?i?/,relevance:0}]},{begin:/:=/},{className:"function",beginKeywords:"func",end:"\\s*(\\{|$)",excludeEnd:!0,contains:[qa.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:sd,illegal:/["']/}]}]}}return go_1=Ra,go_1}var golo_1,hasRequiredGolo;function requireGolo(){if(hasRequiredGolo)return golo_1;hasRequiredGolo=1;function Ra(qa){return{name:"Golo",keywords:{keyword:["println","readln","print","import","module","function","local","return","let","var","while","for","foreach","times","in","case","when","match","with","break","continue","augment","augmentation","each","find","filter","reduce","if","then","else","otherwise","try","catch","finally","raise","throw","orIfNull","DynamicObject|10","DynamicVariable","struct","Observable","map","set","vector","list","array"],literal:["true","false","null"]},contains:[qa.HASH_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"}]}}return golo_1=Ra,golo_1}var gradle_1,hasRequiredGradle;function requireGradle(){if(hasRequiredGradle)return gradle_1;hasRequiredGradle=1;function Ra(qa){return{name:"Gradle",case_insensitive:!0,keywords:["task","project","allprojects","subprojects","artifacts","buildscript","configurations","dependencies","repositories","sourceSets","description","delete","from","into","include","exclude","source","classpath","destinationDir","includes","options","sourceCompatibility","targetCompatibility","group","flatDir","doLast","doFirst","flatten","todir","fromdir","ant","def","abstract","break","case","catch","continue","default","do","else","extends","final","finally","for","if","implements","instanceof","native","new","private","protected","public","return","static","switch","synchronized","throw","throws","transient","try","volatile","while","strictfp","package","import","false","null","super","this","true","antlrtask","checkstyle","codenarc","copy","boolean","byte","char","class","double","float","int","interface","long","short","void","compile","runTime","file","fileTree","abs","any","append","asList","asWritable","call","collect","compareTo","count","div","dump","each","eachByte","eachFile","eachLine","every","find","findAll","flatten","getAt","getErr","getIn","getOut","getText","grep","immutable","inject","inspect","intersect","invokeMethods","isCase","join","leftShift","minus","multiply","newInputStream","newOutputStream","newPrintWriter","newReader","newWriter","next","plus","pop","power","previous","print","println","push","putAt","read","readBytes","readLines","reverse","reverseEach","round","size","sort","splitEachLine","step","subMap","times","toInteger","toList","tokenize","upto","waitForOrKill","withPrintWriter","withReader","withStream","withWriter","withWriterAppend","write","writeLine"],contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.NUMBER_MODE,qa.REGEXP_MODE]}}return gradle_1=Ra,gradle_1}var graphql_1,hasRequiredGraphql;function requireGraphql(){if(hasRequiredGraphql)return graphql_1;hasRequiredGraphql=1;function Ra(qa){const Ja=qa.regex,ed=/[_A-Za-z][_0-9A-Za-z]*/;return{name:"GraphQL",aliases:["gql"],case_insensitive:!0,disableAutodetect:!1,keywords:{keyword:["query","mutation","subscription","type","input","schema","directive","interface","union","scalar","fragment","enum","on"],literal:["true","false","null"]},contains:[qa.HASH_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.NUMBER_MODE,{scope:"punctuation",match:/[.]{3}/,relevance:0},{scope:"punctuation",begin:/[\!\(\)\:\=\[\]\{\|\}]{1}/,relevance:0},{scope:"variable",begin:/\$/,end:/\W/,excludeEnd:!0,relevance:0},{scope:"meta",match:/@\w+/,excludeEnd:!0},{scope:"symbol",begin:Ja.concat(ed,Ja.lookahead(/\s*:/)),relevance:0}],illegal:[/[;<']/,/BEGIN/]}}return graphql_1=Ra,graphql_1}var groovy_1,hasRequiredGroovy;function requireGroovy(){if(hasRequiredGroovy)return groovy_1;hasRequiredGroovy=1;function Ra(Ja,ed={}){return ed.variants=Ja,ed}function qa(Ja){const ed=Ja.regex,td="[A-Za-z0-9_$]+",rd=Ra([Ja.C_LINE_COMMENT_MODE,Ja.C_BLOCK_COMMENT_MODE,Ja.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]})]),sd={className:"regexp",begin:/~?\/[^\/\n]+\//,contains:[Ja.BACKSLASH_ESCAPE]},od=Ra([Ja.BINARY_NUMBER_MODE,Ja.C_NUMBER_MODE]),ld=Ra([{begin:/"""/,end:/"""/},{begin:/'''/,end:/'''/},{begin:"\\$/",end:"/\\$",relevance:10},Ja.APOS_STRING_MODE,Ja.QUOTE_STRING_MODE],{className:"string"}),cd={match:[/(class|interface|trait|enum|record|extends|implements)/,/\s+/,Ja.UNDERSCORE_IDENT_RE],scope:{1:"keyword",3:"title.class"}};return{name:"Groovy",keywords:{"variable.language":"this super",literal:"true false null",type:["byte","short","char","int","long","boolean","float","double","void"],keyword:["def","as","in","assert","trait","abstract","static","volatile","transient","public","private","protected","synchronized","final","class","interface","enum","if","else","for","while","switch","case","break","default","continue","throw","throws","try","catch","finally","implements","extends","new","import","package","return","instanceof","var"]},contains:[Ja.SHEBANG({binary:"groovy",relevance:10}),rd,ld,sd,od,cd,{className:"meta",begin:"@[A-Za-z]+",relevance:0},{className:"attr",begin:td+"[ ]*:",relevance:0},{begin:/\?/,end:/:/,relevance:0,contains:[rd,ld,sd,od,"self"]},{className:"symbol",begin:"^[ ]*"+ed.lookahead(td+":"),excludeBegin:!0,end:td+":",relevance:0}],illegal:/#|<\//}}return groovy_1=qa,groovy_1}var haml_1,hasRequiredHaml;function requireHaml(){if(hasRequiredHaml)return haml_1;hasRequiredHaml=1;function Ra(qa){return{name:"HAML",case_insensitive:!0,contains:[{className:"meta",begin:"^!!!( (5|1\\.1|Strict|Frameset|Basic|Mobile|RDFa|XML\\b.*))?$",relevance:10},qa.COMMENT("^\\s*(!=#|=#|-#|/).*$",null,{relevance:0}),{begin:"^\\s*(-|=|!=)(?!#)",end:/$/,subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0},{className:"tag",begin:"^\\s*%",contains:[{className:"selector-tag",begin:"\\w+"},{className:"selector-id",begin:"#[\\w-]+"},{className:"selector-class",begin:"\\.[\\w-]+"},{begin:/\{\s*/,end:/\s*\}/,contains:[{begin:":\\w+\\s*=>",end:",\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:":\\w+"},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]},{begin:"\\(\\s*",end:"\\s*\\)",excludeEnd:!0,contains:[{begin:"\\w+\\s*=",end:"\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:"\\w+",relevance:0},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]}]},{begin:"^\\s*[=~]\\s*"},{begin:/#\{/,end:/\}/,subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}return haml_1=Ra,haml_1}var handlebars_1,hasRequiredHandlebars;function requireHandlebars(){if(hasRequiredHandlebars)return handlebars_1;hasRequiredHandlebars=1;function Ra(qa){const Ja=qa.regex,ed={$pattern:/[\w.\/]+/,built_in:["action","bindattr","collection","component","concat","debugger","each","each-in","get","hash","if","in","input","link-to","loc","log","lookup","mut","outlet","partial","query-params","render","template","textarea","unbound","unless","view","with","yield"]},td={$pattern:/[\w.\/]+/,literal:["true","false","undefined","null"]},rd=/""|"[^"]+"/,sd=/''|'[^']+'/,od=/\[\]|\[[^\]]+\]/,ld=/[^\s!"#%&'()*+,.\/;<=>@\[\\\]^`{|}~]+/,cd=/(\.|\/)/,ud=Ja.either(rd,sd,od,ld),_d=Ja.concat(Ja.optional(/\.|\.\/|\//),ud,Ja.anyNumberOfTimes(Ja.concat(cd,ud))),yd=Ja.concat("(",od,"|",ld,")(?==)"),gd={begin:_d},Ed=qa.inherit(gd,{keywords:td}),Td={begin:/\(/,end:/\)/},kd={className:"attr",begin:yd,relevance:0,starts:{begin:/=/,end:/=/,starts:{contains:[qa.NUMBER_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,Ed,Td]}}},Rd={begin:/as\s+\|/,keywords:{keyword:"as"},end:/\|/,contains:[{begin:/\w+/}]},Nd={contains:[qa.NUMBER_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,Rd,kd,Ed,Td],returnEnd:!0},Id=qa.inherit(gd,{className:"name",keywords:ed,starts:qa.inherit(Nd,{end:/\)/})});Td.contains=[Id];const Md=qa.inherit(gd,{keywords:ed,className:"name",starts:qa.inherit(Nd,{end:/\}\}/})}),Ld=qa.inherit(gd,{keywords:ed,className:"name"}),Pd=qa.inherit(gd,{className:"name",keywords:ed,starts:qa.inherit(Nd,{end:/\}\}/})});return{name:"Handlebars",aliases:["hbs","html.hbs","html.handlebars","htmlbars"],case_insensitive:!0,subLanguage:"xml",contains:[{begin:/\\\{\{/,skip:!0},{begin:/\\\\(?=\{\{)/,skip:!0},qa.COMMENT(/\{\{!--/,/--\}\}/),qa.COMMENT(/\{\{!/,/\}\}/),{className:"template-tag",begin:/\{\{\{\{(?!\/)/,end:/\}\}\}\}/,contains:[Md],starts:{end:/\{\{\{\{\//,returnEnd:!0,subLanguage:"xml"}},{className:"template-tag",begin:/\{\{\{\{\//,end:/\}\}\}\}/,contains:[Ld]},{className:"template-tag",begin:/\{\{#/,end:/\}\}/,contains:[Md]},{className:"template-tag",begin:/\{\{(?=else\}\})/,end:/\}\}/,keywords:"else"},{className:"template-tag",begin:/\{\{(?=else if)/,end:/\}\}/,keywords:"else if"},{className:"template-tag",begin:/\{\{\//,end:/\}\}/,contains:[Ld]},{className:"template-variable",begin:/\{\{\{/,end:/\}\}\}/,contains:[Pd]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:[Pd]}]}}return handlebars_1=Ra,handlebars_1}var haskell_1,hasRequiredHaskell;function requireHaskell(){if(hasRequiredHaskell)return haskell_1;hasRequiredHaskell=1;function Ra(qa){const Ja="([0-9]_*)+",ed="([0-9a-fA-F]_*)+",td="([01]_*)+",rd="([0-7]_*)+",cd="([!#$%&*+.\\/<=>?@\\\\^~-]|(?!([(),;\\[\\]`|{}]|[_:\"']))(\\p{S}|\\p{P}))",ud={variants:[qa.COMMENT("--+","$"),qa.COMMENT(/\{-/,/-\}/,{contains:["self"]})]},_d={className:"meta",begin:/\{-#/,end:/#-\}/},yd={className:"meta",begin:"^#",end:"$"},gd={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},Ed={begin:"\\(",end:"\\)",illegal:'"',contains:[_d,yd,{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},qa.inherit(qa.TITLE_MODE,{begin:"[_a-z][\\w']*"}),ud]},Td={begin:/\{/,end:/\}/,contains:Ed.contains},kd={className:"number",relevance:0,variants:[{match:`\\b(${Ja})(\\.(${Ja}))?([eE][+-]?(${Ja}))?\\b`},{match:`\\b0[xX]_*(${ed})(\\.(${ed}))?([pP][+-]?(${Ja}))?\\b`},{match:`\\b0[oO](${rd})\\b`},{match:`\\b0[bB](${td})\\b`}]};return{name:"Haskell",aliases:["hs"],keywords:"let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec",unicodeRegex:!0,contains:[{beginKeywords:"module",end:"where",keywords:"module where",contains:[Ed,ud],illegal:"\\W\\.|;"},{begin:"\\bimport\\b",end:"$",keywords:"import qualified as hiding",contains:[Ed,ud],illegal:"\\W\\.|;"},{className:"class",begin:"^(\\s*)?(class|instance)\\b",end:"where",keywords:"class family instance where",contains:[gd,Ed,ud]},{className:"class",begin:"\\b(data|(new)?type)\\b",end:"$",keywords:"data family type newtype deriving",contains:[_d,gd,Ed,Td,ud]},{beginKeywords:"default",end:"$",contains:[gd,Ed,ud]},{beginKeywords:"infix infixl infixr",end:"$",contains:[qa.C_NUMBER_MODE,ud]},{begin:"\\bforeign\\b",end:"$",keywords:"foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe",contains:[gd,qa.QUOTE_STRING_MODE,ud]},{className:"meta",begin:"#!\\/usr\\/bin\\/env runhaskell",end:"$"},_d,yd,{scope:"string",begin:/'(?=\\?.')/,end:/'/,contains:[{scope:"char.escape",match:/\\./}]},qa.QUOTE_STRING_MODE,kd,gd,qa.inherit(qa.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),{begin:`(?!-)${cd}--+|--+(?!-)${cd}`},ud,{begin:"->|<-"}]}}return haskell_1=Ra,haskell_1}var haxe_1,hasRequiredHaxe;function requireHaxe(){if(hasRequiredHaxe)return haxe_1;hasRequiredHaxe=1;function Ra(qa){const Ja="[a-zA-Z_$][a-zA-Z0-9_$]*",ed=/(-?)(\b0[xX][a-fA-F0-9_]+|(\b\d+(\.[\d_]*)?|\.[\d_]+)(([eE][-+]?\d+)|i32|u32|i64|f64)?)/;return{name:"Haxe",aliases:["hx"],keywords:{keyword:"abstract break case cast catch continue default do dynamic else enum extern final for function here if import in inline is macro never new override package private get set public return static super switch this throw trace try typedef untyped using var while "+"Int Float String Bool Dynamic Void Array ",built_in:"trace this",literal:"true false null _"},contains:[{className:"string",begin:"'",end:"'",contains:[qa.BACKSLASH_ESCAPE,{className:"subst",begin:/\$\{/,end:/\}/},{className:"subst",begin:/\$/,end:/\W\}/}]},qa.QUOTE_STRING_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"number",begin:ed,relevance:0},{className:"variable",begin:"\\$"+Ja},{className:"meta",begin:/@:?/,end:/\(|$/,excludeEnd:!0},{className:"meta",begin:"#",end:"$",keywords:{keyword:"if else elseif end error"}},{className:"type",begin:/:[ \t]*/,end:/[^A-Za-z0-9_ \t\->]/,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:/:[ \t]*/,end:/\W/,excludeBegin:!0,excludeEnd:!0},{className:"type",beginKeywords:"new",end:/\W/,excludeBegin:!0,excludeEnd:!0},{className:"title.class",beginKeywords:"enum",end:/\{/,contains:[qa.TITLE_MODE]},{className:"title.class",begin:"\\babstract\\b(?=\\s*"+qa.IDENT_RE+"\\s*\\()",end:/[\{$]/,contains:[{className:"type",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0},{className:"type",begin:/from +/,end:/\W/,excludeBegin:!0,excludeEnd:!0},{className:"type",begin:/to +/,end:/\W/,excludeBegin:!0,excludeEnd:!0},qa.TITLE_MODE],keywords:{keyword:"abstract from to"}},{className:"title.class",begin:/\b(class|interface) +/,end:/[\{$]/,excludeEnd:!0,keywords:"class interface",contains:[{className:"keyword",begin:/\b(extends|implements) +/,keywords:"extends implements",contains:[{className:"type",begin:qa.IDENT_RE,relevance:0}]},qa.TITLE_MODE]},{className:"title.function",beginKeywords:"function",end:/\(/,excludeEnd:!0,illegal:/\S/,contains:[qa.TITLE_MODE]}],illegal:/<\//}}return haxe_1=Ra,haxe_1}var hsp_1,hasRequiredHsp;function requireHsp(){if(hasRequiredHsp)return hsp_1;hasRequiredHsp=1;function Ra(qa){return{name:"HSP",case_insensitive:!0,keywords:{$pattern:/[\w._]+/,keyword:"goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop"},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,{className:"string",begin:/\{"/,end:/"\}/,contains:[qa.BACKSLASH_ESCAPE]},qa.COMMENT(";","$",{relevance:0}),{className:"meta",begin:"#",end:"$",keywords:{keyword:"addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib"},contains:[qa.inherit(qa.QUOTE_STRING_MODE,{className:"string"}),qa.NUMBER_MODE,qa.C_NUMBER_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{className:"symbol",begin:"^\\*(\\w+|@)"},qa.NUMBER_MODE,qa.C_NUMBER_MODE]}}return hsp_1=Ra,hsp_1}var http_1,hasRequiredHttp;function requireHttp(){if(hasRequiredHttp)return http_1;hasRequiredHttp=1;function Ra(qa){const Ja=qa.regex,ed="HTTP/([32]|1\\.[01])",td=/[A-Za-z][A-Za-z0-9-]*/,rd={className:"attribute",begin:Ja.concat("^",td,"(?=\\:\\s)"),starts:{contains:[{className:"punctuation",begin:/: /,relevance:0,starts:{end:"$",relevance:0}}]}},sd=[rd,{begin:"\\n\\n",starts:{subLanguage:[],endsWithParent:!0}}];return{name:"HTTP",aliases:["https"],illegal:/\S/,contains:[{begin:"^(?="+ed+" \\d{3})",end:/$/,contains:[{className:"meta",begin:ed},{className:"number",begin:"\\b\\d{3}\\b"}],starts:{end:/\b\B/,illegal:/\S/,contains:sd}},{begin:"(?=^[A-Z]+ (.*?) "+ed+"$)",end:/$/,contains:[{className:"string",begin:" ",end:" ",excludeBegin:!0,excludeEnd:!0},{className:"meta",begin:ed},{className:"keyword",begin:"[A-Z]+"}],starts:{end:/\b\B/,illegal:/\S/,contains:sd}},qa.inherit(rd,{relevance:0})]}}return http_1=Ra,http_1}var hy_1,hasRequiredHy;function requireHy(){if(hasRequiredHy)return hy_1;hasRequiredHy=1;function Ra(qa){const Ja="a-zA-Z_\\-!.?+*=<>&#'",ed="["+Ja+"]["+Ja+"0-9/;:]*",td={$pattern:ed,built_in:"!= % %= & &= * ** **= *= *map + += , --build-class-- --import-- -= . / // //= /= < << <<= <= = > >= >> >>= @ @= ^ ^= abs accumulate all and any ap-compose ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast callable calling-module-name car case cdr chain chr coll? combinations compile compress cond cons cons? continue count curry cut cycle dec def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first flatten float? fn fnc fnr for for* format fraction genexpr gensym get getattr global globals group-by hasattr hash hex id identity if if* if-not if-python2 import in inc input instance? integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass iter iterable? iterate iterator? keyword keyword? lambda last len let lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all map max merge-with method-decorator min multi-decorator multicombinations name neg? next none? nonlocal not not-in not? nth numeric? oct odd? open or ord partition permutations pos? post-route postwalk pow prewalk print product profile/calls profile/cpu put-route quasiquote quote raise range read read-str recursive-replace reduce remove repeat repeatedly repr require rest round route route-with-methods rwm second seq set-comp setattr setv some sorted string string? sum switch symbol? take take-nth take-while tee try unless unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms xi xor yield yield-from zero? zip zip-longest | |= ~"},rd="[-+]?\\d+(\\.\\d+)?",sd={begin:ed,relevance:0},od={className:"number",begin:rd,relevance:0},ld=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),cd=qa.COMMENT(";","$",{relevance:0}),ud={className:"literal",begin:/\b([Tt]rue|[Ff]alse|nil|None)\b/},_d={begin:"[\\[\\{]",end:"[\\]\\}]",relevance:0},yd={className:"comment",begin:"\\^"+ed},gd=qa.COMMENT("\\^\\{","\\}"),Ed={className:"symbol",begin:"[:]{1,2}"+ed},Td={begin:"\\(",end:"\\)"},kd={endsWithParent:!0,relevance:0},Rd={className:"name",relevance:0,keywords:td,begin:ed,starts:kd},Nd=[Td,ld,yd,gd,cd,Ed,_d,od,ud,sd];return Td.contains=[qa.COMMENT("comment",""),Rd,kd],kd.contains=Nd,_d.contains=Nd,{name:"Hy",aliases:["hylang"],illegal:/\S/,contains:[qa.SHEBANG(),Td,ld,yd,gd,cd,Ed,_d,od,ud]}}return hy_1=Ra,hy_1}var inform7_1,hasRequiredInform7;function requireInform7(){if(hasRequiredInform7)return inform7_1;hasRequiredInform7=1;function Ra(qa){const Ja="\\[",ed="\\]";return{name:"Inform 7",aliases:["i7"],case_insensitive:!0,keywords:{keyword:"thing room person man woman animal container supporter backdrop door scenery open closed locked inside gender is are say understand kind of rule"},contains:[{className:"string",begin:'"',end:'"',relevance:0,contains:[{className:"subst",begin:Ja,end:ed}]},{className:"section",begin:/^(Volume|Book|Part|Chapter|Section|Table)\b/,end:"$"},{begin:/^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\b/,end:":",contains:[{begin:"\\(This",end:"\\)"}]},{className:"comment",begin:Ja,end:ed,contains:["self"]}]}}return inform7_1=Ra,inform7_1}var ini_1,hasRequiredIni;function requireIni(){if(hasRequiredIni)return ini_1;hasRequiredIni=1;function Ra(qa){const Ja=qa.regex,ed={className:"number",relevance:0,variants:[{begin:/([+-]+)?[\d]+_[\d_]+/},{begin:qa.NUMBER_RE}]},td=qa.COMMENT();td.variants=[{begin:/;/,end:/$/},{begin:/#/,end:/$/}];const rd={className:"variable",variants:[{begin:/\$[\w\d"][\w\d_]*/},{begin:/\$\{(.*?)\}/}]},sd={className:"literal",begin:/\bon|off|true|false|yes|no\b/},od={className:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[{begin:"'''",end:"'''",relevance:10},{begin:'"""',end:'"""',relevance:10},{begin:'"',end:'"'},{begin:"'",end:"'"}]},ld={begin:/\[/,end:/\]/,contains:[td,sd,rd,od,ed,"self"],relevance:0},cd=/[A-Za-z0-9_-]+/,ud=/"(\\"|[^"])*"/,_d=/'[^']*'/,yd=Ja.either(cd,ud,_d),gd=Ja.concat(yd,"(\\s*\\.\\s*",yd,")*",Ja.lookahead(/\s*=\s*[^#\s]/));return{name:"TOML, also INI",aliases:["toml"],case_insensitive:!0,illegal:/\S/,contains:[td,{className:"section",begin:/\[+/,end:/\]+/},{begin:gd,className:"attr",starts:{end:/$/,contains:[td,ld,sd,rd,od,ed]}}]}}return ini_1=Ra,ini_1}var irpf90_1,hasRequiredIrpf90;function requireIrpf90(){if(hasRequiredIrpf90)return irpf90_1;hasRequiredIrpf90=1;function Ra(qa){const Ja=qa.regex,ed={className:"params",begin:"\\(",end:"\\)"},td=/(_[a-z_\d]+)?/,rd=/([de][+-]?\d+)?/,sd={className:"number",variants:[{begin:Ja.concat(/\b\d+/,/\.(\d*)/,rd,td)},{begin:Ja.concat(/\b\d+/,rd,td)},{begin:Ja.concat(/\.\d+/,rd,td)}],relevance:0};return{name:"IRPF90",case_insensitive:!0,keywords:{literal:".False. .True.",keyword:"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read",built_in:"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image IRP_ALIGN irp_here"},illegal:/\/\*/,contains:[qa.inherit(qa.APOS_STRING_MODE,{className:"string",relevance:0}),qa.inherit(qa.QUOTE_STRING_MODE,{className:"string",relevance:0}),{className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[qa.UNDERSCORE_TITLE_MODE,ed]},qa.COMMENT("!","$",{relevance:0}),qa.COMMENT("begin_doc","end_doc",{relevance:10}),sd]}}return irpf90_1=Ra,irpf90_1}var isbl_1,hasRequiredIsbl;function requireIsbl(){if(hasRequiredIsbl)return isbl_1;hasRequiredIsbl=1;function Ra(qa){const Ja="[A-Za-zА-Яа-яёЁ_!][A-Za-zА-Яа-яёЁ_0-9]*",ed="[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*",td="and и else иначе endexcept endfinally endforeach конецвсе endif конецесли endwhile конецпока except exitfor finally foreach все if если in в not не or или try while пока ",Kf="SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT SYSRES_CONST_ACCES_RIGHT_TYPE_FULL SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE SYSRES_CONST_ACCESS_NO_ACCESS_VIEW SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_TYPE_CHANGE SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE SYSRES_CONST_ACCESS_TYPE_EXISTS SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE SYSRES_CONST_ACCESS_TYPE_FULL SYSRES_CONST_ACCESS_TYPE_FULL_CODE SYSRES_CONST_ACCESS_TYPE_VIEW SYSRES_CONST_ACCESS_TYPE_VIEW_CODE SYSRES_CONST_ACTION_TYPE_ABORT SYSRES_CONST_ACTION_TYPE_ACCEPT SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT SYSRES_CONST_ACTION_TYPE_CHANGE_CARD SYSRES_CONST_ACTION_TYPE_CHANGE_KIND SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE SYSRES_CONST_ACTION_TYPE_CONTINUE SYSRES_CONST_ACTION_TYPE_COPY SYSRES_CONST_ACTION_TYPE_CREATE SYSRES_CONST_ACTION_TYPE_CREATE_VERSION SYSRES_CONST_ACTION_TYPE_DELETE SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT SYSRES_CONST_ACTION_TYPE_DELETE_VERSION SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE SYSRES_CONST_ACTION_TYPE_LOCK SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY SYSRES_CONST_ACTION_TYPE_MARK_AS_READED SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED SYSRES_CONST_ACTION_TYPE_MODIFY SYSRES_CONST_ACTION_TYPE_MODIFY_CARD SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE SYSRES_CONST_ACTION_TYPE_PERFORM SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY SYSRES_CONST_ACTION_TYPE_RESTART SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE SYSRES_CONST_ACTION_TYPE_REVISION SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL SYSRES_CONST_ACTION_TYPE_SIGN SYSRES_CONST_ACTION_TYPE_START SYSRES_CONST_ACTION_TYPE_UNLOCK SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER SYSRES_CONST_ACTION_TYPE_VERSION_STATE SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY SYSRES_CONST_ACTION_TYPE_VIEW SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE SYSRES_CONST_ADD_REFERENCE_MODE_NAME SYSRES_CONST_ADDITION_REQUISITE_CODE SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS SYSRES_CONST_ALL_USERS_GROUP SYSRES_CONST_ALL_USERS_GROUP_NAME SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE SYSRES_CONST_APPROVING_SIGNATURE_NAME SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN SYSRES_CONST_ATTACH_TYPE_DOC SYSRES_CONST_ATTACH_TYPE_EDOC SYSRES_CONST_ATTACH_TYPE_FOLDER SYSRES_CONST_ATTACH_TYPE_JOB SYSRES_CONST_ATTACH_TYPE_REFERENCE SYSRES_CONST_ATTACH_TYPE_TASK SYSRES_CONST_AUTH_ENCODED_PASSWORD SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE SYSRES_CONST_AUTH_NOVELL SYSRES_CONST_AUTH_PASSWORD SYSRES_CONST_AUTH_PASSWORD_CODE SYSRES_CONST_AUTH_WINDOWS SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_AUTO_ENUM_METHOD_FLAG SYSRES_CONST_AUTO_NUMERATION_CODE SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_USAGE_ALL SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE SYSRES_CONST_AUTOTEXT_USAGE_SIGN SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE SYSRES_CONST_AUTOTEXT_USAGE_WORK SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BTN_PART SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT SYSRES_CONST_CARD_PART SYSRES_CONST_CARD_REFERENCE_MODE_NAME SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT SYSRES_CONST_CODE_COMPONENT_TYPE_URL SYSRES_CONST_CODE_REQUISITE_ACCESS SYSRES_CONST_CODE_REQUISITE_CODE SYSRES_CONST_CODE_REQUISITE_COMPONENT SYSRES_CONST_CODE_REQUISITE_DESCRIPTION SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT SYSRES_CONST_CODE_REQUISITE_RECORD SYSRES_CONST_COMMENT_REQ_CODE SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE SYSRES_CONST_COMP_CODE_GRD SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DOCS SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_COMPONENT_TYPE_EDOCS SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_COMPONENT_TYPE_OTHER SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES SYSRES_CONST_COMPONENT_TYPE_REFERENCES SYSRES_CONST_COMPONENT_TYPE_REPORTS SYSRES_CONST_COMPONENT_TYPE_SCRIPTS SYSRES_CONST_COMPONENT_TYPE_URL SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION SYSRES_CONST_CONST_FIRM_STATUS_COMMON SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL SYSRES_CONST_CONST_NEGATIVE_VALUE SYSRES_CONST_CONST_POSITIVE_VALUE SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE SYSRES_CONST_CONTENTS_REQUISITE_CODE SYSRES_CONST_DATA_TYPE_BOOLEAN SYSRES_CONST_DATA_TYPE_DATE SYSRES_CONST_DATA_TYPE_FLOAT SYSRES_CONST_DATA_TYPE_INTEGER SYSRES_CONST_DATA_TYPE_PICK SYSRES_CONST_DATA_TYPE_REFERENCE SYSRES_CONST_DATA_TYPE_STRING SYSRES_CONST_DATA_TYPE_TEXT SYSRES_CONST_DATA_TYPE_VARIANT SYSRES_CONST_DATE_CLOSE_REQ_CODE SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR SYSRES_CONST_DATE_OPEN_REQ_CODE SYSRES_CONST_DATE_REQUISITE SYSRES_CONST_DATE_REQUISITE_CODE SYSRES_CONST_DATE_REQUISITE_NAME SYSRES_CONST_DATE_REQUISITE_TYPE SYSRES_CONST_DATE_TYPE_CHAR SYSRES_CONST_DATETIME_FORMAT_VALUE SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_DET1_PART SYSRES_CONST_DET2_PART SYSRES_CONST_DET3_PART SYSRES_CONST_DET4_PART SYSRES_CONST_DET5_PART SYSRES_CONST_DET6_PART SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE SYSRES_CONST_DETAIL_REQ_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME SYSRES_CONST_DOCUMENT_STORAGES_CODE SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME SYSRES_CONST_DOUBLE_REQUISITE_CODE SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE SYSRES_CONST_EDITORS_REFERENCE_CODE SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE SYSRES_CONST_EDOC_DATE_REQUISITE_CODE SYSRES_CONST_EDOC_KIND_REFERENCE_CODE SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE SYSRES_CONST_EDOC_NONE_ENCODE_CODE SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_READONLY_ACCESS_CODE SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE SYSRES_CONST_EDOC_WRITE_ACCES_CODE SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_END_DATE_REQUISITE_CODE SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE SYSRES_CONST_EXIST_CONST SYSRES_CONST_EXIST_VALUE SYSRES_CONST_EXPORT_LOCK_TYPE_ASK SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK SYSRES_CONST_EXPORT_VERSION_TYPE_ASK SYSRES_CONST_EXPORT_VERSION_TYPE_LAST SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE SYSRES_CONST_EXTENSION_REQUISITE_CODE SYSRES_CONST_FILTER_NAME_REQUISITE_CODE SYSRES_CONST_FILTER_REQUISITE_CODE SYSRES_CONST_FILTER_TYPE_COMMON_CODE SYSRES_CONST_FILTER_TYPE_COMMON_NAME SYSRES_CONST_FILTER_TYPE_USER_CODE SYSRES_CONST_FILTER_TYPE_USER_NAME SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR SYSRES_CONST_FLOAT_REQUISITE_TYPE SYSRES_CONST_FOLDER_AUTHOR_VALUE SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS SYSRES_CONST_FOLDER_KIND_COMPONENTS SYSRES_CONST_FOLDER_KIND_EDOCS SYSRES_CONST_FOLDER_KIND_JOBS SYSRES_CONST_FOLDER_KIND_TASKS SYSRES_CONST_FOLDER_TYPE_COMMON SYSRES_CONST_FOLDER_TYPE_COMPONENT SYSRES_CONST_FOLDER_TYPE_FAVORITES SYSRES_CONST_FOLDER_TYPE_INBOX SYSRES_CONST_FOLDER_TYPE_OUTBOX SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH SYSRES_CONST_FOLDER_TYPE_SEARCH SYSRES_CONST_FOLDER_TYPE_SHORTCUTS SYSRES_CONST_FOLDER_TYPE_USER SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG SYSRES_CONST_FULL_SUBSTITUTE_TYPE SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE SYSRES_CONST_FUNCTION_CANCEL_RESULT SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM SYSRES_CONST_FUNCTION_CATEGORY_USER SYSRES_CONST_FUNCTION_FAILURE_RESULT SYSRES_CONST_FUNCTION_SAVE_RESULT SYSRES_CONST_GENERATED_REQUISITE SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_USER_REQUISITE_CODE SYSRES_CONST_GROUPS_REFERENCE_CODE SYSRES_CONST_GROUPS_REQUISITE_CODE SYSRES_CONST_HIDDEN_MODE_NAME SYSRES_CONST_HIGH_LVL_REQUISITE_CODE SYSRES_CONST_HISTORY_ACTION_CREATE_CODE SYSRES_CONST_HISTORY_ACTION_DELETE_CODE SYSRES_CONST_HISTORY_ACTION_EDIT_CODE SYSRES_CONST_HOUR_CHAR SYSRES_CONST_ID_REQUISITE_CODE SYSRES_CONST_IDSPS_REQUISITE_CODE SYSRES_CONST_IMAGE_MODE_COLOR SYSRES_CONST_IMAGE_MODE_GREYSCALE SYSRES_CONST_IMAGE_MODE_MONOCHROME SYSRES_CONST_IMPORTANCE_HIGH SYSRES_CONST_IMPORTANCE_LOW SYSRES_CONST_IMPORTANCE_NORMAL SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE SYSRES_CONST_INT_REQUISITE SYSRES_CONST_INT_REQUISITE_TYPE SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR SYSRES_CONST_INTEGER_TYPE_CHAR SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_JOB_BLOCK_DESCRIPTION SYSRES_CONST_JOB_KIND_CONTROL_JOB SYSRES_CONST_JOB_KIND_JOB SYSRES_CONST_JOB_KIND_NOTICE SYSRES_CONST_JOB_STATE_ABORTED SYSRES_CONST_JOB_STATE_COMPLETE SYSRES_CONST_JOB_STATE_WORKING SYSRES_CONST_KIND_REQUISITE_CODE SYSRES_CONST_KIND_REQUISITE_NAME SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE SYSRES_CONST_KOD_INPUT_TYPE SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT SYSRES_CONST_LINK_OBJECT_KIND_EDOC SYSRES_CONST_LINK_OBJECT_KIND_FOLDER SYSRES_CONST_LINK_OBJECT_KIND_JOB SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE SYSRES_CONST_LINK_OBJECT_KIND_TASK SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE SYSRES_CONST_LIST_REFERENCE_MODE_NAME SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE SYSRES_CONST_MAIN_VIEW_CODE SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE SYSRES_CONST_MAXIMIZED_MODE_NAME SYSRES_CONST_ME_VALUE SYSRES_CONST_MESSAGE_ATTENTION_CAPTION SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION SYSRES_CONST_MESSAGE_ERROR_CAPTION SYSRES_CONST_MESSAGE_INFORMATION_CAPTION SYSRES_CONST_MINIMIZED_MODE_NAME SYSRES_CONST_MINUTE_CHAR SYSRES_CONST_MODULE_REQUISITE_CODE SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION SYSRES_CONST_MONTH_FORMAT_VALUE SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_NAME_REQUISITE_CODE SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE SYSRES_CONST_NAMEAN_INPUT_TYPE SYSRES_CONST_NEGATIVE_PICK_VALUE SYSRES_CONST_NEGATIVE_VALUE SYSRES_CONST_NO SYSRES_CONST_NO_PICK_VALUE SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE SYSRES_CONST_NO_VALUE SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_NORMAL_MODE_NAME SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_NOTE_REQUISITE_CODE SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION SYSRES_CONST_NUM_REQUISITE SYSRES_CONST_NUM_STR_REQUISITE_CODE SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG SYSRES_CONST_NUMERATION_AUTO_STRONG SYSRES_CONST_NUMERATION_FROM_DICTONARY SYSRES_CONST_NUMERATION_MANUAL SYSRES_CONST_NUMERIC_TYPE_CHAR SYSRES_CONST_NUMREQ_REQUISITE_CODE SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_ORIGINALREF_REQUISITE_CODE SYSRES_CONST_OURFIRM_REF_CODE SYSRES_CONST_OURFIRM_REQUISITE_CODE SYSRES_CONST_OURFIRM_VAR SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE SYSRES_CONST_PICK_NEGATIVE_RESULT SYSRES_CONST_PICK_POSITIVE_RESULT SYSRES_CONST_PICK_REQUISITE SYSRES_CONST_PICK_REQUISITE_TYPE SYSRES_CONST_PICK_TYPE_CHAR SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE SYSRES_CONST_PLATFORM_VERSION_COMMENT SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_POSITIVE_PICK_VALUE SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE SYSRES_CONST_PRIORITY_REQUISITE_CODE SYSRES_CONST_QUALIFIED_TASK_TYPE SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE SYSRES_CONST_RECSTAT_REQUISITE_CODE SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_REF_REQUISITE SYSRES_CONST_REF_REQUISITE_TYPE SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE SYSRES_CONST_REFERENCE_TYPE_CHAR SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_MODE_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_EDIT_CODE SYSRES_CONST_REQ_MODE_HIDDEN_CODE SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_VIEW_CODE SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE SYSRES_CONST_REQ_SECTION_VALUE SYSRES_CONST_REQ_TYPE_VALUE SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME SYSRES_CONST_REQUISITE_FORMAT_LEFT SYSRES_CONST_REQUISITE_FORMAT_RIGHT SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_REQUISITE_SECTION_ACTIONS SYSRES_CONST_REQUISITE_SECTION_BUTTON SYSRES_CONST_REQUISITE_SECTION_BUTTONS SYSRES_CONST_REQUISITE_SECTION_CARD SYSRES_CONST_REQUISITE_SECTION_TABLE SYSRES_CONST_REQUISITE_SECTION_TABLE10 SYSRES_CONST_REQUISITE_SECTION_TABLE11 SYSRES_CONST_REQUISITE_SECTION_TABLE12 SYSRES_CONST_REQUISITE_SECTION_TABLE13 SYSRES_CONST_REQUISITE_SECTION_TABLE14 SYSRES_CONST_REQUISITE_SECTION_TABLE15 SYSRES_CONST_REQUISITE_SECTION_TABLE16 SYSRES_CONST_REQUISITE_SECTION_TABLE17 SYSRES_CONST_REQUISITE_SECTION_TABLE18 SYSRES_CONST_REQUISITE_SECTION_TABLE19 SYSRES_CONST_REQUISITE_SECTION_TABLE2 SYSRES_CONST_REQUISITE_SECTION_TABLE20 SYSRES_CONST_REQUISITE_SECTION_TABLE21 SYSRES_CONST_REQUISITE_SECTION_TABLE22 SYSRES_CONST_REQUISITE_SECTION_TABLE23 SYSRES_CONST_REQUISITE_SECTION_TABLE24 SYSRES_CONST_REQUISITE_SECTION_TABLE3 SYSRES_CONST_REQUISITE_SECTION_TABLE4 SYSRES_CONST_REQUISITE_SECTION_TABLE5 SYSRES_CONST_REQUISITE_SECTION_TABLE6 SYSRES_CONST_REQUISITE_SECTION_TABLE7 SYSRES_CONST_REQUISITE_SECTION_TABLE8 SYSRES_CONST_REQUISITE_SECTION_TABLE9 SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_RIGHT_ALIGNMENT_CODE SYSRES_CONST_ROLES_REFERENCE_CODE SYSRES_CONST_ROUTE_STEP_AFTER_RUS SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS SYSRES_CONST_ROUTE_TYPE_COMPLEX SYSRES_CONST_ROUTE_TYPE_PARALLEL SYSRES_CONST_ROUTE_TYPE_SERIAL SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE SYSRES_CONST_SEARCHES_COMPONENT_CONTENT SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME SYSRES_CONST_SEARCHES_EDOC_CONTENT SYSRES_CONST_SEARCHES_FOLDER_CONTENT SYSRES_CONST_SEARCHES_JOB_CONTENT SYSRES_CONST_SEARCHES_REFERENCE_CODE SYSRES_CONST_SEARCHES_TASK_CONTENT SYSRES_CONST_SECOND_CHAR SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE SYSRES_CONST_SECTION_REQUISITE_CODE SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE SYSRES_CONST_SELECT_REFERENCE_MODE_NAME SYSRES_CONST_SELECT_TYPE_SELECTABLE SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD SYSRES_CONST_SELECT_TYPE_UNSLECTABLE SYSRES_CONST_SERVER_TYPE_MAIN SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE SYSRES_CONST_STATE_REQ_NAME SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE SYSRES_CONST_STATE_REQUISITE_CODE SYSRES_CONST_STATIC_ROLE_TYPE_CODE SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE SYSRES_CONST_STATUS_VALUE_AUTOCLEANING SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE SYSRES_CONST_STATUS_VALUE_COMPLETE SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE SYSRES_CONST_STATUS_VALUE_RED_SQUARE SYSRES_CONST_STATUS_VALUE_SUSPEND SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE SYSRES_CONST_STORAGE_TYPE_FILE SYSRES_CONST_STORAGE_TYPE_SQL_SERVER SYSRES_CONST_STR_REQUISITE SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR SYSRES_CONST_STRING_REQUISITE_CODE SYSRES_CONST_STRING_REQUISITE_TYPE SYSRES_CONST_STRING_TYPE_CHAR SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE SYSRES_CONST_SYSTEM_VERSION_COMMENT SYSRES_CONST_TASK_ACCESS_TYPE_ALL SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD SYSRES_CONST_TASK_ENCODE_TYPE_NONE SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD SYSRES_CONST_TASK_ROUTE_ALL_CONDITION SYSRES_CONST_TASK_ROUTE_AND_CONDITION SYSRES_CONST_TASK_ROUTE_OR_CONDITION SYSRES_CONST_TASK_STATE_ABORTED SYSRES_CONST_TASK_STATE_COMPLETE SYSRES_CONST_TASK_STATE_CONTINUED SYSRES_CONST_TASK_STATE_CONTROL SYSRES_CONST_TASK_STATE_INIT SYSRES_CONST_TASK_STATE_WORKING SYSRES_CONST_TASK_TITLE SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE SYSRES_CONST_TASK_TYPES_REFERENCE_CODE SYSRES_CONST_TEMPLATES_REFERENCE_CODE SYSRES_CONST_TEST_DATE_REQUISITE_NAME SYSRES_CONST_TEST_DEV_DATABASE_NAME SYSRES_CONST_TEST_DEV_SYSTEM_CODE SYSRES_CONST_TEST_EDMS_DATABASE_NAME SYSRES_CONST_TEST_EDMS_MAIN_CODE SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME SYSRES_CONST_TEST_EDMS_SECOND_CODE SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME SYSRES_CONST_TEST_EDMS_SYSTEM_CODE SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME SYSRES_CONST_TEXT_REQUISITE SYSRES_CONST_TEXT_REQUISITE_CODE SYSRES_CONST_TEXT_REQUISITE_TYPE SYSRES_CONST_TEXT_TYPE_CHAR SYSRES_CONST_TYPE_CODE_REQUISITE_CODE SYSRES_CONST_TYPE_REQUISITE_CODE SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME SYSRES_CONST_USE_ACCESS_TYPE_CODE SYSRES_CONST_USE_ACCESS_TYPE_NAME SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE SYSRES_CONST_USER_CATEGORY_NORMAL SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE SYSRES_CONST_USER_COMMON_CATEGORY SYSRES_CONST_USER_COMMON_CATEGORY_CODE SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_USER_LOGIN_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_USER_SERVICE_CATEGORY SYSRES_CONST_USER_SERVICE_CATEGORY_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME SYSRES_CONST_USER_STATUS_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_DEVELOPER_NAME SYSRES_CONST_USER_STATUS_DISABLED_CODE SYSRES_CONST_USER_STATUS_DISABLED_NAME SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_USER_CODE SYSRES_CONST_USER_STATUS_USER_NAME SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER SYSRES_CONST_USER_TYPE_REQUISITE_CODE SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE SYSRES_CONST_USERS_REFERENCE_CODE SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME SYSRES_CONST_USERS_REQUISITE_CODE SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME SYSRES_CONST_VIEW_DEFAULT_CODE SYSRES_CONST_VIEW_DEFAULT_NAME SYSRES_CONST_VIEWER_REQUISITE_CODE SYSRES_CONST_WAITING_BLOCK_DESCRIPTION SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT SYSRES_CONST_XML_ENCODING SYSRES_CONST_XREC_STAT_REQUISITE_CODE SYSRES_CONST_XRECID_FIELD_NAME SYSRES_CONST_YES SYSRES_CONST_YES_NO_2_REQUISITE_CODE SYSRES_CONST_YES_NO_REQUISITE_CODE SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_YES_PICK_VALUE SYSRES_CONST_YES_VALUE "+"CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE "+"ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME "+"DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY "+"ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION "+"JOB_BLOCK_ABORT_DEADLINE_PROPERTY JOB_BLOCK_AFTER_FINISH_EVENT JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT JOB_BLOCK_ATTACHMENT_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT JOB_BLOCK_BEFORE_START_EVENT JOB_BLOCK_CREATED_JOBS_PROPERTY JOB_BLOCK_DEADLINE_PROPERTY JOB_BLOCK_EXECUTION_RESULTS_PROPERTY JOB_BLOCK_IS_PARALLEL_PROPERTY JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY JOB_BLOCK_JOB_TEXT_PROPERTY JOB_BLOCK_NAME_PROPERTY JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY JOB_BLOCK_PERFORMER_PROPERTY JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY JOB_BLOCK_SUBJECT_PROPERTY "+"ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE "+"smHidden smMaximized smMinimized smNormal wmNo wmYes "+"COMPONENT_TOKEN_LINK_KIND DOCUMENT_LINK_KIND EDOCUMENT_LINK_KIND FOLDER_LINK_KIND JOB_LINK_KIND REFERENCE_LINK_KIND TASK_LINK_KIND "+"COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE "+"MONITOR_BLOCK_AFTER_FINISH_EVENT MONITOR_BLOCK_BEFORE_START_EVENT MONITOR_BLOCK_DEADLINE_PROPERTY MONITOR_BLOCK_INTERVAL_PROPERTY MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY MONITOR_BLOCK_NAME_PROPERTY MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY "+"NOTICE_BLOCK_AFTER_FINISH_EVENT NOTICE_BLOCK_ATTACHMENT_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY NOTICE_BLOCK_BEFORE_START_EVENT NOTICE_BLOCK_CREATED_NOTICES_PROPERTY NOTICE_BLOCK_DEADLINE_PROPERTY NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY NOTICE_BLOCK_NAME_PROPERTY NOTICE_BLOCK_NOTICE_TEXT_PROPERTY NOTICE_BLOCK_PERFORMER_PROPERTY NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY NOTICE_BLOCK_SUBJECT_PROPERTY "+"dseAfterCancel dseAfterClose dseAfterDelete dseAfterDeleteOutOfTransaction dseAfterInsert dseAfterOpen dseAfterScroll dseAfterUpdate dseAfterUpdateOutOfTransaction dseBeforeCancel dseBeforeClose dseBeforeDelete dseBeforeDetailUpdate dseBeforeInsert dseBeforeOpen dseBeforeUpdate dseOnAnyRequisiteChange dseOnCloseRecord dseOnDeleteError dseOnOpenRecord dseOnPrepareUpdate dseOnUpdateError dseOnUpdateRatifiedRecord dseOnValidDelete dseOnValidUpdate reOnChange reOnChangeValues SELECTION_BEGIN_ROUTE_EVENT SELECTION_END_ROUTE_EVENT "+"CURRENT_PERIOD_IS_REQUIRED PREVIOUS_CARD_TYPE_NAME SHOW_RECORD_PROPERTIES_FORM "+"ACCESS_RIGHTS_SETTING_DIALOG_CODE ADMINISTRATOR_USER_CODE ANALYTIC_REPORT_TYPE asrtHideLocal asrtHideRemote CALCULATED_ROLE_TYPE_CODE COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE DCTS_TEST_PROTOCOLS_FOLDER_PATH E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER E_EDOC_VERSION_ALREDY_SIGNED E_EDOC_VERSION_ALREDY_SIGNED_BY_USER EDOC_TYPES_CODE_REQUISITE_FIELD_NAME EDOCUMENTS_ALIAS_NAME FILES_FOLDER_PATH FILTER_OPERANDS_DELIMITER FILTER_OPERATIONS_DELIMITER FORMCARD_NAME FORMLIST_NAME GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE INTEGRATED_REPORT_TYPE IS_BUILDER_APPLICATION_ROLE IS_BUILDER_APPLICATION_ROLE2 IS_BUILDER_USERS ISBSYSDEV LOG_FOLDER_PATH mbCancel mbNo mbNoToAll mbOK mbYes mbYesToAll MEMORY_DATASET_DESRIPTIONS_FILENAME mrNo mrNoToAll mrYes mrYesToAll MULTIPLE_SELECT_DIALOG_CODE NONOPERATING_RECORD_FLAG_FEMININE NONOPERATING_RECORD_FLAG_MASCULINE OPERATING_RECORD_FLAG_FEMININE OPERATING_RECORD_FLAG_MASCULINE PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE PROGRAM_INITIATED_LOOKUP_ACTION ratDelete ratEdit ratInsert REPORT_TYPE REQUIRED_PICK_VALUES_VARIABLE rmCard rmList SBRTE_PROGID_DEV SBRTE_PROGID_RELEASE STATIC_ROLE_TYPE_CODE SUPPRESS_EMPTY_TEMPLATE_CREATION SYSTEM_USER_CODE UPDATE_DIALOG_DATASET USED_IN_OBJECT_HINT_PARAM USER_INITIATED_LOOKUP_ACTION USER_NAME_FORMAT USER_SELECTION_RESTRICTIONS WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH ELS_SUBTYPE_CONTROL_NAME ELS_FOLDER_KIND_CONTROL_NAME REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME "+"PRIVILEGE_COMPONENT_FULL_ACCESS PRIVILEGE_DEVELOPMENT_EXPORT PRIVILEGE_DEVELOPMENT_IMPORT PRIVILEGE_DOCUMENT_DELETE PRIVILEGE_ESD PRIVILEGE_FOLDER_DELETE PRIVILEGE_MANAGE_ACCESS_RIGHTS PRIVILEGE_MANAGE_REPLICATION PRIVILEGE_MANAGE_SESSION_SERVER PRIVILEGE_OBJECT_FULL_ACCESS PRIVILEGE_OBJECT_VIEW PRIVILEGE_RESERVE_LICENSE PRIVILEGE_SYSTEM_CUSTOMIZE PRIVILEGE_SYSTEM_DEVELOP PRIVILEGE_SYSTEM_INSTALL PRIVILEGE_TASK_DELETE PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE PRIVILEGES_PSEUDOREFERENCE_CODE "+"ACCESS_TYPES_PSEUDOREFERENCE_CODE ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE COMPONENTS_PSEUDOREFERENCE_CODE FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE GROUPS_PSEUDOREFERENCE_CODE RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE REFTYPES_PSEUDOREFERENCE_CODE REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE SEND_PROTOCOL_PSEUDOREFERENCE_CODE SUBSTITUTES_PSEUDOREFERENCE_CODE SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE UNITS_PSEUDOREFERENCE_CODE USERS_PSEUDOREFERENCE_CODE VIEWERS_PSEUDOREFERENCE_CODE "+"CERTIFICATE_TYPE_ENCRYPT CERTIFICATE_TYPE_SIGN CERTIFICATE_TYPE_SIGN_AND_ENCRYPT "+"STORAGE_TYPE_FILE STORAGE_TYPE_NAS_CIFS STORAGE_TYPE_SAPERION STORAGE_TYPE_SQL_SERVER "+"COMPTYPE2_REQUISITE_DOCUMENTS_VALUE COMPTYPE2_REQUISITE_TASKS_VALUE COMPTYPE2_REQUISITE_FOLDERS_VALUE COMPTYPE2_REQUISITE_REFERENCES_VALUE "+"SYSREQ_CODE SYSREQ_COMPTYPE2 SYSREQ_CONST_AVAILABLE_FOR_WEB SYSREQ_CONST_COMMON_CODE SYSREQ_CONST_COMMON_VALUE SYSREQ_CONST_FIRM_CODE SYSREQ_CONST_FIRM_STATUS SYSREQ_CONST_FIRM_VALUE SYSREQ_CONST_SERVER_STATUS SYSREQ_CONTENTS SYSREQ_DATE_OPEN SYSREQ_DATE_CLOSE SYSREQ_DESCRIPTION SYSREQ_DESCRIPTION_LOCALIZE_ID SYSREQ_DOUBLE SYSREQ_EDOC_ACCESS_TYPE SYSREQ_EDOC_AUTHOR SYSREQ_EDOC_CREATED SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE SYSREQ_EDOC_EDITOR SYSREQ_EDOC_ENCODE_TYPE SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_EXPORT_DATE SYSREQ_EDOC_EXPORTER SYSREQ_EDOC_KIND SYSREQ_EDOC_LIFE_STAGE_NAME SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE SYSREQ_EDOC_MODIFIED SYSREQ_EDOC_NAME SYSREQ_EDOC_NOTE SYSREQ_EDOC_QUALIFIED_ID SYSREQ_EDOC_SESSION_KEY SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_SIGNATURE_TYPE SYSREQ_EDOC_SIGNED SYSREQ_EDOC_STORAGE SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE SYSREQ_EDOC_STORAGES_CHECK_RIGHTS SYSREQ_EDOC_STORAGES_COMPUTER_NAME SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE SYSREQ_EDOC_STORAGES_FUNCTION SYSREQ_EDOC_STORAGES_INITIALIZED SYSREQ_EDOC_STORAGES_LOCAL_PATH SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT SYSREQ_EDOC_STORAGES_SERVER_NAME SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME SYSREQ_EDOC_STORAGES_TYPE SYSREQ_EDOC_TEXT_MODIFIED SYSREQ_EDOC_TYPE_ACT_CODE SYSREQ_EDOC_TYPE_ACT_DESCRIPTION SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_EDOC_TYPE_ACT_SECTION SYSREQ_EDOC_TYPE_ADD_PARAMS SYSREQ_EDOC_TYPE_COMMENT SYSREQ_EDOC_TYPE_EVENT_TEXT SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID SYSREQ_EDOC_TYPE_NUMERATION_METHOD SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE SYSREQ_EDOC_TYPE_REQ_CODE SYSREQ_EDOC_TYPE_REQ_DESCRIPTION SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_REQ_IS_LEADING SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED SYSREQ_EDOC_TYPE_REQ_NUMBER SYSREQ_EDOC_TYPE_REQ_ON_CHANGE SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_EDOC_TYPE_REQ_ON_SELECT SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND SYSREQ_EDOC_TYPE_REQ_SECTION SYSREQ_EDOC_TYPE_VIEW_CARD SYSREQ_EDOC_TYPE_VIEW_CODE SYSREQ_EDOC_TYPE_VIEW_COMMENT SYSREQ_EDOC_TYPE_VIEW_IS_MAIN SYSREQ_EDOC_TYPE_VIEW_NAME SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_EDOC_VERSION_AUTHOR SYSREQ_EDOC_VERSION_CRC SYSREQ_EDOC_VERSION_DATA SYSREQ_EDOC_VERSION_EDITOR SYSREQ_EDOC_VERSION_EXPORT_DATE SYSREQ_EDOC_VERSION_EXPORTER SYSREQ_EDOC_VERSION_HIDDEN SYSREQ_EDOC_VERSION_LIFE_STAGE SYSREQ_EDOC_VERSION_MODIFIED SYSREQ_EDOC_VERSION_NOTE SYSREQ_EDOC_VERSION_SIGNATURE_TYPE SYSREQ_EDOC_VERSION_SIGNED SYSREQ_EDOC_VERSION_SIZE SYSREQ_EDOC_VERSION_SOURCE SYSREQ_EDOC_VERSION_TEXT_MODIFIED SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE SYSREQ_FOLDER_KIND SYSREQ_FUNC_CATEGORY SYSREQ_FUNC_COMMENT SYSREQ_FUNC_GROUP SYSREQ_FUNC_GROUP_COMMENT SYSREQ_FUNC_GROUP_NUMBER SYSREQ_FUNC_HELP SYSREQ_FUNC_PARAM_DEF_VALUE SYSREQ_FUNC_PARAM_IDENT SYSREQ_FUNC_PARAM_NUMBER SYSREQ_FUNC_PARAM_TYPE SYSREQ_FUNC_TEXT SYSREQ_GROUP_CATEGORY SYSREQ_ID SYSREQ_LAST_UPDATE SYSREQ_LEADER_REFERENCE SYSREQ_LINE_NUMBER SYSREQ_MAIN_RECORD_ID SYSREQ_NAME SYSREQ_NAME_LOCALIZE_ID SYSREQ_NOTE SYSREQ_ORIGINAL_RECORD SYSREQ_OUR_FIRM SYSREQ_PROFILING_SETTINGS_BATCH_LOGING SYSREQ_PROFILING_SETTINGS_BATCH_SIZE SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_START_LOGGED SYSREQ_RECORD_STATUS SYSREQ_REF_REQ_FIELD_NAME SYSREQ_REF_REQ_FORMAT SYSREQ_REF_REQ_GENERATED SYSREQ_REF_REQ_LENGTH SYSREQ_REF_REQ_PRECISION SYSREQ_REF_REQ_REFERENCE SYSREQ_REF_REQ_SECTION SYSREQ_REF_REQ_STORED SYSREQ_REF_REQ_TOKENS SYSREQ_REF_REQ_TYPE SYSREQ_REF_REQ_VIEW SYSREQ_REF_TYPE_ACT_CODE SYSREQ_REF_TYPE_ACT_DESCRIPTION SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_ACT_ON_EXECUTE SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_REF_TYPE_ACT_SECTION SYSREQ_REF_TYPE_ADD_PARAMS SYSREQ_REF_TYPE_COMMENT SYSREQ_REF_TYPE_COMMON_SETTINGS SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME SYSREQ_REF_TYPE_EVENT_TEXT SYSREQ_REF_TYPE_MAIN_LEADING_REF SYSREQ_REF_TYPE_NAME_IN_SINGULAR SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_REF_TYPE_NAME_LOCALIZE_ID SYSREQ_REF_TYPE_NUMERATION_METHOD SYSREQ_REF_TYPE_REQ_CODE SYSREQ_REF_TYPE_REQ_DESCRIPTION SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_REQ_IS_CONTROL SYSREQ_REF_TYPE_REQ_IS_FILTER SYSREQ_REF_TYPE_REQ_IS_LEADING SYSREQ_REF_TYPE_REQ_IS_REQUIRED SYSREQ_REF_TYPE_REQ_NUMBER SYSREQ_REF_TYPE_REQ_ON_CHANGE SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_REF_TYPE_REQ_ON_SELECT SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND SYSREQ_REF_TYPE_REQ_SECTION SYSREQ_REF_TYPE_VIEW_CARD SYSREQ_REF_TYPE_VIEW_CODE SYSREQ_REF_TYPE_VIEW_COMMENT SYSREQ_REF_TYPE_VIEW_IS_MAIN SYSREQ_REF_TYPE_VIEW_NAME SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_REFERENCE_TYPE_ID SYSREQ_STATE SYSREQ_STATЕ SYSREQ_SYSTEM_SETTINGS_VALUE SYSREQ_TYPE SYSREQ_UNIT SYSREQ_UNIT_ID SYSREQ_USER_GROUPS_GROUP_FULL_NAME SYSREQ_USER_GROUPS_GROUP_NAME SYSREQ_USER_GROUPS_GROUP_SERVER_NAME SYSREQ_USERS_ACCESS_RIGHTS SYSREQ_USERS_AUTHENTICATION SYSREQ_USERS_CATEGORY SYSREQ_USERS_COMPONENT SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC SYSREQ_USERS_DOMAIN SYSREQ_USERS_FULL_USER_NAME SYSREQ_USERS_GROUP SYSREQ_USERS_IS_MAIN_SERVER SYSREQ_USERS_LOGIN SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC SYSREQ_USERS_STATUS SYSREQ_USERS_USER_CERTIFICATE SYSREQ_USERS_USER_CERTIFICATE_INFO SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION SYSREQ_USERS_USER_CERTIFICATE_STATE SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT SYSREQ_USERS_USER_DEFAULT_CERTIFICATE SYSREQ_USERS_USER_DESCRIPTION SYSREQ_USERS_USER_GLOBAL_NAME SYSREQ_USERS_USER_LOGIN SYSREQ_USERS_USER_MAIN_SERVER SYSREQ_USERS_USER_TYPE SYSREQ_WORK_RULES_FOLDER_ID "+"RESULT_VAR_NAME RESULT_VAR_NAME_ENG "+"AUTO_NUMERATION_RULE_ID CANT_CHANGE_ID_REQUISITE_RULE_ID CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID CHECK_CODE_REQUISITE_RULE_ID CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID CHECK_FILTRATER_CHANGES_RULE_ID CHECK_RECORD_INTERVAL_RULE_ID CHECK_REFERENCE_INTERVAL_RULE_ID CHECK_REQUIRED_DATA_FULLNESS_RULE_ID CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID MAKE_RECORD_UNRATIFIED_RULE_ID RESTORE_AUTO_NUMERATION_RULE_ID SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID SET_IDSPS_VALUE_RULE_ID SET_NEXT_CODE_VALUE_RULE_ID SET_OURFIRM_BOUNDS_RULE_ID SET_OURFIRM_REQUISITE_RULE_ID "+"SCRIPT_BLOCK_AFTER_FINISH_EVENT SCRIPT_BLOCK_BEFORE_START_EVENT SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY SCRIPT_BLOCK_NAME_PROPERTY SCRIPT_BLOCK_SCRIPT_PROPERTY "+"SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_AFTER_FINISH_EVENT SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT SUBTASK_BLOCK_ATTACHMENTS_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY SUBTASK_BLOCK_BEFORE_START_EVENT SUBTASK_BLOCK_CREATED_TASK_PROPERTY SUBTASK_BLOCK_CREATION_EVENT SUBTASK_BLOCK_DEADLINE_PROPERTY SUBTASK_BLOCK_IMPORTANCE_PROPERTY SUBTASK_BLOCK_INITIATOR_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY SUBTASK_BLOCK_JOBS_TYPE_PROPERTY SUBTASK_BLOCK_NAME_PROPERTY SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY SUBTASK_BLOCK_PERFORMERS_PROPERTY SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_START_EVENT SUBTASK_BLOCK_STEP_CONTROL_PROPERTY SUBTASK_BLOCK_SUBJECT_PROPERTY SUBTASK_BLOCK_TASK_CONTROL_PROPERTY SUBTASK_BLOCK_TEXT_PROPERTY SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY "+"SYSCOMP_CONTROL_JOBS SYSCOMP_FOLDERS SYSCOMP_JOBS SYSCOMP_NOTICES SYSCOMP_TASKS "+"SYSDLG_CREATE_EDOCUMENT SYSDLG_CREATE_EDOCUMENT_VERSION SYSDLG_CURRENT_PERIOD SYSDLG_EDIT_FUNCTION_HELP SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS SYSDLG_EXPORT_SINGLE_EDOCUMENT SYSDLG_IMPORT_EDOCUMENT SYSDLG_MULTIPLE_SELECT SYSDLG_SETUP_ACCESS_RIGHTS SYSDLG_SETUP_DEFAULT_RIGHTS SYSDLG_SETUP_FILTER_CONDITION SYSDLG_SETUP_SIGN_RIGHTS SYSDLG_SETUP_TASK_OBSERVERS SYSDLG_SETUP_TASK_ROUTE SYSDLG_SETUP_USERS_LIST SYSDLG_SIGN_EDOCUMENT SYSDLG_SIGN_MULTIPLE_EDOCUMENTS "+"SYSREF_ACCESS_RIGHTS_TYPES SYSREF_ADMINISTRATION_HISTORY SYSREF_ALL_AVAILABLE_COMPONENTS SYSREF_ALL_AVAILABLE_PRIVILEGES SYSREF_ALL_REPLICATING_COMPONENTS SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS SYSREF_CALENDAR_EVENTS SYSREF_COMPONENT_TOKEN_HISTORY SYSREF_COMPONENT_TOKENS SYSREF_COMPONENTS SYSREF_CONSTANTS SYSREF_DATA_RECEIVE_PROTOCOL SYSREF_DATA_SEND_PROTOCOL SYSREF_DIALOGS SYSREF_DIALOGS_REQUISITES SYSREF_EDITORS SYSREF_EDOC_CARDS SYSREF_EDOC_TYPES SYSREF_EDOCUMENT_CARD_REQUISITES SYSREF_EDOCUMENT_CARD_TYPES SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE SYSREF_EDOCUMENT_CARDS SYSREF_EDOCUMENT_HISTORY SYSREF_EDOCUMENT_KINDS SYSREF_EDOCUMENT_REQUISITES SYSREF_EDOCUMENT_SIGNATURES SYSREF_EDOCUMENT_TEMPLATES SYSREF_EDOCUMENT_TEXT_STORAGES SYSREF_EDOCUMENT_VIEWS SYSREF_FILTERER_SETUP_CONFLICTS SYSREF_FILTRATER_SETTING_CONFLICTS SYSREF_FOLDER_HISTORY SYSREF_FOLDERS SYSREF_FUNCTION_GROUPS SYSREF_FUNCTION_PARAMS SYSREF_FUNCTIONS SYSREF_JOB_HISTORY SYSREF_LINKS SYSREF_LOCALIZATION_DICTIONARY SYSREF_LOCALIZATION_LANGUAGES SYSREF_MODULES SYSREF_PRIVILEGES SYSREF_RECORD_HISTORY SYSREF_REFERENCE_REQUISITES SYSREF_REFERENCE_TYPE_VIEWS SYSREF_REFERENCE_TYPES SYSREF_REFERENCES SYSREF_REFERENCES_REQUISITES SYSREF_REMOTE_SERVERS SYSREF_REPLICATION_SESSIONS_LOG SYSREF_REPLICATION_SESSIONS_PROTOCOL SYSREF_REPORTS SYSREF_ROLES SYSREF_ROUTE_BLOCK_GROUPS SYSREF_ROUTE_BLOCKS SYSREF_SCRIPTS SYSREF_SEARCHES SYSREF_SERVER_EVENTS SYSREF_SERVER_EVENTS_HISTORY SYSREF_STANDARD_ROUTE_GROUPS SYSREF_STANDARD_ROUTES SYSREF_STATUSES SYSREF_SYSTEM_SETTINGS SYSREF_TASK_HISTORY SYSREF_TASK_KIND_GROUPS SYSREF_TASK_KINDS SYSREF_TASK_RIGHTS SYSREF_TASK_SIGNATURES SYSREF_TASKS SYSREF_UNITS SYSREF_USER_GROUPS SYSREF_USER_GROUPS_REFERENCE SYSREF_USER_SUBSTITUTION SYSREF_USERS SYSREF_USERS_REFERENCE SYSREF_VIEWERS SYSREF_WORKING_TIME_CALENDARS "+"ACCESS_RIGHTS_TABLE_NAME EDMS_ACCESS_TABLE_NAME EDOC_TYPES_TABLE_NAME "+"TEST_DEV_DB_NAME TEST_DEV_SYSTEM_CODE TEST_EDMS_DB_NAME TEST_EDMS_MAIN_CODE TEST_EDMS_MAIN_DB_NAME TEST_EDMS_SECOND_CODE TEST_EDMS_SECOND_DB_NAME TEST_EDMS_SYSTEM_CODE TEST_ISB5_MAIN_CODE TEST_ISB5_SECOND_CODE TEST_SQL_SERVER_2005_NAME TEST_SQL_SERVER_NAME "+"ATTENTION_CAPTION cbsCommandLinks cbsDefault CONFIRMATION_CAPTION ERROR_CAPTION INFORMATION_CAPTION mrCancel mrOk "+"EDOC_VERSION_ACTIVE_STAGE_CODE EDOC_VERSION_DESIGN_STAGE_CODE EDOC_VERSION_OBSOLETE_STAGE_CODE "+"cpDataEnciphermentEnabled cpDigitalSignatureEnabled cpID cpIssuer cpPluginVersion cpSerial cpSubjectName cpSubjSimpleName cpValidFromDate cpValidToDate "+"ISBL_SYNTAX NO_SYNTAX XML_SYNTAX "+"WAIT_BLOCK_AFTER_FINISH_EVENT WAIT_BLOCK_BEFORE_START_EVENT WAIT_BLOCK_DEADLINE_PROPERTY WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY WAIT_BLOCK_NAME_PROPERTY WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY "+"SYSRES_COMMON SYSRES_CONST SYSRES_MBFUNC SYSRES_SBDATA SYSRES_SBGUI SYSRES_SBINTF SYSRES_SBREFDSC SYSRES_SQLERRORS SYSRES_SYSCOMP ",d0="atUser atGroup atRole "+"aemEnabledAlways aemDisabledAlways aemEnabledOnBrowse aemEnabledOnEdit aemDisabledOnBrowseEmpty "+"apBegin apEnd "+"alLeft alRight "+"asmNever asmNoButCustomize asmAsLastTime asmYesButCustomize asmAlways "+"cirCommon cirRevoked "+"ctSignature ctEncode ctSignatureEncode "+"clbUnchecked clbChecked clbGrayed "+"ceISB ceAlways ceNever "+"ctDocument ctReference ctScript ctUnknown ctReport ctDialog ctFunction ctFolder ctEDocument ctTask ctJob ctNotice ctControlJob "+"cfInternal cfDisplay "+"ciUnspecified ciWrite ciRead "+"ckFolder ckEDocument ckTask ckJob ckComponentToken ckAny ckReference ckScript ckReport ckDialog "+"ctISBLEditor ctBevel ctButton ctCheckListBox ctComboBox ctComboEdit ctGrid ctDBCheckBox ctDBComboBox ctDBEdit ctDBEllipsis ctDBMemo ctDBNavigator ctDBRadioGroup ctDBStatusLabel ctEdit ctGroupBox ctInplaceHint ctMemo ctPanel ctListBox ctRadioButton ctRichEdit ctTabSheet ctWebBrowser ctImage ctHyperLink ctLabel ctDBMultiEllipsis ctRibbon ctRichView ctInnerPanel ctPanelGroup ctBitButton "+"cctDate cctInteger cctNumeric cctPick cctReference cctString cctText "+"cltInternal cltPrimary cltGUI "+"dseBeforeOpen dseAfterOpen dseBeforeClose dseAfterClose dseOnValidDelete dseBeforeDelete dseAfterDelete dseAfterDeleteOutOfTransaction dseOnDeleteError dseBeforeInsert dseAfterInsert dseOnValidUpdate dseBeforeUpdate dseOnUpdateRatifiedRecord dseAfterUpdate dseAfterUpdateOutOfTransaction dseOnUpdateError dseAfterScroll dseOnOpenRecord dseOnCloseRecord dseBeforeCancel dseAfterCancel dseOnUpdateDeadlockError dseBeforeDetailUpdate dseOnPrepareUpdate dseOnAnyRequisiteChange "+"dssEdit dssInsert dssBrowse dssInActive "+"dftDate dftShortDate dftDateTime dftTimeStamp "+"dotDays dotHours dotMinutes dotSeconds "+"dtkndLocal dtkndUTC "+"arNone arView arEdit arFull "+"ddaView ddaEdit "+"emLock emEdit emSign emExportWithLock emImportWithUnlock emChangeVersionNote emOpenForModify emChangeLifeStage emDelete emCreateVersion emImport emUnlockExportedWithLock emStart emAbort emReInit emMarkAsReaded emMarkAsUnreaded emPerform emAccept emResume emChangeRights emEditRoute emEditObserver emRecoveryFromLocalCopy emChangeWorkAccessType emChangeEncodeTypeToCertificate emChangeEncodeTypeToPassword emChangeEncodeTypeToNone emChangeEncodeTypeToCertificatePassword emChangeStandardRoute emGetText emOpenForView emMoveToStorage emCreateObject emChangeVersionHidden emDeleteVersion emChangeLifeCycleStage emApprovingSign emExport emContinue emLockFromEdit emUnLockForEdit emLockForServer emUnlockFromServer emDelegateAccessRights emReEncode "+"ecotFile ecotProcess "+"eaGet eaCopy eaCreate eaCreateStandardRoute "+"edltAll edltNothing edltQuery "+"essmText essmCard "+"esvtLast esvtLastActive esvtSpecified "+"edsfExecutive edsfArchive "+"edstSQLServer edstFile "+"edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile "+"vsDefault vsDesign vsActive vsObsolete "+"etNone etCertificate etPassword etCertificatePassword "+"ecException ecWarning ecInformation "+"estAll estApprovingOnly "+"evtLast evtLastActive evtQuery "+"fdtString fdtNumeric fdtInteger fdtDate fdtText fdtUnknown fdtWideString fdtLargeInteger "+"ftInbox ftOutbox ftFavorites ftCommonFolder ftUserFolder ftComponents ftQuickLaunch ftShortcuts ftSearch "+"grhAuto grhX1 grhX2 grhX3 "+"hltText hltRTF hltHTML "+"iffBMP iffJPEG iffMultiPageTIFF iffSinglePageTIFF iffTIFF iffPNG "+"im8bGrayscale im24bRGB im1bMonochrome "+"itBMP itJPEG itWMF itPNG "+"ikhInformation ikhWarning ikhError ikhNoIcon "+"icUnknown icScript icFunction icIntegratedReport icAnalyticReport icDataSetEventHandler icActionHandler icFormEventHandler icLookUpEventHandler icRequisiteChangeEventHandler icBeforeSearchEventHandler icRoleCalculation icSelectRouteEventHandler icBlockPropertyCalculation icBlockQueryParamsEventHandler icChangeSearchResultEventHandler icBlockEventHandler icSubTaskInitEventHandler icEDocDataSetEventHandler icEDocLookUpEventHandler icEDocActionHandler icEDocFormEventHandler icEDocRequisiteChangeEventHandler icStructuredConversionRule icStructuredConversionEventBefore icStructuredConversionEventAfter icWizardEventHandler icWizardFinishEventHandler icWizardStepEventHandler icWizardStepFinishEventHandler icWizardActionEnableEventHandler icWizardActionExecuteEventHandler icCreateJobsHandler icCreateNoticesHandler icBeforeLookUpEventHandler icAfterLookUpEventHandler icTaskAbortEventHandler icWorkflowBlockActionHandler icDialogDataSetEventHandler icDialogActionHandler icDialogLookUpEventHandler icDialogRequisiteChangeEventHandler icDialogFormEventHandler icDialogValidCloseEventHandler icBlockFormEventHandler icTaskFormEventHandler icReferenceMethod icEDocMethod icDialogMethod icProcessMessageHandler "+"isShow isHide isByUserSettings "+"jkJob jkNotice jkControlJob "+"jtInner jtLeft jtRight jtFull jtCross "+"lbpAbove lbpBelow lbpLeft lbpRight "+"eltPerConnection eltPerUser "+"sfcUndefined sfcBlack sfcGreen sfcRed sfcBlue sfcOrange sfcLilac "+"sfsItalic sfsStrikeout sfsNormal "+"ldctStandardRoute ldctWizard ldctScript ldctFunction ldctRouteBlock ldctIntegratedReport ldctAnalyticReport ldctReferenceType ldctEDocumentType ldctDialog ldctServerEvents "+"mrcrtNone mrcrtUser mrcrtMaximal mrcrtCustom "+"vtEqual vtGreaterOrEqual vtLessOrEqual vtRange "+"rdYesterday rdToday rdTomorrow rdThisWeek rdThisMonth rdThisYear rdNextMonth rdNextWeek rdLastWeek rdLastMonth "+"rdWindow rdFile rdPrinter "+"rdtString rdtNumeric rdtInteger rdtDate rdtReference rdtAccount rdtText rdtPick rdtUnknown rdtLargeInteger rdtDocument "+"reOnChange reOnChangeValues "+"ttGlobal ttLocal ttUser ttSystem "+"ssmBrowse ssmSelect ssmMultiSelect ssmBrowseModal "+"smSelect smLike smCard "+"stNone stAuthenticating stApproving "+"sctString sctStream "+"sstAnsiSort sstNaturalSort "+"svtEqual svtContain "+"soatString soatNumeric soatInteger soatDatetime soatReferenceRecord soatText soatPick soatBoolean soatEDocument soatAccount soatIntegerCollection soatNumericCollection soatStringCollection soatPickCollection soatDatetimeCollection soatBooleanCollection soatReferenceRecordCollection soatEDocumentCollection soatAccountCollection soatContents soatUnknown "+"tarAbortByUser tarAbortByWorkflowException "+"tvtAllWords tvtExactPhrase tvtAnyWord "+"usNone usCompleted usRedSquare usBlueSquare usYellowSquare usGreenSquare usOrangeSquare usPurpleSquare usFollowUp "+"utUnknown utUser utDeveloper utAdministrator utSystemDeveloper utDisconnected "+"btAnd btDetailAnd btOr btNotOr btOnly "+"vmView vmSelect vmNavigation "+"vsmSingle vsmMultiple vsmMultipleCheck vsmNoSelection "+"wfatPrevious wfatNext wfatCancel wfatFinish "+"wfepUndefined wfepText3 wfepText6 wfepText9 wfepSpinEdit wfepDropDown wfepRadioGroup wfepFlag wfepText12 wfepText15 wfepText18 wfepText21 wfepText24 wfepText27 wfepText30 wfepRadioGroupColumn1 wfepRadioGroupColumn2 wfepRadioGroupColumn3 "+"wfetQueryParameter wfetText wfetDelimiter wfetLabel "+"wptString wptInteger wptNumeric wptBoolean wptDateTime wptPick wptText wptUser wptUserList wptEDocumentInfo wptEDocumentInfoList wptReferenceRecordInfo wptReferenceRecordInfoList wptFolderInfo wptTaskInfo wptContents wptFileName wptDate "+"wsrComplete wsrGoNext wsrGoPrevious wsrCustom wsrCancel wsrGoFinal "+"wstForm wstEDocument wstTaskCard wstReferenceRecordCard wstFinal "+"waAll waPerformers waManual "+"wsbStart wsbFinish wsbNotice wsbStep wsbDecision wsbWait wsbMonitor wsbScript wsbConnector wsbSubTask wsbLifeCycleStage wsbPause "+"wdtInteger wdtFloat wdtString wdtPick wdtDateTime wdtBoolean wdtTask wdtJob wdtFolder wdtEDocument wdtReferenceRecord wdtUser wdtGroup wdtRole wdtIntegerCollection wdtFloatCollection wdtStringCollection wdtPickCollection wdtDateTimeCollection wdtBooleanCollection wdtTaskCollection wdtJobCollection wdtFolderCollection wdtEDocumentCollection wdtReferenceRecordCollection wdtUserCollection wdtGroupCollection wdtRoleCollection wdtContents wdtUserList wdtSearchDescription wdtDeadLine wdtPickSet wdtAccountCollection "+"wiLow wiNormal wiHigh "+"wrtSoft wrtHard "+"wsInit wsRunning wsDone wsControlled wsAborted wsContinued "+"wtmFull wtmFromCurrent wtmOnlyCurrent ",e_="AddSubString AdjustLineBreaks AmountInWords Analysis ArrayDimCount ArrayHighBound ArrayLowBound ArrayOf ArrayReDim Assert Assigned BeginOfMonth BeginOfPeriod BuildProfilingOperationAnalysis CallProcedure CanReadFile CArrayElement CDataSetRequisite ChangeDate ChangeReferenceDataset Char CharPos CheckParam CheckParamValue CompareStrings ConstantExists ControlState ConvertDateStr Copy CopyFile CreateArray CreateCachedReference CreateConnection CreateDialog CreateDualListDialog CreateEditor CreateException CreateFile CreateFolderDialog CreateInputDialog CreateLinkFile CreateList CreateLock CreateMemoryDataSet CreateObject CreateOpenDialog CreateProgress CreateQuery CreateReference CreateReport CreateSaveDialog CreateScript CreateSQLPivotFunction CreateStringList CreateTreeListSelectDialog CSelectSQL CSQL CSubString CurrentUserID CurrentUserName CurrentVersion DataSetLocateEx DateDiff DateTimeDiff DateToStr DayOfWeek DeleteFile DirectoryExists DisableCheckAccessRights DisableCheckFullShowingRestriction DisableMassTaskSendingRestrictions DropTable DupeString EditText EnableCheckAccessRights EnableCheckFullShowingRestriction EnableMassTaskSendingRestrictions EndOfMonth EndOfPeriod ExceptionExists ExceptionsOff ExceptionsOn Execute ExecuteProcess Exit ExpandEnvironmentVariables ExtractFileDrive ExtractFileExt ExtractFileName ExtractFilePath ExtractParams FileExists FileSize FindFile FindSubString FirmContext ForceDirectories Format FormatDate FormatNumeric FormatSQLDate FormatString FreeException GetComponent GetComponentLaunchParam GetConstant GetLastException GetReferenceRecord GetRefTypeByRefID GetTableID GetTempFolder IfThen In IndexOf InputDialog InputDialogEx InteractiveMode IsFileLocked IsGraphicFile IsNumeric Length LoadString LoadStringFmt LocalTimeToUTC LowerCase Max MessageBox MessageBoxEx MimeDecodeBinary MimeDecodeString MimeEncodeBinary MimeEncodeString Min MoneyInWords MoveFile NewID Now OpenFile Ord Precision Raise ReadCertificateFromFile ReadFile ReferenceCodeByID ReferenceNumber ReferenceRequisiteMode ReferenceRequisiteValue RegionDateSettings RegionNumberSettings RegionTimeSettings RegRead RegWrite RenameFile Replace Round SelectServerCode SelectSQL ServerDateTime SetConstant SetManagedFolderFieldsState ShowConstantsInputDialog ShowMessage Sleep Split SQL SQL2XLSTAB SQLProfilingSendReport StrToDate SubString SubStringCount SystemSetting Time TimeDiff Today Transliterate Trim UpperCase UserStatus UTCToLocalTime ValidateXML VarIsClear VarIsEmpty VarIsNull WorkTimeDiff WriteFile WriteFileEx WriteObjectHistory Анализ БазаДанных БлокЕсть БлокЕстьРасш БлокИнфо БлокСнять БлокСнятьРасш БлокУстановить Ввод ВводМеню ВедС ВедСпр ВерхняяГраницаМассива ВнешПрогр Восст ВременнаяПапка Время ВыборSQL ВыбратьЗапись ВыделитьСтр Вызвать Выполнить ВыпПрогр ГрафическийФайл ГруппаДополнительно ДатаВремяСерв ДеньНедели ДиалогДаНет ДлинаСтр ДобПодстр ЕПусто ЕслиТо ЕЧисло ЗамПодстр ЗаписьСправочника ЗначПоляСпр ИДТипСпр ИзвлечьДиск ИзвлечьИмяФайла ИзвлечьПуть ИзвлечьРасширение ИзмДат ИзменитьРазмерМассива ИзмеренийМассива ИмяОрг ИмяПоляСпр Индекс ИндикаторЗакрыть ИндикаторОткрыть ИндикаторШаг ИнтерактивныйРежим ИтогТблСпр КодВидВедСпр КодВидСпрПоИД КодПоAnalit КодСимвола КодСпр КолПодстр КолПроп КонМес Конст КонстЕсть КонстЗнач КонТран КопироватьФайл КопияСтр КПериод КСтрТблСпр Макс МаксСтрТблСпр Массив Меню МенюРасш Мин НаборДанныхНайтиРасш НаимВидСпр НаимПоAnalit НаимСпр НастроитьПереводыСтрок НачМес НачТран НижняяГраницаМассива НомерСпр НПериод Окно Окр Окружение ОтлИнфДобавить ОтлИнфУдалить Отчет ОтчетАнал ОтчетИнт ПапкаСуществует Пауза ПВыборSQL ПереименоватьФайл Переменные ПереместитьФайл Подстр ПоискПодстр ПоискСтр ПолучитьИДТаблицы ПользовательДополнительно ПользовательИД ПользовательИмя ПользовательСтатус Прервать ПроверитьПараметр ПроверитьПараметрЗнач ПроверитьУсловие РазбСтр РазнВремя РазнДат РазнДатаВремя РазнРабВремя РегУстВрем РегУстДат РегУстЧсл РедТекст РеестрЗапись РеестрСписокИменПарам РеестрЧтение РеквСпр РеквСпрПр Сегодня Сейчас Сервер СерверПроцессИД СертификатФайлСчитать СжПроб Символ СистемаДиректумКод СистемаИнформация СистемаКод Содержит СоединениеЗакрыть СоединениеОткрыть СоздатьДиалог СоздатьДиалогВыбораИзДвухСписков СоздатьДиалогВыбораПапки СоздатьДиалогОткрытияФайла СоздатьДиалогСохраненияФайла СоздатьЗапрос СоздатьИндикатор СоздатьИсключение СоздатьКэшированныйСправочник СоздатьМассив СоздатьНаборДанных СоздатьОбъект СоздатьОтчет СоздатьПапку СоздатьРедактор СоздатьСоединение СоздатьСписок СоздатьСписокСтрок СоздатьСправочник СоздатьСценарий СоздСпр СостСпр Сохр СохрСпр СписокСистем Спр Справочник СпрБлокЕсть СпрБлокСнять СпрБлокСнятьРасш СпрБлокУстановить СпрИзмНабДан СпрКод СпрНомер СпрОбновить СпрОткрыть СпрОтменить СпрПарам СпрПолеЗнач СпрПолеИмя СпрРекв СпрРеквВведЗн СпрРеквНовые СпрРеквПр СпрРеквПредЗн СпрРеквРежим СпрРеквТипТекст СпрСоздать СпрСост СпрСохранить СпрТблИтог СпрТблСтр СпрТблСтрКол СпрТблСтрМакс СпрТблСтрМин СпрТблСтрПред СпрТблСтрСлед СпрТблСтрСозд СпрТблСтрУд СпрТекПредст СпрУдалить СравнитьСтр СтрВерхРегистр СтрНижнРегистр СтрТблСпр СумПроп Сценарий СценарийПарам ТекВерсия ТекОрг Точн Тран Транслитерация УдалитьТаблицу УдалитьФайл УдСпр УдСтрТблСпр Уст УстановкиКонстант ФайлАтрибутСчитать ФайлАтрибутУстановить ФайлВремя ФайлВремяУстановить ФайлВыбрать ФайлЗанят ФайлЗаписать ФайлИскать ФайлКопировать ФайлМожноЧитать ФайлОткрыть ФайлПереименовать ФайлПерекодировать ФайлПереместить ФайлПросмотреть ФайлРазмер ФайлСоздать ФайлСсылкаСоздать ФайлСуществует ФайлСчитать ФайлУдалить ФмтSQLДат ФмтДат ФмтСтр ФмтЧсл Формат ЦМассивЭлемент ЦНаборДанныхРеквизит ЦПодстр ",m_="AltState Application CallType ComponentTokens CreatedJobs CreatedNotices ControlState DialogResult Dialogs EDocuments EDocumentVersionSource Folders GlobalIDs Job Jobs InputValue LookUpReference LookUpRequisiteNames LookUpSearch Object ParentComponent Processes References Requisite ReportName Reports Result Scripts Searches SelectedAttachments SelectedItems SelectMode Sender ServerEvents ServiceFactory ShiftState SubTask SystemDialogs Tasks Wizard Wizards Work ВызовСпособ ИмяОтчета РеквЗнач ",T0="IApplication IAccessRights IAccountRepository IAccountSelectionRestrictions IAction IActionList IAdministrationHistoryDescription IAnchors IApplication IArchiveInfo IAttachment IAttachmentList ICheckListBox ICheckPointedList IColumn IComponent IComponentDescription IComponentToken IComponentTokenFactory IComponentTokenInfo ICompRecordInfo IConnection IContents IControl IControlJob IControlJobInfo IControlList ICrypto ICrypto2 ICustomJob ICustomJobInfo ICustomListBox ICustomObjectWizardStep ICustomWork ICustomWorkInfo IDataSet IDataSetAccessInfo IDataSigner IDateCriterion IDateRequisite IDateRequisiteDescription IDateValue IDeaAccessRights IDeaObjectInfo IDevelopmentComponentLock IDialog IDialogFactory IDialogPickRequisiteItems IDialogsFactory IDICSFactory IDocRequisite IDocumentInfo IDualListDialog IECertificate IECertificateInfo IECertificates IEditControl IEditorForm IEdmsExplorer IEdmsObject IEdmsObjectDescription IEdmsObjectFactory IEdmsObjectInfo IEDocument IEDocumentAccessRights IEDocumentDescription IEDocumentEditor IEDocumentFactory IEDocumentInfo IEDocumentStorage IEDocumentVersion IEDocumentVersionListDialog IEDocumentVersionSource IEDocumentWizardStep IEDocVerSignature IEDocVersionState IEnabledMode IEncodeProvider IEncrypter IEvent IEventList IException IExternalEvents IExternalHandler IFactory IField IFileDialog IFolder IFolderDescription IFolderDialog IFolderFactory IFolderInfo IForEach IForm IFormTitle IFormWizardStep IGlobalIDFactory IGlobalIDInfo IGrid IHasher IHistoryDescription IHyperLinkControl IImageButton IImageControl IInnerPanel IInplaceHint IIntegerCriterion IIntegerList IIntegerRequisite IIntegerValue IISBLEditorForm IJob IJobDescription IJobFactory IJobForm IJobInfo ILabelControl ILargeIntegerCriterion ILargeIntegerRequisite ILargeIntegerValue ILicenseInfo ILifeCycleStage IList IListBox ILocalIDInfo ILocalization ILock IMemoryDataSet IMessagingFactory IMetadataRepository INotice INoticeInfo INumericCriterion INumericRequisite INumericValue IObject IObjectDescription IObjectImporter IObjectInfo IObserver IPanelGroup IPickCriterion IPickProperty IPickRequisite IPickRequisiteDescription IPickRequisiteItem IPickRequisiteItems IPickValue IPrivilege IPrivilegeList IProcess IProcessFactory IProcessMessage IProgress IProperty IPropertyChangeEvent IQuery IReference IReferenceCriterion IReferenceEnabledMode IReferenceFactory IReferenceHistoryDescription IReferenceInfo IReferenceRecordCardWizardStep IReferenceRequisiteDescription IReferencesFactory IReferenceValue IRefRequisite IReport IReportFactory IRequisite IRequisiteDescription IRequisiteDescriptionList IRequisiteFactory IRichEdit IRouteStep IRule IRuleList ISchemeBlock IScript IScriptFactory ISearchCriteria ISearchCriterion ISearchDescription ISearchFactory ISearchFolderInfo ISearchForObjectDescription ISearchResultRestrictions ISecuredContext ISelectDialog IServerEvent IServerEventFactory IServiceDialog IServiceFactory ISignature ISignProvider ISignProvider2 ISignProvider3 ISimpleCriterion IStringCriterion IStringList IStringRequisite IStringRequisiteDescription IStringValue ISystemDialogsFactory ISystemInfo ITabSheet ITask ITaskAbortReasonInfo ITaskCardWizardStep ITaskDescription ITaskFactory ITaskInfo ITaskRoute ITextCriterion ITextRequisite ITextValue ITreeListSelectDialog IUser IUserList IValue IView IWebBrowserControl IWizard IWizardAction IWizardFactory IWizardFormElement IWizardParam IWizardPickParam IWizardReferenceParam IWizardStep IWorkAccessRights IWorkDescription IWorkflowAskableParam IWorkflowAskableParams IWorkflowBlock IWorkflowBlockResult IWorkflowEnabledMode IWorkflowParam IWorkflowPickParam IWorkflowReferenceParam IWorkState IWorkTreeCustomNode IWorkTreeJobNode IWorkTreeTaskNode IXMLEditorForm SBCrypto ",t_=Kf+d0,ag=m_,G_="null true false nil ",s1={className:"number",begin:qa.NUMBER_RE,relevance:0},__={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"}]},b0={className:"doctag",begin:"\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\b",relevance:0},sg={className:"comment",begin:"//",end:"$",relevance:0,contains:[qa.PHRASAL_WORDS_MODE,b0]},yg={className:"comment",begin:"/\\*",end:"\\*/",relevance:0,contains:[qa.PHRASAL_WORDS_MODE,b0]},g_={variants:[sg,yg]},A_={$pattern:Ja,keyword:td,built_in:t_,class:ag,literal:G_},p0={begin:"\\.\\s*"+qa.UNDERSCORE_IDENT_RE,keywords:A_,relevance:0},W0={className:"type",begin:":[ \\t]*("+T0.trim().replace(/\s/g,"|")+")",end:"[ \\t]*=",excludeEnd:!0},s_={className:"variable",keywords:A_,begin:Ja,relevance:0,contains:[W0,p0]},r_=ed+"\\(";return{name:"ISBL",case_insensitive:!0,keywords:A_,illegal:"\\$|\\?|%|,|;$|~|#|@|</",contains:[{className:"function",begin:r_,end:"\\)$",returnBegin:!0,keywords:A_,illegal:"[\\[\\]\\|\\$\\?%,~#@]",contains:[{className:"title",keywords:{$pattern:Ja,built_in:e_},begin:r_,end:"\\(",returnBegin:!0,excludeEnd:!0},p0,s_,__,s1,g_]},W0,p0,s_,__,s1,g_]}}return isbl_1=Ra,isbl_1}var java_1,hasRequiredJava;function requireJava(){if(hasRequiredJava)return java_1;hasRequiredJava=1;var Ra="[0-9](_*[0-9])*",qa=`\\.(${Ra})`,Ja="[0-9a-fA-F](_*[0-9a-fA-F])*",ed={className:"number",variants:[{begin:`(\\b(${Ra})((${qa})|\\.)?|(${qa}))[eE][+-]?(${Ra})[fFdD]?\\b`},{begin:`\\b(${Ra})((${qa})[fFdD]?\\b|\\.([fFdD]\\b)?)`},{begin:`(${qa})[fFdD]?\\b`},{begin:`\\b(${Ra})[fFdD]\\b`},{begin:`\\b0[xX]((${Ja})\\.?|(${Ja})?\\.(${Ja}))[pP][+-]?(${Ra})[fFdD]?\\b`},{begin:"\\b(0|[1-9](_*[0-9])*)[lL]?\\b"},{begin:`\\b0[xX](${Ja})[lL]?\\b`},{begin:"\\b0(_*[0-7])*[lL]?\\b"},{begin:"\\b0[bB][01](_*[01])*[lL]?\\b"}],relevance:0};function td(sd,od,ld){return ld===-1?"":sd.replace(od,cd=>td(sd,od,ld-1))}function rd(sd){const od=sd.regex,ld="[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*",cd=ld+td("(?:<"+ld+"~~~(?:\\s*,\\s*"+ld+"~~~)*>)?",/~~~/g,2),Ed={keyword:["synchronized","abstract","private","var","static","if","const ","for","while","strictfp","finally","protected","import","native","final","void","enum","else","break","transient","catch","instanceof","volatile","case","assert","package","default","public","try","switch","continue","throws","protected","public","private","module","requires","exports","do","sealed","yield","permits","goto"],literal:["false","true","null"],type:["char","boolean","long","float","int","byte","short","double"],built_in:["super","this"]},Td={className:"meta",begin:"@"+ld,contains:[{begin:/\(/,end:/\)/,contains:["self"]}]},kd={className:"params",begin:/\(/,end:/\)/,keywords:Ed,relevance:0,contains:[sd.C_BLOCK_COMMENT_MODE],endsParent:!0};return{name:"Java",aliases:["jsp"],keywords:Ed,illegal:/<\/|#/,contains:[sd.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]}),{begin:/import java\.[a-z]+\./,keywords:"import",relevance:2},sd.C_LINE_COMMENT_MODE,sd.C_BLOCK_COMMENT_MODE,{begin:/"""/,end:/"""/,className:"string",contains:[sd.BACKSLASH_ESCAPE]},sd.APOS_STRING_MODE,sd.QUOTE_STRING_MODE,{match:[/\b(?:class|interface|enum|extends|implements|new)/,/\s+/,ld],className:{1:"keyword",3:"title.class"}},{match:/non-sealed/,scope:"keyword"},{begin:[od.concat(/(?!else)/,ld),/\s+/,ld,/\s+/,/=(?!=)/],className:{1:"type",3:"variable",5:"operator"}},{begin:[/record/,/\s+/,ld],className:{1:"keyword",3:"title.class"},contains:[kd,sd.C_LINE_COMMENT_MODE,sd.C_BLOCK_COMMENT_MODE]},{beginKeywords:"new throw return else",relevance:0},{begin:["(?:"+cd+"\\s+)",sd.UNDERSCORE_IDENT_RE,/\s*(?=\()/],className:{2:"title.function"},keywords:Ed,contains:[{className:"params",begin:/\(/,end:/\)/,keywords:Ed,relevance:0,contains:[Td,sd.APOS_STRING_MODE,sd.QUOTE_STRING_MODE,ed,sd.C_BLOCK_COMMENT_MODE]},sd.C_LINE_COMMENT_MODE,sd.C_BLOCK_COMMENT_MODE]},ed,Td]}}return java_1=rd,java_1}var javascript_1,hasRequiredJavascript;function requireJavascript(){if(hasRequiredJavascript)return javascript_1;hasRequiredJavascript=1;const Ra="[A-Za-z$_][0-9A-Za-z$_]*",qa=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],Ja=["true","false","null","undefined","NaN","Infinity"],ed=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],td=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],rd=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],sd=["arguments","this","super","console","window","document","localStorage","sessionStorage","module","global"],od=[].concat(rd,ed,td);function ld(cd){const ud=cd.regex,_d=(gf,{after:mf})=>{const $f="</"+gf[0].slice(1);return gf.input.indexOf($f,mf)!==-1},yd=Ra,gd={begin:"<>",end:"</>"},Ed=/<[A-Za-z0-9\\._:-]+\s*\/>/,Td={begin:/<[A-Za-z0-9\\._:-]+/,end:/\/[A-Za-z0-9\\._:-]+>|\/>/,isTrulyOpeningTag:(gf,mf)=>{const $f=gf[0].length+gf.index,zf=gf.input[$f];if(zf==="<"||zf===","){mf.ignoreMatch();return}zf===">"&&(_d(gf,{after:$f})||mf.ignoreMatch());let hh;const Vf=gf.input.substring($f);if(hh=Vf.match(/^\s*=/)){mf.ignoreMatch();return}if((hh=Vf.match(/^\s+extends\s+/))&&hh.index===0){mf.ignoreMatch();return}}},kd={$pattern:Ra,keyword:qa,literal:Ja,built_in:od,"variable.language":sd},Rd="[0-9](_?[0-9])*",Nd=`\\.(${Rd})`,Id="0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*",Md={className:"number",variants:[{begin:`(\\b(${Id})((${Nd})|\\.)?|(${Nd}))[eE][+-]?(${Rd})\\b`},{begin:`\\b(${Id})\\b((${Nd})\\b|\\.)?|(${Nd})\\b`},{begin:"\\b(0|[1-9](_?[0-9])*)n\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*n?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*n?\\b"},{begin:"\\b0[0-7]+n?\\b"}],relevance:0},Ld={className:"subst",begin:"\\$\\{",end:"\\}",keywords:kd,contains:[]},Pd={begin:".?html`",end:"",starts:{end:"`",returnEnd:!1,contains:[cd.BACKSLASH_ESCAPE,Ld],subLanguage:"xml"}},qd={begin:".?css`",end:"",starts:{end:"`",returnEnd:!1,contains:[cd.BACKSLASH_ESCAPE,Ld],subLanguage:"css"}},Yd={begin:".?gql`",end:"",starts:{end:"`",returnEnd:!1,contains:[cd.BACKSLASH_ESCAPE,Ld],subLanguage:"graphql"}},Ud={className:"string",begin:"`",end:"`",contains:[cd.BACKSLASH_ESCAPE,Ld]},Vd={className:"comment",variants:[cd.COMMENT(/\/\*\*(?!\/)/,"\\*/",{relevance:0,contains:[{begin:"(?=@[A-Za-z]+)",relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"},{className:"type",begin:"\\{",end:"\\}",excludeEnd:!0,excludeBegin:!0,relevance:0},{className:"variable",begin:yd+"(?=\\s*(-)|$)",endsParent:!0,relevance:0},{begin:/(?=[^\n])\s/,relevance:0}]}]}),cd.C_BLOCK_COMMENT_MODE,cd.C_LINE_COMMENT_MODE]},Jd=[cd.APOS_STRING_MODE,cd.QUOTE_STRING_MODE,Pd,qd,Yd,Ud,{match:/\$\d+/},Md];Ld.contains=Jd.concat({begin:/\{/,end:/\}/,keywords:kd,contains:["self"].concat(Jd)});const Zd=[].concat(Vd,Ld.contains),pf=Zd.concat([{begin:/(\s*)\(/,end:/\)/,keywords:kd,contains:["self"].concat(Zd)}]),Xd={className:"params",begin:/(\s*)\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:kd,contains:pf},hf={variants:[{match:[/class/,/\s+/,yd,/\s+/,/extends/,/\s+/,ud.concat(yd,"(",ud.concat(/\./,yd),")*")],scope:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},{match:[/class/,/\s+/,yd],scope:{1:"keyword",3:"title.class"}}]},_f={relevance:0,match:ud.either(/\bJSON/,/\b[A-Z][a-z]+([A-Z][a-z]*|\d)*/,/\b[A-Z]{2,}([A-Z][a-z]+|\d)+([A-Z][a-z]*)*/,/\b[A-Z]{2,}[a-z]+([A-Z][a-z]+|\d)*([A-Z][a-z]*)*/),className:"title.class",keywords:{_:[...ed,...td]}},xf={label:"use_strict",className:"meta",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},Lf={variants:[{match:[/function/,/\s+/,yd,/(?=\s*\()/]},{match:[/function/,/\s*(?=\()/]}],className:{1:"keyword",3:"title.function"},label:"func.def",contains:[Xd],illegal:/%/},Wf={relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"};function Yf(gf){return ud.concat("(?!",gf.join("|"),")")}const If={match:ud.concat(/\b/,Yf([...rd,"super","import"].map(gf=>`${gf}\\s*\\(`)),yd,ud.lookahead(/\s*\(/)),className:"title.function",relevance:0},Sf={begin:ud.concat(/\./,ud.lookahead(ud.concat(yd,/(?![0-9A-Za-z$_(])/))),end:yd,excludeBegin:!0,keywords:"prototype",className:"property",relevance:0},wf={match:[/get|set/,/\s+/,yd,/(?=\()/],className:{1:"keyword",3:"title.function"},contains:[{begin:/\(\)/},Xd]},Kf="(\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)|"+cd.UNDERSCORE_IDENT_RE+")\\s*=>",Gf={match:[/const|var|let/,/\s+/,yd,/\s*/,/=\s*/,/(async\s*)?/,ud.lookahead(Kf)],keywords:"async",className:{1:"keyword",3:"title.function"},contains:[Xd]};return{name:"JavaScript",aliases:["js","jsx","mjs","cjs"],keywords:kd,exports:{PARAMS_CONTAINS:pf,CLASS_REFERENCE:_f},illegal:/#(?![$_A-z])/,contains:[cd.SHEBANG({label:"shebang",binary:"node",relevance:5}),xf,cd.APOS_STRING_MODE,cd.QUOTE_STRING_MODE,Pd,qd,Yd,Ud,Vd,{match:/\$\d+/},Md,_f,{className:"attr",begin:yd+ud.lookahead(":"),relevance:0},Gf,{begin:"("+cd.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",relevance:0,contains:[Vd,cd.REGEXP_MODE,{className:"function",begin:Kf,returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:cd.UNDERSCORE_IDENT_RE,relevance:0},{className:null,begin:/\(\s*\)/,skip:!0},{begin:/(\s*)\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:kd,contains:pf}]}]},{begin:/,/,relevance:0},{match:/\s+/,relevance:0},{variants:[{begin:gd.begin,end:gd.end},{match:Ed},{begin:Td.begin,"on:begin":Td.isTrulyOpeningTag,end:Td.end}],subLanguage:"xml",contains:[{begin:Td.begin,end:Td.end,skip:!0,contains:["self"]}]}]},Lf,{beginKeywords:"while if switch catch for"},{begin:"\\b(?!function)"+cd.UNDERSCORE_IDENT_RE+"\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)\\s*\\{",returnBegin:!0,label:"func.def",contains:[Xd,cd.inherit(cd.TITLE_MODE,{begin:yd,className:"title.function"})]},{match:/\.\.\./,relevance:0},Sf,{match:"\\$"+yd,relevance:0},{match:[/\bconstructor(?=\s*\()/],className:{1:"title.function"},contains:[Xd]},If,Wf,hf,wf,{match:/\$[(.]/}]}}return javascript_1=ld,javascript_1}var jbossCli_1,hasRequiredJbossCli;function requireJbossCli(){if(hasRequiredJbossCli)return jbossCli_1;hasRequiredJbossCli=1;function Ra(qa){const ed={className:"params",begin:/\(/,end:/\)/,contains:[{begin:/[\w-]+ *=/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/[\w-]+/}]}],relevance:0},td={className:"function",begin:/:[\w\-.]+/,relevance:0},rd={className:"string",begin:/\B([\/.])[\w\-.\/=]+/},sd={className:"params",begin:/--[\w\-=\/]+/};return{name:"JBoss CLI",aliases:["wildfly-cli"],keywords:{$pattern:"[a-z-]+",keyword:"alias batch cd clear command connect connection-factory connection-info data-source deploy deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias undeploy unset version xa-data-source",literal:"true false"},contains:[qa.HASH_COMMENT_MODE,qa.QUOTE_STRING_MODE,sd,td,rd,ed]}}return jbossCli_1=Ra,jbossCli_1}var json_1,hasRequiredJson;function requireJson(){if(hasRequiredJson)return json_1;hasRequiredJson=1;function Ra(qa){const Ja={className:"attr",begin:/"(\\.|[^\\"\r\n])*"(?=\s*:)/,relevance:1.01},ed={match:/[{}[\],:]/,className:"punctuation",relevance:0},td=["true","false","null"],rd={scope:"literal",beginKeywords:td.join(" ")};return{name:"JSON",aliases:["jsonc"],keywords:{literal:td},contains:[Ja,ed,qa.QUOTE_STRING_MODE,rd,qa.C_NUMBER_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE],illegal:"\\S"}}return json_1=Ra,json_1}var julia_1,hasRequiredJulia;function requireJulia(){if(hasRequiredJulia)return julia_1;hasRequiredJulia=1;function Ra(qa){const Ja="[A-Za-z_\\u00A1-\\uFFFF][A-Za-z_0-9\\u00A1-\\uFFFF]*",sd={$pattern:Ja,keyword:["baremodule","begin","break","catch","ccall","const","continue","do","else","elseif","end","export","false","finally","for","function","global","if","import","in","isa","let","local","macro","module","quote","return","true","try","using","where","while"],literal:["ARGS","C_NULL","DEPOT_PATH","ENDIAN_BOM","ENV","Inf","Inf16","Inf32","Inf64","InsertionSort","LOAD_PATH","MergeSort","NaN","NaN16","NaN32","NaN64","PROGRAM_FILE","QuickSort","RoundDown","RoundFromZero","RoundNearest","RoundNearestTiesAway","RoundNearestTiesUp","RoundToZero","RoundUp","VERSION|0","devnull","false","im","missing","nothing","pi","stderr","stdin","stdout","true","undef","π","ℯ"],built_in:["AbstractArray","AbstractChannel","AbstractChar","AbstractDict","AbstractDisplay","AbstractFloat","AbstractIrrational","AbstractMatrix","AbstractRange","AbstractSet","AbstractString","AbstractUnitRange","AbstractVecOrMat","AbstractVector","Any","ArgumentError","Array","AssertionError","BigFloat","BigInt","BitArray","BitMatrix","BitSet","BitVector","Bool","BoundsError","CapturedException","CartesianIndex","CartesianIndices","Cchar","Cdouble","Cfloat","Channel","Char","Cint","Cintmax_t","Clong","Clonglong","Cmd","Colon","Complex","ComplexF16","ComplexF32","ComplexF64","CompositeException","Condition","Cptrdiff_t","Cshort","Csize_t","Cssize_t","Cstring","Cuchar","Cuint","Cuintmax_t","Culong","Culonglong","Cushort","Cvoid","Cwchar_t","Cwstring","DataType","DenseArray","DenseMatrix","DenseVecOrMat","DenseVector","Dict","DimensionMismatch","Dims","DivideError","DomainError","EOFError","Enum","ErrorException","Exception","ExponentialBackOff","Expr","Float16","Float32","Float64","Function","GlobalRef","HTML","IO","IOBuffer","IOContext","IOStream","IdDict","IndexCartesian","IndexLinear","IndexStyle","InexactError","InitError","Int","Int128","Int16","Int32","Int64","Int8","Integer","InterruptException","InvalidStateException","Irrational","KeyError","LinRange","LineNumberNode","LinearIndices","LoadError","MIME","Matrix","Method","MethodError","Missing","MissingException","Module","NTuple","NamedTuple","Nothing","Number","OrdinalRange","OutOfMemoryError","OverflowError","Pair","PartialQuickSort","PermutedDimsArray","Pipe","ProcessFailedException","Ptr","QuoteNode","Rational","RawFD","ReadOnlyMemoryError","Real","ReentrantLock","Ref","Regex","RegexMatch","RoundingMode","SegmentationFault","Set","Signed","Some","StackOverflowError","StepRange","StepRangeLen","StridedArray","StridedMatrix","StridedVecOrMat","StridedVector","String","StringIndexError","SubArray","SubString","SubstitutionString","Symbol","SystemError","Task","TaskFailedException","Text","TextDisplay","Timer","Tuple","Type","TypeError","TypeVar","UInt","UInt128","UInt16","UInt32","UInt64","UInt8","UndefInitializer","UndefKeywordError","UndefRefError","UndefVarError","Union","UnionAll","UnitRange","Unsigned","Val","Vararg","VecElement","VecOrMat","Vector","VersionNumber","WeakKeyDict","WeakRef"]},od={keywords:sd,illegal:/<\//},ld={className:"number",begin:/(\b0x[\d_]*(\.[\d_]*)?|0x\.\d[\d_]*)p[-+]?\d+|\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\b\d[\d_]*(\.[\d_]*)?|\.\d[\d_]*)([eEfF][-+]?\d+)?/,relevance:0},cd={className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},ud={className:"subst",begin:/\$\(/,end:/\)/,keywords:sd},_d={className:"variable",begin:"\\$"+Ja},yd={className:"string",contains:[qa.BACKSLASH_ESCAPE,ud,_d],variants:[{begin:/\w*"""/,end:/"""\w*/,relevance:10},{begin:/\w*"/,end:/"\w*/}]},gd={className:"string",contains:[qa.BACKSLASH_ESCAPE,ud,_d],begin:"`",end:"`"},Ed={className:"meta",begin:"@"+Ja},Td={className:"comment",variants:[{begin:"#=",end:"=#",relevance:10},{begin:"#",end:"$"}]};return od.name="Julia",od.contains=[ld,cd,yd,gd,Ed,Td,qa.HASH_COMMENT_MODE,{className:"keyword",begin:"\\b(((abstract|primitive)\\s+)type|(mutable\\s+)?struct)\\b"},{begin:/<:/}],ud.contains=od.contains,od}return julia_1=Ra,julia_1}var juliaRepl_1,hasRequiredJuliaRepl;function requireJuliaRepl(){if(hasRequiredJuliaRepl)return juliaRepl_1;hasRequiredJuliaRepl=1;function Ra(qa){return{name:"Julia REPL",contains:[{className:"meta.prompt",begin:/^julia>/,relevance:10,starts:{end:/^(?![ ]{6})/,subLanguage:"julia"}}],aliases:["jldoctest"]}}return juliaRepl_1=Ra,juliaRepl_1}var kotlin_1,hasRequiredKotlin;function requireKotlin(){if(hasRequiredKotlin)return kotlin_1;hasRequiredKotlin=1;var Ra="[0-9](_*[0-9])*",qa=`\\.(${Ra})`,Ja="[0-9a-fA-F](_*[0-9a-fA-F])*",ed={className:"number",variants:[{begin:`(\\b(${Ra})((${qa})|\\.)?|(${qa}))[eE][+-]?(${Ra})[fFdD]?\\b`},{begin:`\\b(${Ra})((${qa})[fFdD]?\\b|\\.([fFdD]\\b)?)`},{begin:`(${qa})[fFdD]?\\b`},{begin:`\\b(${Ra})[fFdD]\\b`},{begin:`\\b0[xX]((${Ja})\\.?|(${Ja})?\\.(${Ja}))[pP][+-]?(${Ra})[fFdD]?\\b`},{begin:"\\b(0|[1-9](_*[0-9])*)[lL]?\\b"},{begin:`\\b0[xX](${Ja})[lL]?\\b`},{begin:"\\b0(_*[0-7])*[lL]?\\b"},{begin:"\\b0[bB][01](_*[01])*[lL]?\\b"}],relevance:0};function td(rd){const sd={keyword:"abstract as val var vararg get set class object open private protected public noinline crossinline dynamic final enum if else do while for when throw try catch finally import package is in fun override companion reified inline lateinit init interface annotation data sealed internal infix operator out by constructor super tailrec where const inner suspend typealias external expect actual",built_in:"Byte Short Char Int Long Boolean Float Double Void Unit Nothing",literal:"true false null"},od={className:"keyword",begin:/\b(break|continue|return|this)\b/,starts:{contains:[{className:"symbol",begin:/@\w+/}]}},ld={className:"symbol",begin:rd.UNDERSCORE_IDENT_RE+"@"},cd={className:"subst",begin:/\$\{/,end:/\}/,contains:[rd.C_NUMBER_MODE]},ud={className:"variable",begin:"\\$"+rd.UNDERSCORE_IDENT_RE},_d={className:"string",variants:[{begin:'"""',end:'"""(?=[^"])',contains:[ud,cd]},{begin:"'",end:"'",illegal:/\n/,contains:[rd.BACKSLASH_ESCAPE]},{begin:'"',end:'"',illegal:/\n/,contains:[rd.BACKSLASH_ESCAPE,ud,cd]}]};cd.contains.push(_d);const yd={className:"meta",begin:"@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\s*:(?:\\s*"+rd.UNDERSCORE_IDENT_RE+")?"},gd={className:"meta",begin:"@"+rd.UNDERSCORE_IDENT_RE,contains:[{begin:/\(/,end:/\)/,contains:[rd.inherit(_d,{className:"string"}),"self"]}]},Ed=ed,Td=rd.COMMENT("/\\*","\\*/",{contains:[rd.C_BLOCK_COMMENT_MODE]}),kd={variants:[{className:"type",begin:rd.UNDERSCORE_IDENT_RE},{begin:/\(/,end:/\)/,contains:[]}]},Rd=kd;return Rd.variants[1].contains=[kd],kd.variants[1].contains=[Rd],{name:"Kotlin",aliases:["kt","kts"],keywords:sd,contains:[rd.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),rd.C_LINE_COMMENT_MODE,Td,od,ld,yd,gd,{className:"function",beginKeywords:"fun",end:"[(]|$",returnBegin:!0,excludeEnd:!0,keywords:sd,relevance:5,contains:[{begin:rd.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[rd.UNDERSCORE_TITLE_MODE]},{className:"type",begin:/</,end:/>/,keywords:"reified",relevance:0},{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:sd,relevance:0,contains:[{begin:/:/,end:/[=,\/]/,endsWithParent:!0,contains:[kd,rd.C_LINE_COMMENT_MODE,Td],relevance:0},rd.C_LINE_COMMENT_MODE,Td,yd,gd,_d,rd.C_NUMBER_MODE]},Td]},{begin:[/class|interface|trait/,/\s+/,rd.UNDERSCORE_IDENT_RE],beginScope:{3:"title.class"},keywords:"class interface trait",end:/[:\{(]|$/,excludeEnd:!0,illegal:"extends implements",contains:[{beginKeywords:"public protected internal private constructor"},rd.UNDERSCORE_TITLE_MODE,{className:"type",begin:/</,end:/>/,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:/[,:]\s*/,end:/[<\(,){\s]|$/,excludeBegin:!0,returnEnd:!0},yd,gd]},_d,{className:"meta",begin:"^#!/usr/bin/env",end:"$",illegal:`
|
||
`},Ed]}}return kotlin_1=td,kotlin_1}var lasso_1,hasRequiredLasso;function requireLasso(){if(hasRequiredLasso)return lasso_1;hasRequiredLasso=1;function Ra(qa){const Ja="[a-zA-Z_][\\w.]*",ed="<\\?(lasso(script)?|=)",td="\\]|\\?>",rd={$pattern:Ja+"|&[lg]t;",literal:"true false none minimal full all void and or not bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft",built_in:"array date decimal duration integer map pair string tag xml null boolean bytes keyword list locale queue set stack staticarray local var variable global data self inherited currentcapture givenblock",keyword:"cache database_names database_schemanames database_tablenames define_tag define_type email_batch encode_set html_comment handle handle_error header if inline iterate ljax_target link link_currentaction link_currentgroup link_currentrecord link_detail link_firstgroup link_firstrecord link_lastgroup link_lastrecord link_nextgroup link_nextrecord link_prevgroup link_prevrecord log loop namespace_using output_none portal private protect records referer referrer repeating resultset rows search_args search_arguments select sort_args sort_arguments thread_atomic value_list while abort case else fail_if fail_ifnot fail if_empty if_false if_null if_true loop_abort loop_continue loop_count params params_up return return_value run_children soap_definetag soap_lastrequest soap_lastresponse tag_name ascending average by define descending do equals frozen group handle_failure import in into join let match max min on order parent protected provide public require returnhome skip split_thread sum take thread to trait type where with yield yieldhome"},sd=qa.COMMENT("<!--","-->",{relevance:0}),od={className:"meta",begin:"\\[noprocess\\]",starts:{end:"\\[/noprocess\\]",returnEnd:!0,contains:[sd]}},ld={className:"meta",begin:"\\[/noprocess|"+ed},cd={className:"symbol",begin:"'"+Ja+"'"},ud=[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.inherit(qa.C_NUMBER_MODE,{begin:qa.C_NUMBER_RE+"|(-?infinity|NaN)\\b"}),qa.inherit(qa.APOS_STRING_MODE,{illegal:null}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"`",end:"`"},{variants:[{begin:"[#$]"+Ja},{begin:"#",end:"\\d+",illegal:"\\W"}]},{className:"type",begin:"::\\s*",end:Ja,illegal:"\\W"},{className:"params",variants:[{begin:"-(?!infinity)"+Ja,relevance:0},{begin:"(\\.\\.\\.)"}]},{begin:/(->|\.)\s*/,relevance:0,contains:[cd]},{className:"class",beginKeywords:"define",returnEnd:!0,end:"\\(|=>",contains:[qa.inherit(qa.TITLE_MODE,{begin:Ja+"(=(?!>))?|[-+*/%](?!>)"})]}];return{name:"Lasso",aliases:["ls","lassoscript"],case_insensitive:!0,keywords:rd,contains:[{className:"meta",begin:td,relevance:0,starts:{end:"\\[|"+ed,returnEnd:!0,relevance:0,contains:[sd]}},od,ld,{className:"meta",begin:"\\[no_square_brackets",starts:{end:"\\[/no_square_brackets\\]",keywords:rd,contains:[{className:"meta",begin:td,relevance:0,starts:{end:"\\[noprocess\\]|"+ed,returnEnd:!0,contains:[sd]}},od,ld].concat(ud)}},{className:"meta",begin:"\\[",relevance:0},{className:"meta",begin:"^#!",end:"lasso9$",relevance:10}].concat(ud)}}return lasso_1=Ra,lasso_1}var latex_1,hasRequiredLatex;function requireLatex(){if(hasRequiredLatex)return latex_1;hasRequiredLatex=1;function Ra(qa){const ed=qa.regex.either(...["(?:NeedsTeXFormat|RequirePackage|GetIdInfo)","Provides(?:Expl)?(?:Package|Class|File)","(?:DeclareOption|ProcessOptions)","(?:documentclass|usepackage|input|include)","makeat(?:letter|other)","ExplSyntax(?:On|Off)","(?:new|renew|provide)?command","(?:re)newenvironment","(?:New|Renew|Provide|Declare)(?:Expandable)?DocumentCommand","(?:New|Renew|Provide|Declare)DocumentEnvironment","(?:(?:e|g|x)?def|let)","(?:begin|end)","(?:part|chapter|(?:sub){0,2}section|(?:sub)?paragraph)","caption","(?:label|(?:eq|page|name)?ref|(?:paren|foot|super)?cite)","(?:alpha|beta|[Gg]amma|[Dd]elta|(?:var)?epsilon|zeta|eta|[Tt]heta|vartheta)","(?:iota|(?:var)?kappa|[Ll]ambda|mu|nu|[Xx]i|[Pp]i|varpi|(?:var)rho)","(?:[Ss]igma|varsigma|tau|[Uu]psilon|[Pp]hi|varphi|chi|[Pp]si|[Oo]mega)","(?:frac|sum|prod|lim|infty|times|sqrt|leq|geq|left|right|middle|[bB]igg?)","(?:[lr]angle|q?quad|[lcvdi]?dots|d?dot|hat|tilde|bar)"].map(Vd=>Vd+"(?![a-zA-Z@:_])")),td=new RegExp(["(?:__)?[a-zA-Z]{2,}_[a-zA-Z](?:_?[a-zA-Z])+:[a-zA-Z]*","[lgc]__?[a-zA-Z](?:_?[a-zA-Z])*_[a-zA-Z]{2,}","[qs]__?[a-zA-Z](?:_?[a-zA-Z])+","use(?:_i)?:[a-zA-Z]*","(?:else|fi|or):","(?:if|cs|exp):w","(?:hbox|vbox):n","::[a-zA-Z]_unbraced","::[a-zA-Z:]"].map(Vd=>Vd+"(?![a-zA-Z:_])").join("|")),rd=[{begin:/[a-zA-Z@]+/},{begin:/[^a-zA-Z@]?/}],sd=[{begin:/\^{6}[0-9a-f]{6}/},{begin:/\^{5}[0-9a-f]{5}/},{begin:/\^{4}[0-9a-f]{4}/},{begin:/\^{3}[0-9a-f]{3}/},{begin:/\^{2}[0-9a-f]{2}/},{begin:/\^{2}[\u0000-\u007f]/}],od={className:"keyword",begin:/\\/,relevance:0,contains:[{endsParent:!0,begin:ed},{endsParent:!0,begin:td},{endsParent:!0,variants:sd},{endsParent:!0,relevance:0,variants:rd}]},ld={className:"params",relevance:0,begin:/#+\d?/},cd={variants:sd},ud={className:"built_in",relevance:0,begin:/[$&^_]/},_d={className:"meta",begin:/% ?!(T[eE]X|tex|BIB|bib)/,end:"$",relevance:10},yd=qa.COMMENT("%","$",{relevance:0}),gd=[od,ld,cd,ud,_d,yd],Ed={begin:/\{/,end:/\}/,relevance:0,contains:["self",...gd]},Td=qa.inherit(Ed,{relevance:0,endsParent:!0,contains:[Ed,...gd]}),kd={begin:/\[/,end:/\]/,endsParent:!0,relevance:0,contains:[Ed,...gd]},Rd={begin:/\s+/,relevance:0},Nd=[Td],Id=[kd],Md=function(Vd,Jd){return{contains:[Rd],starts:{relevance:0,contains:Vd,starts:Jd}}},Ld=function(Vd,Jd){return{begin:"\\\\"+Vd+"(?![a-zA-Z@:_])",keywords:{$pattern:/\\[a-zA-Z]+/,keyword:"\\"+Vd},relevance:0,contains:[Rd],starts:Jd}},Pd=function(Vd,Jd){return qa.inherit({begin:"\\\\begin(?=[ ]*(\\r?\\n[ ]*)?\\{"+Vd+"\\})",keywords:{$pattern:/\\[a-zA-Z]+/,keyword:"\\begin"},relevance:0},Md(Nd,Jd))},qd=(Vd="string")=>qa.END_SAME_AS_BEGIN({className:Vd,begin:/(.|\r?\n)/,end:/(.|\r?\n)/,excludeBegin:!0,excludeEnd:!0,endsParent:!0}),Yd=function(Vd){return{className:"string",end:"(?=\\\\end\\{"+Vd+"\\})"}},Ud=(Vd="string")=>({relevance:0,begin:/\{/,starts:{endsParent:!0,contains:[{className:Vd,end:/(?=\})/,endsParent:!0,contains:[{begin:/\{/,end:/\}/,relevance:0,contains:["self"]}]}]}}),Hd=[...["verb","lstinline"].map(Vd=>Ld(Vd,{contains:[qd()]})),Ld("mint",Md(Nd,{contains:[qd()]})),Ld("mintinline",Md(Nd,{contains:[Ud(),qd()]})),Ld("url",{contains:[Ud("link"),Ud("link")]}),Ld("hyperref",{contains:[Ud("link")]}),Ld("href",Md(Id,{contains:[Ud("link")]})),...[].concat(...["","\\*"].map(Vd=>[Pd("verbatim"+Vd,Yd("verbatim"+Vd)),Pd("filecontents"+Vd,Md(Nd,Yd("filecontents"+Vd))),...["","B","L"].map(Jd=>Pd(Jd+"Verbatim"+Vd,Md(Id,Yd(Jd+"Verbatim"+Vd))))])),Pd("minted",Md(Id,Md(Nd,Yd("minted"))))];return{name:"LaTeX",aliases:["tex"],contains:[...Hd,...gd]}}return latex_1=Ra,latex_1}var ldif_1,hasRequiredLdif;function requireLdif(){if(hasRequiredLdif)return ldif_1;hasRequiredLdif=1;function Ra(qa){return{name:"LDIF",contains:[{className:"attribute",match:"^dn(?=:)",relevance:10},{className:"attribute",match:"^\\w+(?=:)"},{className:"literal",match:"^-"},qa.HASH_COMMENT_MODE]}}return ldif_1=Ra,ldif_1}var leaf_1,hasRequiredLeaf;function requireLeaf(){if(hasRequiredLeaf)return leaf_1;hasRequiredLeaf=1;function Ra(qa){const Ja=/([A-Za-z_][A-Za-z_0-9]*)?/,td={scope:"params",begin:/\(/,end:/\)(?=\:?)/,endsParent:!0,relevance:7,contains:[{scope:"string",begin:'"',end:'"'},{scope:"keyword",match:["true","false","in"].join("|")},{scope:"variable",match:/[A-Za-z_][A-Za-z_0-9]*/},{scope:"operator",match:/\+|\-|\*|\/|\%|\=\=|\=|\!|\>|\<|\&\&|\|\|/}]},rd={match:[Ja,/(?=\()/],scope:{1:"keyword"},contains:[td]};return td.contains.unshift(rd),{name:"Leaf",contains:[{match:[/#+/,Ja,/(?=\()/],scope:{1:"punctuation",2:"keyword"},starts:{contains:[{match:/\:/,scope:"punctuation"}]},contains:[td]},{match:[/#+/,Ja,/:?/],scope:{1:"punctuation",2:"keyword",3:"punctuation"}}]}}return leaf_1=Ra,leaf_1}var less_1,hasRequiredLess;function requireLess(){if(hasRequiredLess)return less_1;hasRequiredLess=1;const Ra=ud=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:ud.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[ud.APOS_STRING_MODE,ud.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:ud.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),qa=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","optgroup","option","p","picture","q","quote","samp","section","select","source","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],Ja=["defs","g","marker","mask","pattern","svg","switch","symbol","feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feFlood","feGaussianBlur","feImage","feMerge","feMorphology","feOffset","feSpecularLighting","feTile","feTurbulence","linearGradient","radialGradient","stop","circle","ellipse","image","line","path","polygon","polyline","rect","text","use","textPath","tspan","foreignObject","clipPath"],ed=[...qa,...Ja],td=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"].sort().reverse(),rd=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"].sort().reverse(),sd=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"].sort().reverse(),od=["accent-color","align-content","align-items","align-self","alignment-baseline","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","baseline-shift","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-end-end-radius","border-end-start-radius","border-right-color","border-right-style","border-right-width","border-spacing","border-start-end-radius","border-start-start-radius","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","cx","cy","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","color-scheme","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","empty-cells","enable-background","fill","fill-opacity","fill-rule","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","flood-color","flood-opacity","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-horizontal","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","inset","inset-block","inset-block-end","inset-block-start","inset-inline","inset-inline-end","inset-inline-start","isolation","kerning","justify-content","justify-items","justify-self","left","letter-spacing","lighting-color","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","marker","marker-end","marker-mid","marker-start","mask","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","r","resize","rest","rest-after","rest-before","right","rotate","row-gap","scale","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","shape-rendering","stop-color","stop-opacity","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","speak","speak-as","src","tab-size","table-layout","text-anchor","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip-ink","text-decoration-style","text-decoration-thickness","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-offset","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","translate","unicode-bidi","vector-effect","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","x","y","z-index"].sort().reverse(),ld=rd.concat(sd).sort().reverse();function cd(ud){const _d=Ra(ud),yd=ld,gd="and or not only",Ed="[\\w-]+",Td="("+Ed+"|@\\{"+Ed+"\\})",kd=[],Rd=[],Nd=function(Zd){return{className:"string",begin:"~?"+Zd+".*?"+Zd}},Id=function(Zd,pf,Xd){return{className:Zd,begin:pf,relevance:Xd}},Md={$pattern:/[a-z-]+/,keyword:gd,attribute:td.join(" ")},Ld={begin:"\\(",end:"\\)",contains:Rd,keywords:Md,relevance:0};Rd.push(ud.C_LINE_COMMENT_MODE,ud.C_BLOCK_COMMENT_MODE,Nd("'"),Nd('"'),_d.CSS_NUMBER_MODE,{begin:"(url|data-uri)\\(",starts:{className:"string",end:"[\\)\\n]",excludeEnd:!0}},_d.HEXCOLOR,Ld,Id("variable","@@?"+Ed,10),Id("variable","@\\{"+Ed+"\\}"),Id("built_in","~?`[^`]*?`"),{className:"attribute",begin:Ed+"\\s*:",end:":",returnBegin:!0,excludeEnd:!0},_d.IMPORTANT,{beginKeywords:"and not"},_d.FUNCTION_DISPATCH);const Pd=Rd.concat({begin:/\{/,end:/\}/,contains:kd}),qd={beginKeywords:"when",endsWithParent:!0,contains:[{beginKeywords:"and not"}].concat(Rd)},Yd={begin:Td+"\\s*:",returnBegin:!0,end:/[;}]/,relevance:0,contains:[{begin:/-(webkit|moz|ms|o)-/},_d.CSS_VARIABLE,{className:"attribute",begin:"\\b("+od.join("|")+")\\b",end:/(?=:)/,starts:{endsWithParent:!0,illegal:"[<=$]",relevance:0,contains:Rd}}]},Ud={className:"keyword",begin:"@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\b",starts:{end:"[;{}]",keywords:Md,returnEnd:!0,contains:Rd,relevance:0}},Hd={className:"variable",variants:[{begin:"@"+Ed+"\\s*:",relevance:15},{begin:"@"+Ed}],starts:{end:"[;}]",returnEnd:!0,contains:Pd}},Vd={variants:[{begin:"[\\.#:&\\[>]",end:"[;{}]"},{begin:Td,end:/\{/}],returnBegin:!0,returnEnd:!0,illegal:`[<='$"]`,relevance:0,contains:[ud.C_LINE_COMMENT_MODE,ud.C_BLOCK_COMMENT_MODE,qd,Id("keyword","all\\b"),Id("variable","@\\{"+Ed+"\\}"),{begin:"\\b("+ed.join("|")+")\\b",className:"selector-tag"},_d.CSS_NUMBER_MODE,Id("selector-tag",Td,0),Id("selector-id","#"+Td),Id("selector-class","\\."+Td,0),Id("selector-tag","&",0),_d.ATTRIBUTE_SELECTOR_MODE,{className:"selector-pseudo",begin:":("+rd.join("|")+")"},{className:"selector-pseudo",begin:":(:)?("+sd.join("|")+")"},{begin:/\(/,end:/\)/,relevance:0,contains:Pd},{begin:"!important"},_d.FUNCTION_DISPATCH]},Jd={begin:Ed+`:(:)?(${yd.join("|")})`,returnBegin:!0,contains:[Vd]};return kd.push(ud.C_LINE_COMMENT_MODE,ud.C_BLOCK_COMMENT_MODE,Ud,Hd,Jd,Yd,Vd,qd,_d.FUNCTION_DISPATCH),{name:"Less",case_insensitive:!0,illegal:`[=>'/<($"]`,contains:kd}}return less_1=cd,less_1}var lisp_1,hasRequiredLisp;function requireLisp(){if(hasRequiredLisp)return lisp_1;hasRequiredLisp=1;function Ra(qa){const Ja="[a-zA-Z_\\-+\\*\\/<=>&#][a-zA-Z0-9_\\-+*\\/<=>&#!]*",ed="\\|[^]*?\\|",td="(-|\\+)?\\d+(\\.\\d+|\\/\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\+|-)?\\d+)?",rd={className:"literal",begin:"\\b(t{1}|nil)\\b"},sd={className:"number",variants:[{begin:td,relevance:0},{begin:"#(b|B)[0-1]+(/[0-1]+)?"},{begin:"#(o|O)[0-7]+(/[0-7]+)?"},{begin:"#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?"},{begin:"#(c|C)\\("+td+" +"+td,end:"\\)"}]},od=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),ld=qa.COMMENT(";","$",{relevance:0}),cd={begin:"\\*",end:"\\*"},ud={className:"symbol",begin:"[:&]"+Ja},_d={begin:Ja,relevance:0},yd={begin:ed},Ed={contains:[sd,od,cd,ud,{begin:"\\(",end:"\\)",contains:["self",rd,od,sd,_d]},_d],variants:[{begin:"['`]\\(",end:"\\)"},{begin:"\\(quote ",end:"\\)",keywords:{name:"quote"}},{begin:"'"+ed}]},Td={variants:[{begin:"'"+Ja},{begin:"#'"+Ja+"(::"+Ja+")*"}]},kd={begin:"\\(\\s*",end:"\\)"},Rd={endsWithParent:!0,relevance:0};return kd.contains=[{className:"name",variants:[{begin:Ja,relevance:0},{begin:ed}]},Rd],Rd.contains=[Ed,Td,kd,rd,sd,od,ld,cd,ud,yd,_d],{name:"Lisp",illegal:/\S/,contains:[sd,qa.SHEBANG(),rd,od,ld,Ed,Td,kd,_d]}}return lisp_1=Ra,lisp_1}var livecodeserver_1,hasRequiredLivecodeserver;function requireLivecodeserver(){if(hasRequiredLivecodeserver)return livecodeserver_1;hasRequiredLivecodeserver=1;function Ra(qa){const Ja={className:"variable",variants:[{begin:"\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\[.+\\])?(?:\\s*?)"},{begin:"\\$_[A-Z]+"}],relevance:0},ed=[qa.C_BLOCK_COMMENT_MODE,qa.HASH_COMMENT_MODE,qa.COMMENT("--","$"),qa.COMMENT("[^:]//","$")],td=qa.inherit(qa.TITLE_MODE,{variants:[{begin:"\\b_*rig[A-Z][A-Za-z0-9_\\-]*"},{begin:"\\b_[a-z0-9\\-]+"}]}),rd=qa.inherit(qa.TITLE_MODE,{begin:"\\b([A-Za-z0-9_\\-]+)\\b"});return{name:"LiveCode",case_insensitive:!1,keywords:{keyword:"$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph after byte bytes english the until http forever descending using line real8 with seventh for stdout finally element word words fourth before black ninth sixth characters chars stderr uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat end repeat URL in try into switch to words https token binfile each tenth as ticks tick system real4 by dateItems without char character ascending eighth whole dateTime numeric short first ftp integer abbreviated abbr abbrev private case while if div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within contains ends with begins the keys of keys",literal:"SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five quote empty one true return cr linefeed right backslash null seven tab three two RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK",built_in:"put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress constantNames cos date dateFormat decompress difference directories diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process combine constant convert create new alias folder directory decrypt delete variable word line folder directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop subtract symmetric union unload vectorDotProduct wait write"},contains:[Ja,{className:"keyword",begin:"\\bend\\sif\\b"},{className:"function",beginKeywords:"function",end:"$",contains:[Ja,rd,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE,td]},{className:"function",begin:"\\bend\\s+",end:"$",keywords:"end",contains:[rd,td],relevance:0},{beginKeywords:"command on",end:"$",contains:[Ja,rd,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE,td]},{className:"meta",variants:[{begin:"<\\?(rev|lc|livecode)",relevance:10},{begin:"<\\?"},{begin:"\\?>"}]},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE,td].concat(ed),illegal:";$|^\\[|^=|&|\\{"}}return livecodeserver_1=Ra,livecodeserver_1}var livescript_1,hasRequiredLivescript;function requireLivescript(){if(hasRequiredLivescript)return livescript_1;hasRequiredLivescript=1;const Ra=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],qa=["true","false","null","undefined","NaN","Infinity"],Ja=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],ed=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],td=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],rd=[].concat(td,Ja,ed);function sd(od){const ld=["npm","print"],cd=["yes","no","on","off","it","that","void"],ud=["then","unless","until","loop","of","by","when","and","or","is","isnt","not","it","that","otherwise","from","to","til","fallthrough","case","enum","native","list","map","__hasProp","__extends","__slice","__bind","__indexOf"],_d={keyword:Ra.concat(ud),literal:qa.concat(cd),built_in:rd.concat(ld)},yd="[A-Za-z$_](?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*",gd=od.inherit(od.TITLE_MODE,{begin:yd}),Ed={className:"subst",begin:/#\{/,end:/\}/,keywords:_d},Td={className:"subst",begin:/#[A-Za-z$_]/,end:/(?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,keywords:_d},kd=[od.BINARY_NUMBER_MODE,{className:"number",begin:"(\\b0[xX][a-fA-F0-9_]+)|(\\b\\d(\\d|_\\d)*(\\.(\\d(\\d|_\\d)*)?)?(_*[eE]([-+]\\d(_\\d|\\d)*)?)?[_a-z]*)",relevance:0,starts:{end:"(\\s*/)?",relevance:0}},{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[od.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[od.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[od.BACKSLASH_ESCAPE,Ed,Td]},{begin:/"/,end:/"/,contains:[od.BACKSLASH_ESCAPE,Ed,Td]},{begin:/\\/,end:/(\s|$)/,excludeEnd:!0}]},{className:"regexp",variants:[{begin:"//",end:"//[gim]*",contains:[Ed,od.HASH_COMMENT_MODE]},{begin:/\/(?![ *])(\\.|[^\\\n])*?\/[gim]*(?=\W)/}]},{begin:"@"+yd},{begin:"``",end:"``",excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"}];Ed.contains=kd;const Rd={className:"params",begin:"\\(",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:_d,contains:["self"].concat(kd)}]},Nd={begin:"(#=>|=>|\\|>>|-?->|!->)"},Id={variants:[{match:[/class\s+/,yd,/\s+extends\s+/,yd]},{match:[/class\s+/,yd]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:_d};return{name:"LiveScript",aliases:["ls"],keywords:_d,illegal:/\/\*/,contains:kd.concat([od.COMMENT("\\/\\*","\\*\\/"),od.HASH_COMMENT_MODE,Nd,{className:"function",contains:[gd,Rd],returnBegin:!0,variants:[{begin:"("+yd+"\\s*(?:=|:=)\\s*)?(\\(.*\\)\\s*)?\\B->\\*?",end:"->\\*?"},{begin:"("+yd+"\\s*(?:=|:=)\\s*)?!?(\\(.*\\)\\s*)?\\B[-~]{1,2}>\\*?",end:"[-~]{1,2}>\\*?"},{begin:"("+yd+"\\s*(?:=|:=)\\s*)?(\\(.*\\)\\s*)?\\B!?[-~]{1,2}>\\*?",end:"!?[-~]{1,2}>\\*?"}]},Id,{begin:yd+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}return livescript_1=sd,livescript_1}var llvm_1,hasRequiredLlvm;function requireLlvm(){if(hasRequiredLlvm)return llvm_1;hasRequiredLlvm=1;function Ra(qa){const Ja=qa.regex,ed=/([-a-zA-Z$._][\w$.-]*)/,td={className:"type",begin:/\bi\d+(?=\s|\b)/},rd={className:"operator",relevance:0,begin:/=/},sd={className:"punctuation",relevance:0,begin:/,/},od={className:"number",variants:[{begin:/[su]?0[xX][KMLHR]?[a-fA-F0-9]+/},{begin:/[-+]?\d+(?:[.]\d+)?(?:[eE][-+]?\d+(?:[.]\d+)?)?/}],relevance:0},ld={className:"symbol",variants:[{begin:/^\s*[a-z]+:/}],relevance:0},cd={className:"variable",variants:[{begin:Ja.concat(/%/,ed)},{begin:/%\d+/},{begin:/#\d+/}]},ud={className:"title",variants:[{begin:Ja.concat(/@/,ed)},{begin:/@\d+/},{begin:Ja.concat(/!/,ed)},{begin:Ja.concat(/!\d+/,ed)},{begin:/!\d+/}]};return{name:"LLVM IR",keywords:{keyword:"begin end true false declare define global constant private linker_private internal available_externally linkonce linkonce_odr weak weak_odr appending dllimport dllexport common default hidden protected extern_weak external thread_local zeroinitializer undef null to tail target triple datalayout volatile nuw nsw nnan ninf nsz arcp fast exact inbounds align addrspace section alias module asm sideeffect gc dbg linker_private_weak attributes blockaddress initialexec localdynamic localexec prefix unnamed_addr ccc fastcc coldcc x86_stdcallcc x86_fastcallcc arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ptx_kernel intel_ocl_bicc msp430_intrcc spir_func spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc cc c signext zeroext inreg sret nounwind noreturn noalias nocapture byval nest readnone readonly inlinehint noinline alwaysinline optsize ssp sspreq noredzone noimplicitfloat naked builtin cold nobuiltin noduplicate nonlazybind optnone returns_twice sanitize_address sanitize_memory sanitize_thread sspstrong uwtable returned type opaque eq ne slt sgt sle sge ult ugt ule uge oeq one olt ogt ole oge ord uno ueq une x acq_rel acquire alignstack atomic catch cleanup filter inteldialect max min monotonic nand personality release seq_cst singlethread umax umin unordered xchg add fadd sub fsub mul fmul udiv sdiv fdiv urem srem frem shl lshr ashr and or xor icmp fcmp phi call trunc zext sext fptrunc fpext uitofp sitofp fptoui fptosi inttoptr ptrtoint bitcast addrspacecast select va_arg ret br switch invoke unwind unreachable indirectbr landingpad resume malloc alloca free load store getelementptr extractelement insertelement shufflevector getresult extractvalue insertvalue atomicrmw cmpxchg fence argmemonly",type:"void half bfloat float double fp128 x86_fp80 ppc_fp128 x86_amx x86_mmx ptr label token metadata opaque"},contains:[td,qa.COMMENT(/;\s*$/,null,{relevance:0}),qa.COMMENT(/;/,/$/),{className:"string",begin:/"/,end:/"/,contains:[{className:"char.escape",match:/\\\d\d/}]},ud,sd,rd,cd,ld,od]}}return llvm_1=Ra,llvm_1}var lsl_1,hasRequiredLsl;function requireLsl(){if(hasRequiredLsl)return lsl_1;hasRequiredLsl=1;function Ra(qa){const ed={className:"string",begin:'"',end:'"',contains:[{className:"subst",begin:/\\[tn"\\]/}]},td={className:"number",relevance:0,begin:qa.C_NUMBER_RE},rd={className:"literal",variants:[{begin:"\\b(PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\b"},{begin:"\\b(XP_ERROR_(EXPERIENCES_DISABLED|EXPERIENCE_(DISABLED|SUSPENDED)|INVALID_(EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(FOUND|PERMITTED(_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(_OBJECT)?|(DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(_(BY_(LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(PARCEL(_OWNER)?|REGION)))?|CAMERA_(PITCH|DISTANCE|BEHINDNESS_(ANGLE|LAG)|(FOCUS|POSITION)(_(THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(ROOT|SET|ALL_(OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(IVE|_(ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(FWD|BACK|(ROT_)?(LEFT|RIGHT)|UP|DOWN|(ML_)?LBUTTON)|PERMISSION_(RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(_START)?|TELEPORT|MEDIA)|OBJECT_(CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(COUNT|EQUIVALENCE)|RETURN_(PARCEL(_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(_TAG)?|CREATOR|ATTACHED_(POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(BODY_SHAPE|PATHFINDING)_TYPE|(RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(MEMORY|TIME))|TYPE_(INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(DEBUG|PUBLIC)_CHANNEL|ATTACH_(AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](SHOULDER|HAND|FOOT|EAR|EYE|[UL](ARM|LEG)|HIP)|(LEFT|RIGHT)_PEC|HUD_(CENTER_[12]|TOP_(RIGHT|CENTER|LEFT)|BOTTOM(_(RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(BASE|TIP)|[LR]WING|FACE_(JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(ONLINE|NAME|BORN|SIM_(POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(ON_FILE|USED)|REMOTE_DATA_(CHANNEL|REQUEST|REPLY)|PSYS_(PART_(BF_(ZERO|ONE(_MINUS_(DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(START|END)_(COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(RIBBON|WIND|INTERP_(COLOR|SCALE)|BOUNCE|FOLLOW_(SRC|VELOCITY)|TARGET_(POS|LINEAR)|EMISSIVE)_MASK)|SRC_(MAX_AGE|PATTERN|ANGLE_(BEGIN|END)|BURST_(RATE|PART_COUNT|RADIUS|SPEED_(MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(DROP|EXPLODE|ANGLE(_CONE(_EMPTY)?)?)))|VEHICLE_(REFERENCE_FRAME|TYPE_(NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(LINEAR|ANGULAR)_(FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(LINEAR|ANGULAR)_(DEFLECTION_(EFFICIENCY|TIMESCALE)|MOTOR_(DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(EFFICIENCY|TIMESCALE)|BANKING_(EFFICIENCY|MIX|TIMESCALE)|FLAG_(NO_DEFLECTION_UP|LIMIT_(ROLL_ONLY|MOTOR_UP)|HOVER_((WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(ALLOW_UNSIT|ALPHA_MODE(_(BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(_(BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(_(STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(NONE|LOW|MEDIUM|HIGH)|BUMP_(NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(TYPE_(SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(MIRROR|INVERT))|PHYSICS(_(SHAPE_(CONVEX|NONE|PRIM|TYPE)))?|(POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(ALT_IMAGE_ENABLE|CONTROLS|(CURRENT|HOME)_URL|AUTO_(LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(WIDTH|HEIGHT)_PIXELS|WHITELIST(_ENABLE)?|PERMS_(INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(STANDARD|MINI)|PERM_(NONE|OWNER|GROUP|ANYONE)|MAX_(URL_LENGTH|WHITELIST_(SIZE|COUNT)|(WIDTH|HEIGHT)_PIXELS)))|MASK_(BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(MEDIA_COMMAND_(STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(ALLOW_(FLY|(GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(GROUP_)?OBJECTS)|USE_(ACCESS_(GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(GROUP|ALL)_OBJECT_ENTRY)|COUNT_(TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(HIDE|DEFAULT)|REGION_FLAG_(ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(METHOD|MIMETYPE|BODY_(MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(INVALID_(AGENT|LINK_OBJECT)|NO(T_EXPERIENCE|_(ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(TRIM(_(HEAD|TAIL))?)|CLICK_ACTION_(NONE|TOUCH|SIT|BUY|PAY|OPEN(_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(NONE|SCRIPT_MEMORY)|RC_(DATA_FLAGS|DETECT_PHANTOM|GET_(LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(TYPES|AGENTS|(NON)?PHYSICAL|LAND))|RCERR_(CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(ALLOWED_(AGENT|GROUP)_(ADD|REMOVE)|BANNED_AGENT_(ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(COMMAND|CMD_(PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(CMD_((SMOOTH_)?STOP|JUMP)|DESIRED_(TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(_([ABCD]|NONE))?|MAX_(DECEL|TURN_RADIUS|(ACCEL|SPEED)))|PURSUIT_(OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(EVADE_(HIDDEN|SPOTTED)|FAILURE_(DYNAMIC_PATHFINDING_DISABLED|INVALID_(GOAL|START)|NO_(NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(PARCEL_)?UNREACHABLE)|(GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(_(FAST|NONE|SLOW))?|CONTENT_TYPE_(ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(RADIUS|STATIC)|(PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\b"},{begin:"\\b(FALSE|TRUE)\\b"},{begin:"\\b(ZERO_ROTATION)\\b"},{begin:"\\b(EOF|JSON_(ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(GRANTED|DENIED))\\b"},{begin:"\\b(ZERO_VECTOR|TOUCH_INVALID_(TEXCOORD|VECTOR))\\b"}]},sd={className:"built_in",begin:"\\b(ll(AgentInExperience|(Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(Details|ErrorMessage)|ReturnObjectsBy(ID|Owner)|Json(2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(Mag|Norm|Dist)|Rot(Between|2(Euler|Fwd|Left|Up))|(Euler|Axes)2Rot|Whisper|(Region|Owner)?Say|Shout|Listen(Control|Remove)?|Sensor(Repeat|Remove)?|Detected(Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|([GS]et)(AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(Scale|Offset|Rotate)Texture|(Rot)?Target(Remove)?|(Stop)?MoveToTarget|Apply(Rotational)?Impulse|Set(KeyframedMotion|ContentType|RegionPos|(Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(Queueing|Radius)|Vehicle(Type|(Float|Vector|Rotation)Param)|(Touch|Sit)?Text|Camera(Eye|At)Offset|PrimitiveParams|ClickAction|Link(Alpha|Color|PrimitiveParams(Fast)?|Texture(Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get((Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(PrimitiveParams|Number(OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(Details|PermMask|PrimCount)|Parcel(MaxPrims|Details|Prim(Count|Owners))|Attached(List)?|(SPMax|Free|Used)Memory|Region(Name|TimeDilation|FPS|Corner|AgentCount)|Root(Position|Rotation)|UnixTime|(Parcel|Region)Flags|(Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(Prims|NotecardLines|Sides)|Animation(List)?|(Camera|Local)(Pos|Rot)|Vel|Accel|Omega|Time(stamp|OfDay)|(Object|CenterOf)?Mass|MassMKS|Energy|Owner|(Owner)?Key|SunDirection|Texture(Offset|Scale|Rot)|Inventory(Number|Name|Key|Type|Creator|PermMask)|Permissions(Key)?|StartParameter|List(Length|EntryType)|Date|Agent(Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(Name|State))|(Get|Reset|GetAndReset)Time|PlaySound(Slave)?|LoopSound(Master|Slave)?|(Trigger|Stop|Preload)Sound|((Get|Delete)Sub|Insert)String|To(Upper|Lower)|Give(InventoryList|Money)|RezObject|(Stop)?LookAt|Sleep|CollisionFilter|(Take|Release)Controls|DetachFromAvatar|AttachToAvatar(Temp)?|InstantMessage|(GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(Length|Trim)|(Start|Stop)Animation|TargetOmega|Request(Experience)?Permissions|(Create|Break)Link|BreakAllLinks|(Give|Remove)Inventory|Water|PassTouches|Request(Agent|Inventory)Data|TeleportAgent(Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(Axis|Angle)|A(cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(CSV|Integer|Json|Float|String|Key|Vector|Rot|List(Strided)?)|DeleteSubList|List(Statistics|Sort|Randomize|(Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(Slope|Normal|Contour)|GroundRepel|(Set|Remove)VehicleFlags|SitOnLink|(AvatarOn)?(Link)?SitTarget|Script(Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(Integer|String)ToBase64|XorBase64|Log(10)?|Base64To(String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(Load|Release|(E|Une)scape)URL|ParcelMedia(CommandList|Query)|ModPow|MapDestination|(RemoveFrom|AddTo|Reset)Land(Pass|Ban)List|(Set|Clear)CameraParams|HTTP(Request|Response)|TextBox|DetectedTouch(UV|Face|Pos|(N|Bin)ormal|ST)|(MD5|SHA1|DumpList2)String|Request(Secure)?URL|Clear(Prim|Link)Media|(Link)?ParticleSystem|(Get|Request)(Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\b"};return{name:"LSL (Linden Scripting Language)",illegal:":",contains:[ed,{className:"comment",variants:[qa.COMMENT("//","$"),qa.COMMENT("/\\*","\\*/")],relevance:0},td,{className:"section",variants:[{begin:"\\b(state|default)\\b"},{begin:"\\b(state_(entry|exit)|touch(_(start|end))?|(land_)?collision(_(start|end))?|timer|listen|(no_)?sensor|control|(not_)?at_(rot_)?target|money|email|experience_permissions(_denied)?|run_time_permissions|changed|attach|dataserver|moving_(start|end)|link_message|(on|object)_rez|remote_data|http_re(sponse|quest)|path_update|transaction_result)\\b"}]},sd,rd,{className:"type",begin:"\\b(integer|float|string|key|vector|quaternion|rotation|list)\\b"}]}}return lsl_1=Ra,lsl_1}var lua_1,hasRequiredLua;function requireLua(){if(hasRequiredLua)return lua_1;hasRequiredLua=1;function Ra(qa){const Ja="\\[=*\\[",ed="\\]=*\\]",td={begin:Ja,end:ed,contains:["self"]},rd=[qa.COMMENT("--(?!"+Ja+")","$"),qa.COMMENT("--"+Ja,ed,{contains:[td],relevance:10})];return{name:"Lua",keywords:{$pattern:qa.UNDERSCORE_IDENT_RE,literal:"true false nil",keyword:"and break do else elseif end for goto if in local not or repeat return then until while",built_in:"_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len __gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall arg self coroutine resume yield status wrap create running debug getupvalue debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv io lines write close flush open output type read stderr stdin input stdout popen tmpfile math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower table setn insert getn foreachi maxn foreach concat sort remove"},contains:rd.concat([{className:"function",beginKeywords:"function",end:"\\)",contains:[qa.inherit(qa.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),{className:"params",begin:"\\(",endsWithParent:!0,contains:rd}].concat(rd)},qa.C_NUMBER_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{className:"string",begin:Ja,end:ed,contains:[td],relevance:5}])}}return lua_1=Ra,lua_1}var makefile_1,hasRequiredMakefile;function requireMakefile(){if(hasRequiredMakefile)return makefile_1;hasRequiredMakefile=1;function Ra(qa){const Ja={className:"variable",variants:[{begin:"\\$\\("+qa.UNDERSCORE_IDENT_RE+"\\)",contains:[qa.BACKSLASH_ESCAPE]},{begin:/\$[@%<?\^\+\*]/}]},ed={className:"string",begin:/"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE,Ja]},td={className:"variable",begin:/\$\([\w-]+\s/,end:/\)/,keywords:{built_in:"subst patsubst strip findstring filter filter-out sort word wordlist firstword lastword dir notdir suffix basename addsuffix addprefix join wildcard realpath abspath error warning shell origin flavor foreach if or and call eval file value"},contains:[Ja]},rd={begin:"^"+qa.UNDERSCORE_IDENT_RE+"\\s*(?=[:+?]?=)"},sd={className:"meta",begin:/^\.PHONY:/,end:/$/,keywords:{$pattern:/[\.\w]+/,keyword:".PHONY"}},od={className:"section",begin:/^[^\s]+:/,end:/$/,contains:[Ja]};return{name:"Makefile",aliases:["mk","mak","make"],keywords:{$pattern:/[\w-]+/,keyword:"define endef undefine ifdef ifndef ifeq ifneq else endif include -include sinclude override export unexport private vpath"},contains:[qa.HASH_COMMENT_MODE,Ja,ed,td,rd,sd,od]}}return makefile_1=Ra,makefile_1}var mathematica_1,hasRequiredMathematica;function requireMathematica(){if(hasRequiredMathematica)return mathematica_1;hasRequiredMathematica=1;const Ra=["AASTriangle","AbelianGroup","Abort","AbortKernels","AbortProtect","AbortScheduledTask","Above","Abs","AbsArg","AbsArgPlot","Absolute","AbsoluteCorrelation","AbsoluteCorrelationFunction","AbsoluteCurrentValue","AbsoluteDashing","AbsoluteFileName","AbsoluteOptions","AbsolutePointSize","AbsoluteThickness","AbsoluteTime","AbsoluteTiming","AcceptanceThreshold","AccountingForm","Accumulate","Accuracy","AccuracyGoal","AcousticAbsorbingValue","AcousticImpedanceValue","AcousticNormalVelocityValue","AcousticPDEComponent","AcousticPressureCondition","AcousticRadiationValue","AcousticSoundHardValue","AcousticSoundSoftCondition","ActionDelay","ActionMenu","ActionMenuBox","ActionMenuBoxOptions","Activate","Active","ActiveClassification","ActiveClassificationObject","ActiveItem","ActivePrediction","ActivePredictionObject","ActiveStyle","AcyclicGraphQ","AddOnHelpPath","AddSides","AddTo","AddToSearchIndex","AddUsers","AdjacencyGraph","AdjacencyList","AdjacencyMatrix","AdjacentMeshCells","Adjugate","AdjustmentBox","AdjustmentBoxOptions","AdjustTimeSeriesForecast","AdministrativeDivisionData","AffineHalfSpace","AffineSpace","AffineStateSpaceModel","AffineTransform","After","AggregatedEntityClass","AggregationLayer","AircraftData","AirportData","AirPressureData","AirSoundAttenuation","AirTemperatureData","AiryAi","AiryAiPrime","AiryAiZero","AiryBi","AiryBiPrime","AiryBiZero","AlgebraicIntegerQ","AlgebraicNumber","AlgebraicNumberDenominator","AlgebraicNumberNorm","AlgebraicNumberPolynomial","AlgebraicNumberTrace","AlgebraicRules","AlgebraicRulesData","Algebraics","AlgebraicUnitQ","Alignment","AlignmentMarker","AlignmentPoint","All","AllowAdultContent","AllowChatServices","AllowedCloudExtraParameters","AllowedCloudParameterExtensions","AllowedDimensions","AllowedFrequencyRange","AllowedHeads","AllowGroupClose","AllowIncomplete","AllowInlineCells","AllowKernelInitialization","AllowLooseGrammar","AllowReverseGroupClose","AllowScriptLevelChange","AllowVersionUpdate","AllTrue","Alphabet","AlphabeticOrder","AlphabeticSort","AlphaChannel","AlternateImage","AlternatingFactorial","AlternatingGroup","AlternativeHypothesis","Alternatives","AltitudeMethod","AmbientLight","AmbiguityFunction","AmbiguityList","Analytic","AnatomyData","AnatomyForm","AnatomyPlot3D","AnatomySkinStyle","AnatomyStyling","AnchoredSearch","And","AndersonDarlingTest","AngerJ","AngleBisector","AngleBracket","AnglePath","AnglePath3D","AngleVector","AngularGauge","Animate","AnimatedImage","AnimationCycleOffset","AnimationCycleRepetitions","AnimationDirection","AnimationDisplayTime","AnimationRate","AnimationRepetitions","AnimationRunning","AnimationRunTime","AnimationTimeIndex","AnimationVideo","Animator","AnimatorBox","AnimatorBoxOptions","AnimatorElements","Annotate","Annotation","AnnotationDelete","AnnotationKeys","AnnotationRules","AnnotationValue","Annuity","AnnuityDue","Annulus","AnomalyDetection","AnomalyDetector","AnomalyDetectorFunction","Anonymous","Antialiasing","Antihermitian","AntihermitianMatrixQ","Antisymmetric","AntisymmetricMatrixQ","Antonyms","AnyOrder","AnySubset","AnyTrue","Apart","ApartSquareFree","APIFunction","Appearance","AppearanceElements","AppearanceRules","AppellF1","Append","AppendCheck","AppendLayer","AppendTo","Application","Apply","ApplyReaction","ApplySides","ApplyTo","ArcCos","ArcCosh","ArcCot","ArcCoth","ArcCsc","ArcCsch","ArcCurvature","ARCHProcess","ArcLength","ArcSec","ArcSech","ArcSin","ArcSinDistribution","ArcSinh","ArcTan","ArcTanh","Area","Arg","ArgMax","ArgMin","ArgumentCountQ","ArgumentsOptions","ARIMAProcess","ArithmeticGeometricMean","ARMAProcess","Around","AroundReplace","ARProcess","Array","ArrayComponents","ArrayDepth","ArrayFilter","ArrayFlatten","ArrayMesh","ArrayPad","ArrayPlot","ArrayPlot3D","ArrayQ","ArrayReduce","ArrayResample","ArrayReshape","ArrayRules","Arrays","Arrow","Arrow3DBox","ArrowBox","Arrowheads","ASATriangle","Ask","AskAppend","AskConfirm","AskDisplay","AskedQ","AskedValue","AskFunction","AskState","AskTemplateDisplay","AspectRatio","AspectRatioFixed","Assert","AssessmentFunction","AssessmentResultObject","AssociateTo","Association","AssociationFormat","AssociationMap","AssociationQ","AssociationThread","AssumeDeterministic","Assuming","Assumptions","AstroAngularSeparation","AstroBackground","AstroCenter","AstroDistance","AstroGraphics","AstroGridLines","AstroGridLinesStyle","AstronomicalData","AstroPosition","AstroProjection","AstroRange","AstroRangePadding","AstroReferenceFrame","AstroStyling","AstroZoomLevel","Asymptotic","AsymptoticDSolveValue","AsymptoticEqual","AsymptoticEquivalent","AsymptoticExpectation","AsymptoticGreater","AsymptoticGreaterEqual","AsymptoticIntegrate","AsymptoticLess","AsymptoticLessEqual","AsymptoticOutputTracker","AsymptoticProbability","AsymptoticProduct","AsymptoticRSolveValue","AsymptoticSolve","AsymptoticSum","Asynchronous","AsynchronousTaskObject","AsynchronousTasks","Atom","AtomCoordinates","AtomCount","AtomDiagramCoordinates","AtomLabels","AtomLabelStyle","AtomList","AtomQ","AttachCell","AttachedCell","AttentionLayer","Attributes","Audio","AudioAmplify","AudioAnnotate","AudioAnnotationLookup","AudioBlockMap","AudioCapture","AudioChannelAssignment","AudioChannelCombine","AudioChannelMix","AudioChannels","AudioChannelSeparate","AudioData","AudioDelay","AudioDelete","AudioDevice","AudioDistance","AudioEncoding","AudioFade","AudioFrequencyShift","AudioGenerator","AudioIdentify","AudioInputDevice","AudioInsert","AudioInstanceQ","AudioIntervals","AudioJoin","AudioLabel","AudioLength","AudioLocalMeasurements","AudioLooping","AudioLoudness","AudioMeasurements","AudioNormalize","AudioOutputDevice","AudioOverlay","AudioPad","AudioPan","AudioPartition","AudioPause","AudioPitchShift","AudioPlay","AudioPlot","AudioQ","AudioRecord","AudioReplace","AudioResample","AudioReverb","AudioReverse","AudioSampleRate","AudioSpectralMap","AudioSpectralTransformation","AudioSplit","AudioStop","AudioStream","AudioStreams","AudioTimeStretch","AudioTrackApply","AudioTrackSelection","AudioTrim","AudioType","AugmentedPolyhedron","AugmentedSymmetricPolynomial","Authenticate","Authentication","AuthenticationDialog","AutoAction","Autocomplete","AutocompletionFunction","AutoCopy","AutocorrelationTest","AutoDelete","AutoEvaluateEvents","AutoGeneratedPackage","AutoIndent","AutoIndentSpacings","AutoItalicWords","AutoloadPath","AutoMatch","Automatic","AutomaticImageSize","AutoMultiplicationSymbol","AutoNumberFormatting","AutoOpenNotebooks","AutoOpenPalettes","AutoOperatorRenderings","AutoQuoteCharacters","AutoRefreshed","AutoRemove","AutorunSequencing","AutoScaling","AutoScroll","AutoSpacing","AutoStyleOptions","AutoStyleWords","AutoSubmitting","Axes","AxesEdge","AxesLabel","AxesOrigin","AxesStyle","AxiomaticTheory","Axis","Axis3DBox","Axis3DBoxOptions","AxisBox","AxisBoxOptions","AxisLabel","AxisObject","AxisStyle","BabyMonsterGroupB","Back","BackFaceColor","BackFaceGlowColor","BackFaceOpacity","BackFaceSpecularColor","BackFaceSpecularExponent","BackFaceSurfaceAppearance","BackFaceTexture","Background","BackgroundAppearance","BackgroundTasksSettings","Backslash","Backsubstitution","Backward","Ball","Band","BandpassFilter","BandstopFilter","BarabasiAlbertGraphDistribution","BarChart","BarChart3D","BarcodeImage","BarcodeRecognize","BaringhausHenzeTest","BarLegend","BarlowProschanImportance","BarnesG","BarOrigin","BarSpacing","BartlettHannWindow","BartlettWindow","BaseDecode","BaseEncode","BaseForm","Baseline","BaselinePosition","BaseStyle","BasicRecurrentLayer","BatchNormalizationLayer","BatchSize","BatesDistribution","BattleLemarieWavelet","BayesianMaximization","BayesianMaximizationObject","BayesianMinimization","BayesianMinimizationObject","Because","BeckmannDistribution","Beep","Before","Begin","BeginDialogPacket","BeginPackage","BellB","BellY","Below","BenfordDistribution","BeniniDistribution","BenktanderGibratDistribution","BenktanderWeibullDistribution","BernoulliB","BernoulliDistribution","BernoulliGraphDistribution","BernoulliProcess","BernsteinBasis","BesagL","BesselFilterModel","BesselI","BesselJ","BesselJZero","BesselK","BesselY","BesselYZero","Beta","BetaBinomialDistribution","BetaDistribution","BetaNegativeBinomialDistribution","BetaPrimeDistribution","BetaRegularized","Between","BetweennessCentrality","Beveled","BeveledPolyhedron","BezierCurve","BezierCurve3DBox","BezierCurve3DBoxOptions","BezierCurveBox","BezierCurveBoxOptions","BezierFunction","BilateralFilter","BilateralLaplaceTransform","BilateralZTransform","Binarize","BinaryDeserialize","BinaryDistance","BinaryFormat","BinaryImageQ","BinaryRead","BinaryReadList","BinarySerialize","BinaryWrite","BinCounts","BinLists","BinnedVariogramList","Binomial","BinomialDistribution","BinomialPointProcess","BinomialProcess","BinormalDistribution","BiorthogonalSplineWavelet","BioSequence","BioSequenceBackTranslateList","BioSequenceComplement","BioSequenceInstances","BioSequenceModify","BioSequencePlot","BioSequenceQ","BioSequenceReverseComplement","BioSequenceTranscribe","BioSequenceTranslate","BipartiteGraphQ","BiquadraticFilterModel","BirnbaumImportance","BirnbaumSaundersDistribution","BitAnd","BitClear","BitGet","BitLength","BitNot","BitOr","BitRate","BitSet","BitShiftLeft","BitShiftRight","BitXor","BiweightLocation","BiweightMidvariance","Black","BlackmanHarrisWindow","BlackmanNuttallWindow","BlackmanWindow","Blank","BlankForm","BlankNullSequence","BlankSequence","Blend","Block","BlockchainAddressData","BlockchainBase","BlockchainBlockData","BlockchainContractValue","BlockchainData","BlockchainGet","BlockchainKeyEncode","BlockchainPut","BlockchainTokenData","BlockchainTransaction","BlockchainTransactionData","BlockchainTransactionSign","BlockchainTransactionSubmit","BlockDiagonalMatrix","BlockLowerTriangularMatrix","BlockMap","BlockRandom","BlockUpperTriangularMatrix","BlomqvistBeta","BlomqvistBetaTest","Blue","Blur","Blurring","BodePlot","BohmanWindow","Bold","Bond","BondCount","BondLabels","BondLabelStyle","BondList","BondQ","Bookmarks","Boole","BooleanConsecutiveFunction","BooleanConvert","BooleanCountingFunction","BooleanFunction","BooleanGraph","BooleanMaxterms","BooleanMinimize","BooleanMinterms","BooleanQ","BooleanRegion","Booleans","BooleanStrings","BooleanTable","BooleanVariables","BorderDimensions","BorelTannerDistribution","Bottom","BottomHatTransform","BoundaryDiscretizeGraphics","BoundaryDiscretizeRegion","BoundaryMesh","BoundaryMeshRegion","BoundaryMeshRegionQ","BoundaryStyle","BoundedRegionQ","BoundingRegion","Bounds","Box","BoxBaselineShift","BoxData","BoxDimensions","Boxed","Boxes","BoxForm","BoxFormFormatTypes","BoxFrame","BoxID","BoxMargins","BoxMatrix","BoxObject","BoxRatios","BoxRotation","BoxRotationPoint","BoxStyle","BoxWhiskerChart","Bra","BracketingBar","BraKet","BrayCurtisDistance","BreadthFirstScan","Break","BridgeData","BrightnessEqualize","BroadcastStationData","Brown","BrownForsytheTest","BrownianBridgeProcess","BrowserCategory","BSplineBasis","BSplineCurve","BSplineCurve3DBox","BSplineCurve3DBoxOptions","BSplineCurveBox","BSplineCurveBoxOptions","BSplineFunction","BSplineSurface","BSplineSurface3DBox","BSplineSurface3DBoxOptions","BubbleChart","BubbleChart3D","BubbleScale","BubbleSizes","BuckyballGraph","BuildCompiledComponent","BuildingData","BulletGauge","BusinessDayQ","ButterflyGraph","ButterworthFilterModel","Button","ButtonBar","ButtonBox","ButtonBoxOptions","ButtonCell","ButtonContents","ButtonData","ButtonEvaluator","ButtonExpandable","ButtonFrame","ButtonFunction","ButtonMargins","ButtonMinHeight","ButtonNote","ButtonNotebook","ButtonSource","ButtonStyle","ButtonStyleMenuListing","Byte","ByteArray","ByteArrayFormat","ByteArrayFormatQ","ByteArrayQ","ByteArrayToString","ByteCount","ByteOrdering","C","CachedValue","CacheGraphics","CachePersistence","CalendarConvert","CalendarData","CalendarType","Callout","CalloutMarker","CalloutStyle","CallPacket","CanberraDistance","Cancel","CancelButton","CandlestickChart","CanonicalGraph","CanonicalizePolygon","CanonicalizePolyhedron","CanonicalizeRegion","CanonicalName","CanonicalWarpingCorrespondence","CanonicalWarpingDistance","CantorMesh","CantorStaircase","Canvas","Cap","CapForm","CapitalDifferentialD","Capitalize","CapsuleShape","CaptureRunning","CaputoD","CardinalBSplineBasis","CarlemanLinearize","CarlsonRC","CarlsonRD","CarlsonRE","CarlsonRF","CarlsonRG","CarlsonRJ","CarlsonRK","CarlsonRM","CarmichaelLambda","CaseOrdering","Cases","CaseSensitive","Cashflow","Casoratian","Cast","Catalan","CatalanNumber","Catch","CategoricalDistribution","Catenate","CatenateLayer","CauchyDistribution","CauchyMatrix","CauchyPointProcess","CauchyWindow","CayleyGraph","CDF","CDFDeploy","CDFInformation","CDFWavelet","Ceiling","CelestialSystem","Cell","CellAutoOverwrite","CellBaseline","CellBoundingBox","CellBracketOptions","CellChangeTimes","CellContents","CellContext","CellDingbat","CellDingbatMargin","CellDynamicExpression","CellEditDuplicate","CellElementsBoundingBox","CellElementSpacings","CellEpilog","CellEvaluationDuplicate","CellEvaluationFunction","CellEvaluationLanguage","CellEventActions","CellFrame","CellFrameColor","CellFrameLabelMargins","CellFrameLabels","CellFrameMargins","CellFrameStyle","CellGroup","CellGroupData","CellGrouping","CellGroupingRules","CellHorizontalScrolling","CellID","CellInsertionPointCell","CellLabel","CellLabelAutoDelete","CellLabelMargins","CellLabelPositioning","CellLabelStyle","CellLabelTemplate","CellMargins","CellObject","CellOpen","CellPrint","CellProlog","Cells","CellSize","CellStyle","CellTags","CellTrayPosition","CellTrayWidgets","CellularAutomaton","CensoredDistribution","Censoring","Center","CenterArray","CenterDot","CenteredInterval","CentralFeature","CentralMoment","CentralMomentGeneratingFunction","Cepstrogram","CepstrogramArray","CepstrumArray","CForm","ChampernowneNumber","ChangeOptions","ChannelBase","ChannelBrokerAction","ChannelDatabin","ChannelHistoryLength","ChannelListen","ChannelListener","ChannelListeners","ChannelListenerWait","ChannelObject","ChannelPreSendFunction","ChannelReceiverFunction","ChannelSend","ChannelSubscribers","ChanVeseBinarize","Character","CharacterCounts","CharacterEncoding","CharacterEncodingsPath","CharacteristicFunction","CharacteristicPolynomial","CharacterName","CharacterNormalize","CharacterRange","Characters","ChartBaseStyle","ChartElementData","ChartElementDataFunction","ChartElementFunction","ChartElements","ChartLabels","ChartLayout","ChartLegends","ChartStyle","Chebyshev1FilterModel","Chebyshev2FilterModel","ChebyshevDistance","ChebyshevT","ChebyshevU","Check","CheckAbort","CheckAll","CheckArguments","Checkbox","CheckboxBar","CheckboxBox","CheckboxBoxOptions","ChemicalConvert","ChemicalData","ChemicalFormula","ChemicalInstance","ChemicalReaction","ChessboardDistance","ChiDistribution","ChineseRemainder","ChiSquareDistribution","ChoiceButtons","ChoiceDialog","CholeskyDecomposition","Chop","ChromaticityPlot","ChromaticityPlot3D","ChromaticPolynomial","Circle","CircleBox","CircleDot","CircleMinus","CirclePlus","CirclePoints","CircleThrough","CircleTimes","CirculantGraph","CircularArcThrough","CircularOrthogonalMatrixDistribution","CircularQuaternionMatrixDistribution","CircularRealMatrixDistribution","CircularSymplecticMatrixDistribution","CircularUnitaryMatrixDistribution","Circumsphere","CityData","ClassifierFunction","ClassifierInformation","ClassifierMeasurements","ClassifierMeasurementsObject","Classify","ClassPriors","Clear","ClearAll","ClearAttributes","ClearCookies","ClearPermissions","ClearSystemCache","ClebschGordan","ClickPane","ClickToCopy","ClickToCopyEnabled","Clip","ClipboardNotebook","ClipFill","ClippingStyle","ClipPlanes","ClipPlanesStyle","ClipRange","Clock","ClockGauge","ClockwiseContourIntegral","Close","Closed","CloseKernels","ClosenessCentrality","Closing","ClosingAutoSave","ClosingEvent","CloudAccountData","CloudBase","CloudConnect","CloudConnections","CloudDeploy","CloudDirectory","CloudDisconnect","CloudEvaluate","CloudExport","CloudExpression","CloudExpressions","CloudFunction","CloudGet","CloudImport","CloudLoggingData","CloudObject","CloudObjectInformation","CloudObjectInformationData","CloudObjectNameFormat","CloudObjects","CloudObjectURLType","CloudPublish","CloudPut","CloudRenderingMethod","CloudSave","CloudShare","CloudSubmit","CloudSymbol","CloudUnshare","CloudUserID","ClusterClassify","ClusterDissimilarityFunction","ClusteringComponents","ClusteringMeasurements","ClusteringTree","CMYKColor","Coarse","CodeAssistOptions","Coefficient","CoefficientArrays","CoefficientDomain","CoefficientList","CoefficientRules","CoifletWavelet","Collect","CollinearPoints","Colon","ColonForm","ColorBalance","ColorCombine","ColorConvert","ColorCoverage","ColorData","ColorDataFunction","ColorDetect","ColorDistance","ColorFunction","ColorFunctionBinning","ColorFunctionScaling","Colorize","ColorNegate","ColorOutput","ColorProfileData","ColorQ","ColorQuantize","ColorReplace","ColorRules","ColorSelectorSettings","ColorSeparate","ColorSetter","ColorSetterBox","ColorSetterBoxOptions","ColorSlider","ColorsNear","ColorSpace","ColorToneMapping","Column","ColumnAlignments","ColumnBackgrounds","ColumnForm","ColumnLines","ColumnsEqual","ColumnSpacings","ColumnWidths","CombinatorB","CombinatorC","CombinatorI","CombinatorK","CombinatorS","CombinatorW","CombinatorY","CombinedEntityClass","CombinerFunction","CometData","CommonDefaultFormatTypes","Commonest","CommonestFilter","CommonName","CommonUnits","CommunityBoundaryStyle","CommunityGraphPlot","CommunityLabels","CommunityRegionStyle","CompanyData","CompatibleUnitQ","CompilationOptions","CompilationTarget","Compile","Compiled","CompiledCodeFunction","CompiledComponent","CompiledExpressionDeclaration","CompiledFunction","CompiledLayer","CompilerCallback","CompilerEnvironment","CompilerEnvironmentAppend","CompilerEnvironmentAppendTo","CompilerEnvironmentObject","CompilerOptions","Complement","ComplementedEntityClass","CompleteGraph","CompleteGraphQ","CompleteIntegral","CompleteKaryTree","CompletionsListPacket","Complex","ComplexArrayPlot","ComplexContourPlot","Complexes","ComplexExpand","ComplexInfinity","ComplexityFunction","ComplexListPlot","ComplexPlot","ComplexPlot3D","ComplexRegionPlot","ComplexStreamPlot","ComplexVectorPlot","ComponentMeasurements","ComponentwiseContextMenu","Compose","ComposeList","ComposeSeries","CompositeQ","Composition","CompoundElement","CompoundExpression","CompoundPoissonDistribution","CompoundPoissonProcess","CompoundRenewalProcess","Compress","CompressedData","CompressionLevel","ComputeUncertainty","ConcaveHullMesh","Condition","ConditionalExpression","Conditioned","Cone","ConeBox","ConfidenceLevel","ConfidenceRange","ConfidenceTransform","ConfigurationPath","Confirm","ConfirmAssert","ConfirmBy","ConfirmMatch","ConfirmQuiet","ConformationMethod","ConformAudio","ConformImages","Congruent","ConicGradientFilling","ConicHullRegion","ConicHullRegion3DBox","ConicHullRegion3DBoxOptions","ConicHullRegionBox","ConicHullRegionBoxOptions","ConicOptimization","Conjugate","ConjugateTranspose","Conjunction","Connect","ConnectedComponents","ConnectedGraphComponents","ConnectedGraphQ","ConnectedMeshComponents","ConnectedMoleculeComponents","ConnectedMoleculeQ","ConnectionSettings","ConnectLibraryCallbackFunction","ConnectSystemModelComponents","ConnectSystemModelController","ConnesWindow","ConoverTest","ConservativeConvectionPDETerm","ConsoleMessage","Constant","ConstantArray","ConstantArrayLayer","ConstantImage","ConstantPlusLayer","ConstantRegionQ","Constants","ConstantTimesLayer","ConstellationData","ConstrainedMax","ConstrainedMin","Construct","Containing","ContainsAll","ContainsAny","ContainsExactly","ContainsNone","ContainsOnly","ContentDetectorFunction","ContentFieldOptions","ContentLocationFunction","ContentObject","ContentPadding","ContentsBoundingBox","ContentSelectable","ContentSize","Context","ContextMenu","Contexts","ContextToFileName","Continuation","Continue","ContinuedFraction","ContinuedFractionK","ContinuousAction","ContinuousMarkovProcess","ContinuousTask","ContinuousTimeModelQ","ContinuousWaveletData","ContinuousWaveletTransform","ContourDetect","ContourGraphics","ContourIntegral","ContourLabels","ContourLines","ContourPlot","ContourPlot3D","Contours","ContourShading","ContourSmoothing","ContourStyle","ContraharmonicMean","ContrastiveLossLayer","Control","ControlActive","ControlAlignment","ControlGroupContentsBox","ControllabilityGramian","ControllabilityMatrix","ControllableDecomposition","ControllableModelQ","ControllerDuration","ControllerInformation","ControllerInformationData","ControllerLinking","ControllerManipulate","ControllerMethod","ControllerPath","ControllerState","ControlPlacement","ControlsRendering","ControlType","ConvectionPDETerm","Convergents","ConversionOptions","ConversionRules","ConvertToPostScript","ConvertToPostScriptPacket","ConvexHullMesh","ConvexHullRegion","ConvexOptimization","ConvexPolygonQ","ConvexPolyhedronQ","ConvexRegionQ","ConvolutionLayer","Convolve","ConwayGroupCo1","ConwayGroupCo2","ConwayGroupCo3","CookieFunction","Cookies","CoordinateBoundingBox","CoordinateBoundingBoxArray","CoordinateBounds","CoordinateBoundsArray","CoordinateChartData","CoordinatesToolOptions","CoordinateTransform","CoordinateTransformData","CoplanarPoints","CoprimeQ","Coproduct","CopulaDistribution","Copyable","CopyDatabin","CopyDirectory","CopyFile","CopyFunction","CopyTag","CopyToClipboard","CoreNilpotentDecomposition","CornerFilter","CornerNeighbors","Correlation","CorrelationDistance","CorrelationFunction","CorrelationTest","Cos","Cosh","CoshIntegral","CosineDistance","CosineWindow","CosIntegral","Cot","Coth","CoulombF","CoulombG","CoulombH1","CoulombH2","Count","CountDistinct","CountDistinctBy","CounterAssignments","CounterBox","CounterBoxOptions","CounterClockwiseContourIntegral","CounterEvaluator","CounterFunction","CounterIncrements","CounterStyle","CounterStyleMenuListing","CountRoots","CountryData","Counts","CountsBy","Covariance","CovarianceEstimatorFunction","CovarianceFunction","CoxianDistribution","CoxIngersollRossProcess","CoxModel","CoxModelFit","CramerVonMisesTest","CreateArchive","CreateCellID","CreateChannel","CreateCloudExpression","CreateCompilerEnvironment","CreateDatabin","CreateDataStructure","CreateDataSystemModel","CreateDialog","CreateDirectory","CreateDocument","CreateFile","CreateIntermediateDirectories","CreateLicenseEntitlement","CreateManagedLibraryExpression","CreateNotebook","CreatePacletArchive","CreatePalette","CreatePermissionsGroup","CreateScheduledTask","CreateSearchIndex","CreateSystemModel","CreateTemporary","CreateTypeInstance","CreateUUID","CreateWindow","CriterionFunction","CriticalityFailureImportance","CriticalitySuccessImportance","CriticalSection","Cross","CrossEntropyLossLayer","CrossingCount","CrossingDetect","CrossingPolygon","CrossMatrix","Csc","Csch","CSGRegion","CSGRegionQ","CSGRegionTree","CTCLossLayer","Cube","CubeRoot","Cubics","Cuboid","CuboidBox","CuboidBoxOptions","Cumulant","CumulantGeneratingFunction","CumulativeFeatureImpactPlot","Cup","CupCap","Curl","CurlyDoubleQuote","CurlyQuote","CurrencyConvert","CurrentDate","CurrentImage","CurrentNotebookImage","CurrentScreenImage","CurrentValue","Curry","CurryApplied","CurvatureFlowFilter","CurveClosed","Cyan","CycleGraph","CycleIndexPolynomial","Cycles","CyclicGroup","Cyclotomic","Cylinder","CylinderBox","CylinderBoxOptions","CylindricalDecomposition","CylindricalDecompositionFunction","D","DagumDistribution","DamData","DamerauLevenshteinDistance","DampingFactor","Darker","Dashed","Dashing","DatabaseConnect","DatabaseDisconnect","DatabaseReference","Databin","DatabinAdd","DatabinRemove","Databins","DatabinSubmit","DatabinUpload","DataCompression","DataDistribution","DataRange","DataReversed","Dataset","DatasetDisplayPanel","DatasetTheme","DataStructure","DataStructureQ","Date","DateBounds","Dated","DateDelimiters","DateDifference","DatedUnit","DateFormat","DateFunction","DateGranularity","DateHistogram","DateInterval","DateList","DateListLogPlot","DateListPlot","DateListStepPlot","DateObject","DateObjectQ","DateOverlapsQ","DatePattern","DatePlus","DateRange","DateReduction","DateScale","DateSelect","DateString","DateTicksFormat","DateValue","DateWithinQ","DaubechiesWavelet","DavisDistribution","DawsonF","DayCount","DayCountConvention","DayHemisphere","DaylightQ","DayMatchQ","DayName","DayNightTerminator","DayPlus","DayRange","DayRound","DeBruijnGraph","DeBruijnSequence","Debug","DebugTag","Decapitalize","Decimal","DecimalForm","DeclareCompiledComponent","DeclareKnownSymbols","DeclarePackage","Decompose","DeconvolutionLayer","Decrement","Decrypt","DecryptFile","DedekindEta","DeepSpaceProbeData","Default","Default2DTool","Default3DTool","DefaultAttachedCellStyle","DefaultAxesStyle","DefaultBaseStyle","DefaultBoxStyle","DefaultButton","DefaultColor","DefaultControlPlacement","DefaultDockedCellStyle","DefaultDuplicateCellStyle","DefaultDuration","DefaultElement","DefaultFaceGridsStyle","DefaultFieldHintStyle","DefaultFont","DefaultFontProperties","DefaultFormatType","DefaultFrameStyle","DefaultFrameTicksStyle","DefaultGridLinesStyle","DefaultInlineFormatType","DefaultInputFormatType","DefaultLabelStyle","DefaultMenuStyle","DefaultNaturalLanguage","DefaultNewCellStyle","DefaultNewInlineCellStyle","DefaultNotebook","DefaultOptions","DefaultOutputFormatType","DefaultPrintPrecision","DefaultStyle","DefaultStyleDefinitions","DefaultTextFormatType","DefaultTextInlineFormatType","DefaultTicksStyle","DefaultTooltipStyle","DefaultValue","DefaultValues","Defer","DefineExternal","DefineInputStreamMethod","DefineOutputStreamMethod","DefineResourceFunction","Definition","Degree","DegreeCentrality","DegreeGraphDistribution","DegreeLexicographic","DegreeReverseLexicographic","DEigensystem","DEigenvalues","Deinitialization","Del","DelaunayMesh","Delayed","Deletable","Delete","DeleteAdjacentDuplicates","DeleteAnomalies","DeleteBorderComponents","DeleteCases","DeleteChannel","DeleteCloudExpression","DeleteContents","DeleteDirectory","DeleteDuplicates","DeleteDuplicatesBy","DeleteElements","DeleteFile","DeleteMissing","DeleteObject","DeletePermissionsKey","DeleteSearchIndex","DeleteSmallComponents","DeleteStopwords","DeleteWithContents","DeletionWarning","DelimitedArray","DelimitedSequence","Delimiter","DelimiterAutoMatching","DelimiterFlashTime","DelimiterMatching","Delimiters","DeliveryFunction","Dendrogram","Denominator","DensityGraphics","DensityHistogram","DensityPlot","DensityPlot3D","DependentVariables","Deploy","Deployed","Depth","DepthFirstScan","Derivative","DerivativeFilter","DerivativePDETerm","DerivedKey","DescriptorStateSpace","DesignMatrix","DestroyAfterEvaluation","Det","DeviceClose","DeviceConfigure","DeviceExecute","DeviceExecuteAsynchronous","DeviceObject","DeviceOpen","DeviceOpenQ","DeviceRead","DeviceReadBuffer","DeviceReadLatest","DeviceReadList","DeviceReadTimeSeries","Devices","DeviceStreams","DeviceWrite","DeviceWriteBuffer","DGaussianWavelet","DiacriticalPositioning","Diagonal","DiagonalizableMatrixQ","DiagonalMatrix","DiagonalMatrixQ","Dialog","DialogIndent","DialogInput","DialogLevel","DialogNotebook","DialogProlog","DialogReturn","DialogSymbols","Diamond","DiamondMatrix","DiceDissimilarity","DictionaryLookup","DictionaryWordQ","DifferenceDelta","DifferenceOrder","DifferenceQuotient","DifferenceRoot","DifferenceRootReduce","Differences","DifferentialD","DifferentialRoot","DifferentialRootReduce","DifferentiatorFilter","DiffusionPDETerm","DiggleGatesPointProcess","DiggleGrattonPointProcess","DigitalSignature","DigitBlock","DigitBlockMinimum","DigitCharacter","DigitCount","DigitQ","DihedralAngle","DihedralGroup","Dilation","DimensionalCombinations","DimensionalMeshComponents","DimensionReduce","DimensionReducerFunction","DimensionReduction","Dimensions","DiracComb","DiracDelta","DirectedEdge","DirectedEdges","DirectedGraph","DirectedGraphQ","DirectedInfinity","Direction","DirectionalLight","Directive","Directory","DirectoryName","DirectoryQ","DirectoryStack","DirichletBeta","DirichletCharacter","DirichletCondition","DirichletConvolve","DirichletDistribution","DirichletEta","DirichletL","DirichletLambda","DirichletTransform","DirichletWindow","DisableConsolePrintPacket","DisableFormatting","DiscreteAsymptotic","DiscreteChirpZTransform","DiscreteConvolve","DiscreteDelta","DiscreteHadamardTransform","DiscreteIndicator","DiscreteInputOutputModel","DiscreteLimit","DiscreteLQEstimatorGains","DiscreteLQRegulatorGains","DiscreteLyapunovSolve","DiscreteMarkovProcess","DiscreteMaxLimit","DiscreteMinLimit","DiscretePlot","DiscretePlot3D","DiscreteRatio","DiscreteRiccatiSolve","DiscreteShift","DiscreteTimeModelQ","DiscreteUniformDistribution","DiscreteVariables","DiscreteWaveletData","DiscreteWaveletPacketTransform","DiscreteWaveletTransform","DiscretizeGraphics","DiscretizeRegion","Discriminant","DisjointQ","Disjunction","Disk","DiskBox","DiskBoxOptions","DiskMatrix","DiskSegment","Dispatch","DispatchQ","DispersionEstimatorFunction","Display","DisplayAllSteps","DisplayEndPacket","DisplayForm","DisplayFunction","DisplayPacket","DisplayRules","DisplayString","DisplayTemporary","DisplayWith","DisplayWithRef","DisplayWithVariable","DistanceFunction","DistanceMatrix","DistanceTransform","Distribute","Distributed","DistributedContexts","DistributeDefinitions","DistributionChart","DistributionDomain","DistributionFitTest","DistributionParameterAssumptions","DistributionParameterQ","Dithering","Div","Divergence","Divide","DivideBy","Dividers","DivideSides","Divisible","Divisors","DivisorSigma","DivisorSum","DMSList","DMSString","Do","DockedCell","DockedCells","DocumentGenerator","DocumentGeneratorInformation","DocumentGeneratorInformationData","DocumentGenerators","DocumentNotebook","DocumentWeightingRules","Dodecahedron","DomainRegistrationInformation","DominantColors","DominatorTreeGraph","DominatorVertexList","DOSTextFormat","Dot","DotDashed","DotEqual","DotLayer","DotPlusLayer","Dotted","DoubleBracketingBar","DoubleContourIntegral","DoubleDownArrow","DoubleLeftArrow","DoubleLeftRightArrow","DoubleLeftTee","DoubleLongLeftArrow","DoubleLongLeftRightArrow","DoubleLongRightArrow","DoubleRightArrow","DoubleRightTee","DoubleUpArrow","DoubleUpDownArrow","DoubleVerticalBar","DoublyInfinite","Down","DownArrow","DownArrowBar","DownArrowUpArrow","DownLeftRightVector","DownLeftTeeVector","DownLeftVector","DownLeftVectorBar","DownRightTeeVector","DownRightVector","DownRightVectorBar","Downsample","DownTee","DownTeeArrow","DownValues","DownValuesFunction","DragAndDrop","DrawBackFaces","DrawEdges","DrawFrontFaces","DrawHighlighted","DrazinInverse","Drop","DropoutLayer","DropShadowing","DSolve","DSolveChangeVariables","DSolveValue","Dt","DualLinearProgramming","DualPlanarGraph","DualPolyhedron","DualSystemsModel","DumpGet","DumpSave","DuplicateFreeQ","Duration","Dynamic","DynamicBox","DynamicBoxOptions","DynamicEvaluationTimeout","DynamicGeoGraphics","DynamicImage","DynamicLocation","DynamicModule","DynamicModuleBox","DynamicModuleBoxOptions","DynamicModuleParent","DynamicModuleValues","DynamicName","DynamicNamespace","DynamicReference","DynamicSetting","DynamicUpdating","DynamicWrapper","DynamicWrapperBox","DynamicWrapperBoxOptions","E","EarthImpactData","EarthquakeData","EccentricityCentrality","Echo","EchoEvaluation","EchoFunction","EchoLabel","EchoTiming","EclipseType","EdgeAdd","EdgeBetweennessCentrality","EdgeCapacity","EdgeCapForm","EdgeChromaticNumber","EdgeColor","EdgeConnectivity","EdgeContract","EdgeCost","EdgeCount","EdgeCoverQ","EdgeCycleMatrix","EdgeDashing","EdgeDelete","EdgeDetect","EdgeForm","EdgeIndex","EdgeJoinForm","EdgeLabeling","EdgeLabels","EdgeLabelStyle","EdgeList","EdgeOpacity","EdgeQ","EdgeRenderingFunction","EdgeRules","EdgeShapeFunction","EdgeStyle","EdgeTaggedGraph","EdgeTaggedGraphQ","EdgeTags","EdgeThickness","EdgeTransitiveGraphQ","EdgeValueRange","EdgeValueSizes","EdgeWeight","EdgeWeightedGraphQ","Editable","EditButtonSettings","EditCellTagsSettings","EditDistance","EffectiveInterest","Eigensystem","Eigenvalues","EigenvectorCentrality","Eigenvectors","Element","ElementData","ElementwiseLayer","ElidedForms","Eliminate","EliminationOrder","Ellipsoid","EllipticE","EllipticExp","EllipticExpPrime","EllipticF","EllipticFilterModel","EllipticK","EllipticLog","EllipticNomeQ","EllipticPi","EllipticReducedHalfPeriods","EllipticTheta","EllipticThetaPrime","EmbedCode","EmbeddedHTML","EmbeddedService","EmbeddedSQLEntityClass","EmbeddedSQLExpression","EmbeddingLayer","EmbeddingObject","EmitSound","EmphasizeSyntaxErrors","EmpiricalDistribution","Empty","EmptyGraphQ","EmptyRegion","EmptySpaceF","EnableConsolePrintPacket","Enabled","Enclose","Encode","Encrypt","EncryptedObject","EncryptFile","End","EndAdd","EndDialogPacket","EndOfBuffer","EndOfFile","EndOfLine","EndOfString","EndPackage","EngineEnvironment","EngineeringForm","Enter","EnterExpressionPacket","EnterTextPacket","Entity","EntityClass","EntityClassList","EntityCopies","EntityFunction","EntityGroup","EntityInstance","EntityList","EntityPrefetch","EntityProperties","EntityProperty","EntityPropertyClass","EntityRegister","EntityStore","EntityStores","EntityTypeName","EntityUnregister","EntityValue","Entropy","EntropyFilter","Environment","Epilog","EpilogFunction","Equal","EqualColumns","EqualRows","EqualTilde","EqualTo","EquatedTo","Equilibrium","EquirippleFilterKernel","Equivalent","Erf","Erfc","Erfi","ErlangB","ErlangC","ErlangDistribution","Erosion","ErrorBox","ErrorBoxOptions","ErrorNorm","ErrorPacket","ErrorsDialogSettings","EscapeRadius","EstimatedBackground","EstimatedDistribution","EstimatedPointNormals","EstimatedPointProcess","EstimatedProcess","EstimatedVariogramModel","EstimatorGains","EstimatorRegulator","EuclideanDistance","EulerAngles","EulerCharacteristic","EulerE","EulerGamma","EulerianGraphQ","EulerMatrix","EulerPhi","Evaluatable","Evaluate","Evaluated","EvaluatePacket","EvaluateScheduledTask","EvaluationBox","EvaluationCell","EvaluationCompletionAction","EvaluationData","EvaluationElements","EvaluationEnvironment","EvaluationMode","EvaluationMonitor","EvaluationNotebook","EvaluationObject","EvaluationOrder","EvaluationPrivileges","EvaluationRateLimit","Evaluator","EvaluatorNames","EvenQ","EventData","EventEvaluator","EventHandler","EventHandlerTag","EventLabels","EventSeries","ExactBlackmanWindow","ExactNumberQ","ExactRootIsolation","ExampleData","Except","ExcludedContexts","ExcludedForms","ExcludedLines","ExcludedPhysicalQuantities","ExcludePods","Exclusions","ExclusionsStyle","Exists","Exit","ExitDialog","ExoplanetData","Exp","Expand","ExpandAll","ExpandDenominator","ExpandFileName","ExpandNumerator","Expectation","ExpectationE","ExpectedValue","ExpGammaDistribution","ExpIntegralE","ExpIntegralEi","ExpirationDate","Exponent","ExponentFunction","ExponentialDistribution","ExponentialFamily","ExponentialGeneratingFunction","ExponentialMovingAverage","ExponentialPowerDistribution","ExponentPosition","ExponentStep","Export","ExportAutoReplacements","ExportByteArray","ExportForm","ExportPacket","ExportString","Expression","ExpressionCell","ExpressionGraph","ExpressionPacket","ExpressionTree","ExpressionUUID","ExpToTrig","ExtendedEntityClass","ExtendedGCD","Extension","ExtentElementFunction","ExtentMarkers","ExtentSize","ExternalBundle","ExternalCall","ExternalDataCharacterEncoding","ExternalEvaluate","ExternalFunction","ExternalFunctionName","ExternalIdentifier","ExternalObject","ExternalOptions","ExternalSessionObject","ExternalSessions","ExternalStorageBase","ExternalStorageDownload","ExternalStorageGet","ExternalStorageObject","ExternalStoragePut","ExternalStorageUpload","ExternalTypeSignature","ExternalValue","Extract","ExtractArchive","ExtractLayer","ExtractPacletArchive","ExtremeValueDistribution","FaceAlign","FaceForm","FaceGrids","FaceGridsStyle","FaceRecognize","FacialFeatures","Factor","FactorComplete","Factorial","Factorial2","FactorialMoment","FactorialMomentGeneratingFunction","FactorialPower","FactorInteger","FactorList","FactorSquareFree","FactorSquareFreeList","FactorTerms","FactorTermsList","Fail","Failure","FailureAction","FailureDistribution","FailureQ","False","FareySequence","FARIMAProcess","FeatureDistance","FeatureExtract","FeatureExtraction","FeatureExtractor","FeatureExtractorFunction","FeatureImpactPlot","FeatureNames","FeatureNearest","FeatureSpacePlot","FeatureSpacePlot3D","FeatureTypes","FeatureValueDependencyPlot","FeatureValueImpactPlot","FEDisableConsolePrintPacket","FeedbackLinearize","FeedbackSector","FeedbackSectorStyle","FeedbackType","FEEnableConsolePrintPacket","FetalGrowthData","Fibonacci","Fibonorial","FieldCompletionFunction","FieldHint","FieldHintStyle","FieldMasked","FieldSize","File","FileBaseName","FileByteCount","FileConvert","FileDate","FileExistsQ","FileExtension","FileFormat","FileFormatProperties","FileFormatQ","FileHandler","FileHash","FileInformation","FileName","FileNameDepth","FileNameDialogSettings","FileNameDrop","FileNameForms","FileNameJoin","FileNames","FileNameSetter","FileNameSplit","FileNameTake","FileNameToFormatList","FilePrint","FileSize","FileSystemMap","FileSystemScan","FileSystemTree","FileTemplate","FileTemplateApply","FileType","FilledCurve","FilledCurveBox","FilledCurveBoxOptions","FilledTorus","FillForm","Filling","FillingStyle","FillingTransform","FilteredEntityClass","FilterRules","FinancialBond","FinancialData","FinancialDerivative","FinancialIndicator","Find","FindAnomalies","FindArgMax","FindArgMin","FindChannels","FindClique","FindClusters","FindCookies","FindCurvePath","FindCycle","FindDevices","FindDistribution","FindDistributionParameters","FindDivisions","FindEdgeColoring","FindEdgeCover","FindEdgeCut","FindEdgeIndependentPaths","FindEquationalProof","FindEulerianCycle","FindExternalEvaluators","FindFaces","FindFile","FindFit","FindFormula","FindFundamentalCycles","FindGeneratingFunction","FindGeoLocation","FindGeometricConjectures","FindGeometricTransform","FindGraphCommunities","FindGraphIsomorphism","FindGraphPartition","FindHamiltonianCycle","FindHamiltonianPath","FindHiddenMarkovStates","FindImageText","FindIndependentEdgeSet","FindIndependentVertexSet","FindInstance","FindIntegerNullVector","FindIsomers","FindIsomorphicSubgraph","FindKClan","FindKClique","FindKClub","FindKPlex","FindLibrary","FindLinearRecurrence","FindList","FindMatchingColor","FindMaximum","FindMaximumCut","FindMaximumFlow","FindMaxValue","FindMeshDefects","FindMinimum","FindMinimumCostFlow","FindMinimumCut","FindMinValue","FindMoleculeSubstructure","FindPath","FindPeaks","FindPermutation","FindPlanarColoring","FindPointProcessParameters","FindPostmanTour","FindProcessParameters","FindRegionTransform","FindRepeat","FindRoot","FindSequenceFunction","FindSettings","FindShortestPath","FindShortestTour","FindSpanningTree","FindSubgraphIsomorphism","FindSystemModelEquilibrium","FindTextualAnswer","FindThreshold","FindTransientRepeat","FindVertexColoring","FindVertexCover","FindVertexCut","FindVertexIndependentPaths","Fine","FinishDynamic","FiniteAbelianGroupCount","FiniteGroupCount","FiniteGroupData","First","FirstCase","FirstPassageTimeDistribution","FirstPosition","FischerGroupFi22","FischerGroupFi23","FischerGroupFi24Prime","FisherHypergeometricDistribution","FisherRatioTest","FisherZDistribution","Fit","FitAll","FitRegularization","FittedModel","FixedOrder","FixedPoint","FixedPointList","FlashSelection","Flat","FlatShading","Flatten","FlattenAt","FlattenLayer","FlatTopWindow","FlightData","FlipView","Floor","FlowPolynomial","Fold","FoldList","FoldPair","FoldPairList","FoldWhile","FoldWhileList","FollowRedirects","Font","FontColor","FontFamily","FontForm","FontName","FontOpacity","FontPostScriptName","FontProperties","FontReencoding","FontSize","FontSlant","FontSubstitutions","FontTracking","FontVariations","FontWeight","For","ForAll","ForAllType","ForceVersionInstall","Format","FormatRules","FormatType","FormatTypeAutoConvert","FormatValues","FormBox","FormBoxOptions","FormControl","FormFunction","FormLayoutFunction","FormObject","FormPage","FormProtectionMethod","FormTheme","FormulaData","FormulaLookup","FortranForm","Forward","ForwardBackward","ForwardCloudCredentials","Fourier","FourierCoefficient","FourierCosCoefficient","FourierCosSeries","FourierCosTransform","FourierDCT","FourierDCTFilter","FourierDCTMatrix","FourierDST","FourierDSTMatrix","FourierMatrix","FourierParameters","FourierSequenceTransform","FourierSeries","FourierSinCoefficient","FourierSinSeries","FourierSinTransform","FourierTransform","FourierTrigSeries","FoxH","FoxHReduce","FractionalBrownianMotionProcess","FractionalD","FractionalGaussianNoiseProcess","FractionalPart","FractionBox","FractionBoxOptions","FractionLine","Frame","FrameBox","FrameBoxOptions","Framed","FrameInset","FrameLabel","Frameless","FrameListVideo","FrameMargins","FrameRate","FrameStyle","FrameTicks","FrameTicksStyle","FRatioDistribution","FrechetDistribution","FreeQ","FrenetSerretSystem","FrequencySamplingFilterKernel","FresnelC","FresnelF","FresnelG","FresnelS","Friday","FrobeniusNumber","FrobeniusSolve","FromAbsoluteTime","FromCharacterCode","FromCoefficientRules","FromContinuedFraction","FromDate","FromDateString","FromDigits","FromDMS","FromEntity","FromJulianDate","FromLetterNumber","FromPolarCoordinates","FromRawPointer","FromRomanNumeral","FromSphericalCoordinates","FromUnixTime","Front","FrontEndDynamicExpression","FrontEndEventActions","FrontEndExecute","FrontEndObject","FrontEndResource","FrontEndResourceString","FrontEndStackSize","FrontEndToken","FrontEndTokenExecute","FrontEndValueCache","FrontEndVersion","FrontFaceColor","FrontFaceGlowColor","FrontFaceOpacity","FrontFaceSpecularColor","FrontFaceSpecularExponent","FrontFaceSurfaceAppearance","FrontFaceTexture","Full","FullAxes","FullDefinition","FullForm","FullGraphics","FullInformationOutputRegulator","FullOptions","FullRegion","FullSimplify","Function","FunctionAnalytic","FunctionBijective","FunctionCompile","FunctionCompileExport","FunctionCompileExportByteArray","FunctionCompileExportLibrary","FunctionCompileExportString","FunctionContinuous","FunctionConvexity","FunctionDeclaration","FunctionDiscontinuities","FunctionDomain","FunctionExpand","FunctionInjective","FunctionInterpolation","FunctionLayer","FunctionMeromorphic","FunctionMonotonicity","FunctionPeriod","FunctionPoles","FunctionRange","FunctionSign","FunctionSingularities","FunctionSpace","FunctionSurjective","FussellVeselyImportance","GaborFilter","GaborMatrix","GaborWavelet","GainMargins","GainPhaseMargins","GalaxyData","GalleryView","Gamma","GammaDistribution","GammaRegularized","GapPenalty","GARCHProcess","GatedRecurrentLayer","Gather","GatherBy","GaugeFaceElementFunction","GaugeFaceStyle","GaugeFrameElementFunction","GaugeFrameSize","GaugeFrameStyle","GaugeLabels","GaugeMarkers","GaugeStyle","GaussianFilter","GaussianIntegers","GaussianMatrix","GaussianOrthogonalMatrixDistribution","GaussianSymplecticMatrixDistribution","GaussianUnitaryMatrixDistribution","GaussianWindow","GCD","GegenbauerC","General","GeneralizedLinearModelFit","GenerateAsymmetricKeyPair","GenerateConditions","GeneratedAssetFormat","GeneratedAssetLocation","GeneratedCell","GeneratedCellStyles","GeneratedDocumentBinding","GenerateDerivedKey","GenerateDigitalSignature","GenerateDocument","GeneratedParameters","GeneratedQuantityMagnitudes","GenerateFileSignature","GenerateHTTPResponse","GenerateSecuredAuthenticationKey","GenerateSymmetricKey","GeneratingFunction","GeneratorDescription","GeneratorHistoryLength","GeneratorOutputType","Generic","GenericCylindricalDecomposition","GenomeData","GenomeLookup","GeoAntipode","GeoArea","GeoArraySize","GeoBackground","GeoBoundary","GeoBoundingBox","GeoBounds","GeoBoundsRegion","GeoBoundsRegionBoundary","GeoBubbleChart","GeoCenter","GeoCircle","GeoContourPlot","GeoDensityPlot","GeodesicClosing","GeodesicDilation","GeodesicErosion","GeodesicOpening","GeodesicPolyhedron","GeoDestination","GeodesyData","GeoDirection","GeoDisk","GeoDisplacement","GeoDistance","GeoDistanceList","GeoElevationData","GeoEntities","GeoGraphics","GeoGraphPlot","GeoGraphValuePlot","GeogravityModelData","GeoGridDirectionDifference","GeoGridLines","GeoGridLinesStyle","GeoGridPosition","GeoGridRange","GeoGridRangePadding","GeoGridUnitArea","GeoGridUnitDistance","GeoGridVector","GeoGroup","GeoHemisphere","GeoHemisphereBoundary","GeoHistogram","GeoIdentify","GeoImage","GeoLabels","GeoLength","GeoListPlot","GeoLocation","GeologicalPeriodData","GeomagneticModelData","GeoMarker","GeometricAssertion","GeometricBrownianMotionProcess","GeometricDistribution","GeometricMean","GeometricMeanFilter","GeometricOptimization","GeometricScene","GeometricStep","GeometricStylingRules","GeometricTest","GeometricTransformation","GeometricTransformation3DBox","GeometricTransformation3DBoxOptions","GeometricTransformationBox","GeometricTransformationBoxOptions","GeoModel","GeoNearest","GeoOrientationData","GeoPath","GeoPolygon","GeoPosition","GeoPositionENU","GeoPositionXYZ","GeoProjection","GeoProjectionData","GeoRange","GeoRangePadding","GeoRegionValuePlot","GeoResolution","GeoScaleBar","GeoServer","GeoSmoothHistogram","GeoStreamPlot","GeoStyling","GeoStylingImageFunction","GeoVariant","GeoVector","GeoVectorENU","GeoVectorPlot","GeoVectorXYZ","GeoVisibleRegion","GeoVisibleRegionBoundary","GeoWithinQ","GeoZoomLevel","GestureHandler","GestureHandlerTag","Get","GetContext","GetEnvironment","GetFileName","GetLinebreakInformationPacket","GibbsPointProcess","Glaisher","GlobalClusteringCoefficient","GlobalPreferences","GlobalSession","Glow","GoldenAngle","GoldenRatio","GompertzMakehamDistribution","GoochShading","GoodmanKruskalGamma","GoodmanKruskalGammaTest","Goto","GouraudShading","Grad","Gradient","GradientFilter","GradientFittedMesh","GradientOrientationFilter","GrammarApply","GrammarRules","GrammarToken","Graph","Graph3D","GraphAssortativity","GraphAutomorphismGroup","GraphCenter","GraphComplement","GraphData","GraphDensity","GraphDiameter","GraphDifference","GraphDisjointUnion","GraphDistance","GraphDistanceMatrix","GraphEmbedding","GraphHighlight","GraphHighlightStyle","GraphHub","Graphics","Graphics3D","Graphics3DBox","Graphics3DBoxOptions","GraphicsArray","GraphicsBaseline","GraphicsBox","GraphicsBoxOptions","GraphicsColor","GraphicsColumn","GraphicsComplex","GraphicsComplex3DBox","GraphicsComplex3DBoxOptions","GraphicsComplexBox","GraphicsComplexBoxOptions","GraphicsContents","GraphicsData","GraphicsGrid","GraphicsGridBox","GraphicsGroup","GraphicsGroup3DBox","GraphicsGroup3DBoxOptions","GraphicsGroupBox","GraphicsGroupBoxOptions","GraphicsGrouping","GraphicsHighlightColor","GraphicsRow","GraphicsSpacing","GraphicsStyle","GraphIntersection","GraphJoin","GraphLayerLabels","GraphLayers","GraphLayerStyle","GraphLayout","GraphLinkEfficiency","GraphPeriphery","GraphPlot","GraphPlot3D","GraphPower","GraphProduct","GraphPropertyDistribution","GraphQ","GraphRadius","GraphReciprocity","GraphRoot","GraphStyle","GraphSum","GraphTree","GraphUnion","Gray","GrayLevel","Greater","GreaterEqual","GreaterEqualLess","GreaterEqualThan","GreaterFullEqual","GreaterGreater","GreaterLess","GreaterSlantEqual","GreaterThan","GreaterTilde","GreekStyle","Green","GreenFunction","Grid","GridBaseline","GridBox","GridBoxAlignment","GridBoxBackground","GridBoxDividers","GridBoxFrame","GridBoxItemSize","GridBoxItemStyle","GridBoxOptions","GridBoxSpacings","GridCreationSettings","GridDefaultElement","GridElementStyleOptions","GridFrame","GridFrameMargins","GridGraph","GridLines","GridLinesStyle","GridVideo","GroebnerBasis","GroupActionBase","GroupBy","GroupCentralizer","GroupElementFromWord","GroupElementPosition","GroupElementQ","GroupElements","GroupElementToWord","GroupGenerators","Groupings","GroupMultiplicationTable","GroupOpenerColor","GroupOpenerInsideFrame","GroupOrbits","GroupOrder","GroupPageBreakWithin","GroupSetwiseStabilizer","GroupStabilizer","GroupStabilizerChain","GroupTogetherGrouping","GroupTogetherNestedGrouping","GrowCutComponents","Gudermannian","GuidedFilter","GumbelDistribution","HaarWavelet","HadamardMatrix","HalfLine","HalfNormalDistribution","HalfPlane","HalfSpace","HalftoneShading","HamiltonianGraphQ","HammingDistance","HammingWindow","HandlerFunctions","HandlerFunctionsKeys","HankelH1","HankelH2","HankelMatrix","HankelTransform","HannPoissonWindow","HannWindow","HaradaNortonGroupHN","HararyGraph","HardcorePointProcess","HarmonicMean","HarmonicMeanFilter","HarmonicNumber","Hash","HatchFilling","HatchShading","Haversine","HazardFunction","Head","HeadCompose","HeaderAlignment","HeaderBackground","HeaderDisplayFunction","HeaderLines","Headers","HeaderSize","HeaderStyle","Heads","HeatFluxValue","HeatInsulationValue","HeatOutflowValue","HeatRadiationValue","HeatSymmetryValue","HeatTemperatureCondition","HeatTransferPDEComponent","HeatTransferValue","HeavisideLambda","HeavisidePi","HeavisideTheta","HeldGroupHe","HeldPart","HelmholtzPDEComponent","HelpBrowserLookup","HelpBrowserNotebook","HelpBrowserSettings","HelpViewerSettings","Here","HermiteDecomposition","HermiteH","Hermitian","HermitianMatrixQ","HessenbergDecomposition","Hessian","HeunB","HeunBPrime","HeunC","HeunCPrime","HeunD","HeunDPrime","HeunG","HeunGPrime","HeunT","HeunTPrime","HexadecimalCharacter","Hexahedron","HexahedronBox","HexahedronBoxOptions","HiddenItems","HiddenMarkovProcess","HiddenSurface","Highlighted","HighlightGraph","HighlightImage","HighlightMesh","HighlightString","HighpassFilter","HigmanSimsGroupHS","HilbertCurve","HilbertFilter","HilbertMatrix","Histogram","Histogram3D","HistogramDistribution","HistogramList","HistogramPointDensity","HistogramTransform","HistogramTransformInterpolation","HistoricalPeriodData","HitMissTransform","HITSCentrality","HjorthDistribution","HodgeDual","HoeffdingD","HoeffdingDTest","Hold","HoldAll","HoldAllComplete","HoldComplete","HoldFirst","HoldForm","HoldPattern","HoldRest","HolidayCalendar","HomeDirectory","HomePage","Horizontal","HorizontalForm","HorizontalGauge","HorizontalScrollPosition","HornerForm","HostLookup","HotellingTSquareDistribution","HoytDistribution","HTMLSave","HTTPErrorResponse","HTTPRedirect","HTTPRequest","HTTPRequestData","HTTPResponse","Hue","HumanGrowthData","HumpDownHump","HumpEqual","HurwitzLerchPhi","HurwitzZeta","HyperbolicDistribution","HypercubeGraph","HyperexponentialDistribution","Hyperfactorial","Hypergeometric0F1","Hypergeometric0F1Regularized","Hypergeometric1F1","Hypergeometric1F1Regularized","Hypergeometric2F1","Hypergeometric2F1Regularized","HypergeometricDistribution","HypergeometricPFQ","HypergeometricPFQRegularized","HypergeometricU","Hyperlink","HyperlinkAction","HyperlinkCreationSettings","Hyperplane","Hyphenation","HyphenationOptions","HypoexponentialDistribution","HypothesisTestData","I","IconData","Iconize","IconizedObject","IconRules","Icosahedron","Identity","IdentityMatrix","If","IfCompiled","IgnoreCase","IgnoreDiacritics","IgnoreIsotopes","IgnorePunctuation","IgnoreSpellCheck","IgnoreStereochemistry","IgnoringInactive","Im","Image","Image3D","Image3DProjection","Image3DSlices","ImageAccumulate","ImageAdd","ImageAdjust","ImageAlign","ImageApply","ImageApplyIndexed","ImageAspectRatio","ImageAssemble","ImageAugmentationLayer","ImageBoundingBoxes","ImageCache","ImageCacheValid","ImageCapture","ImageCaptureFunction","ImageCases","ImageChannels","ImageClip","ImageCollage","ImageColorSpace","ImageCompose","ImageContainsQ","ImageContents","ImageConvolve","ImageCooccurrence","ImageCorners","ImageCorrelate","ImageCorrespondingPoints","ImageCrop","ImageData","ImageDeconvolve","ImageDemosaic","ImageDifference","ImageDimensions","ImageDisplacements","ImageDistance","ImageEditMode","ImageEffect","ImageExposureCombine","ImageFeatureTrack","ImageFileApply","ImageFileFilter","ImageFileScan","ImageFilter","ImageFocusCombine","ImageForestingComponents","ImageFormattingWidth","ImageForwardTransformation","ImageGraphics","ImageHistogram","ImageIdentify","ImageInstanceQ","ImageKeypoints","ImageLabels","ImageLegends","ImageLevels","ImageLines","ImageMargins","ImageMarker","ImageMarkers","ImageMeasurements","ImageMesh","ImageMultiply","ImageOffset","ImagePad","ImagePadding","ImagePartition","ImagePeriodogram","ImagePerspectiveTransformation","ImagePosition","ImagePreviewFunction","ImagePyramid","ImagePyramidApply","ImageQ","ImageRangeCache","ImageRecolor","ImageReflect","ImageRegion","ImageResize","ImageResolution","ImageRestyle","ImageRotate","ImageRotated","ImageSaliencyFilter","ImageScaled","ImageScan","ImageSize","ImageSizeAction","ImageSizeCache","ImageSizeMultipliers","ImageSizeRaw","ImageStitch","ImageSubtract","ImageTake","ImageTransformation","ImageTrim","ImageType","ImageValue","ImageValuePositions","ImageVectorscopePlot","ImageWaveformPlot","ImagingDevice","ImplicitD","ImplicitRegion","Implies","Import","ImportAutoReplacements","ImportByteArray","ImportedObject","ImportOptions","ImportString","ImprovementImportance","In","Inactivate","Inactive","InactiveStyle","IncidenceGraph","IncidenceList","IncidenceMatrix","IncludeAromaticBonds","IncludeConstantBasis","IncludedContexts","IncludeDefinitions","IncludeDirectories","IncludeFileExtension","IncludeGeneratorTasks","IncludeHydrogens","IncludeInflections","IncludeMetaInformation","IncludePods","IncludeQuantities","IncludeRelatedTables","IncludeSingularSolutions","IncludeSingularTerm","IncludeWindowTimes","Increment","IndefiniteMatrixQ","Indent","IndentingNewlineSpacings","IndentMaxFraction","IndependenceTest","IndependentEdgeSetQ","IndependentPhysicalQuantity","IndependentUnit","IndependentUnitDimension","IndependentVertexSetQ","Indeterminate","IndeterminateThreshold","IndexCreationOptions","Indexed","IndexEdgeTaggedGraph","IndexGraph","IndexTag","Inequality","InertEvaluate","InertExpression","InexactNumberQ","InexactNumbers","InfiniteFuture","InfiniteLine","InfiniteLineThrough","InfinitePast","InfinitePlane","Infinity","Infix","InflationAdjust","InflationMethod","Information","InformationData","InformationDataGrid","Inherited","InheritScope","InhomogeneousPoissonPointProcess","InhomogeneousPoissonProcess","InitialEvaluationHistory","Initialization","InitializationCell","InitializationCellEvaluation","InitializationCellWarning","InitializationObject","InitializationObjects","InitializationValue","Initialize","InitialSeeding","InlineCounterAssignments","InlineCounterIncrements","InlineRules","Inner","InnerPolygon","InnerPolyhedron","Inpaint","Input","InputAliases","InputAssumptions","InputAutoReplacements","InputField","InputFieldBox","InputFieldBoxOptions","InputForm","InputGrouping","InputNamePacket","InputNotebook","InputPacket","InputPorts","InputSettings","InputStream","InputString","InputStringPacket","InputToBoxFormPacket","Insert","InsertionFunction","InsertionPointObject","InsertLinebreaks","InsertResults","Inset","Inset3DBox","Inset3DBoxOptions","InsetBox","InsetBoxOptions","Insphere","Install","InstallService","InstanceNormalizationLayer","InString","Integer","IntegerDigits","IntegerExponent","IntegerLength","IntegerName","IntegerPart","IntegerPartitions","IntegerQ","IntegerReverse","Integers","IntegerString","Integral","Integrate","IntegrateChangeVariables","Interactive","InteractiveTradingChart","InterfaceSwitched","Interlaced","Interleaving","InternallyBalancedDecomposition","InterpolatingFunction","InterpolatingPolynomial","Interpolation","InterpolationOrder","InterpolationPoints","InterpolationPrecision","Interpretation","InterpretationBox","InterpretationBoxOptions","InterpretationFunction","Interpreter","InterpretTemplate","InterquartileRange","Interrupt","InterruptSettings","IntersectedEntityClass","IntersectingQ","Intersection","Interval","IntervalIntersection","IntervalMarkers","IntervalMarkersStyle","IntervalMemberQ","IntervalSlider","IntervalUnion","Into","Inverse","InverseBetaRegularized","InverseBilateralLaplaceTransform","InverseBilateralZTransform","InverseCDF","InverseChiSquareDistribution","InverseContinuousWaveletTransform","InverseDistanceTransform","InverseEllipticNomeQ","InverseErf","InverseErfc","InverseFourier","InverseFourierCosTransform","InverseFourierSequenceTransform","InverseFourierSinTransform","InverseFourierTransform","InverseFunction","InverseFunctions","InverseGammaDistribution","InverseGammaRegularized","InverseGaussianDistribution","InverseGudermannian","InverseHankelTransform","InverseHaversine","InverseImagePyramid","InverseJacobiCD","InverseJacobiCN","InverseJacobiCS","InverseJacobiDC","InverseJacobiDN","InverseJacobiDS","InverseJacobiNC","InverseJacobiND","InverseJacobiNS","InverseJacobiSC","InverseJacobiSD","InverseJacobiSN","InverseLaplaceTransform","InverseMellinTransform","InversePermutation","InverseRadon","InverseRadonTransform","InverseSeries","InverseShortTimeFourier","InverseSpectrogram","InverseSurvivalFunction","InverseTransformedRegion","InverseWaveletTransform","InverseWeierstrassP","InverseWishartMatrixDistribution","InverseZTransform","Invisible","InvisibleApplication","InvisibleTimes","IPAddress","IrreduciblePolynomialQ","IslandData","IsolatingInterval","IsomorphicGraphQ","IsomorphicSubgraphQ","IsotopeData","Italic","Item","ItemAspectRatio","ItemBox","ItemBoxOptions","ItemDisplayFunction","ItemSize","ItemStyle","ItoProcess","JaccardDissimilarity","JacobiAmplitude","Jacobian","JacobiCD","JacobiCN","JacobiCS","JacobiDC","JacobiDN","JacobiDS","JacobiEpsilon","JacobiNC","JacobiND","JacobiNS","JacobiP","JacobiSC","JacobiSD","JacobiSN","JacobiSymbol","JacobiZeta","JacobiZN","JankoGroupJ1","JankoGroupJ2","JankoGroupJ3","JankoGroupJ4","JarqueBeraALMTest","JohnsonDistribution","Join","JoinAcross","Joined","JoinedCurve","JoinedCurveBox","JoinedCurveBoxOptions","JoinForm","JordanDecomposition","JordanModelDecomposition","JulianDate","JuliaSetBoettcher","JuliaSetIterationCount","JuliaSetPlot","JuliaSetPoints","K","KagiChart","KaiserBesselWindow","KaiserWindow","KalmanEstimator","KalmanFilter","KarhunenLoeveDecomposition","KaryTree","KatzCentrality","KCoreComponents","KDistribution","KEdgeConnectedComponents","KEdgeConnectedGraphQ","KeepExistingVersion","KelvinBei","KelvinBer","KelvinKei","KelvinKer","KendallTau","KendallTauTest","KernelConfiguration","KernelExecute","KernelFunction","KernelMixtureDistribution","KernelObject","Kernels","Ket","Key","KeyCollisionFunction","KeyComplement","KeyDrop","KeyDropFrom","KeyExistsQ","KeyFreeQ","KeyIntersection","KeyMap","KeyMemberQ","KeypointStrength","Keys","KeySelect","KeySort","KeySortBy","KeyTake","KeyUnion","KeyValueMap","KeyValuePattern","Khinchin","KillProcess","KirchhoffGraph","KirchhoffMatrix","KleinInvariantJ","KnapsackSolve","KnightTourGraph","KnotData","KnownUnitQ","KochCurve","KolmogorovSmirnovTest","KroneckerDelta","KroneckerModelDecomposition","KroneckerProduct","KroneckerSymbol","KuiperTest","KumaraswamyDistribution","Kurtosis","KuwaharaFilter","KVertexConnectedComponents","KVertexConnectedGraphQ","LABColor","Label","Labeled","LabeledSlider","LabelingFunction","LabelingSize","LabelStyle","LabelVisibility","LaguerreL","LakeData","LambdaComponents","LambertW","LameC","LameCPrime","LameEigenvalueA","LameEigenvalueB","LameS","LameSPrime","LaminaData","LanczosWindow","LandauDistribution","Language","LanguageCategory","LanguageData","LanguageIdentify","LanguageOptions","LaplaceDistribution","LaplaceTransform","Laplacian","LaplacianFilter","LaplacianGaussianFilter","LaplacianPDETerm","Large","Larger","Last","Latitude","LatitudeLongitude","LatticeData","LatticeReduce","Launch","LaunchKernels","LayeredGraphPlot","LayeredGraphPlot3D","LayerSizeFunction","LayoutInformation","LCHColor","LCM","LeaderSize","LeafCount","LeapVariant","LeapYearQ","LearnDistribution","LearnedDistribution","LearningRate","LearningRateMultipliers","LeastSquares","LeastSquaresFilterKernel","Left","LeftArrow","LeftArrowBar","LeftArrowRightArrow","LeftDownTeeVector","LeftDownVector","LeftDownVectorBar","LeftRightArrow","LeftRightVector","LeftTee","LeftTeeArrow","LeftTeeVector","LeftTriangle","LeftTriangleBar","LeftTriangleEqual","LeftUpDownVector","LeftUpTeeVector","LeftUpVector","LeftUpVectorBar","LeftVector","LeftVectorBar","LegendAppearance","Legended","LegendFunction","LegendLabel","LegendLayout","LegendMargins","LegendMarkers","LegendMarkerSize","LegendreP","LegendreQ","LegendreType","Length","LengthWhile","LerchPhi","Less","LessEqual","LessEqualGreater","LessEqualThan","LessFullEqual","LessGreater","LessLess","LessSlantEqual","LessThan","LessTilde","LetterCharacter","LetterCounts","LetterNumber","LetterQ","Level","LeveneTest","LeviCivitaTensor","LevyDistribution","Lexicographic","LexicographicOrder","LexicographicSort","LibraryDataType","LibraryFunction","LibraryFunctionDeclaration","LibraryFunctionError","LibraryFunctionInformation","LibraryFunctionLoad","LibraryFunctionUnload","LibraryLoad","LibraryUnload","LicenseEntitlementObject","LicenseEntitlements","LicenseID","LicensingSettings","LiftingFilterData","LiftingWaveletTransform","LightBlue","LightBrown","LightCyan","Lighter","LightGray","LightGreen","Lighting","LightingAngle","LightMagenta","LightOrange","LightPink","LightPurple","LightRed","LightSources","LightYellow","Likelihood","Limit","LimitsPositioning","LimitsPositioningTokens","LindleyDistribution","Line","Line3DBox","Line3DBoxOptions","LinearFilter","LinearFractionalOptimization","LinearFractionalTransform","LinearGradientFilling","LinearGradientImage","LinearizingTransformationData","LinearLayer","LinearModelFit","LinearOffsetFunction","LinearOptimization","LinearProgramming","LinearRecurrence","LinearSolve","LinearSolveFunction","LineBox","LineBoxOptions","LineBreak","LinebreakAdjustments","LineBreakChart","LinebreakSemicolonWeighting","LineBreakWithin","LineColor","LineGraph","LineIndent","LineIndentMaxFraction","LineIntegralConvolutionPlot","LineIntegralConvolutionScale","LineLegend","LineOpacity","LineSpacing","LineWrapParts","LinkActivate","LinkClose","LinkConnect","LinkConnectedQ","LinkCreate","LinkError","LinkFlush","LinkFunction","LinkHost","LinkInterrupt","LinkLaunch","LinkMode","LinkObject","LinkOpen","LinkOptions","LinkPatterns","LinkProtocol","LinkRankCentrality","LinkRead","LinkReadHeld","LinkReadyQ","Links","LinkService","LinkWrite","LinkWriteHeld","LiouvilleLambda","List","Listable","ListAnimate","ListContourPlot","ListContourPlot3D","ListConvolve","ListCorrelate","ListCurvePathPlot","ListDeconvolve","ListDensityPlot","ListDensityPlot3D","Listen","ListFormat","ListFourierSequenceTransform","ListInterpolation","ListLineIntegralConvolutionPlot","ListLinePlot","ListLinePlot3D","ListLogLinearPlot","ListLogLogPlot","ListLogPlot","ListPicker","ListPickerBox","ListPickerBoxBackground","ListPickerBoxOptions","ListPlay","ListPlot","ListPlot3D","ListPointPlot3D","ListPolarPlot","ListQ","ListSliceContourPlot3D","ListSliceDensityPlot3D","ListSliceVectorPlot3D","ListStepPlot","ListStreamDensityPlot","ListStreamPlot","ListStreamPlot3D","ListSurfacePlot3D","ListVectorDensityPlot","ListVectorDisplacementPlot","ListVectorDisplacementPlot3D","ListVectorPlot","ListVectorPlot3D","ListZTransform","Literal","LiteralSearch","LiteralType","LoadCompiledComponent","LocalAdaptiveBinarize","LocalCache","LocalClusteringCoefficient","LocalEvaluate","LocalizeDefinitions","LocalizeVariables","LocalObject","LocalObjects","LocalResponseNormalizationLayer","LocalSubmit","LocalSymbol","LocalTime","LocalTimeZone","LocationEquivalenceTest","LocationTest","Locator","LocatorAutoCreate","LocatorBox","LocatorBoxOptions","LocatorCentering","LocatorPane","LocatorPaneBox","LocatorPaneBoxOptions","LocatorRegion","Locked","Log","Log10","Log2","LogBarnesG","LogGamma","LogGammaDistribution","LogicalExpand","LogIntegral","LogisticDistribution","LogisticSigmoid","LogitModelFit","LogLikelihood","LogLinearPlot","LogLogisticDistribution","LogLogPlot","LogMultinormalDistribution","LogNormalDistribution","LogPlot","LogRankTest","LogSeriesDistribution","LongEqual","Longest","LongestCommonSequence","LongestCommonSequencePositions","LongestCommonSubsequence","LongestCommonSubsequencePositions","LongestMatch","LongestOrderedSequence","LongForm","Longitude","LongLeftArrow","LongLeftRightArrow","LongRightArrow","LongShortTermMemoryLayer","Lookup","Loopback","LoopFreeGraphQ","Looping","LossFunction","LowerCaseQ","LowerLeftArrow","LowerRightArrow","LowerTriangularize","LowerTriangularMatrix","LowerTriangularMatrixQ","LowpassFilter","LQEstimatorGains","LQGRegulator","LQOutputRegulatorGains","LQRegulatorGains","LUBackSubstitution","LucasL","LuccioSamiComponents","LUDecomposition","LunarEclipse","LUVColor","LyapunovSolve","LyonsGroupLy","MachineID","MachineName","MachineNumberQ","MachinePrecision","MacintoshSystemPageSetup","Magenta","Magnification","Magnify","MailAddressValidation","MailExecute","MailFolder","MailItem","MailReceiverFunction","MailResponseFunction","MailSearch","MailServerConnect","MailServerConnection","MailSettings","MainSolve","MaintainDynamicCaches","Majority","MakeBoxes","MakeExpression","MakeRules","ManagedLibraryExpressionID","ManagedLibraryExpressionQ","MandelbrotSetBoettcher","MandelbrotSetDistance","MandelbrotSetIterationCount","MandelbrotSetMemberQ","MandelbrotSetPlot","MangoldtLambda","ManhattanDistance","Manipulate","Manipulator","MannedSpaceMissionData","MannWhitneyTest","MantissaExponent","Manual","Map","MapAll","MapApply","MapAt","MapIndexed","MAProcess","MapThread","MarchenkoPasturDistribution","MarcumQ","MardiaCombinedTest","MardiaKurtosisTest","MardiaSkewnessTest","MarginalDistribution","MarkovProcessProperties","Masking","MassConcentrationCondition","MassFluxValue","MassImpermeableBoundaryValue","MassOutflowValue","MassSymmetryValue","MassTransferValue","MassTransportPDEComponent","MatchingDissimilarity","MatchLocalNameQ","MatchLocalNames","MatchQ","Material","MaterialShading","MaternPointProcess","MathematicalFunctionData","MathematicaNotation","MathieuC","MathieuCharacteristicA","MathieuCharacteristicB","MathieuCharacteristicExponent","MathieuCPrime","MathieuGroupM11","MathieuGroupM12","MathieuGroupM22","MathieuGroupM23","MathieuGroupM24","MathieuS","MathieuSPrime","MathMLForm","MathMLText","Matrices","MatrixExp","MatrixForm","MatrixFunction","MatrixLog","MatrixNormalDistribution","MatrixPlot","MatrixPower","MatrixPropertyDistribution","MatrixQ","MatrixRank","MatrixTDistribution","Max","MaxBend","MaxCellMeasure","MaxColorDistance","MaxDate","MaxDetect","MaxDisplayedChildren","MaxDuration","MaxExtraBandwidths","MaxExtraConditions","MaxFeatureDisplacement","MaxFeatures","MaxFilter","MaximalBy","Maximize","MaxItems","MaxIterations","MaxLimit","MaxMemoryUsed","MaxMixtureKernels","MaxOverlapFraction","MaxPlotPoints","MaxPoints","MaxRecursion","MaxStableDistribution","MaxStepFraction","MaxSteps","MaxStepSize","MaxTrainingRounds","MaxValue","MaxwellDistribution","MaxWordGap","McLaughlinGroupMcL","Mean","MeanAbsoluteLossLayer","MeanAround","MeanClusteringCoefficient","MeanDegreeConnectivity","MeanDeviation","MeanFilter","MeanGraphDistance","MeanNeighborDegree","MeanPointDensity","MeanShift","MeanShiftFilter","MeanSquaredLossLayer","Median","MedianDeviation","MedianFilter","MedicalTestData","Medium","MeijerG","MeijerGReduce","MeixnerDistribution","MellinConvolve","MellinTransform","MemberQ","MemoryAvailable","MemoryConstrained","MemoryConstraint","MemoryInUse","MengerMesh","Menu","MenuAppearance","MenuCommandKey","MenuEvaluator","MenuItem","MenuList","MenuPacket","MenuSortingValue","MenuStyle","MenuView","Merge","MergeDifferences","MergingFunction","MersennePrimeExponent","MersennePrimeExponentQ","Mesh","MeshCellCentroid","MeshCellCount","MeshCellHighlight","MeshCellIndex","MeshCellLabel","MeshCellMarker","MeshCellMeasure","MeshCellQuality","MeshCells","MeshCellShapeFunction","MeshCellStyle","MeshConnectivityGraph","MeshCoordinates","MeshFunctions","MeshPrimitives","MeshQualityGoal","MeshRange","MeshRefinementFunction","MeshRegion","MeshRegionQ","MeshShading","MeshStyle","Message","MessageDialog","MessageList","MessageName","MessageObject","MessageOptions","MessagePacket","Messages","MessagesNotebook","MetaCharacters","MetaInformation","MeteorShowerData","Method","MethodOptions","MexicanHatWavelet","MeyerWavelet","Midpoint","MIMETypeToFormatList","Min","MinColorDistance","MinDate","MinDetect","MineralData","MinFilter","MinimalBy","MinimalPolynomial","MinimalStateSpaceModel","Minimize","MinimumTimeIncrement","MinIntervalSize","MinkowskiQuestionMark","MinLimit","MinMax","MinorPlanetData","Minors","MinPointSeparation","MinRecursion","MinSize","MinStableDistribution","Minus","MinusPlus","MinValue","Missing","MissingBehavior","MissingDataMethod","MissingDataRules","MissingQ","MissingString","MissingStyle","MissingValuePattern","MissingValueSynthesis","MittagLefflerE","MixedFractionParts","MixedGraphQ","MixedMagnitude","MixedRadix","MixedRadixQuantity","MixedUnit","MixtureDistribution","Mod","Modal","Mode","ModelPredictiveController","Modular","ModularInverse","ModularLambda","Module","Modulus","MoebiusMu","Molecule","MoleculeAlign","MoleculeContainsQ","MoleculeDraw","MoleculeEquivalentQ","MoleculeFreeQ","MoleculeGraph","MoleculeMatchQ","MoleculeMaximumCommonSubstructure","MoleculeModify","MoleculeName","MoleculePattern","MoleculePlot","MoleculePlot3D","MoleculeProperty","MoleculeQ","MoleculeRecognize","MoleculeSubstructureCount","MoleculeValue","Moment","MomentConvert","MomentEvaluate","MomentGeneratingFunction","MomentOfInertia","Monday","Monitor","MonomialList","MonomialOrder","MonsterGroupM","MoonPhase","MoonPosition","MorletWavelet","MorphologicalBinarize","MorphologicalBranchPoints","MorphologicalComponents","MorphologicalEulerNumber","MorphologicalGraph","MorphologicalPerimeter","MorphologicalTransform","MortalityData","Most","MountainData","MouseAnnotation","MouseAppearance","MouseAppearanceTag","MouseButtons","Mouseover","MousePointerNote","MousePosition","MovieData","MovingAverage","MovingMap","MovingMedian","MoyalDistribution","MultiaxisArrangement","Multicolumn","MultiedgeStyle","MultigraphQ","MultilaunchWarning","MultiLetterItalics","MultiLetterStyle","MultilineFunction","Multinomial","MultinomialDistribution","MultinormalDistribution","MultiplicativeOrder","Multiplicity","MultiplySides","MultiscriptBoxOptions","Multiselection","MultivariateHypergeometricDistribution","MultivariatePoissonDistribution","MultivariateTDistribution","N","NakagamiDistribution","NameQ","Names","NamespaceBox","NamespaceBoxOptions","Nand","NArgMax","NArgMin","NBernoulliB","NBodySimulation","NBodySimulationData","NCache","NCaputoD","NDEigensystem","NDEigenvalues","NDSolve","NDSolveValue","Nearest","NearestFunction","NearestMeshCells","NearestNeighborG","NearestNeighborGraph","NearestTo","NebulaData","NeedlemanWunschSimilarity","Needs","Negative","NegativeBinomialDistribution","NegativeDefiniteMatrixQ","NegativeIntegers","NegativelyOrientedPoints","NegativeMultinomialDistribution","NegativeRationals","NegativeReals","NegativeSemidefiniteMatrixQ","NeighborhoodData","NeighborhoodGraph","Nest","NestedGreaterGreater","NestedLessLess","NestedScriptRules","NestGraph","NestList","NestTree","NestWhile","NestWhileList","NetAppend","NetArray","NetArrayLayer","NetBidirectionalOperator","NetChain","NetDecoder","NetDelete","NetDrop","NetEncoder","NetEvaluationMode","NetExternalObject","NetExtract","NetFlatten","NetFoldOperator","NetGANOperator","NetGraph","NetInformation","NetInitialize","NetInsert","NetInsertSharedArrays","NetJoin","NetMapOperator","NetMapThreadOperator","NetMeasurements","NetModel","NetNestOperator","NetPairEmbeddingOperator","NetPort","NetPortGradient","NetPrepend","NetRename","NetReplace","NetReplacePart","NetSharedArray","NetStateObject","NetTake","NetTrain","NetTrainResultsObject","NetUnfold","NetworkPacketCapture","NetworkPacketRecording","NetworkPacketRecordingDuring","NetworkPacketTrace","NeumannValue","NevilleThetaC","NevilleThetaD","NevilleThetaN","NevilleThetaS","NewPrimitiveStyle","NExpectation","Next","NextCell","NextDate","NextPrime","NextScheduledTaskTime","NeymanScottPointProcess","NFractionalD","NHoldAll","NHoldFirst","NHoldRest","NicholsGridLines","NicholsPlot","NightHemisphere","NIntegrate","NMaximize","NMaxValue","NMinimize","NMinValue","NominalScale","NominalVariables","NonAssociative","NoncentralBetaDistribution","NoncentralChiSquareDistribution","NoncentralFRatioDistribution","NoncentralStudentTDistribution","NonCommutativeMultiply","NonConstants","NondimensionalizationTransform","None","NoneTrue","NonlinearModelFit","NonlinearStateSpaceModel","NonlocalMeansFilter","NonNegative","NonNegativeIntegers","NonNegativeRationals","NonNegativeReals","NonPositive","NonPositiveIntegers","NonPositiveRationals","NonPositiveReals","Nor","NorlundB","Norm","Normal","NormalDistribution","NormalGrouping","NormalizationLayer","Normalize","Normalized","NormalizedSquaredEuclideanDistance","NormalMatrixQ","NormalsFunction","NormFunction","Not","NotCongruent","NotCupCap","NotDoubleVerticalBar","Notebook","NotebookApply","NotebookAutoSave","NotebookBrowseDirectory","NotebookClose","NotebookConvertSettings","NotebookCreate","NotebookDefault","NotebookDelete","NotebookDirectory","NotebookDynamicExpression","NotebookEvaluate","NotebookEventActions","NotebookFileName","NotebookFind","NotebookGet","NotebookImport","NotebookInformation","NotebookInterfaceObject","NotebookLocate","NotebookObject","NotebookOpen","NotebookPath","NotebookPrint","NotebookPut","NotebookRead","Notebooks","NotebookSave","NotebookSelection","NotebooksMenu","NotebookTemplate","NotebookWrite","NotElement","NotEqualTilde","NotExists","NotGreater","NotGreaterEqual","NotGreaterFullEqual","NotGreaterGreater","NotGreaterLess","NotGreaterSlantEqual","NotGreaterTilde","Nothing","NotHumpDownHump","NotHumpEqual","NotificationFunction","NotLeftTriangle","NotLeftTriangleBar","NotLeftTriangleEqual","NotLess","NotLessEqual","NotLessFullEqual","NotLessGreater","NotLessLess","NotLessSlantEqual","NotLessTilde","NotNestedGreaterGreater","NotNestedLessLess","NotPrecedes","NotPrecedesEqual","NotPrecedesSlantEqual","NotPrecedesTilde","NotReverseElement","NotRightTriangle","NotRightTriangleBar","NotRightTriangleEqual","NotSquareSubset","NotSquareSubsetEqual","NotSquareSuperset","NotSquareSupersetEqual","NotSubset","NotSubsetEqual","NotSucceeds","NotSucceedsEqual","NotSucceedsSlantEqual","NotSucceedsTilde","NotSuperset","NotSupersetEqual","NotTilde","NotTildeEqual","NotTildeFullEqual","NotTildeTilde","NotVerticalBar","Now","NoWhitespace","NProbability","NProduct","NProductFactors","NRoots","NSolve","NSolveValues","NSum","NSumTerms","NuclearExplosionData","NuclearReactorData","Null","NullRecords","NullSpace","NullWords","Number","NumberCompose","NumberDecompose","NumberDigit","NumberExpand","NumberFieldClassNumber","NumberFieldDiscriminant","NumberFieldFundamentalUnits","NumberFieldIntegralBasis","NumberFieldNormRepresentatives","NumberFieldRegulator","NumberFieldRootsOfUnity","NumberFieldSignature","NumberForm","NumberFormat","NumberLinePlot","NumberMarks","NumberMultiplier","NumberPadding","NumberPoint","NumberQ","NumberSeparator","NumberSigns","NumberString","Numerator","NumeratorDenominator","NumericalOrder","NumericalSort","NumericArray","NumericArrayQ","NumericArrayType","NumericFunction","NumericQ","NuttallWindow","NValues","NyquistGridLines","NyquistPlot","O","ObjectExistsQ","ObservabilityGramian","ObservabilityMatrix","ObservableDecomposition","ObservableModelQ","OceanData","Octahedron","OddQ","Off","Offset","OLEData","On","ONanGroupON","Once","OneIdentity","Opacity","OpacityFunction","OpacityFunctionScaling","Open","OpenAppend","Opener","OpenerBox","OpenerBoxOptions","OpenerView","OpenFunctionInspectorPacket","Opening","OpenRead","OpenSpecialOptions","OpenTemporary","OpenWrite","Operate","OperatingSystem","OperatorApplied","OptimumFlowData","Optional","OptionalElement","OptionInspectorSettings","OptionQ","Options","OptionsPacket","OptionsPattern","OptionValue","OptionValueBox","OptionValueBoxOptions","Or","Orange","Order","OrderDistribution","OrderedQ","Ordering","OrderingBy","OrderingLayer","Orderless","OrderlessPatternSequence","OrdinalScale","OrnsteinUhlenbeckProcess","Orthogonalize","OrthogonalMatrixQ","Out","Outer","OuterPolygon","OuterPolyhedron","OutputAutoOverwrite","OutputControllabilityMatrix","OutputControllableModelQ","OutputForm","OutputFormData","OutputGrouping","OutputMathEditExpression","OutputNamePacket","OutputPorts","OutputResponse","OutputSizeLimit","OutputStream","Over","OverBar","OverDot","Overflow","OverHat","Overlaps","Overlay","OverlayBox","OverlayBoxOptions","OverlayVideo","Overscript","OverscriptBox","OverscriptBoxOptions","OverTilde","OverVector","OverwriteTarget","OwenT","OwnValues","Package","PackingMethod","PackPaclet","PacletDataRebuild","PacletDirectoryAdd","PacletDirectoryLoad","PacletDirectoryRemove","PacletDirectoryUnload","PacletDisable","PacletEnable","PacletFind","PacletFindRemote","PacletInformation","PacletInstall","PacletInstallSubmit","PacletNewerQ","PacletObject","PacletObjectQ","PacletSite","PacletSiteObject","PacletSiteRegister","PacletSites","PacletSiteUnregister","PacletSiteUpdate","PacletSymbol","PacletUninstall","PacletUpdate","PaddedForm","Padding","PaddingLayer","PaddingSize","PadeApproximant","PadLeft","PadRight","PageBreakAbove","PageBreakBelow","PageBreakWithin","PageFooterLines","PageFooters","PageHeaderLines","PageHeaders","PageHeight","PageRankCentrality","PageTheme","PageWidth","Pagination","PairCorrelationG","PairedBarChart","PairedHistogram","PairedSmoothHistogram","PairedTTest","PairedZTest","PaletteNotebook","PalettePath","PalettesMenuSettings","PalindromeQ","Pane","PaneBox","PaneBoxOptions","Panel","PanelBox","PanelBoxOptions","Paneled","PaneSelector","PaneSelectorBox","PaneSelectorBoxOptions","PaperWidth","ParabolicCylinderD","ParagraphIndent","ParagraphSpacing","ParallelArray","ParallelAxisPlot","ParallelCombine","ParallelDo","Parallelepiped","ParallelEvaluate","Parallelization","Parallelize","ParallelKernels","ParallelMap","ParallelNeeds","Parallelogram","ParallelProduct","ParallelSubmit","ParallelSum","ParallelTable","ParallelTry","Parameter","ParameterEstimator","ParameterMixtureDistribution","ParameterVariables","ParametricConvexOptimization","ParametricFunction","ParametricNDSolve","ParametricNDSolveValue","ParametricPlot","ParametricPlot3D","ParametricRampLayer","ParametricRegion","ParentBox","ParentCell","ParentConnect","ParentDirectory","ParentEdgeLabel","ParentEdgeLabelFunction","ParentEdgeLabelStyle","ParentEdgeShapeFunction","ParentEdgeStyle","ParentEdgeStyleFunction","ParentForm","Parenthesize","ParentList","ParentNotebook","ParetoDistribution","ParetoPickandsDistribution","ParkData","Part","PartBehavior","PartialCorrelationFunction","PartialD","ParticleAcceleratorData","ParticleData","Partition","PartitionGranularity","PartitionsP","PartitionsQ","PartLayer","PartOfSpeech","PartProtection","ParzenWindow","PascalDistribution","PassEventsDown","PassEventsUp","Paste","PasteAutoQuoteCharacters","PasteBoxFormInlineCells","PasteButton","Path","PathGraph","PathGraphQ","Pattern","PatternFilling","PatternReaction","PatternSequence","PatternTest","PauliMatrix","PaulWavelet","Pause","PausedTime","PDF","PeakDetect","PeanoCurve","PearsonChiSquareTest","PearsonCorrelationTest","PearsonDistribution","PenttinenPointProcess","PercentForm","PerfectNumber","PerfectNumberQ","PerformanceGoal","Perimeter","PeriodicBoundaryCondition","PeriodicInterpolation","Periodogram","PeriodogramArray","Permanent","Permissions","PermissionsGroup","PermissionsGroupMemberQ","PermissionsGroups","PermissionsKey","PermissionsKeys","PermutationCycles","PermutationCyclesQ","PermutationGroup","PermutationLength","PermutationList","PermutationListQ","PermutationMatrix","PermutationMax","PermutationMin","PermutationOrder","PermutationPower","PermutationProduct","PermutationReplace","Permutations","PermutationSupport","Permute","PeronaMalikFilter","Perpendicular","PerpendicularBisector","PersistenceLocation","PersistenceTime","PersistentObject","PersistentObjects","PersistentSymbol","PersistentValue","PersonData","PERTDistribution","PetersenGraph","PhaseMargins","PhaseRange","PhongShading","PhysicalSystemData","Pi","Pick","PickedElements","PickMode","PIDData","PIDDerivativeFilter","PIDFeedforward","PIDTune","Piecewise","PiecewiseExpand","PieChart","PieChart3D","PillaiTrace","PillaiTraceTest","PingTime","Pink","PitchRecognize","Pivoting","PixelConstrained","PixelValue","PixelValuePositions","Placed","Placeholder","PlaceholderLayer","PlaceholderReplace","Plain","PlanarAngle","PlanarFaceList","PlanarGraph","PlanarGraphQ","PlanckRadiationLaw","PlaneCurveData","PlanetaryMoonData","PlanetData","PlantData","Play","PlaybackSettings","PlayRange","Plot","Plot3D","Plot3Matrix","PlotDivision","PlotJoined","PlotLabel","PlotLabels","PlotLayout","PlotLegends","PlotMarkers","PlotPoints","PlotRange","PlotRangeClipping","PlotRangeClipPlanesStyle","PlotRangePadding","PlotRegion","PlotStyle","PlotTheme","Pluralize","Plus","PlusMinus","Pochhammer","PodStates","PodWidth","Point","Point3DBox","Point3DBoxOptions","PointBox","PointBoxOptions","PointCountDistribution","PointDensity","PointDensityFunction","PointFigureChart","PointLegend","PointLight","PointProcessEstimator","PointProcessFitTest","PointProcessParameterAssumptions","PointProcessParameterQ","PointSize","PointStatisticFunction","PointValuePlot","PoissonConsulDistribution","PoissonDistribution","PoissonPDEComponent","PoissonPointProcess","PoissonProcess","PoissonWindow","PolarAxes","PolarAxesOrigin","PolarGridLines","PolarPlot","PolarTicks","PoleZeroMarkers","PolyaAeppliDistribution","PolyGamma","Polygon","Polygon3DBox","Polygon3DBoxOptions","PolygonalNumber","PolygonAngle","PolygonBox","PolygonBoxOptions","PolygonCoordinates","PolygonDecomposition","PolygonHoleScale","PolygonIntersections","PolygonScale","Polyhedron","PolyhedronAngle","PolyhedronBox","PolyhedronBoxOptions","PolyhedronCoordinates","PolyhedronData","PolyhedronDecomposition","PolyhedronGenus","PolyLog","PolynomialExpressionQ","PolynomialExtendedGCD","PolynomialForm","PolynomialGCD","PolynomialLCM","PolynomialMod","PolynomialQ","PolynomialQuotient","PolynomialQuotientRemainder","PolynomialReduce","PolynomialRemainder","Polynomials","PolynomialSumOfSquaresList","PoolingLayer","PopupMenu","PopupMenuBox","PopupMenuBoxOptions","PopupView","PopupWindow","Position","PositionIndex","PositionLargest","PositionSmallest","Positive","PositiveDefiniteMatrixQ","PositiveIntegers","PositivelyOrientedPoints","PositiveRationals","PositiveReals","PositiveSemidefiniteMatrixQ","PossibleZeroQ","Postfix","PostScript","Power","PowerDistribution","PowerExpand","PowerMod","PowerModList","PowerRange","PowerSpectralDensity","PowersRepresentations","PowerSymmetricPolynomial","Precedence","PrecedenceForm","Precedes","PrecedesEqual","PrecedesSlantEqual","PrecedesTilde","Precision","PrecisionGoal","PreDecrement","Predict","PredictionRoot","PredictorFunction","PredictorInformation","PredictorMeasurements","PredictorMeasurementsObject","PreemptProtect","PreferencesPath","PreferencesSettings","Prefix","PreIncrement","Prepend","PrependLayer","PrependTo","PreprocessingRules","PreserveColor","PreserveImageOptions","Previous","PreviousCell","PreviousDate","PriceGraphDistribution","PrimaryPlaceholder","Prime","PrimeNu","PrimeOmega","PrimePi","PrimePowerQ","PrimeQ","Primes","PrimeZetaP","PrimitivePolynomialQ","PrimitiveRoot","PrimitiveRootList","PrincipalComponents","PrincipalValue","Print","PrintableASCIIQ","PrintAction","PrintForm","PrintingCopies","PrintingOptions","PrintingPageRange","PrintingStartingPageNumber","PrintingStyleEnvironment","Printout3D","Printout3DPreviewer","PrintPrecision","PrintTemporary","Prism","PrismBox","PrismBoxOptions","PrivateCellOptions","PrivateEvaluationOptions","PrivateFontOptions","PrivateFrontEndOptions","PrivateKey","PrivateNotebookOptions","PrivatePaths","Probability","ProbabilityDistribution","ProbabilityPlot","ProbabilityPr","ProbabilityScalePlot","ProbitModelFit","ProcessConnection","ProcessDirectory","ProcessEnvironment","Processes","ProcessEstimator","ProcessInformation","ProcessObject","ProcessParameterAssumptions","ProcessParameterQ","ProcessStateDomain","ProcessStatus","ProcessTimeDomain","Product","ProductDistribution","ProductLog","ProgressIndicator","ProgressIndicatorBox","ProgressIndicatorBoxOptions","ProgressReporting","Projection","Prolog","PromptForm","ProofObject","PropagateAborts","Properties","Property","PropertyList","PropertyValue","Proportion","Proportional","Protect","Protected","ProteinData","Pruning","PseudoInverse","PsychrometricPropertyData","PublicKey","PublisherID","PulsarData","PunctuationCharacter","Purple","Put","PutAppend","Pyramid","PyramidBox","PyramidBoxOptions","QBinomial","QFactorial","QGamma","QHypergeometricPFQ","QnDispersion","QPochhammer","QPolyGamma","QRDecomposition","QuadraticIrrationalQ","QuadraticOptimization","Quantile","QuantilePlot","Quantity","QuantityArray","QuantityDistribution","QuantityForm","QuantityMagnitude","QuantityQ","QuantityUnit","QuantityVariable","QuantityVariableCanonicalUnit","QuantityVariableDimensions","QuantityVariableIdentifier","QuantityVariablePhysicalQuantity","Quartics","QuartileDeviation","Quartiles","QuartileSkewness","Query","QuestionGenerator","QuestionInterface","QuestionObject","QuestionSelector","QueueingNetworkProcess","QueueingProcess","QueueProperties","Quiet","QuietEcho","Quit","Quotient","QuotientRemainder","RadialAxisPlot","RadialGradientFilling","RadialGradientImage","RadialityCentrality","RadicalBox","RadicalBoxOptions","RadioButton","RadioButtonBar","RadioButtonBox","RadioButtonBoxOptions","Radon","RadonTransform","RamanujanTau","RamanujanTauL","RamanujanTauTheta","RamanujanTauZ","Ramp","Random","RandomArrayLayer","RandomChoice","RandomColor","RandomComplex","RandomDate","RandomEntity","RandomFunction","RandomGeneratorState","RandomGeoPosition","RandomGraph","RandomImage","RandomInstance","RandomInteger","RandomPermutation","RandomPoint","RandomPointConfiguration","RandomPolygon","RandomPolyhedron","RandomPrime","RandomReal","RandomSample","RandomSeed","RandomSeeding","RandomTime","RandomTree","RandomVariate","RandomWalkProcess","RandomWord","Range","RangeFilter","RangeSpecification","RankedMax","RankedMin","RarerProbability","Raster","Raster3D","Raster3DBox","Raster3DBoxOptions","RasterArray","RasterBox","RasterBoxOptions","Rasterize","RasterSize","Rational","RationalExpressionQ","RationalFunctions","Rationalize","Rationals","Ratios","RawArray","RawBoxes","RawData","RawMedium","RayleighDistribution","Re","ReactionBalance","ReactionBalancedQ","ReactionPDETerm","Read","ReadByteArray","ReadLine","ReadList","ReadProtected","ReadString","Real","RealAbs","RealBlockDiagonalForm","RealDigits","RealExponent","Reals","RealSign","Reap","RebuildPacletData","RecalibrationFunction","RecognitionPrior","RecognitionThreshold","ReconstructionMesh","Record","RecordLists","RecordSeparators","Rectangle","RectangleBox","RectangleBoxOptions","RectangleChart","RectangleChart3D","RectangularRepeatingElement","RecurrenceFilter","RecurrenceTable","RecurringDigitsForm","Red","Reduce","RefBox","ReferenceLineStyle","ReferenceMarkers","ReferenceMarkerStyle","Refine","ReflectionMatrix","ReflectionTransform","Refresh","RefreshRate","Region","RegionBinarize","RegionBoundary","RegionBoundaryStyle","RegionBounds","RegionCentroid","RegionCongruent","RegionConvert","RegionDifference","RegionDilation","RegionDimension","RegionDisjoint","RegionDistance","RegionDistanceFunction","RegionEmbeddingDimension","RegionEqual","RegionErosion","RegionFillingStyle","RegionFit","RegionFunction","RegionImage","RegionIntersection","RegionMeasure","RegionMember","RegionMemberFunction","RegionMoment","RegionNearest","RegionNearestFunction","RegionPlot","RegionPlot3D","RegionProduct","RegionQ","RegionResize","RegionSimilar","RegionSize","RegionSymmetricDifference","RegionUnion","RegionWithin","RegisterExternalEvaluator","RegularExpression","Regularization","RegularlySampledQ","RegularPolygon","ReIm","ReImLabels","ReImPlot","ReImStyle","Reinstall","RelationalDatabase","RelationGraph","Release","ReleaseHold","ReliabilityDistribution","ReliefImage","ReliefPlot","RemoteAuthorizationCaching","RemoteBatchJobAbort","RemoteBatchJobObject","RemoteBatchJobs","RemoteBatchMapSubmit","RemoteBatchSubmissionEnvironment","RemoteBatchSubmit","RemoteConnect","RemoteConnectionObject","RemoteEvaluate","RemoteFile","RemoteInputFiles","RemoteKernelObject","RemoteProviderSettings","RemoteRun","RemoteRunProcess","RemovalConditions","Remove","RemoveAlphaChannel","RemoveAsynchronousTask","RemoveAudioStream","RemoveBackground","RemoveChannelListener","RemoveChannelSubscribers","Removed","RemoveDiacritics","RemoveInputStreamMethod","RemoveOutputStreamMethod","RemoveProperty","RemoveScheduledTask","RemoveUsers","RemoveVideoStream","RenameDirectory","RenameFile","RenderAll","RenderingOptions","RenewalProcess","RenkoChart","RepairMesh","Repeated","RepeatedNull","RepeatedString","RepeatedTiming","RepeatingElement","Replace","ReplaceAll","ReplaceAt","ReplaceHeldPart","ReplaceImageValue","ReplaceList","ReplacePart","ReplacePixelValue","ReplaceRepeated","ReplicateLayer","RequiredPhysicalQuantities","Resampling","ResamplingAlgorithmData","ResamplingMethod","Rescale","RescalingTransform","ResetDirectory","ResetScheduledTask","ReshapeLayer","Residue","ResidueSum","ResizeLayer","Resolve","ResolveContextAliases","ResourceAcquire","ResourceData","ResourceFunction","ResourceObject","ResourceRegister","ResourceRemove","ResourceSearch","ResourceSubmissionObject","ResourceSubmit","ResourceSystemBase","ResourceSystemPath","ResourceUpdate","ResourceVersion","ResponseForm","Rest","RestartInterval","Restricted","Resultant","ResumePacket","Return","ReturnCreatesNewCell","ReturnEntersInput","ReturnExpressionPacket","ReturnInputFormPacket","ReturnPacket","ReturnReceiptFunction","ReturnTextPacket","Reverse","ReverseApplied","ReverseBiorthogonalSplineWavelet","ReverseElement","ReverseEquilibrium","ReverseGraph","ReverseSort","ReverseSortBy","ReverseUpEquilibrium","RevolutionAxis","RevolutionPlot3D","RGBColor","RiccatiSolve","RiceDistribution","RidgeFilter","RiemannR","RiemannSiegelTheta","RiemannSiegelZ","RiemannXi","Riffle","Right","RightArrow","RightArrowBar","RightArrowLeftArrow","RightComposition","RightCosetRepresentative","RightDownTeeVector","RightDownVector","RightDownVectorBar","RightTee","RightTeeArrow","RightTeeVector","RightTriangle","RightTriangleBar","RightTriangleEqual","RightUpDownVector","RightUpTeeVector","RightUpVector","RightUpVectorBar","RightVector","RightVectorBar","RipleyK","RipleyRassonRegion","RiskAchievementImportance","RiskReductionImportance","RobustConvexOptimization","RogersTanimotoDissimilarity","RollPitchYawAngles","RollPitchYawMatrix","RomanNumeral","Root","RootApproximant","RootIntervals","RootLocusPlot","RootMeanSquare","RootOfUnityQ","RootReduce","Roots","RootSum","RootTree","Rotate","RotateLabel","RotateLeft","RotateRight","RotationAction","RotationBox","RotationBoxOptions","RotationMatrix","RotationTransform","Round","RoundImplies","RoundingRadius","Row","RowAlignments","RowBackgrounds","RowBox","RowHeights","RowLines","RowMinHeight","RowReduce","RowsEqual","RowSpacings","RSolve","RSolveValue","RudinShapiro","RudvalisGroupRu","Rule","RuleCondition","RuleDelayed","RuleForm","RulePlot","RulerUnits","RulesTree","Run","RunProcess","RunScheduledTask","RunThrough","RuntimeAttributes","RuntimeOptions","RussellRaoDissimilarity","SameAs","SameQ","SameTest","SameTestProperties","SampledEntityClass","SampleDepth","SampledSoundFunction","SampledSoundList","SampleRate","SamplingPeriod","SARIMAProcess","SARMAProcess","SASTriangle","SatelliteData","SatisfiabilityCount","SatisfiabilityInstances","SatisfiableQ","Saturday","Save","Saveable","SaveAutoDelete","SaveConnection","SaveDefinitions","SavitzkyGolayMatrix","SawtoothWave","Scale","Scaled","ScaleDivisions","ScaledMousePosition","ScaleOrigin","ScalePadding","ScaleRanges","ScaleRangeStyle","ScalingFunctions","ScalingMatrix","ScalingTransform","Scan","ScheduledTask","ScheduledTaskActiveQ","ScheduledTaskInformation","ScheduledTaskInformationData","ScheduledTaskObject","ScheduledTasks","SchurDecomposition","ScientificForm","ScientificNotationThreshold","ScorerGi","ScorerGiPrime","ScorerHi","ScorerHiPrime","ScreenRectangle","ScreenStyleEnvironment","ScriptBaselineShifts","ScriptForm","ScriptLevel","ScriptMinSize","ScriptRules","ScriptSizeMultipliers","Scrollbars","ScrollingOptions","ScrollPosition","SearchAdjustment","SearchIndexObject","SearchIndices","SearchQueryString","SearchResultObject","Sec","Sech","SechDistribution","SecondOrderConeOptimization","SectionGrouping","SectorChart","SectorChart3D","SectorOrigin","SectorSpacing","SecuredAuthenticationKey","SecuredAuthenticationKeys","SecurityCertificate","SeedRandom","Select","Selectable","SelectComponents","SelectedCells","SelectedNotebook","SelectFirst","Selection","SelectionAnimate","SelectionCell","SelectionCellCreateCell","SelectionCellDefaultStyle","SelectionCellParentStyle","SelectionCreateCell","SelectionDebuggerTag","SelectionEvaluate","SelectionEvaluateCreateCell","SelectionMove","SelectionPlaceholder","SelectWithContents","SelfLoops","SelfLoopStyle","SemanticImport","SemanticImportString","SemanticInterpretation","SemialgebraicComponentInstances","SemidefiniteOptimization","SendMail","SendMessage","Sequence","SequenceAlignment","SequenceAttentionLayer","SequenceCases","SequenceCount","SequenceFold","SequenceFoldList","SequenceForm","SequenceHold","SequenceIndicesLayer","SequenceLastLayer","SequenceMostLayer","SequencePosition","SequencePredict","SequencePredictorFunction","SequenceReplace","SequenceRestLayer","SequenceReverseLayer","SequenceSplit","Series","SeriesCoefficient","SeriesData","SeriesTermGoal","ServiceConnect","ServiceDisconnect","ServiceExecute","ServiceObject","ServiceRequest","ServiceResponse","ServiceSubmit","SessionSubmit","SessionTime","Set","SetAccuracy","SetAlphaChannel","SetAttributes","Setbacks","SetCloudDirectory","SetCookies","SetDelayed","SetDirectory","SetEnvironment","SetFileDate","SetFileFormatProperties","SetOptions","SetOptionsPacket","SetPermissions","SetPrecision","SetProperty","SetSecuredAuthenticationKey","SetSelectedNotebook","SetSharedFunction","SetSharedVariable","SetStreamPosition","SetSystemModel","SetSystemOptions","Setter","SetterBar","SetterBox","SetterBoxOptions","Setting","SetUsers","Shading","Shallow","ShannonWavelet","ShapiroWilkTest","Share","SharingList","Sharpen","ShearingMatrix","ShearingTransform","ShellRegion","ShenCastanMatrix","ShiftedGompertzDistribution","ShiftRegisterSequence","Short","ShortDownArrow","Shortest","ShortestMatch","ShortestPathFunction","ShortLeftArrow","ShortRightArrow","ShortTimeFourier","ShortTimeFourierData","ShortUpArrow","Show","ShowAutoConvert","ShowAutoSpellCheck","ShowAutoStyles","ShowCellBracket","ShowCellLabel","ShowCellTags","ShowClosedCellArea","ShowCodeAssist","ShowContents","ShowControls","ShowCursorTracker","ShowGroupOpenCloseIcon","ShowGroupOpener","ShowInvisibleCharacters","ShowPageBreaks","ShowPredictiveInterface","ShowSelection","ShowShortBoxForm","ShowSpecialCharacters","ShowStringCharacters","ShowSyntaxStyles","ShrinkingDelay","ShrinkWrapBoundingBox","SiderealTime","SiegelTheta","SiegelTukeyTest","SierpinskiCurve","SierpinskiMesh","Sign","Signature","SignedRankTest","SignedRegionDistance","SignificanceLevel","SignPadding","SignTest","SimilarityRules","SimpleGraph","SimpleGraphQ","SimplePolygonQ","SimplePolyhedronQ","Simplex","Simplify","Sin","Sinc","SinghMaddalaDistribution","SingleEvaluation","SingleLetterItalics","SingleLetterStyle","SingularValueDecomposition","SingularValueList","SingularValuePlot","SingularValues","Sinh","SinhIntegral","SinIntegral","SixJSymbol","Skeleton","SkeletonTransform","SkellamDistribution","Skewness","SkewNormalDistribution","SkinStyle","Skip","SliceContourPlot3D","SliceDensityPlot3D","SliceDistribution","SliceVectorPlot3D","Slider","Slider2D","Slider2DBox","Slider2DBoxOptions","SliderBox","SliderBoxOptions","SlideShowVideo","SlideView","Slot","SlotSequence","Small","SmallCircle","Smaller","SmithDecomposition","SmithDelayCompensator","SmithWatermanSimilarity","SmoothDensityHistogram","SmoothHistogram","SmoothHistogram3D","SmoothKernelDistribution","SmoothPointDensity","SnDispersion","Snippet","SnippetsVideo","SnubPolyhedron","SocialMediaData","Socket","SocketConnect","SocketListen","SocketListener","SocketObject","SocketOpen","SocketReadMessage","SocketReadyQ","Sockets","SocketWaitAll","SocketWaitNext","SoftmaxLayer","SokalSneathDissimilarity","SolarEclipse","SolarSystemFeatureData","SolarTime","SolidAngle","SolidBoundaryLoadValue","SolidData","SolidDisplacementCondition","SolidFixedCondition","SolidMechanicsPDEComponent","SolidMechanicsStrain","SolidMechanicsStress","SolidRegionQ","Solve","SolveAlways","SolveDelayed","SolveValues","Sort","SortBy","SortedBy","SortedEntityClass","Sound","SoundAndGraphics","SoundNote","SoundVolume","SourceLink","SourcePDETerm","Sow","Space","SpaceCurveData","SpaceForm","Spacer","Spacings","Span","SpanAdjustments","SpanCharacterRounding","SpanFromAbove","SpanFromBoth","SpanFromLeft","SpanLineThickness","SpanMaxSize","SpanMinSize","SpanningCharacters","SpanSymmetric","SparseArray","SparseArrayQ","SpatialBinnedPointData","SpatialBoundaryCorrection","SpatialEstimate","SpatialEstimatorFunction","SpatialGraphDistribution","SpatialJ","SpatialMedian","SpatialNoiseLevel","SpatialObservationRegionQ","SpatialPointData","SpatialPointSelect","SpatialRandomnessTest","SpatialTransformationLayer","SpatialTrendFunction","Speak","SpeakerMatchQ","SpearmanRankTest","SpearmanRho","SpeciesData","SpecificityGoal","SpectralLineData","Spectrogram","SpectrogramArray","Specularity","SpeechCases","SpeechInterpreter","SpeechRecognize","SpeechSynthesize","SpellingCorrection","SpellingCorrectionList","SpellingDictionaries","SpellingDictionariesPath","SpellingOptions","Sphere","SphereBox","SphereBoxOptions","SpherePoints","SphericalBesselJ","SphericalBesselY","SphericalHankelH1","SphericalHankelH2","SphericalHarmonicY","SphericalPlot3D","SphericalRegion","SphericalShell","SpheroidalEigenvalue","SpheroidalJoiningFactor","SpheroidalPS","SpheroidalPSPrime","SpheroidalQS","SpheroidalQSPrime","SpheroidalRadialFactor","SpheroidalS1","SpheroidalS1Prime","SpheroidalS2","SpheroidalS2Prime","Splice","SplicedDistribution","SplineClosed","SplineDegree","SplineKnots","SplineWeights","Split","SplitBy","SpokenString","SpotLight","Sqrt","SqrtBox","SqrtBoxOptions","Square","SquaredEuclideanDistance","SquareFreeQ","SquareIntersection","SquareMatrixQ","SquareRepeatingElement","SquaresR","SquareSubset","SquareSubsetEqual","SquareSuperset","SquareSupersetEqual","SquareUnion","SquareWave","SSSTriangle","StabilityMargins","StabilityMarginsStyle","StableDistribution","Stack","StackBegin","StackComplete","StackedDateListPlot","StackedListPlot","StackInhibit","StadiumShape","StandardAtmosphereData","StandardDeviation","StandardDeviationFilter","StandardForm","Standardize","Standardized","StandardOceanData","StandbyDistribution","Star","StarClusterData","StarData","StarGraph","StartAsynchronousTask","StartExternalSession","StartingStepSize","StartOfLine","StartOfString","StartProcess","StartScheduledTask","StartupSound","StartWebSession","StateDimensions","StateFeedbackGains","StateOutputEstimator","StateResponse","StateSpaceModel","StateSpaceRealization","StateSpaceTransform","StateTransformationLinearize","StationaryDistribution","StationaryWaveletPacketTransform","StationaryWaveletTransform","StatusArea","StatusCentrality","StepMonitor","StereochemistryElements","StieltjesGamma","StippleShading","StirlingS1","StirlingS2","StopAsynchronousTask","StoppingPowerData","StopScheduledTask","StrataVariables","StratonovichProcess","StraussHardcorePointProcess","StraussPointProcess","StreamColorFunction","StreamColorFunctionScaling","StreamDensityPlot","StreamMarkers","StreamPlot","StreamPlot3D","StreamPoints","StreamPosition","Streams","StreamScale","StreamStyle","StrictInequalities","String","StringBreak","StringByteCount","StringCases","StringContainsQ","StringCount","StringDelete","StringDrop","StringEndsQ","StringExpression","StringExtract","StringForm","StringFormat","StringFormatQ","StringFreeQ","StringInsert","StringJoin","StringLength","StringMatchQ","StringPadLeft","StringPadRight","StringPart","StringPartition","StringPosition","StringQ","StringRepeat","StringReplace","StringReplaceList","StringReplacePart","StringReverse","StringRiffle","StringRotateLeft","StringRotateRight","StringSkeleton","StringSplit","StringStartsQ","StringTake","StringTakeDrop","StringTemplate","StringToByteArray","StringToStream","StringTrim","StripBoxes","StripOnInput","StripStyleOnPaste","StripWrapperBoxes","StrokeForm","Struckthrough","StructuralImportance","StructuredArray","StructuredArrayHeadQ","StructuredSelection","StruveH","StruveL","Stub","StudentTDistribution","Style","StyleBox","StyleBoxAutoDelete","StyleData","StyleDefinitions","StyleForm","StyleHints","StyleKeyMapping","StyleMenuListing","StyleNameDialogSettings","StyleNames","StylePrint","StyleSheetPath","Subdivide","Subfactorial","Subgraph","SubMinus","SubPlus","SubresultantPolynomialRemainders","SubresultantPolynomials","Subresultants","Subscript","SubscriptBox","SubscriptBoxOptions","Subscripted","Subsequences","Subset","SubsetCases","SubsetCount","SubsetEqual","SubsetMap","SubsetPosition","SubsetQ","SubsetReplace","Subsets","SubStar","SubstitutionSystem","Subsuperscript","SubsuperscriptBox","SubsuperscriptBoxOptions","SubtitleEncoding","SubtitleTrackSelection","Subtract","SubtractFrom","SubtractSides","SubValues","Succeeds","SucceedsEqual","SucceedsSlantEqual","SucceedsTilde","Success","SuchThat","Sum","SumConvergence","SummationLayer","Sunday","SunPosition","Sunrise","Sunset","SuperDagger","SuperMinus","SupernovaData","SuperPlus","Superscript","SuperscriptBox","SuperscriptBoxOptions","Superset","SupersetEqual","SuperStar","Surd","SurdForm","SurfaceAppearance","SurfaceArea","SurfaceColor","SurfaceData","SurfaceGraphics","SurvivalDistribution","SurvivalFunction","SurvivalModel","SurvivalModelFit","SuspendPacket","SuzukiDistribution","SuzukiGroupSuz","SwatchLegend","Switch","Symbol","SymbolName","SymletWavelet","Symmetric","SymmetricDifference","SymmetricGroup","SymmetricKey","SymmetricMatrixQ","SymmetricPolynomial","SymmetricReduction","Symmetrize","SymmetrizedArray","SymmetrizedArrayRules","SymmetrizedDependentComponents","SymmetrizedIndependentComponents","SymmetrizedReplacePart","SynchronousInitialization","SynchronousUpdating","Synonyms","Syntax","SyntaxForm","SyntaxInformation","SyntaxLength","SyntaxPacket","SyntaxQ","SynthesizeMissingValues","SystemCredential","SystemCredentialData","SystemCredentialKey","SystemCredentialKeys","SystemCredentialStoreObject","SystemDialogInput","SystemException","SystemGet","SystemHelpPath","SystemInformation","SystemInformationData","SystemInstall","SystemModel","SystemModeler","SystemModelExamples","SystemModelLinearize","SystemModelMeasurements","SystemModelParametricSimulate","SystemModelPlot","SystemModelProgressReporting","SystemModelReliability","SystemModels","SystemModelSimulate","SystemModelSimulateSensitivity","SystemModelSimulationData","SystemOpen","SystemOptions","SystemProcessData","SystemProcesses","SystemsConnectionsModel","SystemsModelControllerData","SystemsModelDelay","SystemsModelDelayApproximate","SystemsModelDelete","SystemsModelDimensions","SystemsModelExtract","SystemsModelFeedbackConnect","SystemsModelLabels","SystemsModelLinearity","SystemsModelMerge","SystemsModelOrder","SystemsModelParallelConnect","SystemsModelSeriesConnect","SystemsModelStateFeedbackConnect","SystemsModelVectorRelativeOrders","SystemStub","SystemTest","Tab","TabFilling","Table","TableAlignments","TableDepth","TableDirections","TableForm","TableHeadings","TableSpacing","TableView","TableViewBox","TableViewBoxAlignment","TableViewBoxBackground","TableViewBoxHeaders","TableViewBoxItemSize","TableViewBoxItemStyle","TableViewBoxOptions","TabSpacings","TabView","TabViewBox","TabViewBoxOptions","TagBox","TagBoxNote","TagBoxOptions","TaggingRules","TagSet","TagSetDelayed","TagStyle","TagUnset","Take","TakeDrop","TakeLargest","TakeLargestBy","TakeList","TakeSmallest","TakeSmallestBy","TakeWhile","Tally","Tan","Tanh","TargetDevice","TargetFunctions","TargetSystem","TargetUnits","TaskAbort","TaskExecute","TaskObject","TaskRemove","TaskResume","Tasks","TaskSuspend","TaskWait","TautologyQ","TelegraphProcess","TemplateApply","TemplateArgBox","TemplateBox","TemplateBoxOptions","TemplateEvaluate","TemplateExpression","TemplateIf","TemplateObject","TemplateSequence","TemplateSlot","TemplateSlotSequence","TemplateUnevaluated","TemplateVerbatim","TemplateWith","TemporalData","TemporalRegularity","Temporary","TemporaryVariable","TensorContract","TensorDimensions","TensorExpand","TensorProduct","TensorQ","TensorRank","TensorReduce","TensorSymmetry","TensorTranspose","TensorWedge","TerminatedEvaluation","TernaryListPlot","TernaryPlotCorners","TestID","TestReport","TestReportObject","TestResultObject","Tetrahedron","TetrahedronBox","TetrahedronBoxOptions","TeXForm","TeXSave","Text","Text3DBox","Text3DBoxOptions","TextAlignment","TextBand","TextBoundingBox","TextBox","TextCases","TextCell","TextClipboardType","TextContents","TextData","TextElement","TextForm","TextGrid","TextJustification","TextLine","TextPacket","TextParagraph","TextPosition","TextRecognize","TextSearch","TextSearchReport","TextSentences","TextString","TextStructure","TextStyle","TextTranslation","Texture","TextureCoordinateFunction","TextureCoordinateScaling","TextWords","Therefore","ThermodynamicData","ThermometerGauge","Thick","Thickness","Thin","Thinning","ThisLink","ThomasPointProcess","ThompsonGroupTh","Thread","Threaded","ThreadingLayer","ThreeJSymbol","Threshold","Through","Throw","ThueMorse","Thumbnail","Thursday","TickDirection","TickLabelOrientation","TickLabelPositioning","TickLabels","TickLengths","TickPositions","Ticks","TicksStyle","TideData","Tilde","TildeEqual","TildeFullEqual","TildeTilde","TimeConstrained","TimeConstraint","TimeDirection","TimeFormat","TimeGoal","TimelinePlot","TimeObject","TimeObjectQ","TimeRemaining","Times","TimesBy","TimeSeries","TimeSeriesAggregate","TimeSeriesForecast","TimeSeriesInsert","TimeSeriesInvertibility","TimeSeriesMap","TimeSeriesMapThread","TimeSeriesModel","TimeSeriesModelFit","TimeSeriesResample","TimeSeriesRescale","TimeSeriesShift","TimeSeriesThread","TimeSeriesWindow","TimeSystem","TimeSystemConvert","TimeUsed","TimeValue","TimeWarpingCorrespondence","TimeWarpingDistance","TimeZone","TimeZoneConvert","TimeZoneOffset","Timing","Tiny","TitleGrouping","TitsGroupT","ToBoxes","ToCharacterCode","ToColor","ToContinuousTimeModel","ToDate","Today","ToDiscreteTimeModel","ToEntity","ToeplitzMatrix","ToExpression","ToFileName","Together","Toggle","ToggleFalse","Toggler","TogglerBar","TogglerBox","TogglerBoxOptions","ToHeldExpression","ToInvertibleTimeSeries","TokenWords","Tolerance","ToLowerCase","Tomorrow","ToNumberField","TooBig","Tooltip","TooltipBox","TooltipBoxOptions","TooltipDelay","TooltipStyle","ToonShading","Top","TopHatTransform","ToPolarCoordinates","TopologicalSort","ToRadicals","ToRawPointer","ToRules","Torus","TorusGraph","ToSphericalCoordinates","ToString","Total","TotalHeight","TotalLayer","TotalVariationFilter","TotalWidth","TouchPosition","TouchscreenAutoZoom","TouchscreenControlPlacement","ToUpperCase","TourVideo","Tr","Trace","TraceAbove","TraceAction","TraceBackward","TraceDepth","TraceDialog","TraceForward","TraceInternal","TraceLevel","TraceOff","TraceOn","TraceOriginal","TracePrint","TraceScan","TrackCellChangeTimes","TrackedSymbols","TrackingFunction","TracyWidomDistribution","TradingChart","TraditionalForm","TraditionalFunctionNotation","TraditionalNotation","TraditionalOrder","TrainImageContentDetector","TrainingProgressCheckpointing","TrainingProgressFunction","TrainingProgressMeasurements","TrainingProgressReporting","TrainingStoppingCriterion","TrainingUpdateSchedule","TrainTextContentDetector","TransferFunctionCancel","TransferFunctionExpand","TransferFunctionFactor","TransferFunctionModel","TransferFunctionPoles","TransferFunctionTransform","TransferFunctionZeros","TransformationClass","TransformationFunction","TransformationFunctions","TransformationMatrix","TransformedDistribution","TransformedField","TransformedProcess","TransformedRegion","TransitionDirection","TransitionDuration","TransitionEffect","TransitiveClosureGraph","TransitiveReductionGraph","Translate","TranslationOptions","TranslationTransform","Transliterate","Transparent","TransparentColor","Transpose","TransposeLayer","TrapEnterKey","TrapSelection","TravelDirections","TravelDirectionsData","TravelDistance","TravelDistanceList","TravelMethod","TravelTime","Tree","TreeCases","TreeChildren","TreeCount","TreeData","TreeDelete","TreeDepth","TreeElementCoordinates","TreeElementLabel","TreeElementLabelFunction","TreeElementLabelStyle","TreeElementShape","TreeElementShapeFunction","TreeElementSize","TreeElementSizeFunction","TreeElementStyle","TreeElementStyleFunction","TreeExpression","TreeExtract","TreeFold","TreeForm","TreeGraph","TreeGraphQ","TreeInsert","TreeLayout","TreeLeafCount","TreeLeafQ","TreeLeaves","TreeLevel","TreeMap","TreeMapAt","TreeOutline","TreePlot","TreePosition","TreeQ","TreeReplacePart","TreeRules","TreeScan","TreeSelect","TreeSize","TreeTraversalOrder","TrendStyle","Triangle","TriangleCenter","TriangleConstruct","TriangleMeasurement","TriangleWave","TriangularDistribution","TriangulateMesh","Trig","TrigExpand","TrigFactor","TrigFactorList","Trigger","TrigReduce","TrigToExp","TrimmedMean","TrimmedVariance","TropicalStormData","True","TrueQ","TruncatedDistribution","TruncatedPolyhedron","TsallisQExponentialDistribution","TsallisQGaussianDistribution","TTest","Tube","TubeBezierCurveBox","TubeBezierCurveBoxOptions","TubeBox","TubeBoxOptions","TubeBSplineCurveBox","TubeBSplineCurveBoxOptions","Tuesday","TukeyLambdaDistribution","TukeyWindow","TunnelData","Tuples","TuranGraph","TuringMachine","TuttePolynomial","TwoWayRule","Typed","TypeDeclaration","TypeEvaluate","TypeHint","TypeOf","TypeSpecifier","UnateQ","Uncompress","UnconstrainedParameters","Undefined","UnderBar","Underflow","Underlined","Underoverscript","UnderoverscriptBox","UnderoverscriptBoxOptions","Underscript","UnderscriptBox","UnderscriptBoxOptions","UnderseaFeatureData","UndirectedEdge","UndirectedGraph","UndirectedGraphQ","UndoOptions","UndoTrackedVariables","Unequal","UnequalTo","Unevaluated","UniformDistribution","UniformGraphDistribution","UniformPolyhedron","UniformSumDistribution","Uninstall","Union","UnionedEntityClass","UnionPlus","Unique","UniqueElements","UnitaryMatrixQ","UnitBox","UnitConvert","UnitDimensions","Unitize","UnitRootTest","UnitSimplify","UnitStep","UnitSystem","UnitTriangle","UnitVector","UnitVectorLayer","UnityDimensions","UniverseModelData","UniversityData","UnixTime","UnlabeledTree","UnmanageObject","Unprotect","UnregisterExternalEvaluator","UnsameQ","UnsavedVariables","Unset","UnsetShared","Until","UntrackedVariables","Up","UpArrow","UpArrowBar","UpArrowDownArrow","Update","UpdateDynamicObjects","UpdateDynamicObjectsSynchronous","UpdateInterval","UpdatePacletSites","UpdateSearchIndex","UpDownArrow","UpEquilibrium","UpperCaseQ","UpperLeftArrow","UpperRightArrow","UpperTriangularize","UpperTriangularMatrix","UpperTriangularMatrixQ","Upsample","UpSet","UpSetDelayed","UpTee","UpTeeArrow","UpTo","UpValues","URL","URLBuild","URLDecode","URLDispatcher","URLDownload","URLDownloadSubmit","URLEncode","URLExecute","URLExpand","URLFetch","URLFetchAsynchronous","URLParse","URLQueryDecode","URLQueryEncode","URLRead","URLResponseTime","URLSave","URLSaveAsynchronous","URLShorten","URLSubmit","UseEmbeddedLibrary","UseGraphicsRange","UserDefinedWavelet","Using","UsingFrontEnd","UtilityFunction","V2Get","ValenceErrorHandling","ValenceFilling","ValidationLength","ValidationSet","ValueBox","ValueBoxOptions","ValueDimensions","ValueForm","ValuePreprocessingFunction","ValueQ","Values","ValuesData","VandermondeMatrix","Variables","Variance","VarianceEquivalenceTest","VarianceEstimatorFunction","VarianceGammaDistribution","VarianceGammaPointProcess","VarianceTest","VariogramFunction","VariogramModel","VectorAngle","VectorAround","VectorAspectRatio","VectorColorFunction","VectorColorFunctionScaling","VectorDensityPlot","VectorDisplacementPlot","VectorDisplacementPlot3D","VectorGlyphData","VectorGreater","VectorGreaterEqual","VectorLess","VectorLessEqual","VectorMarkers","VectorPlot","VectorPlot3D","VectorPoints","VectorQ","VectorRange","Vectors","VectorScale","VectorScaling","VectorSizes","VectorStyle","Vee","Verbatim","Verbose","VerificationTest","VerifyConvergence","VerifyDerivedKey","VerifyDigitalSignature","VerifyFileSignature","VerifyInterpretation","VerifySecurityCertificates","VerifySolutions","VerifyTestAssumptions","VersionedPreferences","VertexAdd","VertexCapacity","VertexChromaticNumber","VertexColors","VertexComponent","VertexConnectivity","VertexContract","VertexCoordinateRules","VertexCoordinates","VertexCorrelationSimilarity","VertexCosineSimilarity","VertexCount","VertexCoverQ","VertexDataCoordinates","VertexDegree","VertexDelete","VertexDiceSimilarity","VertexEccentricity","VertexInComponent","VertexInComponentGraph","VertexInDegree","VertexIndex","VertexJaccardSimilarity","VertexLabeling","VertexLabels","VertexLabelStyle","VertexList","VertexNormals","VertexOutComponent","VertexOutComponentGraph","VertexOutDegree","VertexQ","VertexRenderingFunction","VertexReplace","VertexShape","VertexShapeFunction","VertexSize","VertexStyle","VertexTextureCoordinates","VertexTransitiveGraphQ","VertexWeight","VertexWeightedGraphQ","Vertical","VerticalBar","VerticalForm","VerticalGauge","VerticalSeparator","VerticalSlider","VerticalTilde","Video","VideoCapture","VideoCombine","VideoDelete","VideoEncoding","VideoExtractFrames","VideoFrameList","VideoFrameMap","VideoGenerator","VideoInsert","VideoIntervals","VideoJoin","VideoMap","VideoMapList","VideoMapTimeSeries","VideoPadding","VideoPause","VideoPlay","VideoQ","VideoRecord","VideoReplace","VideoScreenCapture","VideoSplit","VideoStop","VideoStream","VideoStreams","VideoTimeStretch","VideoTrackSelection","VideoTranscode","VideoTransparency","VideoTrim","ViewAngle","ViewCenter","ViewMatrix","ViewPoint","ViewPointSelectorSettings","ViewPort","ViewProjection","ViewRange","ViewVector","ViewVertical","VirtualGroupData","Visible","VisibleCell","VoiceStyleData","VoigtDistribution","VolcanoData","Volume","VonMisesDistribution","VoronoiMesh","WaitAll","WaitAsynchronousTask","WaitNext","WaitUntil","WakebyDistribution","WalleniusHypergeometricDistribution","WaringYuleDistribution","WarpingCorrespondence","WarpingDistance","WatershedComponents","WatsonUSquareTest","WattsStrogatzGraphDistribution","WaveletBestBasis","WaveletFilterCoefficients","WaveletImagePlot","WaveletListPlot","WaveletMapIndexed","WaveletMatrixPlot","WaveletPhi","WaveletPsi","WaveletScale","WaveletScalogram","WaveletThreshold","WavePDEComponent","WeaklyConnectedComponents","WeaklyConnectedGraphComponents","WeaklyConnectedGraphQ","WeakStationarity","WeatherData","WeatherForecastData","WebAudioSearch","WebColumn","WebElementObject","WeberE","WebExecute","WebImage","WebImageSearch","WebItem","WebPageMetaInformation","WebRow","WebSearch","WebSessionObject","WebSessions","WebWindowObject","Wedge","Wednesday","WeibullDistribution","WeierstrassE1","WeierstrassE2","WeierstrassE3","WeierstrassEta1","WeierstrassEta2","WeierstrassEta3","WeierstrassHalfPeriods","WeierstrassHalfPeriodW1","WeierstrassHalfPeriodW2","WeierstrassHalfPeriodW3","WeierstrassInvariantG2","WeierstrassInvariantG3","WeierstrassInvariants","WeierstrassP","WeierstrassPPrime","WeierstrassSigma","WeierstrassZeta","WeightedAdjacencyGraph","WeightedAdjacencyMatrix","WeightedData","WeightedGraphQ","Weights","WelchWindow","WheelGraph","WhenEvent","Which","While","White","WhiteNoiseProcess","WhitePoint","Whitespace","WhitespaceCharacter","WhittakerM","WhittakerW","WholeCellGroupOpener","WienerFilter","WienerProcess","WignerD","WignerSemicircleDistribution","WikidataData","WikidataSearch","WikipediaData","WikipediaSearch","WilksW","WilksWTest","WindDirectionData","WindingCount","WindingPolygon","WindowClickSelect","WindowElements","WindowFloating","WindowFrame","WindowFrameElements","WindowMargins","WindowMovable","WindowOpacity","WindowPersistentStyles","WindowSelected","WindowSize","WindowStatusArea","WindowTitle","WindowToolbars","WindowWidth","WindSpeedData","WindVectorData","WinsorizedMean","WinsorizedVariance","WishartMatrixDistribution","With","WithCleanup","WithLock","WolframAlpha","WolframAlphaDate","WolframAlphaQuantity","WolframAlphaResult","WolframCloudSettings","WolframLanguageData","Word","WordBoundary","WordCharacter","WordCloud","WordCount","WordCounts","WordData","WordDefinition","WordFrequency","WordFrequencyData","WordList","WordOrientation","WordSearch","WordSelectionFunction","WordSeparators","WordSpacings","WordStem","WordTranslation","WorkingPrecision","WrapAround","Write","WriteLine","WriteString","Wronskian","XMLElement","XMLObject","XMLTemplate","Xnor","Xor","XYZColor","Yellow","Yesterday","YuleDissimilarity","ZernikeR","ZeroSymmetric","ZeroTest","ZeroWidthTimes","Zeta","ZetaZero","ZIPCodeData","ZipfDistribution","ZoomCenter","ZoomFactor","ZTest","ZTransform","$Aborted","$ActivationGroupID","$ActivationKey","$ActivationUserRegistered","$AddOnsDirectory","$AllowDataUpdates","$AllowExternalChannelFunctions","$AllowInternet","$AssertFunction","$Assumptions","$AsynchronousTask","$AudioDecoders","$AudioEncoders","$AudioInputDevices","$AudioOutputDevices","$BaseDirectory","$BasePacletsDirectory","$BatchInput","$BatchOutput","$BlockchainBase","$BoxForms","$ByteOrdering","$CacheBaseDirectory","$Canceled","$ChannelBase","$CharacterEncoding","$CharacterEncodings","$CloudAccountName","$CloudBase","$CloudConnected","$CloudConnection","$CloudCreditsAvailable","$CloudEvaluation","$CloudExpressionBase","$CloudObjectNameFormat","$CloudObjectURLType","$CloudRootDirectory","$CloudSymbolBase","$CloudUserID","$CloudUserUUID","$CloudVersion","$CloudVersionNumber","$CloudWolframEngineVersionNumber","$CommandLine","$CompilationTarget","$CompilerEnvironment","$ConditionHold","$ConfiguredKernels","$Context","$ContextAliases","$ContextPath","$ControlActiveSetting","$Cookies","$CookieStore","$CreationDate","$CryptographicEllipticCurveNames","$CurrentLink","$CurrentTask","$CurrentWebSession","$DataStructures","$DateStringFormat","$DefaultAudioInputDevice","$DefaultAudioOutputDevice","$DefaultFont","$DefaultFrontEnd","$DefaultImagingDevice","$DefaultKernels","$DefaultLocalBase","$DefaultLocalKernel","$DefaultMailbox","$DefaultNetworkInterface","$DefaultPath","$DefaultProxyRules","$DefaultRemoteBatchSubmissionEnvironment","$DefaultRemoteKernel","$DefaultSystemCredentialStore","$Display","$DisplayFunction","$DistributedContexts","$DynamicEvaluation","$Echo","$EmbedCodeEnvironments","$EmbeddableServices","$EntityStores","$Epilog","$EvaluationCloudBase","$EvaluationCloudObject","$EvaluationEnvironment","$ExportFormats","$ExternalIdentifierTypes","$ExternalStorageBase","$Failed","$FinancialDataSource","$FontFamilies","$FormatType","$FrontEnd","$FrontEndSession","$GeneratedAssetLocation","$GeoEntityTypes","$GeoLocation","$GeoLocationCity","$GeoLocationCountry","$GeoLocationPrecision","$GeoLocationSource","$HistoryLength","$HomeDirectory","$HTMLExportRules","$HTTPCookies","$HTTPRequest","$IgnoreEOF","$ImageFormattingWidth","$ImageResolution","$ImagingDevice","$ImagingDevices","$ImportFormats","$IncomingMailSettings","$InitialDirectory","$Initialization","$InitializationContexts","$Input","$InputFileName","$InputStreamMethods","$Inspector","$InstallationDate","$InstallationDirectory","$InterfaceEnvironment","$InterpreterTypes","$IterationLimit","$KernelCount","$KernelID","$Language","$LaunchDirectory","$LibraryPath","$LicenseExpirationDate","$LicenseID","$LicenseProcesses","$LicenseServer","$LicenseSubprocesses","$LicenseType","$Line","$Linked","$LinkSupported","$LoadedFiles","$LocalBase","$LocalSymbolBase","$MachineAddresses","$MachineDomain","$MachineDomains","$MachineEpsilon","$MachineID","$MachineName","$MachinePrecision","$MachineType","$MaxDisplayedChildren","$MaxExtraPrecision","$MaxLicenseProcesses","$MaxLicenseSubprocesses","$MaxMachineNumber","$MaxNumber","$MaxPiecewiseCases","$MaxPrecision","$MaxRootDegree","$MessageGroups","$MessageList","$MessagePrePrint","$Messages","$MinMachineNumber","$MinNumber","$MinorReleaseNumber","$MinPrecision","$MobilePhone","$ModuleNumber","$NetworkConnected","$NetworkInterfaces","$NetworkLicense","$NewMessage","$NewSymbol","$NotebookInlineStorageLimit","$Notebooks","$NoValue","$NumberMarks","$Off","$OperatingSystem","$Output","$OutputForms","$OutputSizeLimit","$OutputStreamMethods","$Packages","$ParentLink","$ParentProcessID","$PasswordFile","$PatchLevelID","$Path","$PathnameSeparator","$PerformanceGoal","$Permissions","$PermissionsGroupBase","$PersistenceBase","$PersistencePath","$PipeSupported","$PlotTheme","$Post","$Pre","$PreferencesDirectory","$PreInitialization","$PrePrint","$PreRead","$PrintForms","$PrintLiteral","$Printout3DPreviewer","$ProcessID","$ProcessorCount","$ProcessorType","$ProductInformation","$ProgramName","$ProgressReporting","$PublisherID","$RandomGeneratorState","$RandomState","$RecursionLimit","$RegisteredDeviceClasses","$RegisteredUserName","$ReleaseNumber","$RequesterAddress","$RequesterCloudUserID","$RequesterCloudUserUUID","$RequesterWolframID","$RequesterWolframUUID","$ResourceSystemBase","$ResourceSystemPath","$RootDirectory","$ScheduledTask","$ScriptCommandLine","$ScriptInputString","$SecuredAuthenticationKeyTokens","$ServiceCreditsAvailable","$Services","$SessionID","$SetParentLink","$SharedFunctions","$SharedVariables","$SoundDisplay","$SoundDisplayFunction","$SourceLink","$SSHAuthentication","$SubtitleDecoders","$SubtitleEncoders","$SummaryBoxDataSizeLimit","$SuppressInputFormHeads","$SynchronousEvaluation","$SyntaxHandler","$System","$SystemCharacterEncoding","$SystemCredentialStore","$SystemID","$SystemMemory","$SystemShell","$SystemTimeZone","$SystemWordLength","$TargetSystems","$TemplatePath","$TemporaryDirectory","$TemporaryPrefix","$TestFileName","$TextStyle","$TimedOut","$TimeUnit","$TimeZone","$TimeZoneEntity","$TopDirectory","$TraceOff","$TraceOn","$TracePattern","$TracePostAction","$TracePreAction","$UnitSystem","$Urgent","$UserAddOnsDirectory","$UserAgentLanguages","$UserAgentMachine","$UserAgentName","$UserAgentOperatingSystem","$UserAgentString","$UserAgentVersion","$UserBaseDirectory","$UserBasePacletsDirectory","$UserDocumentsDirectory","$Username","$UserName","$UserURLBase","$Version","$VersionNumber","$VideoDecoders","$VideoEncoders","$VoiceStyles","$WolframDocumentsDirectory","$WolframID","$WolframUUID"];function qa(Ja){const ed=Ja.regex,td=/([2-9]|[1-2]\d|[3][0-5])\^\^/,rd=/(\w*\.\w+|\w+\.\w*|\w+)/,sd=/(\d*\.\d+|\d+\.\d*|\d+)/,od=ed.either(ed.concat(td,rd),sd),ld=/``[+-]?(\d*\.\d+|\d+\.\d*|\d+)/,cd=/`([+-]?(\d*\.\d+|\d+\.\d*|\d+))?/,ud=ed.either(ld,cd),_d=/\*\^[+-]?\d+/,gd={className:"number",relevance:0,begin:ed.concat(od,ed.optional(ud),ed.optional(_d))},Ed=/[a-zA-Z$][a-zA-Z0-9$]*/,Td=new Set(Ra),kd={variants:[{className:"builtin-symbol",begin:Ed,"on:begin":(qd,Yd)=>{Td.has(qd[0])||Yd.ignoreMatch()}},{className:"symbol",relevance:0,begin:Ed}]},Rd={className:"named-character",begin:/\\\[[$a-zA-Z][$a-zA-Z0-9]+\]/},Nd={className:"operator",relevance:0,begin:/[+\-*/,;.:@~=><&|_`'^?!%]+/},Id={className:"pattern",relevance:0,begin:/([a-zA-Z$][a-zA-Z0-9$]*)?_+([a-zA-Z$][a-zA-Z0-9$]*)?/},Md={className:"slot",relevance:0,begin:/#[a-zA-Z$][a-zA-Z0-9$]*|#+[0-9]?/},Ld={className:"brace",relevance:0,begin:/[[\](){}]/},Pd={className:"message-name",relevance:0,begin:ed.concat("::",Ed)};return{name:"Mathematica",aliases:["mma","wl"],classNameAliases:{brace:"punctuation",pattern:"type",slot:"type",symbol:"variable","named-character":"variable","builtin-symbol":"built_in","message-name":"string"},contains:[Ja.COMMENT(/\(\*/,/\*\)/,{contains:["self"]}),Id,Md,Pd,kd,Rd,Ja.QUOTE_STRING_MODE,gd,Nd,Ld]}}return mathematica_1=qa,mathematica_1}var matlab_1,hasRequiredMatlab;function requireMatlab(){if(hasRequiredMatlab)return matlab_1;hasRequiredMatlab=1;function Ra(qa){const Ja="('|\\.')+",ed={relevance:0,contains:[{begin:Ja}]};return{name:"Matlab",keywords:{keyword:"arguments break case catch classdef continue else elseif end enumeration events for function global if methods otherwise parfor persistent properties return spmd switch try while",built_in:"sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i|0 inf nan isnan isinf isfinite j|0 why compan gallery hadamard hankel hilb invhilb magic pascal rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun legend intersect ismember procrustes hold num2cell "},illegal:'(//|"|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[qa.UNDERSCORE_TITLE_MODE,{className:"params",variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}]}]},{className:"built_in",begin:/true|false/,relevance:0,starts:ed},{begin:"[a-zA-Z][a-zA-Z_0-9]*"+Ja,relevance:0},{className:"number",begin:qa.C_NUMBER_RE,relevance:0,starts:ed},{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{begin:/\]|\}|\)/,relevance:0,starts:ed},{className:"string",begin:'"',end:'"',contains:[{begin:'""'}],starts:ed},qa.COMMENT("^\\s*%\\{\\s*$","^\\s*%\\}\\s*$"),qa.COMMENT("%","$")]}}return matlab_1=Ra,matlab_1}var maxima_1,hasRequiredMaxima;function requireMaxima(){if(hasRequiredMaxima)return maxima_1;hasRequiredMaxima=1;function Ra(qa){return{name:"Maxima",keywords:{$pattern:"[A-Za-z_%][0-9A-Za-z_%]*",keyword:"if then else elseif for thru do while unless step in and or not",literal:"true false unknown inf minf ind und %e %i %pi %phi %gamma",built_in:" abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type alias allroots alphacharp alphanumericp amortization %and annuity_fv annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2 applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method av average_degree backtrace bars barsplot barsplot_description base64 base64_decode bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description break bug_report build_info|10 buildq build_sample burn cabs canform canten cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2 charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps chinese cholesky christof chromatic_index chromatic_number cint circulant_graph clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse collectterms columnop columnspace columnswap columnvector combination combine comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph complete_graph complex_number_p components compose_functions concan concat conjugate conmetderiv connected_components connect_vertices cons constant constantp constituent constvalue cont2part content continuous_freq contortion contour_plot contract contract_edge contragrad contrib_ode convert coord copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1 covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate declare declare_constvalue declare_dimensions declare_fundamental_dimensions declare_fundamental_units declare_qty declare_translated declare_unit_conversion declare_units declare_weights decsym defcon define define_alt_display define_variable defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten delta demo demoivre denom depends derivdegree derivlist describe desolve determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export dimacs_import dimension dimensionless dimensions dimensions_as_list direct directory discrete_freq disjoin disjointp disolate disp dispcon dispform dispfun dispJordan display disprule dispterms distrib divide divisors divsum dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors euler ev eval_string evenp every evolution evolution2d evundiff example exp expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li expintegral_shi expintegral_si explicit explose exponentialize express expt exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge file_search file_type fillarray findde find_root find_root_abs find_root_error find_root_rel first fix flatten flength float floatnump floor flower_snark flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string get_pixel get_plot_option get_tex_environment get_tex_environment_default get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart imetric implicit implicit_derivative implicit_plot indexed_tensor indices induced_subgraph inferencep inference_result infix info_display init_atensor init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions integrate intersect intersection intervalp intopois intosum invariant1 invariant2 inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2 kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit Lindstedt linear linearinterpol linear_program linear_regression line_graph linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country make_polygon make_random_state make_rgb_picture makeset make_string_input_stream make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker max max_clique max_degree max_flow maximize_lp max_independent_set max_matching maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext newdet new_graph newline newton new_variable next_prime nicedummies niceindices ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst nthroot nullity nullspace num numbered_boundaries numberp number_to_octets num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin oid_to_octets op opena opena_binary openr openr_binary openw openw_binary operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface parg parGosper parse_string parse_timedate part part2cont partfrac partition partition_set partpol path_digraph path_graph pathname_directory pathname_name pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod powerseries powerset prefix prev_prime primep primes principal_components print printf printfile print_graph printpois printprops prodrac product properties propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2 quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan radius random random_bernoulli random_beta random_binomial random_bipartite_graph random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform random_exp random_f random_gamma random_general_finite_discrete random_geometric random_graph random_graph1 random_gumbel random_hypergeometric random_laplace random_logistic random_lognormal random_negative_binomial random_network random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto random_permutation random_poisson random_rayleigh random_regular_graph random_student_t random_tournament random_tree random_weibull range rank rat ratcoef ratdenom ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus rem remainder remarray rembox remcomps remcon remcoord remfun remfunction remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions remove_fundamental_units remove_plot_option remove_vertex rempart remrule remsym remvalue rename rename_file reset reset_displays residue resolvante resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann rinvariant risch rk rmdir rncombine romberg room rootscontract round row rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1 spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot starplot_description status std std1 std_bernoulli std_beta std_binomial std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull stemplot stirling stirling1 stirling2 strim striml strimr string stringout stringp strong_components struve_h struve_l sublis sublist sublist_indices submatrix subsample subset subsetp subst substinpart subst_parallel substpart substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext symbolp symmdifference symmetricp system take_channel take_inference tan tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference test_normality test_proportion test_proportions_difference test_rank_sum test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep totalfourier totient tpartpol trace tracematrix trace_options transform_sample translate translate_file transpose treefale tree_reduce treillis treinat triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget ultraspherical underlying_graph undiff union unique uniteigenvectors unitp units unit_step unitvector unorder unsum untellrat untimer untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table absboxchar activecontexts adapt_depth additive adim aform algebraic algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top azimuth background background_color backsubst berlefact bernstein_explicit besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest border boundaries_array box boxchar breakup %c capping cauchysum cbrange cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics colorbox columns commutative complex cone context contexts contour contour_levels cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp cube current_let_rule_package cylinder data_file_name debugmode decreasing default_let_rule_package delay dependencies derivabbrev derivsubst detout diagmetric diff dim dimensions dispflag display2d|10 display_format_internal distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart edge_color edge_coloring edge_partition edge_type edge_width %edispflag elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine factlim factorflag factorial_expand factors_only fb feature features file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10 file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color fill_density filled_func fixed_vertices flipflag float2bf font font_size fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both head_length head_type height hypergeometric_representation %iargs ibase icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued integrate_use_rootsof integration_constant integration_constant_counter interpolate_color intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10 maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties opsubst optimprefix optionset orientation origin orthopoly_returns_intervals outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart png_file pochhammer_max_index points pointsize point_size points_joined point_type poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list poly_secondary_elimination_order poly_top_reduction_only posfun position powerdisp pred prederror primep_number_of_tests product_use_gamma program programmode promote_float_to_bigfloat prompt proportional_axes props psexpand ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type show_vertices show_weight simp simplified_output simplify_products simpproduct simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch tr track transcompile transform transform_xy translate_fast_arrays transparent transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest",symbol:"_ __ %|0 %%|0"},contains:[{className:"comment",begin:"/\\*",end:"\\*/",contains:["self"]},qa.QUOTE_STRING_MODE,{className:"number",relevance:0,variants:[{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Ee][-+]?\\d+\\b"},{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Bb][-+]?\\d+\\b",relevance:10},{begin:"\\b(\\.\\d+|\\d+\\.\\d+)\\b"},{begin:"\\b(\\d+|0[0-9A-Za-z]+)\\.?\\b"}]}],illegal:/@/}}return maxima_1=Ra,maxima_1}var mel_1,hasRequiredMel;function requireMel(){if(hasRequiredMel)return mel_1;hasRequiredMel=1;function Ra(qa){return{name:"MEL",keywords:"int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform",illegal:"</",contains:[qa.C_NUMBER_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[qa.BACKSLASH_ESCAPE]},{begin:/[$%@](\^\w\b|#\w+|[^\s\w{]|\{\w+\}|\w+)/},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]}}return mel_1=Ra,mel_1}var mercury_1,hasRequiredMercury;function requireMercury(){if(hasRequiredMercury)return mercury_1;hasRequiredMercury=1;function Ra(qa){const Ja={keyword:"module use_module import_module include_module end_module initialise mutable initialize finalize finalise interface implementation pred mode func type inst solver any_pred any_func is semidet det nondet multi erroneous failure cc_nondet cc_multi typeclass instance where pragma promise external trace atomic or_else require_complete_switch require_det require_semidet require_multi require_nondet require_cc_multi require_cc_nondet require_erroneous require_failure",meta:"inline no_inline type_spec source_file fact_table obsolete memo loop_check minimal_model terminates does_not_terminate check_termination promise_equivalent_clauses foreign_proc foreign_decl foreign_code foreign_type foreign_import_module foreign_export_enum foreign_export foreign_enum may_call_mercury will_not_call_mercury thread_safe not_thread_safe maybe_thread_safe promise_pure promise_semipure tabled_for_io local untrailed trailed attach_to_io_state can_pass_as_mercury_type stable will_not_throw_exception may_modify_trail will_not_modify_trail may_duplicate may_not_duplicate affects_liveness does_not_affect_liveness doesnt_affect_liveness no_sharing unknown_sharing sharing",built_in:"some all not if then else true fail false try catch catch_any semidet_true semidet_false semidet_fail impure_true impure semipure"},ed=qa.COMMENT("%","$"),td={className:"number",begin:"0'.\\|0[box][0-9a-fA-F]*"},rd=qa.inherit(qa.APOS_STRING_MODE,{relevance:0}),sd=qa.inherit(qa.QUOTE_STRING_MODE,{relevance:0}),od={className:"subst",begin:"\\\\[abfnrtv]\\|\\\\x[0-9a-fA-F]*\\\\\\|%[-+# *.0-9]*[dioxXucsfeEgGp]",relevance:0};return sd.contains=sd.contains.slice(),sd.contains.push(od),{name:"Mercury",aliases:["m","moo"],keywords:Ja,contains:[{className:"built_in",variants:[{begin:"<=>"},{begin:"<=",relevance:0},{begin:"=>",relevance:0},{begin:"/\\\\"},{begin:"\\\\/"}]},{className:"built_in",variants:[{begin:":-\\|-->"},{begin:"=",relevance:0}]},ed,qa.C_BLOCK_COMMENT_MODE,td,qa.NUMBER_MODE,rd,sd,{begin:/:-/},{begin:/\.$/}]}}return mercury_1=Ra,mercury_1}var mipsasm_1,hasRequiredMipsasm;function requireMipsasm(){if(hasRequiredMipsasm)return mipsasm_1;hasRequiredMipsasm=1;function Ra(qa){return{name:"MIPS Assembly",case_insensitive:!0,aliases:["mips"],keywords:{$pattern:"\\.?"+qa.IDENT_RE,meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg ",built_in:"$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 $16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 t0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 k0 k1 gp sp fp ra $f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 $f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt "},contains:[{className:"keyword",begin:"\\b(addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(\\.hb)?|jr(\\.hb)?|lbu?|lhu?|ll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|srlv?|subu?|sw[lr]?|xori?|wsbh|abs\\.[sd]|add\\.[sd]|alnv.ps|bc1[ft]l?|c\\.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et])\\.[sd]|(ceil|floor|round|trunc)\\.[lw]\\.[sd]|cfc1|cvt\\.d\\.[lsw]|cvt\\.l\\.[dsw]|cvt\\.ps\\.s|cvt\\.s\\.[dlw]|cvt\\.s\\.p[lu]|cvt\\.w\\.[dls]|div\\.[ds]|ldx?c1|luxc1|lwx?c1|madd\\.[sd]|mfc1|mov[fntz]?\\.[ds]|msub\\.[sd]|mth?c1|mul\\.[ds]|neg\\.[ds]|nmadd\\.[ds]|nmsub\\.[ds]|p[lu][lu]\\.ps|recip\\.fmt|r?sqrt\\.[ds]|sdx?c1|sub\\.[ds]|suxc1|swx?c1|break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|tlti?u?|tnei?|wait|wrpgpr)",end:"\\s"},qa.COMMENT("[;#](?!\\s*$)","$"),qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"0x[0-9a-f]+"},{begin:"\\b-?\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"^\\s*[0-9]+:"},{begin:"[0-9]+[bf]"}],relevance:0}],illegal:/\//}}return mipsasm_1=Ra,mipsasm_1}var mizar_1,hasRequiredMizar;function requireMizar(){if(hasRequiredMizar)return mizar_1;hasRequiredMizar=1;function Ra(qa){return{name:"Mizar",keywords:"environ vocabularies notations constructors definitions registrations theorems schemes requirements begin end definition registration cluster existence pred func defpred deffunc theorem proof let take assume then thus hence ex for st holds consider reconsider such that and in provided of as from be being by means equals implies iff redefine define now not or attr is mode suppose per cases set thesis contradiction scheme reserve struct correctness compatibility coherence symmetry assymetry reflexivity irreflexivity connectedness uniqueness commutativity idempotence involutiveness projectivity",contains:[qa.COMMENT("::","$")]}}return mizar_1=Ra,mizar_1}var perl_1,hasRequiredPerl;function requirePerl(){if(hasRequiredPerl)return perl_1;hasRequiredPerl=1;function Ra(qa){const Ja=qa.regex,ed=["abs","accept","alarm","and","atan2","bind","binmode","bless","break","caller","chdir","chmod","chomp","chop","chown","chr","chroot","class","close","closedir","connect","continue","cos","crypt","dbmclose","dbmopen","defined","delete","die","do","dump","each","else","elsif","endgrent","endhostent","endnetent","endprotoent","endpwent","endservent","eof","eval","exec","exists","exit","exp","fcntl","field","fileno","flock","for","foreach","fork","format","formline","getc","getgrent","getgrgid","getgrnam","gethostbyaddr","gethostbyname","gethostent","getlogin","getnetbyaddr","getnetbyname","getnetent","getpeername","getpgrp","getpriority","getprotobyname","getprotobynumber","getprotoent","getpwent","getpwnam","getpwuid","getservbyname","getservbyport","getservent","getsockname","getsockopt","given","glob","gmtime","goto","grep","gt","hex","if","index","int","ioctl","join","keys","kill","last","lc","lcfirst","length","link","listen","local","localtime","log","lstat","lt","ma","map","method","mkdir","msgctl","msgget","msgrcv","msgsnd","my","ne","next","no","not","oct","open","opendir","or","ord","our","pack","package","pipe","pop","pos","print","printf","prototype","push","q|0","qq","quotemeta","qw","qx","rand","read","readdir","readline","readlink","readpipe","recv","redo","ref","rename","require","reset","return","reverse","rewinddir","rindex","rmdir","say","scalar","seek","seekdir","select","semctl","semget","semop","send","setgrent","sethostent","setnetent","setpgrp","setpriority","setprotoent","setpwent","setservent","setsockopt","shift","shmctl","shmget","shmread","shmwrite","shutdown","sin","sleep","socket","socketpair","sort","splice","split","sprintf","sqrt","srand","stat","state","study","sub","substr","symlink","syscall","sysopen","sysread","sysseek","system","syswrite","tell","telldir","tie","tied","time","times","tr","truncate","uc","ucfirst","umask","undef","unless","unlink","unpack","unshift","untie","until","use","utime","values","vec","wait","waitpid","wantarray","warn","when","while","write","x|0","xor","y|0"],td=/[dualxmsipngr]{0,12}/,rd={$pattern:/[\w.]+/,keyword:ed.join(" ")},sd={className:"subst",begin:"[$@]\\{",end:"\\}",keywords:rd},od={begin:/->\{/,end:/\}/},ld={scope:"attr",match:/\s+:\s*\w+(\s*\(.*?\))?/},cd={scope:"variable",variants:[{begin:/\$\d/},{begin:Ja.concat(/[$%@](?!")(\^\w\b|#\w+(::\w+)*|\{\w+\}|\w+(::\w*)*)/,"(?![A-Za-z])(?![@$%])")},{begin:/[$%@](?!")[^\s\w{=]|\$=/,relevance:0}],contains:[ld]},ud={className:"number",variants:[{match:/0?\.[0-9][0-9_]+\b/},{match:/\bv?(0|[1-9][0-9_]*(\.[0-9_]+)?|[1-9][0-9_]*)\b/},{match:/\b0[0-7][0-7_]*\b/},{match:/\b0x[0-9a-fA-F][0-9a-fA-F_]*\b/},{match:/\b0b[0-1][0-1_]*\b/}],relevance:0},_d=[qa.BACKSLASH_ESCAPE,sd,cd],yd=[/!/,/\//,/\|/,/\?/,/'/,/"/,/#/],gd=(kd,Rd,Nd="\\1")=>{const Id=Nd==="\\1"?Nd:Ja.concat(Nd,Rd);return Ja.concat(Ja.concat("(?:",kd,")"),Rd,/(?:\\.|[^\\\/])*?/,Id,/(?:\\.|[^\\\/])*?/,Nd,td)},Ed=(kd,Rd,Nd)=>Ja.concat(Ja.concat("(?:",kd,")"),Rd,/(?:\\.|[^\\\/])*?/,Nd,td),Td=[cd,qa.HASH_COMMENT_MODE,qa.COMMENT(/^=\w/,/=cut/,{endsWithParent:!0}),od,{className:"string",contains:_d,variants:[{begin:"q[qwxr]?\\s*\\(",end:"\\)",relevance:5},{begin:"q[qwxr]?\\s*\\[",end:"\\]",relevance:5},{begin:"q[qwxr]?\\s*\\{",end:"\\}",relevance:5},{begin:"q[qwxr]?\\s*\\|",end:"\\|",relevance:5},{begin:"q[qwxr]?\\s*<",end:">",relevance:5},{begin:"qw\\s+q",end:"q",relevance:5},{begin:"'",end:"'",contains:[qa.BACKSLASH_ESCAPE]},{begin:'"',end:'"'},{begin:"`",end:"`",contains:[qa.BACKSLASH_ESCAPE]},{begin:/\{\w+\}/,relevance:0},{begin:"-?\\w+\\s*=>",relevance:0}]},ud,{begin:"(\\/\\/|"+qa.RE_STARTERS_RE+"|\\b(split|return|print|reverse|grep)\\b)\\s*",keywords:"split return print reverse grep",relevance:0,contains:[qa.HASH_COMMENT_MODE,{className:"regexp",variants:[{begin:gd("s|tr|y",Ja.either(...yd,{capture:!0}))},{begin:gd("s|tr|y","\\(","\\)")},{begin:gd("s|tr|y","\\[","\\]")},{begin:gd("s|tr|y","\\{","\\}")}],relevance:2},{className:"regexp",variants:[{begin:/(m|qr)\/\//,relevance:0},{begin:Ed("(?:m|qr)?",/\//,/\//)},{begin:Ed("m|qr",Ja.either(...yd,{capture:!0}),/\1/)},{begin:Ed("m|qr",/\(/,/\)/)},{begin:Ed("m|qr",/\[/,/\]/)},{begin:Ed("m|qr",/\{/,/\}/)}]}]},{className:"function",beginKeywords:"sub method",end:"(\\s*\\(.*?\\))?[;{]",excludeEnd:!0,relevance:5,contains:[qa.TITLE_MODE,ld]},{className:"class",beginKeywords:"class",end:"[;{]",excludeEnd:!0,relevance:5,contains:[qa.TITLE_MODE,ld,ud]},{begin:"-\\w\\b",relevance:0},{begin:"^__DATA__$",end:"^__END__$",subLanguage:"mojolicious",contains:[{begin:"^@@.*",end:"$",className:"comment"}]}];return sd.contains=Td,od.contains=Td,{name:"Perl",aliases:["pl","pm"],keywords:rd,contains:Td}}return perl_1=Ra,perl_1}var mojolicious_1,hasRequiredMojolicious;function requireMojolicious(){if(hasRequiredMojolicious)return mojolicious_1;hasRequiredMojolicious=1;function Ra(qa){return{name:"Mojolicious",subLanguage:"xml",contains:[{className:"meta",begin:"^__(END|DATA)__$"},{begin:"^\\s*%{1,2}={0,2}",end:"$",subLanguage:"perl"},{begin:"<%{1,2}={0,2}",end:"={0,1}%>",subLanguage:"perl",excludeBegin:!0,excludeEnd:!0}]}}return mojolicious_1=Ra,mojolicious_1}var monkey_1,hasRequiredMonkey;function requireMonkey(){if(hasRequiredMonkey)return monkey_1;hasRequiredMonkey=1;function Ra(qa){const Ja={className:"number",relevance:0,variants:[{begin:"[$][a-fA-F0-9]+"},qa.NUMBER_MODE]},ed={variants:[{match:[/(function|method)/,/\s+/,qa.UNDERSCORE_IDENT_RE]}],scope:{1:"keyword",3:"title.function"}},td={variants:[{match:[/(class|interface|extends|implements)/,/\s+/,qa.UNDERSCORE_IDENT_RE]}],scope:{1:"keyword",3:"title.class"}};return{name:"Monkey",case_insensitive:!0,keywords:{keyword:["public","private","property","continue","exit","extern","new","try","catch","eachin","not","abstract","final","select","case","default","const","local","global","field","end","if","then","else","elseif","endif","while","wend","repeat","until","forever","for","to","step","next","return","module","inline","throw","import","and","or","shl","shr","mod"],built_in:["DebugLog","DebugStop","Error","Print","ACos","ACosr","ASin","ASinr","ATan","ATan2","ATan2r","ATanr","Abs","Abs","Ceil","Clamp","Clamp","Cos","Cosr","Exp","Floor","Log","Max","Max","Min","Min","Pow","Sgn","Sgn","Sin","Sinr","Sqrt","Tan","Tanr","Seed","PI","HALFPI","TWOPI"],literal:["true","false","null"]},illegal:/\/\*/,contains:[qa.COMMENT("#rem","#end"),qa.COMMENT("'","$",{relevance:0}),ed,td,{className:"variable.language",begin:/\b(self|super)\b/},{className:"meta",begin:/\s*#/,end:"$",keywords:{keyword:"if else elseif endif end then"}},{match:[/^\s*/,/strict\b/],scope:{2:"meta"}},{beginKeywords:"alias",end:"=",contains:[qa.UNDERSCORE_TITLE_MODE]},qa.QUOTE_STRING_MODE,Ja]}}return monkey_1=Ra,monkey_1}var moonscript_1,hasRequiredMoonscript;function requireMoonscript(){if(hasRequiredMoonscript)return moonscript_1;hasRequiredMoonscript=1;function Ra(qa){const Ja={keyword:"if then not for in while do return else elseif break continue switch and or unless when class extends super local import export from using",literal:"true false nil",built_in:"_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug io math os package string table"},ed="[A-Za-z$_][0-9A-Za-z$_]*",td={className:"subst",begin:/#\{/,end:/\}/,keywords:Ja},rd=[qa.inherit(qa.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'/,end:/'/,contains:[qa.BACKSLASH_ESCAPE]},{begin:/"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE,td]}]},{className:"built_in",begin:"@__"+qa.IDENT_RE},{begin:"@"+qa.IDENT_RE},{begin:qa.IDENT_RE+"\\\\"+qa.IDENT_RE}];td.contains=rd;const sd=qa.inherit(qa.TITLE_MODE,{begin:ed}),od="(\\(.*\\)\\s*)?\\B[-=]>",ld={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:Ja,contains:["self"].concat(rd)}]};return{name:"MoonScript",aliases:["moon"],keywords:Ja,illegal:/\/\*/,contains:rd.concat([qa.COMMENT("--","$"),{className:"function",begin:"^\\s*"+ed+"\\s*=\\s*"+od,end:"[-=]>",returnBegin:!0,contains:[sd,ld]},{begin:/[\(,:=]\s*/,relevance:0,contains:[{className:"function",begin:od,end:"[-=]>",returnBegin:!0,contains:[ld]}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[sd]},sd]},{className:"name",begin:ed+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}return moonscript_1=Ra,moonscript_1}var n1ql_1,hasRequiredN1ql;function requireN1ql(){if(hasRequiredN1ql)return n1ql_1;hasRequiredN1ql=1;function Ra(qa){return{name:"N1QL",case_insensitive:!0,contains:[{beginKeywords:"build create index delete drop explain infer|10 insert merge prepare select update upsert|10",end:/;/,keywords:{keyword:["all","alter","analyze","and","any","array","as","asc","begin","between","binary","boolean","break","bucket","build","by","call","case","cast","cluster","collate","collection","commit","connect","continue","correlate","cover","create","database","dataset","datastore","declare","decrement","delete","derived","desc","describe","distinct","do","drop","each","element","else","end","every","except","exclude","execute","exists","explain","fetch","first","flatten","for","force","from","function","grant","group","gsi","having","if","ignore","ilike","in","include","increment","index","infer","inline","inner","insert","intersect","into","is","join","key","keys","keyspace","known","last","left","let","letting","like","limit","lsm","map","mapping","matched","materialized","merge","minus","namespace","nest","not","number","object","offset","on","option","or","order","outer","over","parse","partition","password","path","pool","prepare","primary","private","privilege","procedure","public","raw","realm","reduce","rename","return","returning","revoke","right","role","rollback","satisfies","schema","select","self","semi","set","show","some","start","statistics","string","system","then","to","transaction","trigger","truncate","under","union","unique","unknown","unnest","unset","update","upsert","use","user","using","validate","value","valued","values","via","view","when","where","while","with","within","work","xor"],literal:["true","false","null","missing|5"],built_in:["array_agg","array_append","array_concat","array_contains","array_count","array_distinct","array_ifnull","array_length","array_max","array_min","array_position","array_prepend","array_put","array_range","array_remove","array_repeat","array_replace","array_reverse","array_sort","array_sum","avg","count","max","min","sum","greatest","least","ifmissing","ifmissingornull","ifnull","missingif","nullif","ifinf","ifnan","ifnanorinf","naninf","neginfif","posinfif","clock_millis","clock_str","date_add_millis","date_add_str","date_diff_millis","date_diff_str","date_part_millis","date_part_str","date_trunc_millis","date_trunc_str","duration_to_str","millis","str_to_millis","millis_to_str","millis_to_utc","millis_to_zone_name","now_millis","now_str","str_to_duration","str_to_utc","str_to_zone_name","decode_json","encode_json","encoded_size","poly_length","base64","base64_encode","base64_decode","meta","uuid","abs","acos","asin","atan","atan2","ceil","cos","degrees","e","exp","ln","log","floor","pi","power","radians","random","round","sign","sin","sqrt","tan","trunc","object_length","object_names","object_pairs","object_inner_pairs","object_values","object_inner_values","object_add","object_put","object_remove","object_unwrap","regexp_contains","regexp_like","regexp_position","regexp_replace","contains","initcap","length","lower","ltrim","position","repeat","replace","rtrim","split","substr","title","trim","upper","isarray","isatom","isboolean","isnumber","isobject","isstring","type","toarray","toatom","toboolean","tonumber","toobject","tostring"]},contains:[{className:"string",begin:"'",end:"'",contains:[qa.BACKSLASH_ESCAPE]},{className:"string",begin:'"',end:'"',contains:[qa.BACKSLASH_ESCAPE]},{className:"symbol",begin:"`",end:"`",contains:[qa.BACKSLASH_ESCAPE]},qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE]},qa.C_BLOCK_COMMENT_MODE]}}return n1ql_1=Ra,n1ql_1}var nestedtext_1,hasRequiredNestedtext;function requireNestedtext(){if(hasRequiredNestedtext)return nestedtext_1;hasRequiredNestedtext=1;function Ra(qa){const Ja={match:[/^\s*(?=\S)/,/[^:]+/,/:\s*/,/$/],className:{2:"attribute",3:"punctuation"}},ed={match:[/^\s*(?=\S)/,/[^:]*[^: ]/,/[ ]*:/,/[ ]/,/.*$/],className:{2:"attribute",3:"punctuation",5:"string"}},td={match:[/^\s*/,/>/,/[ ]/,/.*$/],className:{2:"punctuation",4:"string"}},rd={variants:[{match:[/^\s*/,/-/,/[ ]/,/.*$/]},{match:[/^\s*/,/-$/]}],className:{2:"bullet",4:"string"}};return{name:"Nested Text",aliases:["nt"],contains:[qa.inherit(qa.HASH_COMMENT_MODE,{begin:/^\s*(?=#)/,excludeBegin:!0}),rd,td,Ja,ed]}}return nestedtext_1=Ra,nestedtext_1}var nginx_1,hasRequiredNginx;function requireNginx(){if(hasRequiredNginx)return nginx_1;hasRequiredNginx=1;function Ra(qa){const Ja=qa.regex,ed={className:"variable",variants:[{begin:/\$\d+/},{begin:/\$\{\w+\}/},{begin:Ja.concat(/[$@]/,qa.UNDERSCORE_IDENT_RE)}]},rd={endsWithParent:!0,keywords:{$pattern:/[a-z_]{2,}|\/dev\/poll/,literal:["on","off","yes","no","true","false","none","blocked","debug","info","notice","warn","error","crit","select","break","last","permanent","redirect","kqueue","rtsig","epoll","poll","/dev/poll"]},relevance:0,illegal:"=>",contains:[qa.HASH_COMMENT_MODE,{className:"string",contains:[qa.BACKSLASH_ESCAPE,ed],variants:[{begin:/"/,end:/"/},{begin:/'/,end:/'/}]},{begin:"([a-z]+):/",end:"\\s",endsWithParent:!0,excludeEnd:!0,contains:[ed]},{className:"regexp",contains:[qa.BACKSLASH_ESCAPE,ed],variants:[{begin:"\\s\\^",end:"\\s|\\{|;",returnEnd:!0},{begin:"~\\*?\\s+",end:"\\s|\\{|;",returnEnd:!0},{begin:"\\*(\\.[a-z\\-]+)+"},{begin:"([a-z\\-]+\\.)+\\*"}]},{className:"number",begin:"\\b\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b"},{className:"number",begin:"\\b\\d+[kKmMgGdshdwy]?\\b",relevance:0},ed]};return{name:"Nginx config",aliases:["nginxconf"],contains:[qa.HASH_COMMENT_MODE,{beginKeywords:"upstream location",end:/;|\{/,contains:rd.contains,keywords:{section:"upstream location"}},{className:"section",begin:Ja.concat(qa.UNDERSCORE_IDENT_RE+Ja.lookahead(/\s+\{/)),relevance:0},{begin:Ja.lookahead(qa.UNDERSCORE_IDENT_RE+"\\s"),end:";|\\{",contains:[{className:"attribute",begin:qa.UNDERSCORE_IDENT_RE,starts:rd}],relevance:0}],illegal:"[^\\s\\}\\{]"}}return nginx_1=Ra,nginx_1}var nim_1,hasRequiredNim;function requireNim(){if(hasRequiredNim)return nim_1;hasRequiredNim=1;function Ra(qa){return{name:"Nim",keywords:{keyword:["addr","and","as","asm","bind","block","break","case","cast","const","continue","converter","discard","distinct","div","do","elif","else","end","enum","except","export","finally","for","from","func","generic","guarded","if","import","in","include","interface","is","isnot","iterator","let","macro","method","mixin","mod","nil","not","notin","object","of","or","out","proc","ptr","raise","ref","return","shared","shl","shr","static","template","try","tuple","type","using","var","when","while","with","without","xor","yield"],literal:["true","false"],type:["int","int8","int16","int32","int64","uint","uint8","uint16","uint32","uint64","float","float32","float64","bool","char","string","cstring","pointer","expr","stmt","void","auto","any","range","array","openarray","varargs","seq","set","clong","culong","cchar","cschar","cshort","cint","csize","clonglong","cfloat","cdouble","clongdouble","cuchar","cushort","cuint","culonglong","cstringarray","semistatic"],built_in:["stdin","stdout","stderr","result"]},contains:[{className:"meta",begin:/\{\./,end:/\.\}/,relevance:10},{className:"string",begin:/[a-zA-Z]\w*"/,end:/"/,contains:[{begin:/""/}]},{className:"string",begin:/([a-zA-Z]\w*)?"""/,end:/"""/},qa.QUOTE_STRING_MODE,{className:"type",begin:/\b[A-Z]\w+\b/,relevance:0},{className:"number",relevance:0,variants:[{begin:/\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},{begin:/\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(\d[_\d]*)('?[iIuUfF](8|16|32|64))?/}]},qa.HASH_COMMENT_MODE]}}return nim_1=Ra,nim_1}var nix_1,hasRequiredNix;function requireNix(){if(hasRequiredNix)return nix_1;hasRequiredNix=1;function Ra(qa){const Ja={keyword:["rec","with","let","in","inherit","assert","if","else","then"],literal:["true","false","or","and","null"],built_in:["import","abort","baseNameOf","dirOf","isNull","builtins","map","removeAttrs","throw","toString","derivation"]},ed={className:"subst",begin:/\$\{/,end:/\}/,keywords:Ja},td={className:"char.escape",begin:/''\$/},rd={begin:/[a-zA-Z0-9-_]+(\s*=)/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/\S+/,relevance:.2}]},sd={className:"string",contains:[td,ed],variants:[{begin:"''",end:"''"},{begin:'"',end:'"'}]},od=[qa.NUMBER_MODE,qa.HASH_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,sd,rd];return ed.contains=od,{name:"Nix",aliases:["nixos"],keywords:Ja,contains:od}}return nix_1=Ra,nix_1}var nodeRepl_1,hasRequiredNodeRepl;function requireNodeRepl(){if(hasRequiredNodeRepl)return nodeRepl_1;hasRequiredNodeRepl=1;function Ra(qa){return{name:"Node REPL",contains:[{className:"meta.prompt",starts:{end:/ |$/,starts:{end:"$",subLanguage:"javascript"}},variants:[{begin:/^>(?=[ ]|$)/},{begin:/^\.\.\.(?=[ ]|$)/}]}]}}return nodeRepl_1=Ra,nodeRepl_1}var nsis_1,hasRequiredNsis;function requireNsis(){if(hasRequiredNsis)return nsis_1;hasRequiredNsis=1;function Ra(qa){const Ja=qa.regex,ed=["ADMINTOOLS","APPDATA","CDBURN_AREA","CMDLINE","COMMONFILES32","COMMONFILES64","COMMONFILES","COOKIES","DESKTOP","DOCUMENTS","EXEDIR","EXEFILE","EXEPATH","FAVORITES","FONTS","HISTORY","HWNDPARENT","INSTDIR","INTERNET_CACHE","LANGUAGE","LOCALAPPDATA","MUSIC","NETHOOD","OUTDIR","PICTURES","PLUGINSDIR","PRINTHOOD","PROFILE","PROGRAMFILES32","PROGRAMFILES64","PROGRAMFILES","QUICKLAUNCH","RECENT","RESOURCES_LOCALIZED","RESOURCES","SENDTO","SMPROGRAMS","SMSTARTUP","STARTMENU","SYSDIR","TEMP","TEMPLATES","VIDEOS","WINDIR"],td=["ARCHIVE","FILE_ATTRIBUTE_ARCHIVE","FILE_ATTRIBUTE_NORMAL","FILE_ATTRIBUTE_OFFLINE","FILE_ATTRIBUTE_READONLY","FILE_ATTRIBUTE_SYSTEM","FILE_ATTRIBUTE_TEMPORARY","HKCR","HKCU","HKDD","HKEY_CLASSES_ROOT","HKEY_CURRENT_CONFIG","HKEY_CURRENT_USER","HKEY_DYN_DATA","HKEY_LOCAL_MACHINE","HKEY_PERFORMANCE_DATA","HKEY_USERS","HKLM","HKPD","HKU","IDABORT","IDCANCEL","IDIGNORE","IDNO","IDOK","IDRETRY","IDYES","MB_ABORTRETRYIGNORE","MB_DEFBUTTON1","MB_DEFBUTTON2","MB_DEFBUTTON3","MB_DEFBUTTON4","MB_ICONEXCLAMATION","MB_ICONINFORMATION","MB_ICONQUESTION","MB_ICONSTOP","MB_OK","MB_OKCANCEL","MB_RETRYCANCEL","MB_RIGHT","MB_RTLREADING","MB_SETFOREGROUND","MB_TOPMOST","MB_USERICON","MB_YESNO","NORMAL","OFFLINE","READONLY","SHCTX","SHELL_CONTEXT","SYSTEM|TEMPORARY"],rd=["addincludedir","addplugindir","appendfile","assert","cd","define","delfile","echo","else","endif","error","execute","finalize","getdllversion","gettlbversion","if","ifdef","ifmacrodef","ifmacrondef","ifndef","include","insertmacro","macro","macroend","makensis","packhdr","searchparse","searchreplace","system","tempfile","undef","uninstfinalize","verbose","warning"],sd={className:"variable.constant",begin:Ja.concat(/\$/,Ja.either(...ed))},od={className:"variable",begin:/\$+\{[\!\w.:-]+\}/},ld={className:"variable",begin:/\$+\w[\w\.]*/,illegal:/\(\)\{\}/},cd={className:"variable",begin:/\$+\([\w^.:!-]+\)/},ud={className:"params",begin:Ja.either(...td)},_d={className:"keyword",begin:Ja.concat(/!/,Ja.either(...rd))},yd={className:"char.escape",begin:/\$(\\[nrt]|\$)/},gd={className:"title.function",begin:/\w+::\w+/},Ed={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"},{begin:"`",end:"`"}],illegal:/\n/,contains:[yd,sd,od,ld,cd]},Td=["Abort","AddBrandingImage","AddSize","AllowRootDirInstall","AllowSkipFiles","AutoCloseWindow","BGFont","BGGradient","BrandingText","BringToFront","Call","CallInstDLL","Caption","ChangeUI","CheckBitmap","ClearErrors","CompletedText","ComponentText","CopyFiles","CRCCheck","CreateDirectory","CreateFont","CreateShortCut","Delete","DeleteINISec","DeleteINIStr","DeleteRegKey","DeleteRegValue","DetailPrint","DetailsButtonText","DirText","DirVar","DirVerify","EnableWindow","EnumRegKey","EnumRegValue","Exch","Exec","ExecShell","ExecShellWait","ExecWait","ExpandEnvStrings","File","FileBufSize","FileClose","FileErrorText","FileOpen","FileRead","FileReadByte","FileReadUTF16LE","FileReadWord","FileWriteUTF16LE","FileSeek","FileWrite","FileWriteByte","FileWriteWord","FindClose","FindFirst","FindNext","FindWindow","FlushINI","GetCurInstType","GetCurrentAddress","GetDlgItem","GetDLLVersion","GetDLLVersionLocal","GetErrorLevel","GetFileTime","GetFileTimeLocal","GetFullPathName","GetFunctionAddress","GetInstDirError","GetKnownFolderPath","GetLabelAddress","GetTempFileName","GetWinVer","Goto","HideWindow","Icon","IfAbort","IfErrors","IfFileExists","IfRebootFlag","IfRtlLanguage","IfShellVarContextAll","IfSilent","InitPluginsDir","InstallButtonText","InstallColors","InstallDir","InstallDirRegKey","InstProgressFlags","InstType","InstTypeGetText","InstTypeSetText","Int64Cmp","Int64CmpU","Int64Fmt","IntCmp","IntCmpU","IntFmt","IntOp","IntPtrCmp","IntPtrCmpU","IntPtrOp","IsWindow","LangString","LicenseBkColor","LicenseData","LicenseForceSelection","LicenseLangString","LicenseText","LoadAndSetImage","LoadLanguageFile","LockWindow","LogSet","LogText","ManifestDPIAware","ManifestLongPathAware","ManifestMaxVersionTested","ManifestSupportedOS","MessageBox","MiscButtonText","Name|0","Nop","OutFile","Page","PageCallbacks","PEAddResource","PEDllCharacteristics","PERemoveResource","PESubsysVer","Pop","Push","Quit","ReadEnvStr","ReadINIStr","ReadRegDWORD","ReadRegStr","Reboot","RegDLL","Rename","RequestExecutionLevel","ReserveFile","Return","RMDir","SearchPath","SectionGetFlags","SectionGetInstTypes","SectionGetSize","SectionGetText","SectionIn","SectionSetFlags","SectionSetInstTypes","SectionSetSize","SectionSetText","SendMessage","SetAutoClose","SetBrandingImage","SetCompress","SetCompressor","SetCompressorDictSize","SetCtlColors","SetCurInstType","SetDatablockOptimize","SetDateSave","SetDetailsPrint","SetDetailsView","SetErrorLevel","SetErrors","SetFileAttributes","SetFont","SetOutPath","SetOverwrite","SetRebootFlag","SetRegView","SetShellVarContext","SetSilent","ShowInstDetails","ShowUninstDetails","ShowWindow","SilentInstall","SilentUnInstall","Sleep","SpaceTexts","StrCmp","StrCmpS","StrCpy","StrLen","SubCaption","Unicode","UninstallButtonText","UninstallCaption","UninstallIcon","UninstallSubCaption","UninstallText","UninstPage","UnRegDLL","Var","VIAddVersionKey","VIFileVersion","VIProductVersion","WindowIcon","WriteINIStr","WriteRegBin","WriteRegDWORD","WriteRegExpandStr","WriteRegMultiStr","WriteRegNone","WriteRegStr","WriteUninstaller","XPStyle"],kd=["admin","all","auto","both","bottom","bzip2","colored","components","current","custom","directory","false","force","hide","highest","ifdiff","ifnewer","instfiles","lastused","leave","left","license","listonly","lzma","nevershow","none","normal","notset","off","on","open","print","right","show","silent","silentlog","smooth","textonly","top","true","try","un.components","un.custom","un.directory","un.instfiles","un.license","uninstConfirm","user","Win10","Win7","Win8","WinVista","zlib"],Rd={match:[/Function/,/\s+/,Ja.concat(/(\.)?/,qa.IDENT_RE)],scope:{1:"keyword",3:"title.function"}},Id={match:[/Var/,/\s+/,/(?:\/GLOBAL\s+)?/,/[A-Za-z][\w.]*/],scope:{1:"keyword",3:"params",4:"variable"}};return{name:"NSIS",case_insensitive:!0,keywords:{keyword:Td,literal:kd},contains:[qa.HASH_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.COMMENT(";","$",{relevance:0}),Id,Rd,{beginKeywords:"Function PageEx Section SectionGroup FunctionEnd SectionEnd"},Ed,_d,od,ld,cd,ud,gd,qa.NUMBER_MODE]}}return nsis_1=Ra,nsis_1}var objectivec_1,hasRequiredObjectivec;function requireObjectivec(){if(hasRequiredObjectivec)return objectivec_1;hasRequiredObjectivec=1;function Ra(qa){const Ja={className:"built_in",begin:"\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\w+"},ed=/[a-zA-Z@][a-zA-Z0-9_]*/,ld={"variable.language":["this","super"],$pattern:ed,keyword:["while","export","sizeof","typedef","const","struct","for","union","volatile","static","mutable","if","do","return","goto","enum","else","break","extern","asm","case","default","register","explicit","typename","switch","continue","inline","readonly","assign","readwrite","self","@synchronized","id","typeof","nonatomic","IBOutlet","IBAction","strong","weak","copy","in","out","inout","bycopy","byref","oneway","__strong","__weak","__block","__autoreleasing","@private","@protected","@public","@try","@property","@end","@throw","@catch","@finally","@autoreleasepool","@synthesize","@dynamic","@selector","@optional","@required","@encode","@package","@import","@defs","@compatibility_alias","__bridge","__bridge_transfer","__bridge_retained","__bridge_retain","__covariant","__contravariant","__kindof","_Nonnull","_Nullable","_Null_unspecified","__FUNCTION__","__PRETTY_FUNCTION__","__attribute__","getter","setter","retain","unsafe_unretained","nonnull","nullable","null_unspecified","null_resettable","class","instancetype","NS_DESIGNATED_INITIALIZER","NS_UNAVAILABLE","NS_REQUIRES_SUPER","NS_RETURNS_INNER_POINTER","NS_INLINE","NS_AVAILABLE","NS_DEPRECATED","NS_ENUM","NS_OPTIONS","NS_SWIFT_UNAVAILABLE","NS_ASSUME_NONNULL_BEGIN","NS_ASSUME_NONNULL_END","NS_REFINED_FOR_SWIFT","NS_SWIFT_NAME","NS_SWIFT_NOTHROW","NS_DURING","NS_HANDLER","NS_ENDHANDLER","NS_VALUERETURN","NS_VOIDRETURN"],literal:["false","true","FALSE","TRUE","nil","YES","NO","NULL"],built_in:["dispatch_once_t","dispatch_queue_t","dispatch_sync","dispatch_async","dispatch_once"],type:["int","float","char","unsigned","signed","short","long","double","wchar_t","unichar","void","bool","BOOL","id|0","_Bool"]},cd={$pattern:ed,keyword:["@interface","@class","@protocol","@implementation"]};return{name:"Objective-C",aliases:["mm","objc","obj-c","obj-c++","objective-c++"],keywords:ld,illegal:"</",contains:[Ja,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.C_NUMBER_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,{className:"string",variants:[{begin:'@"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE]}]},{className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},qa.inherit(qa.QUOTE_STRING_MODE,{className:"string"}),{className:"string",begin:/<.*?>/,end:/$/,illegal:"\\n"},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{className:"class",begin:"("+cd.keyword.join("|")+")\\b",end:/(\{|$)/,excludeEnd:!0,keywords:cd,contains:[qa.UNDERSCORE_TITLE_MODE]},{begin:"\\."+qa.UNDERSCORE_IDENT_RE,relevance:0}]}}return objectivec_1=Ra,objectivec_1}var ocaml_1,hasRequiredOcaml;function requireOcaml(){if(hasRequiredOcaml)return ocaml_1;hasRequiredOcaml=1;function Ra(qa){return{name:"OCaml",aliases:["ml"],keywords:{$pattern:"[a-z_]\\w*!?",keyword:"and as assert asr begin class constraint do done downto else end exception external for fun function functor if in include inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method mod module mutable new object of open! open or private rec sig struct then to try type val! val virtual when while with parser value",built_in:"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit in_channel out_channel ref",literal:"true false"},illegal:/\/\/|>>/,contains:[{className:"literal",begin:"\\[(\\|\\|)?\\]|\\(\\)",relevance:0},qa.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*",relevance:0},qa.inherit(qa.APOS_STRING_MODE,{className:"string",relevance:0}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/->/}]}}return ocaml_1=Ra,ocaml_1}var openscad_1,hasRequiredOpenscad;function requireOpenscad(){if(hasRequiredOpenscad)return openscad_1;hasRequiredOpenscad=1;function Ra(qa){const Ja={className:"keyword",begin:"\\$(f[asn]|t|vp[rtd]|children)"},ed={className:"literal",begin:"false|true|PI|undef"},td={className:"number",begin:"\\b\\d+(\\.\\d+)?(e-?\\d+)?",relevance:0},rd=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),sd={className:"meta",keywords:{keyword:"include use"},begin:"include|use <",end:">"},od={className:"params",begin:"\\(",end:"\\)",contains:["self",td,rd,Ja,ed]},ld={begin:"[*!#%]",relevance:0},cd={className:"function",beginKeywords:"module function",end:/=|\{/,contains:[od,qa.UNDERSCORE_TITLE_MODE]};return{name:"OpenSCAD",aliases:["scad"],keywords:{keyword:"function module include use for intersection_for if else \\%",literal:"false true PI undef",built_in:"circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign"},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,td,sd,rd,Ja,ld,cd]}}return openscad_1=Ra,openscad_1}var oxygene_1,hasRequiredOxygene;function requireOxygene(){if(hasRequiredOxygene)return oxygene_1;hasRequiredOxygene=1;function Ra(qa){const Ja={$pattern:/\.?\w+/,keyword:"abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained"},ed=qa.COMMENT(/\{/,/\}/,{relevance:0}),td=qa.COMMENT("\\(\\*","\\*\\)",{relevance:10}),rd={className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},sd={className:"string",begin:"(#\\d+)+"},od={beginKeywords:"function constructor destructor procedure method",end:"[:;]",keywords:"function constructor|10 destructor|10 procedure|10 method|10",contains:[qa.inherit(qa.TITLE_MODE,{scope:"title.function"}),{className:"params",begin:"\\(",end:"\\)",keywords:Ja,contains:[rd,sd]},ed,td]},ld={scope:"punctuation",match:/;/,relevance:0};return{name:"Oxygene",case_insensitive:!0,keywords:Ja,illegal:'("|\\$[G-Zg-z]|\\/\\*|</|=>|->)',contains:[ed,td,qa.C_LINE_COMMENT_MODE,rd,sd,qa.NUMBER_MODE,od,ld]}}return oxygene_1=Ra,oxygene_1}var parser3_1,hasRequiredParser3;function requireParser3(){if(hasRequiredParser3)return parser3_1;hasRequiredParser3=1;function Ra(qa){const Ja=qa.COMMENT(/\{/,/\}/,{contains:["self"]});return{name:"Parser3",subLanguage:"xml",relevance:0,contains:[qa.COMMENT("^#","$"),qa.COMMENT(/\^rem\{/,/\}/,{relevance:10,contains:[Ja]}),{className:"meta",begin:"^@(?:BASE|USE|CLASS|OPTIONS)$",relevance:10},{className:"title",begin:"@[\\w\\-]+\\[[\\w^;\\-]*\\](?:\\[[\\w^;\\-]*\\])?(?:.*)$"},{className:"variable",begin:/\$\{?[\w\-.:]+\}?/},{className:"keyword",begin:/\^[\w\-.:]+/},{className:"number",begin:"\\^#[0-9a-fA-F]+"},qa.C_NUMBER_MODE]}}return parser3_1=Ra,parser3_1}var pf_1,hasRequiredPf;function requirePf(){if(hasRequiredPf)return pf_1;hasRequiredPf=1;function Ra(qa){const Ja={className:"variable",begin:/\$[\w\d#@][\w\d_]*/,relevance:0},ed={className:"variable",begin:/<(?!\/)/,end:/>/};return{name:"Packet Filter config",aliases:["pf.conf"],keywords:{$pattern:/[a-z0-9_<>-]+/,built_in:"block match pass load anchor|5 antispoof|10 set table",keyword:"in out log quick on rdomain inet inet6 proto from port os to route allow-opts divert-packet divert-reply divert-to flags group icmp-type icmp6-type label once probability recieved-on rtable prio queue tos tag tagged user keep fragment for os drop af-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robin source-hash static-port dup-to reply-to route-to parent bandwidth default min max qlimit block-policy debug fingerprints hostid limit loginterface optimization reassemble ruleset-optimization basic none profile skip state-defaults state-policy timeout const counters persist no modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppy source-track global rule max-src-nodes max-src-states max-src-conn max-src-conn-rate overload flush scrub|5 max-mss min-ttl no-df|10 random-id",literal:"all any no-route self urpf-failed egress|5 unknown"},contains:[qa.HASH_COMMENT_MODE,qa.NUMBER_MODE,qa.QUOTE_STRING_MODE,Ja,ed]}}return pf_1=Ra,pf_1}var pgsql_1,hasRequiredPgsql;function requirePgsql(){if(hasRequiredPgsql)return pgsql_1;hasRequiredPgsql=1;function Ra(qa){const Ja=qa.COMMENT("--","$"),ed="[a-zA-Z_][a-zA-Z_0-9$]*",td="\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\$",rd="<<\\s*"+ed+"\\s*>>",sd="ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION INDEX PROCEDURE ASSERTION ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS DEFERRABLE RANGE DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED OF NOTHING NONE EXCLUDE ATTRIBUTE USAGE ROUTINES TRUE FALSE NAN INFINITY ",od="SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS ",ld="ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT OPEN ",cd="BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR NAME OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 ",ud=cd.trim().split(" ").map(function(kd){return kd.split("|")[0]}).join("|"),_d="CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC ",yd="FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 ",gd="SQLSTATE SQLERRM|10 SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED INDEX_CORRUPTED ",Td="ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP PERCENTILE_CONT PERCENTILE_DISC ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE NUM_NONNULLS NUM_NULLS ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT TRUNC WIDTH_BUCKET RANDOM SETSEED ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAP LEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR TO_ASCII TO_HEX TRANSLATE OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 TIMEOFDAY TRANSACTION_TIMESTAMP|10 ENUM_FIRST ENUM_LAST ENUM_RANGE AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILY INET_MERGE MACADDR8_SET7BIT ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA CURSOR_TO_XML CURSOR_TO_XMLSCHEMA SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA XMLATTRIBUTES TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY CURRVAL LASTVAL NEXTVAL SETVAL COALESCE NULLIF GREATEST LEAST ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY STRING_TO_ARRAY UNNEST ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE GENERATE_SERIES GENERATE_SUBSCRIPTS CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE GIN_CLEAN_PENDING_LIST SUPPRESS_REDUNDANT_UPDATES_TRIGGER LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE GROUPING CAST ".trim().split(" ").map(function(kd){return kd.split("|")[0]}).join("|");return{name:"PostgreSQL",aliases:["postgres","postgresql"],supersetOf:"sql",case_insensitive:!0,keywords:{keyword:sd+ld+od,built_in:_d+yd+gd},illegal:/:==|\W\s*\(\*|(^|\s)\$[a-z]|\{\{|[a-z]:\s*$|\.\.\.|TO:|DO:/,contains:[{className:"keyword",variants:[{begin:/\bTEXT\s*SEARCH\b/},{begin:/\b(PRIMARY|FOREIGN|FOR(\s+NO)?)\s+KEY\b/},{begin:/\bPARALLEL\s+(UNSAFE|RESTRICTED|SAFE)\b/},{begin:/\bSTORAGE\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\b/},{begin:/\bMATCH\s+(FULL|PARTIAL|SIMPLE)\b/},{begin:/\bNULLS\s+(FIRST|LAST)\b/},{begin:/\bEVENT\s+TRIGGER\b/},{begin:/\b(MAPPING|OR)\s+REPLACE\b/},{begin:/\b(FROM|TO)\s+(PROGRAM|STDIN|STDOUT)\b/},{begin:/\b(SHARE|EXCLUSIVE)\s+MODE\b/},{begin:/\b(LEFT|RIGHT)\s+(OUTER\s+)?JOIN\b/},{begin:/\b(FETCH|MOVE)\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\b/},{begin:/\bPRESERVE\s+ROWS\b/},{begin:/\bDISCARD\s+PLANS\b/},{begin:/\bREFERENCING\s+(OLD|NEW)\b/},{begin:/\bSKIP\s+LOCKED\b/},{begin:/\bGROUPING\s+SETS\b/},{begin:/\b(BINARY|INSENSITIVE|SCROLL|NO\s+SCROLL)\s+(CURSOR|FOR)\b/},{begin:/\b(WITH|WITHOUT)\s+HOLD\b/},{begin:/\bWITH\s+(CASCADED|LOCAL)\s+CHECK\s+OPTION\b/},{begin:/\bEXCLUDE\s+(TIES|NO\s+OTHERS)\b/},{begin:/\bFORMAT\s+(TEXT|XML|JSON|YAML)\b/},{begin:/\bSET\s+((SESSION|LOCAL)\s+)?NAMES\b/},{begin:/\bIS\s+(NOT\s+)?UNKNOWN\b/},{begin:/\bSECURITY\s+LABEL\b/},{begin:/\bSTANDALONE\s+(YES|NO|NO\s+VALUE)\b/},{begin:/\bWITH\s+(NO\s+)?DATA\b/},{begin:/\b(FOREIGN|SET)\s+DATA\b/},{begin:/\bSET\s+(CATALOG|CONSTRAINTS)\b/},{begin:/\b(WITH|FOR)\s+ORDINALITY\b/},{begin:/\bIS\s+(NOT\s+)?DOCUMENT\b/},{begin:/\bXML\s+OPTION\s+(DOCUMENT|CONTENT)\b/},{begin:/\b(STRIP|PRESERVE)\s+WHITESPACE\b/},{begin:/\bNO\s+(ACTION|MAXVALUE|MINVALUE)\b/},{begin:/\bPARTITION\s+BY\s+(RANGE|LIST|HASH)\b/},{begin:/\bAT\s+TIME\s+ZONE\b/},{begin:/\bGRANTED\s+BY\b/},{begin:/\bRETURN\s+(QUERY|NEXT)\b/},{begin:/\b(ATTACH|DETACH)\s+PARTITION\b/},{begin:/\bFORCE\s+ROW\s+LEVEL\s+SECURITY\b/},{begin:/\b(INCLUDING|EXCLUDING)\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\b/},{begin:/\bAS\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\b/}]},{begin:/\b(FORMAT|FAMILY|VERSION)\s*\(/},{begin:/\bINCLUDE\s*\(/,keywords:"INCLUDE"},{begin:/\bRANGE(?!\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/},{begin:/\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\s*=/},{begin:/\b(PG_\w+?|HAS_[A-Z_]+_PRIVILEGE)\b/,relevance:10},{begin:/\bEXTRACT\s*\(/,end:/\bFROM\b/,returnEnd:!0,keywords:{type:"CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR TIMEZONE_MINUTE WEEK YEAR"}},{begin:/\b(XMLELEMENT|XMLPI)\s*\(\s*NAME/,keywords:{keyword:"NAME"}},{begin:/\b(XMLPARSE|XMLSERIALIZE)\s*\(\s*(DOCUMENT|CONTENT)/,keywords:{keyword:"DOCUMENT CONTENT"}},{beginKeywords:"CACHE INCREMENT MAXVALUE MINVALUE",end:qa.C_NUMBER_RE,returnEnd:!0,keywords:"BY CACHE INCREMENT MAXVALUE MINVALUE"},{className:"type",begin:/\b(WITH|WITHOUT)\s+TIME\s+ZONE\b/},{className:"type",begin:/\bINTERVAL\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\s+TO\s+(MONTH|HOUR|MINUTE|SECOND))?\b/},{begin:/\bRETURNS\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\b/,keywords:{keyword:"RETURNS",type:"LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER"}},{begin:"\\b("+Td+")\\s*\\("},{begin:"\\.("+ud+")\\b"},{begin:"\\b("+ud+")\\s+PATH\\b",keywords:{keyword:"PATH",type:cd.replace("PATH ","")}},{className:"type",begin:"\\b("+ud+")\\b"},{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{className:"string",begin:"(e|E|u&|U&)'",end:"'",contains:[{begin:"\\\\."}],relevance:10},qa.END_SAME_AS_BEGIN({begin:td,end:td,contains:[{subLanguage:["pgsql","perl","python","tcl","r","lua","java","php","ruby","bash","scheme","xml","json"],endsWithParent:!0}]}),{begin:'"',end:'"',contains:[{begin:'""'}]},qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE,Ja,{className:"meta",variants:[{begin:"%(ROW)?TYPE",relevance:10},{begin:"\\$\\d+"},{begin:"^#\\w",end:"$"}]},{className:"symbol",begin:rd,relevance:10}]}}return pgsql_1=Ra,pgsql_1}var php_1,hasRequiredPhp;function requirePhp(){if(hasRequiredPhp)return php_1;hasRequiredPhp=1;function Ra(qa){const Ja=qa.regex,ed=/(?![A-Za-z0-9])(?![$])/,td=Ja.concat(/[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/,ed),rd=Ja.concat(/(\\?[A-Z][a-z0-9_\x7f-\xff]+|\\?[A-Z]+(?=[A-Z][a-z0-9_\x7f-\xff])){1,}/,ed),sd={scope:"variable",match:"\\$+"+td},od={scope:"meta",variants:[{begin:/<\?php/,relevance:10},{begin:/<\?=/},{begin:/<\?/,relevance:.1},{begin:/\?>/}]},ld={scope:"subst",variants:[{begin:/\$\w+/},{begin:/\{\$/,end:/\}/}]},cd=qa.inherit(qa.APOS_STRING_MODE,{illegal:null}),ud=qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null,contains:qa.QUOTE_STRING_MODE.contains.concat(ld)}),_d={begin:/<<<[ \t]*(?:(\w+)|"(\w+)")\n/,end:/[ \t]*(\w+)\b/,contains:qa.QUOTE_STRING_MODE.contains.concat(ld),"on:begin":(pf,Xd)=>{Xd.data._beginMatch=pf[1]||pf[2]},"on:end":(pf,Xd)=>{Xd.data._beginMatch!==pf[1]&&Xd.ignoreMatch()}},yd=qa.END_SAME_AS_BEGIN({begin:/<<<[ \t]*'(\w+)'\n/,end:/[ \t]*(\w+)\b/}),gd=`[
|
||
]`,Ed={scope:"string",variants:[ud,cd,_d,yd]},Td={scope:"number",variants:[{begin:"\\b0[bB][01]+(?:_[01]+)*\\b"},{begin:"\\b0[oO][0-7]+(?:_[0-7]+)*\\b"},{begin:"\\b0[xX][\\da-fA-F]+(?:_[\\da-fA-F]+)*\\b"},{begin:"(?:\\b\\d+(?:_\\d+)*(\\.(?:\\d+(?:_\\d+)*))?|\\B\\.\\d+)(?:[eE][+-]?\\d+)?"}],relevance:0},kd=["false","null","true"],Rd=["__CLASS__","__DIR__","__FILE__","__FUNCTION__","__COMPILER_HALT_OFFSET__","__LINE__","__METHOD__","__NAMESPACE__","__TRAIT__","die","echo","exit","include","include_once","print","require","require_once","array","abstract","and","as","binary","bool","boolean","break","callable","case","catch","class","clone","const","continue","declare","default","do","double","else","elseif","empty","enddeclare","endfor","endforeach","endif","endswitch","endwhile","enum","eval","extends","final","finally","float","for","foreach","from","global","goto","if","implements","instanceof","insteadof","int","integer","interface","isset","iterable","list","match|0","mixed","new","never","object","or","private","protected","public","readonly","real","return","string","switch","throw","trait","try","unset","use","var","void","while","xor","yield"],Nd=["Error|0","AppendIterator","ArgumentCountError","ArithmeticError","ArrayIterator","ArrayObject","AssertionError","BadFunctionCallException","BadMethodCallException","CachingIterator","CallbackFilterIterator","CompileError","Countable","DirectoryIterator","DivisionByZeroError","DomainException","EmptyIterator","ErrorException","Exception","FilesystemIterator","FilterIterator","GlobIterator","InfiniteIterator","InvalidArgumentException","IteratorIterator","LengthException","LimitIterator","LogicException","MultipleIterator","NoRewindIterator","OutOfBoundsException","OutOfRangeException","OuterIterator","OverflowException","ParentIterator","ParseError","RangeException","RecursiveArrayIterator","RecursiveCachingIterator","RecursiveCallbackFilterIterator","RecursiveDirectoryIterator","RecursiveFilterIterator","RecursiveIterator","RecursiveIteratorIterator","RecursiveRegexIterator","RecursiveTreeIterator","RegexIterator","RuntimeException","SeekableIterator","SplDoublyLinkedList","SplFileInfo","SplFileObject","SplFixedArray","SplHeap","SplMaxHeap","SplMinHeap","SplObjectStorage","SplObserver","SplPriorityQueue","SplQueue","SplStack","SplSubject","SplTempFileObject","TypeError","UnderflowException","UnexpectedValueException","UnhandledMatchError","ArrayAccess","BackedEnum","Closure","Fiber","Generator","Iterator","IteratorAggregate","Serializable","Stringable","Throwable","Traversable","UnitEnum","WeakReference","WeakMap","Directory","__PHP_Incomplete_Class","parent","php_user_filter","self","static","stdClass"],Md={keyword:Rd,literal:(pf=>{const Xd=[];return pf.forEach(hf=>{Xd.push(hf),hf.toLowerCase()===hf?Xd.push(hf.toUpperCase()):Xd.push(hf.toLowerCase())}),Xd})(kd),built_in:Nd},Ld=pf=>pf.map(Xd=>Xd.replace(/\|\d+$/,"")),Pd={variants:[{match:[/new/,Ja.concat(gd,"+"),Ja.concat("(?!",Ld(Nd).join("\\b|"),"\\b)"),rd],scope:{1:"keyword",4:"title.class"}}]},qd=Ja.concat(td,"\\b(?!\\()"),Yd={variants:[{match:[Ja.concat(/::/,Ja.lookahead(/(?!class\b)/)),qd],scope:{2:"variable.constant"}},{match:[/::/,/class/],scope:{2:"variable.language"}},{match:[rd,Ja.concat(/::/,Ja.lookahead(/(?!class\b)/)),qd],scope:{1:"title.class",3:"variable.constant"}},{match:[rd,Ja.concat("::",Ja.lookahead(/(?!class\b)/))],scope:{1:"title.class"}},{match:[rd,/::/,/class/],scope:{1:"title.class",3:"variable.language"}}]},Ud={scope:"attr",match:Ja.concat(td,Ja.lookahead(":"),Ja.lookahead(/(?!::)/))},Hd={relevance:0,begin:/\(/,end:/\)/,keywords:Md,contains:[Ud,sd,Yd,qa.C_BLOCK_COMMENT_MODE,Ed,Td,Pd]},Vd={relevance:0,match:[/\b/,Ja.concat("(?!fn\\b|function\\b|",Ld(Rd).join("\\b|"),"|",Ld(Nd).join("\\b|"),"\\b)"),td,Ja.concat(gd,"*"),Ja.lookahead(/(?=\()/)],scope:{3:"title.function.invoke"},contains:[Hd]};Hd.contains.push(Vd);const Jd=[Ud,Yd,qa.C_BLOCK_COMMENT_MODE,Ed,Td,Pd],Zd={begin:Ja.concat(/#\[\s*/,rd),beginScope:"meta",end:/]/,endScope:"meta",keywords:{literal:kd,keyword:["new","array"]},contains:[{begin:/\[/,end:/]/,keywords:{literal:kd,keyword:["new","array"]},contains:["self",...Jd]},...Jd,{scope:"meta",match:rd}]};return{case_insensitive:!1,keywords:Md,contains:[Zd,qa.HASH_COMMENT_MODE,qa.COMMENT("//","$"),qa.COMMENT("/\\*","\\*/",{contains:[{scope:"doctag",match:"@[A-Za-z]+"}]}),{match:/__halt_compiler\(\);/,keywords:"__halt_compiler",starts:{scope:"comment",end:qa.MATCH_NOTHING_RE,contains:[{match:/\?>/,scope:"meta",endsParent:!0}]}},od,{scope:"variable.language",match:/\$this\b/},sd,Vd,Yd,{match:[/const/,/\s/,td],scope:{1:"keyword",3:"variable.constant"}},Pd,{scope:"function",relevance:0,beginKeywords:"fn function",end:/[;{]/,excludeEnd:!0,illegal:"[$%\\[]",contains:[{beginKeywords:"use"},qa.UNDERSCORE_TITLE_MODE,{begin:"=>",endsParent:!0},{scope:"params",begin:"\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0,keywords:Md,contains:["self",sd,Yd,qa.C_BLOCK_COMMENT_MODE,Ed,Td]}]},{scope:"class",variants:[{beginKeywords:"enum",illegal:/[($"]/},{beginKeywords:"class interface trait",illegal:/[:($"]/}],relevance:0,end:/\{/,excludeEnd:!0,contains:[{beginKeywords:"extends implements"},qa.UNDERSCORE_TITLE_MODE]},{beginKeywords:"namespace",relevance:0,end:";",illegal:/[.']/,contains:[qa.inherit(qa.UNDERSCORE_TITLE_MODE,{scope:"title.class"})]},{beginKeywords:"use",relevance:0,end:";",contains:[{match:/\b(as|const|function)\b/,scope:"keyword"},qa.UNDERSCORE_TITLE_MODE]},Ed,Td]}}return php_1=Ra,php_1}var phpTemplate_1,hasRequiredPhpTemplate;function requirePhpTemplate(){if(hasRequiredPhpTemplate)return phpTemplate_1;hasRequiredPhpTemplate=1;function Ra(qa){return{name:"PHP template",subLanguage:"xml",contains:[{begin:/<\?(php|=)?/,end:/\?>/,subLanguage:"php",contains:[{begin:"/\\*",end:"\\*/",skip:!0},{begin:'b"',end:'"',skip:!0},{begin:"b'",end:"'",skip:!0},qa.inherit(qa.APOS_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0})]}]}}return phpTemplate_1=Ra,phpTemplate_1}var plaintext_1,hasRequiredPlaintext;function requirePlaintext(){if(hasRequiredPlaintext)return plaintext_1;hasRequiredPlaintext=1;function Ra(qa){return{name:"Plain text",aliases:["text","txt"],disableAutodetect:!0}}return plaintext_1=Ra,plaintext_1}var pony_1,hasRequiredPony;function requirePony(){if(hasRequiredPony)return pony_1;hasRequiredPony=1;function Ra(qa){const Ja={keyword:"actor addressof and as be break class compile_error compile_intrinsic consume continue delegate digestof do else elseif embed end error for fun if ifdef in interface is isnt lambda let match new not object or primitive recover repeat return struct then trait try type until use var where while with xor",meta:"iso val tag trn box ref",literal:"this false true"},ed={className:"string",begin:'"""',end:'"""',relevance:10},td={className:"string",begin:'"',end:'"',contains:[qa.BACKSLASH_ESCAPE]},rd={className:"string",begin:"'",end:"'",contains:[qa.BACKSLASH_ESCAPE],relevance:0},sd={className:"type",begin:"\\b_?[A-Z][\\w]*",relevance:0},od={begin:qa.IDENT_RE+"'",relevance:0};return{name:"Pony",keywords:Ja,contains:[sd,ed,td,rd,od,{className:"number",begin:"(-?)(\\b0[xX][a-fA-F0-9]+|\\b0[bB][01]+|(\\b\\d+(_\\d+)?(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",relevance:0},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]}}return pony_1=Ra,pony_1}var powershell_1,hasRequiredPowershell;function requirePowershell(){if(hasRequiredPowershell)return powershell_1;hasRequiredPowershell=1;function Ra(qa){const Ja=["string","char","byte","int","long","bool","decimal","single","double","DateTime","xml","array","hashtable","void"],ed="Add|Clear|Close|Copy|Enter|Exit|Find|Format|Get|Hide|Join|Lock|Move|New|Open|Optimize|Pop|Push|Redo|Remove|Rename|Reset|Resize|Search|Select|Set|Show|Skip|Split|Step|Switch|Undo|Unlock|Watch|Backup|Checkpoint|Compare|Compress|Convert|ConvertFrom|ConvertTo|Dismount|Edit|Expand|Export|Group|Import|Initialize|Limit|Merge|Mount|Out|Publish|Restore|Save|Sync|Unpublish|Update|Approve|Assert|Build|Complete|Confirm|Deny|Deploy|Disable|Enable|Install|Invoke|Register|Request|Restart|Resume|Start|Stop|Submit|Suspend|Uninstall|Unregister|Wait|Debug|Measure|Ping|Repair|Resolve|Test|Trace|Connect|Disconnect|Read|Receive|Send|Write|Block|Grant|Protect|Revoke|Unblock|Unprotect|Use|ForEach|Sort|Tee|Where",td="-and|-as|-band|-bnot|-bor|-bxor|-casesensitive|-ccontains|-ceq|-cge|-cgt|-cle|-clike|-clt|-cmatch|-cne|-cnotcontains|-cnotlike|-cnotmatch|-contains|-creplace|-csplit|-eq|-exact|-f|-file|-ge|-gt|-icontains|-ieq|-ige|-igt|-ile|-ilike|-ilt|-imatch|-in|-ine|-inotcontains|-inotlike|-inotmatch|-ireplace|-is|-isnot|-isplit|-join|-le|-like|-lt|-match|-ne|-not|-notcontains|-notin|-notlike|-notmatch|-or|-regex|-replace|-shl|-shr|-split|-wildcard|-xor",rd={$pattern:/-?[A-z\.\-]+\b/,keyword:"if else foreach return do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catch hidden static parameter",built_in:"ac asnp cat cd CFS chdir clc clear clhy cli clp cls clv cnsn compare copy cp cpi cpp curl cvpa dbp del diff dir dnsn ebp echo|0 epal epcsv epsn erase etsn exsn fc fhx fl ft fw gal gbp gc gcb gci gcm gcs gdr gerr ghy gi gin gjb gl gm gmo gp gps gpv group gsn gsnp gsv gtz gu gv gwmi h history icm iex ihy ii ipal ipcsv ipmo ipsn irm ise iwmi iwr kill lp ls man md measure mi mount move mp mv nal ndr ni nmo npssc nsn nv ogv oh popd ps pushd pwd r rbp rcjb rcsn rd rdr ren ri rjb rm rmdir rmo rni rnp rp rsn rsnp rujb rv rvpa rwmi sajb sal saps sasv sbp sc scb select set shcm si sl sleep sls sort sp spjb spps spsv start stz sujb sv swmi tee trcm type wget where wjb write"},sd=/\w[\w\d]*((-)[\w\d]+)*/,od={begin:"`[\\s\\S]",relevance:0},ld={className:"variable",variants:[{begin:/\$\B/},{className:"keyword",begin:/\$this/},{begin:/\$[\w\d][\w\d_:]*/}]},cd={className:"literal",begin:/\$(null|true|false)\b/},ud={className:"string",variants:[{begin:/"/,end:/"/},{begin:/@"/,end:/^"@/}],contains:[od,ld,{className:"variable",begin:/\$[A-z]/,end:/[^A-z]/}]},_d={className:"string",variants:[{begin:/'/,end:/'/},{begin:/@'/,end:/^'@/}]},yd={className:"doctag",variants:[{begin:/\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/},{begin:/\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\s+\S+/}]},gd=qa.inherit(qa.COMMENT(null,null),{variants:[{begin:/#/,end:/$/},{begin:/<#/,end:/#>/}],contains:[yd]}),Ed={className:"built_in",variants:[{begin:"(".concat(ed,")+(-)[\\w\\d]+")}]},Td={className:"class",beginKeywords:"class enum",end:/\s*[{]/,excludeEnd:!0,relevance:0,contains:[qa.TITLE_MODE]},kd={className:"function",begin:/function\s+/,end:/\s*\{|$/,excludeEnd:!0,returnBegin:!0,relevance:0,contains:[{begin:"function",relevance:0,className:"keyword"},{className:"title",begin:sd,relevance:0},{begin:/\(/,end:/\)/,className:"params",relevance:0,contains:[ld]}]},Rd={begin:/using\s/,end:/$/,returnBegin:!0,contains:[ud,_d,{className:"keyword",begin:/(using|assembly|command|module|namespace|type)/}]},Nd={variants:[{className:"operator",begin:"(".concat(td,")\\b")},{className:"literal",begin:/(-){1,2}[\w\d-]+/,relevance:0}]},Id={className:"selector-tag",begin:/@\B/,relevance:0},Md={className:"function",begin:/\[.*\]\s*[\w]+[ ]??\(/,end:/$/,returnBegin:!0,relevance:0,contains:[{className:"keyword",begin:"(".concat(rd.keyword.toString().replace(/\s/g,"|"),")\\b"),endsParent:!0,relevance:0},qa.inherit(qa.TITLE_MODE,{endsParent:!0})]},Ld=[Md,gd,od,qa.NUMBER_MODE,ud,_d,Ed,ld,cd,Id],Pd={begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[].concat("self",Ld,{begin:"("+Ja.join("|")+")",className:"built_in",relevance:0},{className:"type",begin:/[\.\w\d]+/,relevance:0})};return Md.contains.unshift(Pd),{name:"PowerShell",aliases:["pwsh","ps","ps1"],case_insensitive:!0,keywords:rd,contains:Ld.concat(Td,kd,Rd,Nd,Pd)}}return powershell_1=Ra,powershell_1}var processing_1,hasRequiredProcessing;function requireProcessing(){if(hasRequiredProcessing)return processing_1;hasRequiredProcessing=1;function Ra(qa){const Ja=qa.regex,ed=["displayHeight","displayWidth","mouseY","mouseX","mousePressed","pmouseX","pmouseY","key","keyCode","pixels","focused","frameCount","frameRate","height","width","size","createGraphics","beginDraw","createShape","loadShape","PShape","arc","ellipse","line","point","quad","rect","triangle","bezier","bezierDetail","bezierPoint","bezierTangent","curve","curveDetail","curvePoint","curveTangent","curveTightness","shape","shapeMode","beginContour","beginShape","bezierVertex","curveVertex","endContour","endShape","quadraticVertex","vertex","ellipseMode","noSmooth","rectMode","smooth","strokeCap","strokeJoin","strokeWeight","mouseClicked","mouseDragged","mouseMoved","mousePressed","mouseReleased","mouseWheel","keyPressed","keyPressedkeyReleased","keyTyped","print","println","save","saveFrame","day","hour","millis","minute","month","second","year","background","clear","colorMode","fill","noFill","noStroke","stroke","alpha","blue","brightness","color","green","hue","lerpColor","red","saturation","modelX","modelY","modelZ","screenX","screenY","screenZ","ambient","emissive","shininess","specular","add","createImage","beginCamera","camera","endCamera","frustum","ortho","perspective","printCamera","printProjection","cursor","frameRate","noCursor","exit","loop","noLoop","popStyle","pushStyle","redraw","binary","boolean","byte","char","float","hex","int","str","unbinary","unhex","join","match","matchAll","nf","nfc","nfp","nfs","split","splitTokens","trim","append","arrayCopy","concat","expand","reverse","shorten","sort","splice","subset","box","sphere","sphereDetail","createInput","createReader","loadBytes","loadJSONArray","loadJSONObject","loadStrings","loadTable","loadXML","open","parseXML","saveTable","selectFolder","selectInput","beginRaw","beginRecord","createOutput","createWriter","endRaw","endRecord","PrintWritersaveBytes","saveJSONArray","saveJSONObject","saveStream","saveStrings","saveXML","selectOutput","popMatrix","printMatrix","pushMatrix","resetMatrix","rotate","rotateX","rotateY","rotateZ","scale","shearX","shearY","translate","ambientLight","directionalLight","lightFalloff","lights","lightSpecular","noLights","normal","pointLight","spotLight","image","imageMode","loadImage","noTint","requestImage","tint","texture","textureMode","textureWrap","blend","copy","filter","get","loadPixels","set","updatePixels","blendMode","loadShader","PShaderresetShader","shader","createFont","loadFont","text","textFont","textAlign","textLeading","textMode","textSize","textWidth","textAscent","textDescent","abs","ceil","constrain","dist","exp","floor","lerp","log","mag","map","max","min","norm","pow","round","sq","sqrt","acos","asin","atan","atan2","cos","degrees","radians","sin","tan","noise","noiseDetail","noiseSeed","random","randomGaussian","randomSeed"],td=qa.IDENT_RE,rd={variants:[{match:Ja.concat(Ja.either(...ed),Ja.lookahead(/\s*\(/)),className:"built_in"},{relevance:0,match:Ja.concat(/\b(?!for|if|while)/,td,Ja.lookahead(/\s*\(/)),className:"title.function"}]},sd={match:[/new\s+/,td],className:{1:"keyword",2:"class.title"}},od={relevance:0,match:[/\./,td],className:{2:"property"}},ld={variants:[{match:[/class/,/\s+/,td,/\s+/,/extends/,/\s+/,td]},{match:[/class/,/\s+/,td]}],className:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},cd=["boolean","byte","char","color","double","float","int","long","short"],ud=["BufferedReader","PVector","PFont","PImage","PGraphics","HashMap","String","Array","FloatDict","ArrayList","FloatList","IntDict","IntList","JSONArray","JSONObject","Object","StringDict","StringList","Table","TableRow","XML"];return{name:"Processing",aliases:["pde"],keywords:{keyword:[...["abstract","assert","break","case","catch","const","continue","default","else","enum","final","finally","for","if","import","instanceof","long","native","new","package","private","private","protected","protected","public","public","return","static","strictfp","switch","synchronized","throw","throws","transient","try","void","volatile","while"]],literal:"P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI null true false",title:"setup draw",variable:"super this",built_in:[...ed,...ud],type:cd},contains:[ld,sd,rd,od,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE]}}return processing_1=Ra,processing_1}var profile_1,hasRequiredProfile;function requireProfile(){if(hasRequiredProfile)return profile_1;hasRequiredProfile=1;function Ra(qa){return{name:"Python profiler",contains:[qa.C_NUMBER_MODE,{begin:"[a-zA-Z_][\\da-zA-Z_]+\\.[\\da-zA-Z_]{1,3}",end:":",excludeEnd:!0},{begin:"(ncalls|tottime|cumtime)",end:"$",keywords:"ncalls tottime|10 cumtime|10 filename",relevance:10},{begin:"function calls",end:"$",contains:[qa.C_NUMBER_MODE],relevance:10},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{className:"string",begin:"\\(",end:"\\)$",excludeBegin:!0,excludeEnd:!0,relevance:0}]}}return profile_1=Ra,profile_1}var prolog_1,hasRequiredProlog;function requireProlog(){if(hasRequiredProlog)return prolog_1;hasRequiredProlog=1;function Ra(qa){const Ja={begin:/[a-z][A-Za-z0-9_]*/,relevance:0},ed={className:"symbol",variants:[{begin:/[A-Z][a-zA-Z0-9_]*/},{begin:/_[A-Za-z0-9_]*/}],relevance:0},td={begin:/\(/,end:/\)/,relevance:0},rd={begin:/\[/,end:/\]/},sd={className:"comment",begin:/%/,end:/$/,contains:[qa.PHRASAL_WORDS_MODE]},od={className:"string",begin:/`/,end:/`/,contains:[qa.BACKSLASH_ESCAPE]},ld={className:"string",begin:/0'(\\'|.)/},cd={className:"string",begin:/0'\\s/},_d=[Ja,ed,td,{begin:/:-/},rd,sd,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,od,ld,cd,qa.C_NUMBER_MODE];return td.contains=_d,rd.contains=_d,{name:"Prolog",contains:_d.concat([{begin:/\.$/}])}}return prolog_1=Ra,prolog_1}var properties_1,hasRequiredProperties;function requireProperties(){if(hasRequiredProperties)return properties_1;hasRequiredProperties=1;function Ra(qa){const Ja="[ \\t\\f]*",ed="[ \\t\\f]+",td=Ja+"[:=]"+Ja,rd=ed,sd="("+td+"|"+rd+")",od="([^\\\\:= \\t\\f\\n]|\\\\.)+",ld={end:sd,relevance:0,starts:{className:"string",end:/$/,relevance:0,contains:[{begin:"\\\\\\\\"},{begin:"\\\\\\n"}]}};return{name:".properties",disableAutodetect:!0,case_insensitive:!0,illegal:/\S/,contains:[qa.COMMENT("^\\s*[!#]","$"),{returnBegin:!0,variants:[{begin:od+td},{begin:od+rd}],contains:[{className:"attr",begin:od,endsParent:!0}],starts:ld},{className:"attr",begin:od+Ja+"$"}]}}return properties_1=Ra,properties_1}var protobuf_1,hasRequiredProtobuf;function requireProtobuf(){if(hasRequiredProtobuf)return protobuf_1;hasRequiredProtobuf=1;function Ra(qa){const Ja=["package","import","option","optional","required","repeated","group","oneof"],ed=["double","float","int32","int64","uint32","uint64","sint32","sint64","fixed32","fixed64","sfixed32","sfixed64","bool","string","bytes"],td={match:[/(message|enum|service)\s+/,qa.IDENT_RE],scope:{1:"keyword",2:"title.class"}};return{name:"Protocol Buffers",aliases:["proto"],keywords:{keyword:Ja,type:ed,literal:["true","false"]},contains:[qa.QUOTE_STRING_MODE,qa.NUMBER_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,td,{className:"function",beginKeywords:"rpc",end:/[{;]/,excludeEnd:!0,keywords:"rpc returns"},{begin:/^\s*[A-Z_]+(?=\s*=[^\n]+;$)/}]}}return protobuf_1=Ra,protobuf_1}var puppet_1,hasRequiredPuppet;function requirePuppet(){if(hasRequiredPuppet)return puppet_1;hasRequiredPuppet=1;function Ra(qa){const Ja={keyword:"and case default else elsif false if in import enherits node or true undef unless main settings $string ",literal:"alias audit before loglevel noop require subscribe tag owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check en_address ip_address realname command environment hour monute month monthday special target weekday creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey sslverify mounted",built_in:"architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version"},ed=qa.COMMENT("#","$"),td="([A-Za-z_]|::)(\\w|::)*",rd=qa.inherit(qa.TITLE_MODE,{begin:td}),sd={className:"variable",begin:"\\$"+td},od={className:"string",contains:[qa.BACKSLASH_ESCAPE,sd],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]};return{name:"Puppet",aliases:["pp"],contains:[ed,sd,od,{beginKeywords:"class",end:"\\{|;",illegal:/=/,contains:[rd,ed]},{beginKeywords:"define",end:/\{/,contains:[{className:"section",begin:qa.IDENT_RE,endsParent:!0}]},{begin:qa.IDENT_RE+"\\s+\\{",returnBegin:!0,end:/\S/,contains:[{className:"keyword",begin:qa.IDENT_RE,relevance:.2},{begin:/\{/,end:/\}/,keywords:Ja,relevance:0,contains:[od,ed,{begin:"[a-zA-Z_]+\\s*=>",returnBegin:!0,end:"=>",contains:[{className:"attr",begin:qa.IDENT_RE}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},sd]}],relevance:0}]}}return puppet_1=Ra,puppet_1}var purebasic_1,hasRequiredPurebasic;function requirePurebasic(){if(hasRequiredPurebasic)return purebasic_1;hasRequiredPurebasic=1;function Ra(qa){const Ja={className:"string",begin:'(~)?"',end:'"',illegal:"\\n"},ed={className:"symbol",begin:"#[a-zA-Z_]\\w*\\$?"};return{name:"PureBASIC",aliases:["pb","pbi"],keywords:"Align And Array As Break CallDebugger Case CompilerCase CompilerDefault CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount Map Module NewList NewMap Next Not Or Procedure ProcedureC ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim Read Repeat Restore Return Runtime Select Shared Static Step Structure StructureUnion Swap Threaded To UndefineMacro Until Until UnuseModule UseModule Wend While With XIncludeFile XOr",contains:[qa.COMMENT(";","$",{relevance:0}),{className:"function",begin:"\\b(Procedure|Declare)(C|CDLL|DLL)?\\b",end:"\\(",excludeEnd:!0,returnBegin:!0,contains:[{className:"keyword",begin:"(Procedure|Declare)(C|CDLL|DLL)?",excludeEnd:!0},{className:"type",begin:"\\.\\w*"},qa.UNDERSCORE_TITLE_MODE]},Ja,ed]}}return purebasic_1=Ra,purebasic_1}var python_1,hasRequiredPython;function requirePython(){if(hasRequiredPython)return python_1;hasRequiredPython=1;function Ra(qa){const Ja=qa.regex,ed=new RegExp("[\\p{XID_Start}_]\\p{XID_Continue}*","u"),td=["and","as","assert","async","await","break","case","class","continue","def","del","elif","else","except","finally","for","from","global","if","import","in","is","lambda","match","nonlocal|10","not","or","pass","raise","return","try","while","with","yield"],ld={$pattern:/[A-Za-z]\w+|__\w+__/,keyword:td,built_in:["__import__","abs","all","any","ascii","bin","bool","breakpoint","bytearray","bytes","callable","chr","classmethod","compile","complex","delattr","dict","dir","divmod","enumerate","eval","exec","filter","float","format","frozenset","getattr","globals","hasattr","hash","help","hex","id","input","int","isinstance","issubclass","iter","len","list","locals","map","max","memoryview","min","next","object","oct","open","ord","pow","print","property","range","repr","reversed","round","set","setattr","slice","sorted","staticmethod","str","sum","super","tuple","type","vars","zip"],literal:["__debug__","Ellipsis","False","None","NotImplemented","True"],type:["Any","Callable","Coroutine","Dict","List","Literal","Generic","Optional","Sequence","Set","Tuple","Type","Union"]},cd={className:"meta",begin:/^(>>>|\.\.\.) /},ud={className:"subst",begin:/\{/,end:/\}/,keywords:ld,illegal:/#/},_d={begin:/\{\{/,relevance:0},yd={className:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[{begin:/([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?'''/,end:/'''/,contains:[qa.BACKSLASH_ESCAPE,cd],relevance:10},{begin:/([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?"""/,end:/"""/,contains:[qa.BACKSLASH_ESCAPE,cd],relevance:10},{begin:/([fF][rR]|[rR][fF]|[fF])'''/,end:/'''/,contains:[qa.BACKSLASH_ESCAPE,cd,_d,ud]},{begin:/([fF][rR]|[rR][fF]|[fF])"""/,end:/"""/,contains:[qa.BACKSLASH_ESCAPE,cd,_d,ud]},{begin:/([uU]|[rR])'/,end:/'/,relevance:10},{begin:/([uU]|[rR])"/,end:/"/,relevance:10},{begin:/([bB]|[bB][rR]|[rR][bB])'/,end:/'/},{begin:/([bB]|[bB][rR]|[rR][bB])"/,end:/"/},{begin:/([fF][rR]|[rR][fF]|[fF])'/,end:/'/,contains:[qa.BACKSLASH_ESCAPE,_d,ud]},{begin:/([fF][rR]|[rR][fF]|[fF])"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE,_d,ud]},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]},gd="[0-9](_?[0-9])*",Ed=`(\\b(${gd}))?\\.(${gd})|\\b(${gd})\\.`,Td=`\\b|${td.join("|")}`,kd={className:"number",relevance:0,variants:[{begin:`(\\b(${gd})|(${Ed}))[eE][+-]?(${gd})[jJ]?(?=${Td})`},{begin:`(${Ed})[jJ]?`},{begin:`\\b([1-9](_?[0-9])*|0+(_?0)*)[lLjJ]?(?=${Td})`},{begin:`\\b0[bB](_?[01])+[lL]?(?=${Td})`},{begin:`\\b0[oO](_?[0-7])+[lL]?(?=${Td})`},{begin:`\\b0[xX](_?[0-9a-fA-F])+[lL]?(?=${Td})`},{begin:`\\b(${gd})[jJ](?=${Td})`}]},Rd={className:"comment",begin:Ja.lookahead(/# type:/),end:/$/,keywords:ld,contains:[{begin:/# type:/},{begin:/#/,end:/\b\B/,endsWithParent:!0}]},Nd={className:"params",variants:[{className:"",begin:/\(\s*\)/,skip:!0},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:ld,contains:["self",cd,kd,yd,qa.HASH_COMMENT_MODE]}]};return ud.contains=[yd,kd,cd],{name:"Python",aliases:["py","gyp","ipython"],unicodeRegex:!0,keywords:ld,illegal:/(<\/|\?)|=>/,contains:[cd,kd,{scope:"variable.language",match:/\bself\b/},{beginKeywords:"if",relevance:0},{match:/\bor\b/,scope:"keyword"},yd,Rd,qa.HASH_COMMENT_MODE,{match:[/\bdef/,/\s+/,ed],scope:{1:"keyword",3:"title.function"},contains:[Nd]},{variants:[{match:[/\bclass/,/\s+/,ed,/\s*/,/\(\s*/,ed,/\s*\)/]},{match:[/\bclass/,/\s+/,ed]}],scope:{1:"keyword",3:"title.class",6:"title.class.inherited"}},{className:"meta",begin:/^[\t ]*@/,end:/(?=#)|$/,contains:[kd,Nd,yd]}]}}return python_1=Ra,python_1}var pythonRepl_1,hasRequiredPythonRepl;function requirePythonRepl(){if(hasRequiredPythonRepl)return pythonRepl_1;hasRequiredPythonRepl=1;function Ra(qa){return{aliases:["pycon"],contains:[{className:"meta.prompt",starts:{end:/ |$/,starts:{end:"$",subLanguage:"python"}},variants:[{begin:/^>>>(?=[ ]|$)/},{begin:/^\.\.\.(?=[ ]|$)/}]}]}}return pythonRepl_1=Ra,pythonRepl_1}var q_1,hasRequiredQ;function requireQ(){if(hasRequiredQ)return q_1;hasRequiredQ=1;function Ra(qa){return{name:"Q",aliases:["k","kdb"],keywords:{$pattern:/(`?)[A-Za-z0-9_]+\b/,keyword:"do while select delete by update from",literal:"0b 1b",built_in:"neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum",type:"`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid"},contains:[qa.C_LINE_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE]}}return q_1=Ra,q_1}var qml_1,hasRequiredQml;function requireQml(){if(hasRequiredQml)return qml_1;hasRequiredQml=1;function Ra(qa){const Ja=qa.regex,ed={keyword:"in of on if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await import",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Behavior bool color coordinate date double enumeration font geocircle georectangle geoshape int list matrix4x4 parent point quaternion real rect size string url variant vector2d vector3d vector4d Promise"},td="[a-zA-Z_][a-zA-Z0-9\\._]*",rd={className:"keyword",begin:"\\bproperty\\b",starts:{className:"string",end:"(:|=|;|,|//|/\\*|$)",returnEnd:!0}},sd={className:"keyword",begin:"\\bsignal\\b",starts:{className:"string",end:"(\\(|:|=|;|,|//|/\\*|$)",returnEnd:!0}},od={className:"attribute",begin:"\\bid\\s*:",starts:{className:"string",end:td,returnEnd:!1}},ld={begin:td+"\\s*:",returnBegin:!0,contains:[{className:"attribute",begin:td,end:"\\s*:",excludeEnd:!0,relevance:0}],relevance:0},cd={begin:Ja.concat(td,/\s*\{/),end:/\{/,returnBegin:!0,relevance:0,contains:[qa.inherit(qa.TITLE_MODE,{begin:td})]};return{name:"QML",aliases:["qt"],case_insensitive:!1,keywords:ed,contains:[{className:"meta",begin:/^\s*['"]use (strict|asm)['"]/},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[qa.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"}]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:qa.C_NUMBER_RE}],relevance:0},{begin:"("+qa.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.REGEXP_MODE,{begin:/</,end:/>\s*[);\]]/,relevance:0,subLanguage:"xml"}],relevance:0},sd,rd,{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[qa.inherit(qa.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]}],illegal:/\[|%/},{begin:"\\."+qa.IDENT_RE,relevance:0},od,ld,cd],illegal:/#/}}return qml_1=Ra,qml_1}var r_1,hasRequiredR;function requireR(){if(hasRequiredR)return r_1;hasRequiredR=1;function Ra(qa){const Ja=qa.regex,ed=/(?:(?:[a-zA-Z]|\.[._a-zA-Z])[._a-zA-Z0-9]*)|\.(?!\d)/,td=Ja.either(/0[xX][0-9a-fA-F]+\.[0-9a-fA-F]*[pP][+-]?\d+i?/,/0[xX][0-9a-fA-F]+(?:[pP][+-]?\d+)?[Li]?/,/(?:\d+(?:\.\d*)?|\.\d+)(?:[eE][+-]?\d+)?[Li]?/),rd=/[=!<>:]=|\|\||&&|:::?|<-|<<-|->>|->|\|>|[-+*\/?!$&|:<=>@^~]|\*\*/,sd=Ja.either(/[()]/,/[{}]/,/\[\[/,/[[\]]/,/\\/,/,/);return{name:"R",keywords:{$pattern:ed,keyword:"function if in break next repeat else for while",literal:"NULL NA TRUE FALSE Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 NA_complex_|10",built_in:"LETTERS letters month.abb month.name pi T F abs acos acosh all any anyNA Arg as.call as.character as.complex as.double as.environment as.integer as.logical as.null.default as.numeric as.raw asin asinh atan atanh attr attributes baseenv browser c call ceiling class Conj cos cosh cospi cummax cummin cumprod cumsum digamma dim dimnames emptyenv exp expression floor forceAndCall gamma gc.time globalenv Im interactive invisible is.array is.atomic is.call is.character is.complex is.double is.environment is.expression is.finite is.function is.infinite is.integer is.language is.list is.logical is.matrix is.na is.name is.nan is.null is.numeric is.object is.pairlist is.raw is.recursive is.single is.symbol lazyLoadDBfetch length lgamma list log max min missing Mod names nargs nzchar oldClass on.exit pos.to.env proc.time prod quote range Re rep retracemem return round seq_along seq_len seq.int sign signif sin sinh sinpi sqrt standardGeneric substitute sum switch tan tanh tanpi tracemem trigamma trunc unclass untracemem UseMethod xtfrm"},contains:[qa.COMMENT(/#'/,/$/,{contains:[{scope:"doctag",match:/@examples/,starts:{end:Ja.lookahead(Ja.either(/\n^#'\s*(?=@[a-zA-Z]+)/,/\n^(?!#')/)),endsParent:!0}},{scope:"doctag",begin:"@param",end:/$/,contains:[{scope:"variable",variants:[{match:ed},{match:/`(?:\\.|[^`\\])+`/}],endsParent:!0}]},{scope:"doctag",match:/@[a-zA-Z]+/},{scope:"keyword",match:/\\[a-zA-Z]+/}]}),qa.HASH_COMMENT_MODE,{scope:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[qa.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\(/,end:/\)(-*)"/}),qa.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\{/,end:/\}(-*)"/}),qa.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\[/,end:/\](-*)"/}),qa.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\(/,end:/\)(-*)'/}),qa.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\{/,end:/\}(-*)'/}),qa.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\[/,end:/\](-*)'/}),{begin:'"',end:'"',relevance:0},{begin:"'",end:"'",relevance:0}]},{relevance:0,variants:[{scope:{1:"operator",2:"number"},match:[rd,td]},{scope:{1:"operator",2:"number"},match:[/%[^%]*%/,td]},{scope:{1:"punctuation",2:"number"},match:[sd,td]},{scope:{2:"number"},match:[/[^a-zA-Z0-9._]|^/,td]}]},{scope:{3:"operator"},match:[ed,/\s+/,/<-/,/\s+/]},{scope:"operator",relevance:0,variants:[{match:rd},{match:/%[^%]*%/}]},{scope:"punctuation",relevance:0,match:sd},{begin:"`",end:"`",contains:[{begin:/\\./}]}]}}return r_1=Ra,r_1}var reasonml_1,hasRequiredReasonml;function requireReasonml(){if(hasRequiredReasonml)return reasonml_1;hasRequiredReasonml=1;function Ra(qa){return{name:"ReasonML",aliases:["re"],keywords:{$pattern:/[a-z_]\w*!?/,keyword:["and","as","asr","assert","begin","class","constraint","do","done","downto","else","end","esfun","exception","external","for","fun","function","functor","if","in","include","inherit","initializer","land","lazy","let","lor","lsl","lsr","lxor","mod","module","mutable","new","nonrec","object","of","open","or","pri","pub","rec","sig","struct","switch","then","to","try","type","val","virtual","when","while","with"],built_in:["array","bool","bytes","char","exn|5","float","int","int32","int64","list","lazy_t|5","nativeint|5","ref","string","unit"],literal:["true","false"]},illegal:/(:-|:=|\$\{|\+=)/,contains:[{scope:"literal",match:/\[(\|\|)?\]|\(\)/,relevance:0},qa.C_LINE_COMMENT_MODE,qa.COMMENT(/\/\*/,/\*\//,{illegal:/^(#,\/\/)/}),{scope:"symbol",match:/\'[A-Za-z_](?!\')[\w\']*/},{scope:"type",match:/`[A-Z][\w\']*/},{scope:"type",match:/\b[A-Z][\w\']*/,relevance:0},{match:/[a-z_]\w*\'[\w\']*/,relevance:0},{scope:"operator",match:/\s+(\|\||\+[\+\.]?|\*[\*\/\.]?|\/[\.]?|\.\.\.|\|>|&&|===?)\s+/,relevance:0},qa.inherit(qa.APOS_STRING_MODE,{scope:"string",relevance:0}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{scope:"number",variants:[{match:/\b0[xX][a-fA-F0-9_]+[Lln]?/},{match:/\b0[oO][0-7_]+[Lln]?/},{match:/\b0[bB][01_]+[Lln]?/},{match:/\b[0-9][0-9_]*([Lln]|(\.[0-9_]*)?([eE][-+]?[0-9_]+)?)/}],relevance:0}]}}return reasonml_1=Ra,reasonml_1}var rib_1,hasRequiredRib;function requireRib(){if(hasRequiredRib)return rib_1;hasRequiredRib=1;function Ra(qa){return{name:"RenderMan RIB",keywords:"ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry Hider Hyperboloid Identity Illuminate Imager Interior LightSource MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd TransformPoints Translate TrimCurve WorldBegin WorldEnd",illegal:"</",contains:[qa.HASH_COMMENT_MODE,qa.C_NUMBER_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]}}return rib_1=Ra,rib_1}var roboconf_1,hasRequiredRoboconf;function requireRoboconf(){if(hasRequiredRoboconf)return roboconf_1;hasRequiredRoboconf=1;function Ra(qa){const Ja="[a-zA-Z-_][^\\n{]+\\{",ed={className:"attribute",begin:/[a-zA-Z-_]+/,end:/\s*:/,excludeEnd:!0,starts:{end:";",relevance:0,contains:[{className:"variable",begin:/\.[a-zA-Z-_]+/},{className:"keyword",begin:/\(optional\)/}]}};return{name:"Roboconf",aliases:["graph","instances"],case_insensitive:!0,keywords:"import",contains:[{begin:"^facet "+Ja,end:/\}/,keywords:"facet",contains:[ed,qa.HASH_COMMENT_MODE]},{begin:"^\\s*instance of "+Ja,end:/\}/,keywords:"name count channels instance-data instance-state instance of",illegal:/\S/,contains:["self",ed,qa.HASH_COMMENT_MODE]},{begin:"^"+Ja,end:/\}/,contains:[ed,qa.HASH_COMMENT_MODE]},qa.HASH_COMMENT_MODE]}}return roboconf_1=Ra,roboconf_1}var routeros_1,hasRequiredRouteros;function requireRouteros(){if(hasRequiredRouteros)return routeros_1;hasRequiredRouteros=1;function Ra(qa){const Ja="foreach do while for if from to step else on-error and or not in",ed="global local beep delay put len typeof pick log time set find environment terminal error execute parse resolve toarray tobool toid toip toip6 tonum tostr totime",td="add remove enable disable set get print export edit find run debug error info warning",rd="true false yes no nothing nil null",sd="traffic-flow traffic-generator firewall scheduler aaa accounting address-list address align area bandwidth-server bfd bgp bridge client clock community config connection console customer default dhcp-client dhcp-server discovery dns e-mail ethernet filter firmware gps graphing group hardware health hotspot identity igmp-proxy incoming instance interface ip ipsec ipv6 irq l2tp-server lcd ldp logging mac-server mac-winbox mangle manual mirror mme mpls nat nd neighbor network note ntp ospf ospf-v3 ovpn-server page peer pim ping policy pool port ppp pppoe-client pptp-server prefix profile proposal proxy queue radius resource rip ripng route routing screen script security-profiles server service service-port settings shares smb sms sniffer snmp snooper socks sstp-server system tool tracking type upgrade upnp user-manager users user vlan secret vrrp watchdog web-access wireless pptp pppoe lan wan layer7-protocol lease simple raw",od={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)\}/}]},ld={className:"string",begin:/"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE,od,{className:"variable",begin:/\$\(/,end:/\)/,contains:[qa.BACKSLASH_ESCAPE]}]},cd={className:"string",begin:/'/,end:/'/};return{name:"MikroTik RouterOS script",aliases:["mikrotik"],case_insensitive:!0,keywords:{$pattern:/:?[\w-]+/,literal:rd,keyword:Ja+" :"+Ja.split(" ").join(" :")+" :"+ed.split(" ").join(" :")},contains:[{variants:[{begin:/\/\*/,end:/\*\//},{begin:/\/\//,end:/$/},{begin:/<\//,end:/>/}],illegal:/./},qa.COMMENT("^#","$"),ld,cd,od,{begin:/[\w-]+=([^\s{}[\]()>]+)/,relevance:0,returnBegin:!0,contains:[{className:"attribute",begin:/[^=]+/},{begin:/=/,endsWithParent:!0,relevance:0,contains:[ld,cd,od,{className:"literal",begin:"\\b("+rd.split(" ").join("|")+")\\b"},{begin:/("[^"]*"|[^\s{}[\]]+)/}]}]},{className:"number",begin:/\*[0-9a-fA-F]+/},{begin:"\\b("+td.split(" ").join("|")+")([\\s[(\\]|])",returnBegin:!0,contains:[{className:"built_in",begin:/\w+/}]},{className:"built_in",variants:[{begin:"(\\.\\./|/|\\s)(("+sd.split(" ").join("|")+");?\\s)+"},{begin:/\.\./,relevance:0}]}]}}return routeros_1=Ra,routeros_1}var rsl_1,hasRequiredRsl;function requireRsl(){if(hasRequiredRsl)return rsl_1;hasRequiredRsl=1;function Ra(qa){const Ja=["abs","acos","ambient","area","asin","atan","atmosphere","attribute","calculatenormal","ceil","cellnoise","clamp","comp","concat","cos","degrees","depth","Deriv","diffuse","distance","Du","Dv","environment","exp","faceforward","filterstep","floor","format","fresnel","incident","length","lightsource","log","match","max","min","mod","noise","normalize","ntransform","opposite","option","phong","pnoise","pow","printf","ptlined","radians","random","reflect","refract","renderinfo","round","setcomp","setxcomp","setycomp","setzcomp","shadow","sign","sin","smoothstep","specular","specularbrdf","spline","sqrt","step","tan","texture","textureinfo","trace","transform","vtransform","xcomp","ycomp","zcomp"],ed=["matrix","float","color","point","normal","vector"],td=["while","for","if","do","return","else","break","extern","continue"],rd={match:[/(surface|displacement|light|volume|imager)/,/\s+/,qa.IDENT_RE],scope:{1:"keyword",3:"title.class"}};return{name:"RenderMan RSL",keywords:{keyword:td,built_in:Ja,type:ed},illegal:"</",contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.QUOTE_STRING_MODE,qa.APOS_STRING_MODE,qa.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},rd,{beginKeywords:"illuminate illuminance gather",end:"\\("}]}}return rsl_1=Ra,rsl_1}var ruleslanguage_1,hasRequiredRuleslanguage;function requireRuleslanguage(){if(hasRequiredRuleslanguage)return ruleslanguage_1;hasRequiredRuleslanguage=1;function Ra(qa){return{name:"Oracle Rules Language",keywords:{keyword:"BILL_PERIOD BILL_START BILL_STOP RS_EFFECTIVE_START RS_EFFECTIVE_STOP RS_JURIS_CODE RS_OPCO_CODE INTDADDATTRIBUTE|5 INTDADDVMSG|5 INTDBLOCKOP|5 INTDBLOCKOPNA|5 INTDCLOSE|5 INTDCOUNT|5 INTDCOUNTSTATUSCODE|5 INTDCREATEMASK|5 INTDCREATEDAYMASK|5 INTDCREATEFACTORMASK|5 INTDCREATEHANDLE|5 INTDCREATEOVERRIDEDAYMASK|5 INTDCREATEOVERRIDEMASK|5 INTDCREATESTATUSCODEMASK|5 INTDCREATETOUPERIOD|5 INTDDELETE|5 INTDDIPTEST|5 INTDEXPORT|5 INTDGETERRORCODE|5 INTDGETERRORMESSAGE|5 INTDISEQUAL|5 INTDJOIN|5 INTDLOAD|5 INTDLOADACTUALCUT|5 INTDLOADDATES|5 INTDLOADHIST|5 INTDLOADLIST|5 INTDLOADLISTDATES|5 INTDLOADLISTENERGY|5 INTDLOADLISTHIST|5 INTDLOADRELATEDCHANNEL|5 INTDLOADSP|5 INTDLOADSTAGING|5 INTDLOADUOM|5 INTDLOADUOMDATES|5 INTDLOADUOMHIST|5 INTDLOADVERSION|5 INTDOPEN|5 INTDREADFIRST|5 INTDREADNEXT|5 INTDRECCOUNT|5 INTDRELEASE|5 INTDREPLACE|5 INTDROLLAVG|5 INTDROLLPEAK|5 INTDSCALAROP|5 INTDSCALE|5 INTDSETATTRIBUTE|5 INTDSETDSTPARTICIPANT|5 INTDSETSTRING|5 INTDSETVALUE|5 INTDSETVALUESTATUS|5 INTDSHIFTSTARTTIME|5 INTDSMOOTH|5 INTDSORT|5 INTDSPIKETEST|5 INTDSUBSET|5 INTDTOU|5 INTDTOURELEASE|5 INTDTOUVALUE|5 INTDUPDATESTATS|5 INTDVALUE|5 STDEV INTDDELETEEX|5 INTDLOADEXACTUAL|5 INTDLOADEXCUT|5 INTDLOADEXDATES|5 INTDLOADEX|5 INTDLOADEXRELATEDCHANNEL|5 INTDSAVEEX|5 MVLOAD|5 MVLOADACCT|5 MVLOADACCTDATES|5 MVLOADACCTHIST|5 MVLOADDATES|5 MVLOADHIST|5 MVLOADLIST|5 MVLOADLISTDATES|5 MVLOADLISTHIST|5 IF FOR NEXT DONE SELECT END CALL ABORT CLEAR CHANNEL FACTOR LIST NUMBER OVERRIDE SET WEEK DISTRIBUTIONNODE ELSE WHEN THEN OTHERWISE IENUM CSV INCLUDE LEAVE RIDER SAVE DELETE NOVALUE SECTION WARN SAVE_UPDATE DETERMINANT LABEL REPORT REVENUE EACH IN FROM TOTAL CHARGE BLOCK AND OR CSV_FILE RATE_CODE AUXILIARY_DEMAND UIDACCOUNT RS BILL_PERIOD_SELECT HOURS_PER_MONTH INTD_ERROR_STOP SEASON_SCHEDULE_NAME ACCOUNTFACTOR ARRAYUPPERBOUND CALLSTOREDPROC GETADOCONNECTION GETCONNECT GETDATASOURCE GETQUALIFIER GETUSERID HASVALUE LISTCOUNT LISTOP LISTUPDATE LISTVALUE PRORATEFACTOR RSPRORATE SETBINPATH SETDBMONITOR WQ_OPEN BILLINGHOURS DATE DATEFROMFLOAT DATETIMEFROMSTRING DATETIMETOSTRING DATETOFLOAT DAY DAYDIFF DAYNAME DBDATETIME HOUR MINUTE MONTH MONTHDIFF MONTHHOURS MONTHNAME ROUNDDATE SAMEWEEKDAYLASTYEAR SECOND WEEKDAY WEEKDIFF YEAR YEARDAY YEARSTR COMPSUM HISTCOUNT HISTMAX HISTMIN HISTMINNZ HISTVALUE MAXNRANGE MAXRANGE MINRANGE COMPIKVA COMPKVA COMPKVARFROMKQKW COMPLF IDATTR FLAG LF2KW LF2KWH MAXKW POWERFACTOR READING2USAGE AVGSEASON MAXSEASON MONTHLYMERGE SEASONVALUE SUMSEASON ACCTREADDATES ACCTTABLELOAD CONFIGADD CONFIGGET CREATEOBJECT CREATEREPORT EMAILCLIENT EXPBLKMDMUSAGE EXPMDMUSAGE EXPORT_USAGE FACTORINEFFECT GETUSERSPECIFIEDSTOP INEFFECT ISHOLIDAY RUNRATE SAVE_PROFILE SETREPORTTITLE USEREXIT WATFORRUNRATE TO TABLE ACOS ASIN ATAN ATAN2 BITAND CEIL COS COSECANT COSH COTANGENT DIVQUOT DIVREM EXP FABS FLOOR FMOD FREPM FREXPN LOG LOG10 MAX MAXN MIN MINNZ MODF POW ROUND ROUND2VALUE ROUNDINT SECANT SIN SINH SQROOT TAN TANH FLOAT2STRING FLOAT2STRINGNC INSTR LEFT LEN LTRIM MID RIGHT RTRIM STRING STRINGNC TOLOWER TOUPPER TRIM NUMDAYS READ_DATE STAGING",built_in:"IDENTIFIER OPTIONS XML_ELEMENT XML_OP XML_ELEMENT_OF DOMDOCCREATE DOMDOCLOADFILE DOMDOCLOADXML DOMDOCSAVEFILE DOMDOCGETROOT DOMDOCADDPI DOMNODEGETNAME DOMNODEGETTYPE DOMNODEGETVALUE DOMNODEGETCHILDCT DOMNODEGETFIRSTCHILD DOMNODEGETSIBLING DOMNODECREATECHILDELEMENT DOMNODESETATTRIBUTE DOMNODEGETCHILDELEMENTCT DOMNODEGETFIRSTCHILDELEMENT DOMNODEGETSIBLINGELEMENT DOMNODEGETATTRIBUTECT DOMNODEGETATTRIBUTEI DOMNODEGETATTRIBUTEBYNAME DOMNODEGETBYNAME"},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,{className:"literal",variants:[{begin:"#\\s+",relevance:0},{begin:"#[a-zA-Z .]+"}]}]}}return ruleslanguage_1=Ra,ruleslanguage_1}var rust_1,hasRequiredRust;function requireRust(){if(hasRequiredRust)return rust_1;hasRequiredRust=1;function Ra(qa){const Ja=qa.regex,ed=/(r#)?/,td=Ja.concat(ed,qa.UNDERSCORE_IDENT_RE),rd=Ja.concat(ed,qa.IDENT_RE),sd={className:"title.function.invoke",relevance:0,begin:Ja.concat(/\b/,/(?!let|for|while|if|else|match\b)/,rd,Ja.lookahead(/\s*\(/))},od="([ui](8|16|32|64|128|size)|f(32|64))?",ld=["abstract","as","async","await","become","box","break","const","continue","crate","do","dyn","else","enum","extern","false","final","fn","for","if","impl","in","let","loop","macro","match","mod","move","mut","override","priv","pub","ref","return","self","Self","static","struct","super","trait","true","try","type","typeof","union","unsafe","unsized","use","virtual","where","while","yield"],cd=["true","false","Some","None","Ok","Err"],ud=["drop ","Copy","Send","Sized","Sync","Drop","Fn","FnMut","FnOnce","ToOwned","Clone","Debug","PartialEq","PartialOrd","Eq","Ord","AsRef","AsMut","Into","From","Default","Iterator","Extend","IntoIterator","DoubleEndedIterator","ExactSizeIterator","SliceConcatExt","ToString","assert!","assert_eq!","bitflags!","bytes!","cfg!","col!","concat!","concat_idents!","debug_assert!","debug_assert_eq!","env!","eprintln!","panic!","file!","format!","format_args!","include_bytes!","include_str!","line!","local_data_key!","module_path!","option_env!","print!","println!","select!","stringify!","try!","unimplemented!","unreachable!","vec!","write!","writeln!","macro_rules!","assert_ne!","debug_assert_ne!"],_d=["i8","i16","i32","i64","i128","isize","u8","u16","u32","u64","u128","usize","f32","f64","str","char","bool","Box","Option","Result","String","Vec"];return{name:"Rust",aliases:["rs"],keywords:{$pattern:qa.IDENT_RE+"!?",type:_d,keyword:ld,literal:cd,built_in:ud},illegal:"</",contains:[qa.C_LINE_COMMENT_MODE,qa.COMMENT("/\\*","\\*/",{contains:["self"]}),qa.inherit(qa.QUOTE_STRING_MODE,{begin:/b?"/,illegal:null}),{className:"string",variants:[{begin:/b?r(#*)"(.|\n)*?"\1(?!#)/},{begin:/b?'\\?(x\w{2}|u\w{4}|U\w{8}|.)'/}]},{className:"symbol",begin:/'[a-zA-Z_][a-zA-Z0-9_]*/},{className:"number",variants:[{begin:"\\b0b([01_]+)"+od},{begin:"\\b0o([0-7_]+)"+od},{begin:"\\b0x([A-Fa-f0-9_]+)"+od},{begin:"\\b(\\d[\\d_]*(\\.[0-9_]+)?([eE][+-]?[0-9_]+)?)"+od}],relevance:0},{begin:[/fn/,/\s+/,td],className:{1:"keyword",3:"title.function"}},{className:"meta",begin:"#!?\\[",end:"\\]",contains:[{className:"string",begin:/"/,end:/"/,contains:[qa.BACKSLASH_ESCAPE]}]},{begin:[/let/,/\s+/,/(?:mut\s+)?/,td],className:{1:"keyword",3:"keyword",4:"variable"}},{begin:[/for/,/\s+/,td,/\s+/,/in/],className:{1:"keyword",3:"variable",5:"keyword"}},{begin:[/type/,/\s+/,td],className:{1:"keyword",3:"title.class"}},{begin:[/(?:trait|enum|struct|union|impl|for)/,/\s+/,td],className:{1:"keyword",3:"title.class"}},{begin:qa.IDENT_RE+"::",keywords:{keyword:"Self",built_in:ud,type:_d}},{className:"punctuation",begin:"->"},sd]}}return rust_1=Ra,rust_1}var sas_1,hasRequiredSas;function requireSas(){if(hasRequiredSas)return sas_1;hasRequiredSas=1;function Ra(qa){const Ja=qa.regex,ed=["do","if","then","else","end","until","while","abort","array","attrib","by","call","cards","cards4","catname","continue","datalines","datalines4","delete","delim","delimiter","display","dm","drop","endsas","error","file","filename","footnote","format","goto","in","infile","informat","input","keep","label","leave","length","libname","link","list","lostcard","merge","missing","modify","options","output","out","page","put","redirect","remove","rename","replace","retain","return","select","set","skip","startsas","stop","title","update","waitsas","where","window","x|0","systask","add","and","alter","as","cascade","check","create","delete","describe","distinct","drop","foreign","from","group","having","index","insert","into","in","key","like","message","modify","msgtype","not","null","on","or","order","primary","references","reset","restrict","select","set","table","unique","update","validate","view","where"],td=["abs","addr","airy","arcos","arsin","atan","attrc","attrn","band","betainv","blshift","bnot","bor","brshift","bxor","byte","cdf","ceil","cexist","cinv","close","cnonct","collate","compbl","compound","compress","cos","cosh","css","curobs","cv","daccdb","daccdbsl","daccsl","daccsyd","dacctab","dairy","date","datejul","datepart","datetime","day","dclose","depdb","depdbsl","depdbsl","depsl","depsl","depsyd","depsyd","deptab","deptab","dequote","dhms","dif","digamma","dim","dinfo","dnum","dopen","doptname","doptnum","dread","dropnote","dsname","erf","erfc","exist","exp","fappend","fclose","fcol","fdelete","fetch","fetchobs","fexist","fget","fileexist","filename","fileref","finfo","finv","fipname","fipnamel","fipstate","floor","fnonct","fnote","fopen","foptname","foptnum","fpoint","fpos","fput","fread","frewind","frlen","fsep","fuzz","fwrite","gaminv","gamma","getoption","getvarc","getvarn","hbound","hms","hosthelp","hour","ibessel","index","indexc","indexw","input","inputc","inputn","int","intck","intnx","intrr","irr","jbessel","juldate","kurtosis","lag","lbound","left","length","lgamma","libname","libref","log","log10","log2","logpdf","logpmf","logsdf","lowcase","max","mdy","mean","min","minute","mod","month","mopen","mort","n","netpv","nmiss","normal","note","npv","open","ordinal","pathname","pdf","peek","peekc","pmf","point","poisson","poke","probbeta","probbnml","probchi","probf","probgam","probhypr","probit","probnegb","probnorm","probt","put","putc","putn","qtr","quote","ranbin","rancau","ranexp","rangam","range","rank","rannor","ranpoi","rantbl","rantri","ranuni","repeat","resolve","reverse","rewind","right","round","saving","scan","sdf","second","sign","sin","sinh","skewness","soundex","spedis","sqrt","std","stderr","stfips","stname","stnamel","substr","sum","symget","sysget","sysmsg","sysprod","sysrc","system","tan","tanh","time","timepart","tinv","tnonct","today","translate","tranwrd","trigamma","trim","trimn","trunc","uniform","upcase","uss","var","varfmt","varinfmt","varlabel","varlen","varname","varnum","varray","varrayx","vartype","verify","vformat","vformatd","vformatdx","vformatn","vformatnx","vformatw","vformatwx","vformatx","vinarray","vinarrayx","vinformat","vinformatd","vinformatdx","vinformatn","vinformatnx","vinformatw","vinformatwx","vinformatx","vlabel","vlabelx","vlength","vlengthx","vname","vnamex","vtype","vtypex","weekday","year","yyq","zipfips","zipname","zipnamel","zipstate"],rd=["bquote","nrbquote","cmpres","qcmpres","compstor","datatyp","display","do","else","end","eval","global","goto","if","index","input","keydef","label","left","length","let","local","lowcase","macro","mend","nrbquote","nrquote","nrstr","put","qcmpres","qleft","qlowcase","qscan","qsubstr","qsysfunc","qtrim","quote","qupcase","scan","str","substr","superq","syscall","sysevalf","sysexec","sysfunc","sysget","syslput","sysprod","sysrc","sysrput","then","to","trim","unquote","until","upcase","verify","while","window"];return{name:"SAS",case_insensitive:!0,keywords:{literal:["null","missing","_all_","_automatic_","_character_","_infile_","_n_","_name_","_null_","_numeric_","_user_","_webout_"],keyword:ed},contains:[{className:"keyword",begin:/^\s*(proc [\w\d_]+|data|run|quit)[\s;]/},{className:"variable",begin:/&[a-zA-Z_&][a-zA-Z0-9_]*\.?/},{begin:[/^\s*/,/datalines;|cards;/,/(?:.*\n)+/,/^\s*;\s*$/],className:{2:"keyword",3:"string"}},{begin:[/%mend|%macro/,/\s+/,/[a-zA-Z_&][a-zA-Z0-9_]*/],className:{1:"built_in",3:"title.function"}},{className:"built_in",begin:"%"+Ja.either(...rd)},{className:"title.function",begin:/%[a-zA-Z_][a-zA-Z_0-9]*/},{className:"meta",begin:Ja.either(...td)+"(?=\\()"},{className:"string",variants:[qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE]},qa.COMMENT("\\*",";"),qa.C_BLOCK_COMMENT_MODE]}}return sas_1=Ra,sas_1}var scala_1,hasRequiredScala;function requireScala(){if(hasRequiredScala)return scala_1;hasRequiredScala=1;function Ra(qa){const Ja=qa.regex,ed={className:"meta",begin:"@[A-Za-z]+"},td={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"},{begin:/\$\{/,end:/\}/}]},rd={className:"string",variants:[{begin:'"""',end:'"""'},{begin:'"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE]},{begin:'[a-z]+"',end:'"',illegal:"\\n",contains:[qa.BACKSLASH_ESCAPE,td]},{className:"string",begin:'[a-z]+"""',end:'"""',contains:[td],relevance:10}]},sd={className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},od={className:"title",begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/,relevance:0},ld={className:"class",beginKeywords:"class object trait type",end:/[:={\[\n;]/,excludeEnd:!0,contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{beginKeywords:"extends with",relevance:10},{begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[sd,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[sd,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]},od]},cd={className:"function",beginKeywords:"def",end:Ja.lookahead(/[:={\[(\n;]/),contains:[od]},ud={begin:[/^\s*/,"extension",/\s+(?=[[(])/],beginScope:{2:"keyword"}},_d={begin:[/^\s*/,/end/,/\s+/,/(extension\b)?/],beginScope:{2:"keyword",4:"keyword"}},yd=[{match:/\.inline\b/},{begin:/\binline(?=\s)/,keywords:"inline"}],gd={begin:[/\(\s*/,/using/,/\s+(?!\))/],beginScope:{2:"keyword"}};return{name:"Scala",keywords:{literal:"true false null",keyword:"type yield lazy override def with val var sealed abstract private trait object if then forSome for while do throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit export enum given transparent"},contains:[{begin:["//>",/\s+/,/using/,/\s+/,/\S+/],beginScope:{1:"comment",3:"keyword",5:"type"},end:/$/,contains:[{className:"string",begin:/\S+/}]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,rd,sd,cd,ld,qa.C_NUMBER_MODE,ud,_d,...yd,gd,ed]}}return scala_1=Ra,scala_1}var scheme_1,hasRequiredScheme;function requireScheme(){if(hasRequiredScheme)return scheme_1;hasRequiredScheme=1;function Ra(qa){const Ja="[^\\(\\)\\[\\]\\{\\}\",'`;#|\\\\\\s]+",ed="(-|\\+)?\\d+([./]\\d+)?",td=ed+"[+\\-]"+ed+"i",rd={$pattern:Ja,built_in:"case-lambda call/cc class define-class exit-handler field import inherit init-field interface let*-values let-values let/ec mixin opt-lambda override protect provide public rename require require-for-syntax syntax syntax-case syntax-error unit/sig unless when with-syntax and begin call-with-current-continuation call-with-input-file call-with-output-file case cond define define-syntax delay do dynamic-wind else for-each if lambda let let* let-syntax letrec letrec-syntax map or syntax-rules ' * + , ,@ - ... / ; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan boolean? caar cadr call-with-input-file call-with-output-file call-with-values car cdddar cddddr cdr ceiling char->integer char-alphabetic? char-ci<=? char-ci<? char-ci=? char-ci>=? char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase char-upper-case? char-whitespace? char<=? char<? char=? char>=? char>? char? close-input-port close-output-port complex? cons cos current-input-port current-output-port denominator display eof-object? eq? equal? eqv? eval even? exact->inexact exact? exp expt floor force gcd imag-part inexact->exact inexact? input-port? integer->char integer? interaction-environment lcm length list list->string list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular make-string make-vector max member memq memv min modulo negative? newline not null-environment null? number->string number? numerator odd? open-input-file open-output-file output-port? pair? peek-char port? positive? procedure? quasiquote quote quotient rational? rationalize read read-char real-part real? remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt string string->list string->number string->symbol string-append string-ci<=? string-ci<? string-ci=? string-ci>=? string-ci>? string-copy string-fill! string-length string-ref string-set! string<=? string<? string=? string>=? string>? string? substring symbol->string symbol? tan transcript-off transcript-on truncate values vector vector->list vector-fill! vector-length vector-ref vector-set! with-input-from-file with-output-to-file write write-char zero?"},sd={className:"literal",begin:"(#t|#f|#\\\\"+Ja+"|#\\\\.)"},od={className:"number",variants:[{begin:ed,relevance:0},{begin:td,relevance:0},{begin:"#b[0-1]+(/[0-1]+)?"},{begin:"#o[0-7]+(/[0-7]+)?"},{begin:"#x[0-9a-f]+(/[0-9a-f]+)?"}]},ld=qa.QUOTE_STRING_MODE,cd=[qa.COMMENT(";","$",{relevance:0}),qa.COMMENT("#\\|","\\|#")],ud={begin:Ja,relevance:0},_d={className:"symbol",begin:"'"+Ja},yd={endsWithParent:!0,relevance:0},gd={variants:[{begin:/'/},{begin:"`"}],contains:[{begin:"\\(",end:"\\)",contains:["self",sd,ld,od,ud,_d]}]},Ed={className:"name",relevance:0,begin:Ja,keywords:rd},kd={variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}],contains:[{begin:/lambda/,endsWithParent:!0,returnBegin:!0,contains:[Ed,{endsParent:!0,variants:[{begin:/\(/,end:/\)/},{begin:/\[/,end:/\]/}],contains:[ud]}]},Ed,yd]};return yd.contains=[sd,od,ld,ud,_d,gd,kd].concat(cd),{name:"Scheme",aliases:["scm"],illegal:/\S/,contains:[qa.SHEBANG(),od,ld,_d,gd,kd].concat(cd)}}return scheme_1=Ra,scheme_1}var scilab_1,hasRequiredScilab;function requireScilab(){if(hasRequiredScilab)return scilab_1;hasRequiredScilab=1;function Ra(qa){const Ja=[qa.C_NUMBER_MODE,{className:"string",begin:`'|"`,end:`'|"`,contains:[qa.BACKSLASH_ESCAPE,{begin:"''"}]}];return{name:"Scilab",aliases:["sci"],keywords:{$pattern:/%?\w+/,keyword:"abort break case clear catch continue do elseif else endfunction end for function global if pause return resume select try then while",literal:"%f %F %t %T %pi %eps %inf %nan %e %i %z %s",built_in:"abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan type typename warning zeros matrix"},illegal:'("|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[qa.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},{begin:"[a-zA-Z_][a-zA-Z_0-9]*[\\.']+",relevance:0},{begin:"\\[",end:"\\][\\.']*",relevance:0,contains:Ja},qa.COMMENT("//","$")].concat(Ja)}}return scilab_1=Ra,scilab_1}var scss_1,hasRequiredScss;function requireScss(){if(hasRequiredScss)return scss_1;hasRequiredScss=1;const Ra=cd=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:cd.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[cd.APOS_STRING_MODE,cd.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:cd.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),qa=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","optgroup","option","p","picture","q","quote","samp","section","select","source","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],Ja=["defs","g","marker","mask","pattern","svg","switch","symbol","feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feFlood","feGaussianBlur","feImage","feMerge","feMorphology","feOffset","feSpecularLighting","feTile","feTurbulence","linearGradient","radialGradient","stop","circle","ellipse","image","line","path","polygon","polyline","rect","text","use","textPath","tspan","foreignObject","clipPath"],ed=[...qa,...Ja],td=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"].sort().reverse(),rd=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"].sort().reverse(),sd=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"].sort().reverse(),od=["accent-color","align-content","align-items","align-self","alignment-baseline","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","baseline-shift","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-end-end-radius","border-end-start-radius","border-right-color","border-right-style","border-right-width","border-spacing","border-start-end-radius","border-start-start-radius","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","cx","cy","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","color-scheme","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","empty-cells","enable-background","fill","fill-opacity","fill-rule","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","flood-color","flood-opacity","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-horizontal","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","inset","inset-block","inset-block-end","inset-block-start","inset-inline","inset-inline-end","inset-inline-start","isolation","kerning","justify-content","justify-items","justify-self","left","letter-spacing","lighting-color","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","marker","marker-end","marker-mid","marker-start","mask","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","r","resize","rest","rest-after","rest-before","right","rotate","row-gap","scale","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","shape-rendering","stop-color","stop-opacity","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","speak","speak-as","src","tab-size","table-layout","text-anchor","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip-ink","text-decoration-style","text-decoration-thickness","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-offset","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","translate","unicode-bidi","vector-effect","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","x","y","z-index"].sort().reverse();function ld(cd){const ud=Ra(cd),_d=sd,yd=rd,gd="@[a-z-]+",Ed="and or not only",kd={className:"variable",begin:"(\\$"+"[a-zA-Z-][a-zA-Z0-9_-]*"+")\\b",relevance:0};return{name:"SCSS",case_insensitive:!0,illegal:"[=/|']",contains:[cd.C_LINE_COMMENT_MODE,cd.C_BLOCK_COMMENT_MODE,ud.CSS_NUMBER_MODE,{className:"selector-id",begin:"#[A-Za-z0-9_-]+",relevance:0},{className:"selector-class",begin:"\\.[A-Za-z0-9_-]+",relevance:0},ud.ATTRIBUTE_SELECTOR_MODE,{className:"selector-tag",begin:"\\b("+ed.join("|")+")\\b",relevance:0},{className:"selector-pseudo",begin:":("+yd.join("|")+")"},{className:"selector-pseudo",begin:":(:)?("+_d.join("|")+")"},kd,{begin:/\(/,end:/\)/,contains:[ud.CSS_NUMBER_MODE]},ud.CSS_VARIABLE,{className:"attribute",begin:"\\b("+od.join("|")+")\\b"},{begin:"\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\b"},{begin:/:/,end:/[;}{]/,relevance:0,contains:[ud.BLOCK_COMMENT,kd,ud.HEXCOLOR,ud.CSS_NUMBER_MODE,cd.QUOTE_STRING_MODE,cd.APOS_STRING_MODE,ud.IMPORTANT,ud.FUNCTION_DISPATCH]},{begin:"@(page|font-face)",keywords:{$pattern:gd,keyword:"@page @font-face"}},{begin:"@",end:"[{;]",returnBegin:!0,keywords:{$pattern:/[a-z-]+/,keyword:Ed,attribute:td.join(" ")},contains:[{begin:gd,className:"keyword"},{begin:/[a-z-]+(?=:)/,className:"attribute"},kd,cd.QUOTE_STRING_MODE,cd.APOS_STRING_MODE,ud.HEXCOLOR,ud.CSS_NUMBER_MODE]},ud.FUNCTION_DISPATCH]}}return scss_1=ld,scss_1}var shell_1,hasRequiredShell;function requireShell(){if(hasRequiredShell)return shell_1;hasRequiredShell=1;function Ra(qa){return{name:"Shell Session",aliases:["console","shellsession"],contains:[{className:"meta.prompt",begin:/^\s{0,3}[/~\w\d[\]()@-]*[>%$#][ ]?/,starts:{end:/[^\\](?=\s*$)/,subLanguage:"bash"}}]}}return shell_1=Ra,shell_1}var smali_1,hasRequiredSmali;function requireSmali(){if(hasRequiredSmali)return smali_1;hasRequiredSmali=1;function Ra(qa){const Ja=["add","and","cmp","cmpg","cmpl","const","div","double","float","goto","if","int","long","move","mul","neg","new","nop","not","or","rem","return","shl","shr","sput","sub","throw","ushr","xor"],ed=["aget","aput","array","check","execute","fill","filled","goto/16","goto/32","iget","instance","invoke","iput","monitor","packed","sget","sparse"],td=["transient","constructor","abstract","final","synthetic","public","private","protected","static","bridge","system"];return{name:"Smali",contains:[{className:"string",begin:'"',end:'"',relevance:0},qa.COMMENT("#","$",{relevance:0}),{className:"keyword",variants:[{begin:"\\s*\\.end\\s[a-zA-Z0-9]*"},{begin:"^[ ]*\\.[a-zA-Z]*",relevance:0},{begin:"\\s:[a-zA-Z_0-9]*",relevance:0},{begin:"\\s("+td.join("|")+")"}]},{className:"built_in",variants:[{begin:"\\s("+Ja.join("|")+")\\s"},{begin:"\\s("+Ja.join("|")+")((-|/)[a-zA-Z0-9]+)+\\s",relevance:10},{begin:"\\s("+ed.join("|")+")((-|/)[a-zA-Z0-9]+)*\\s",relevance:10}]},{className:"class",begin:`L[^(;:
|
||
]*;`,relevance:0},{begin:"[vp][0-9]+"}]}}return smali_1=Ra,smali_1}var smalltalk_1,hasRequiredSmalltalk;function requireSmalltalk(){if(hasRequiredSmalltalk)return smalltalk_1;hasRequiredSmalltalk=1;function Ra(qa){const Ja="[a-z][a-zA-Z0-9_]*",ed={className:"string",begin:"\\$.{1}"},td={className:"symbol",begin:"#"+qa.UNDERSCORE_IDENT_RE};return{name:"Smalltalk",aliases:["st"],keywords:["self","super","nil","true","false","thisContext"],contains:[qa.COMMENT('"','"'),qa.APOS_STRING_MODE,{className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},{begin:Ja+":",relevance:0},qa.C_NUMBER_MODE,td,ed,{begin:"\\|[ ]*"+Ja+"([ ]+"+Ja+")*[ ]*\\|",returnBegin:!0,end:/\|/,illegal:/\S/,contains:[{begin:"(\\|[ ]*)?"+Ja}]},{begin:"#\\(",end:"\\)",contains:[qa.APOS_STRING_MODE,ed,qa.C_NUMBER_MODE,td]}]}}return smalltalk_1=Ra,smalltalk_1}var sml_1,hasRequiredSml;function requireSml(){if(hasRequiredSml)return sml_1;hasRequiredSml=1;function Ra(qa){return{name:"SML (Standard ML)",aliases:["ml"],keywords:{$pattern:"[a-z_]\\w*!?",keyword:"abstype and andalso as case datatype do else end eqtype exception fn fun functor handle if in include infix infixr let local nonfix of op open orelse raise rec sharing sig signature struct structure then type val with withtype where while",built_in:"array bool char exn int list option order real ref string substring vector unit word",literal:"true false NONE SOME LESS EQUAL GREATER nil"},illegal:/\/\/|>>/,contains:[{className:"literal",begin:/\[(\|\|)?\]|\(\)/,relevance:0},qa.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*"},qa.inherit(qa.APOS_STRING_MODE,{className:"string",relevance:0}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/[-=]>/}]}}return sml_1=Ra,sml_1}var sqf_1,hasRequiredSqf;function requireSqf(){if(hasRequiredSqf)return sqf_1;hasRequiredSqf=1;function Ra(qa){const Ja={className:"variable",begin:/\b_+[a-zA-Z]\w*/},ed={className:"title",begin:/[a-zA-Z][a-zA-Z_0-9]*_fnc_[a-zA-Z_0-9]+/},td={className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]},{begin:"'",end:"'",contains:[{begin:"''",relevance:0}]}]},rd=["break","breakWith","breakOut","breakTo","case","catch","continue","continueWith","default","do","else","exit","exitWith","for","forEach","from","if","local","private","switch","step","then","throw","to","try","waitUntil","while","with"],sd=["blufor","civilian","configNull","controlNull","displayNull","diaryRecordNull","east","endl","false","grpNull","independent","lineBreak","locationNull","nil","objNull","opfor","pi","resistance","scriptNull","sideAmbientLife","sideEmpty","sideEnemy","sideFriendly","sideLogic","sideUnknown","taskNull","teamMemberNull","true","west"],od=["abs","accTime","acos","action","actionIDs","actionKeys","actionKeysEx","actionKeysImages","actionKeysNames","actionKeysNamesArray","actionName","actionParams","activateAddons","activatedAddons","activateKey","activeTitleEffectParams","add3DENConnection","add3DENEventHandler","add3DENLayer","addAction","addBackpack","addBackpackCargo","addBackpackCargoGlobal","addBackpackGlobal","addBinocularItem","addCamShake","addCuratorAddons","addCuratorCameraArea","addCuratorEditableObjects","addCuratorEditingArea","addCuratorPoints","addEditorObject","addEventHandler","addForce","addForceGeneratorRTD","addGoggles","addGroupIcon","addHandgunItem","addHeadgear","addItem","addItemCargo","addItemCargoGlobal","addItemPool","addItemToBackpack","addItemToUniform","addItemToVest","addLiveStats","addMagazine","addMagazineAmmoCargo","addMagazineCargo","addMagazineCargoGlobal","addMagazineGlobal","addMagazinePool","addMagazines","addMagazineTurret","addMenu","addMenuItem","addMissionEventHandler","addMPEventHandler","addMusicEventHandler","addonFiles","addOwnedMine","addPlayerScores","addPrimaryWeaponItem","addPublicVariableEventHandler","addRating","addResources","addScore","addScoreSide","addSecondaryWeaponItem","addSwitchableUnit","addTeamMember","addToRemainsCollector","addTorque","addUniform","addUserActionEventHandler","addVehicle","addVest","addWaypoint","addWeapon","addWeaponCargo","addWeaponCargoGlobal","addWeaponGlobal","addWeaponItem","addWeaponPool","addWeaponTurret","addWeaponWithAttachmentsCargo","addWeaponWithAttachmentsCargoGlobal","admin","agent","agents","AGLToASL","aimedAtTarget","aimPos","airDensityCurveRTD","airDensityRTD","airplaneThrottle","airportSide","AISFinishHeal","alive","all3DENEntities","allActiveTitleEffects","allAddonsInfo","allAirports","allControls","allCurators","allCutLayers","allDead","allDeadMen","allDiaryRecords","allDiarySubjects","allDisplays","allEnv3DSoundSources","allGroups","allLODs","allMapMarkers","allMines","allMissionObjects","allObjects","allow3DMode","allowCrewInImmobile","allowCuratorLogicIgnoreAreas","allowDamage","allowDammage","allowedService","allowFileOperations","allowFleeing","allowGetIn","allowService","allowSprint","allPlayers","allSimpleObjects","allSites","allTurrets","allUnits","allUnitsUAV","allUsers","allVariables","ambientTemperature","ammo","ammoOnPylon","and","animate","animateBay","animateDoor","animatePylon","animateSource","animationNames","animationPhase","animationSourcePhase","animationState","apertureParams","append","apply","armoryPoints","arrayIntersect","asin","ASLToAGL","ASLToATL","assert","assignAsCargo","assignAsCargoIndex","assignAsCommander","assignAsDriver","assignAsGunner","assignAsTurret","assignCurator","assignedCargo","assignedCommander","assignedDriver","assignedGroup","assignedGunner","assignedItems","assignedTarget","assignedTeam","assignedVehicle","assignedVehicleRole","assignedVehicles","assignItem","assignTeam","assignToAirport","atan","atan2","atg","ATLToASL","attachedObject","attachedObjects","attachedTo","attachObject","attachTo","attackEnabled","awake","backpack","backpackCargo","backpackContainer","backpackItems","backpackMagazines","backpackSpaceFor","behaviour","benchmark","bezierInterpolation","binocular","binocularItems","binocularMagazine","boundingBox","boundingBoxReal","boundingCenter","brakesDisabled","briefingName","buildingExit","buildingPos","buldozer_EnableRoadDiag","buldozer_IsEnabledRoadDiag","buldozer_LoadNewRoads","buldozer_reloadOperMap","buttonAction","buttonSetAction","cadetMode","calculatePath","calculatePlayerVisibilityByFriendly","call","callExtension","camCommand","camCommit","camCommitPrepared","camCommitted","camConstuctionSetParams","camCreate","camDestroy","cameraEffect","cameraEffectEnableHUD","cameraInterest","cameraOn","cameraView","campaignConfigFile","camPreload","camPreloaded","camPrepareBank","camPrepareDir","camPrepareDive","camPrepareFocus","camPrepareFov","camPrepareFovRange","camPreparePos","camPrepareRelPos","camPrepareTarget","camSetBank","camSetDir","camSetDive","camSetFocus","camSetFov","camSetFovRange","camSetPos","camSetRelPos","camSetTarget","camTarget","camUseNVG","canAdd","canAddItemToBackpack","canAddItemToUniform","canAddItemToVest","cancelSimpleTaskDestination","canDeployWeapon","canFire","canMove","canSlingLoad","canStand","canSuspend","canTriggerDynamicSimulation","canUnloadInCombat","canVehicleCargo","captive","captiveNum","cbChecked","cbSetChecked","ceil","channelEnabled","cheatsEnabled","checkAIFeature","checkVisibility","className","clear3DENAttribute","clear3DENInventory","clearAllItemsFromBackpack","clearBackpackCargo","clearBackpackCargoGlobal","clearForcesRTD","clearGroupIcons","clearItemCargo","clearItemCargoGlobal","clearItemPool","clearMagazineCargo","clearMagazineCargoGlobal","clearMagazinePool","clearOverlay","clearRadio","clearWeaponCargo","clearWeaponCargoGlobal","clearWeaponPool","clientOwner","closeDialog","closeDisplay","closeOverlay","collapseObjectTree","collect3DENHistory","collectiveRTD","collisionDisabledWith","combatBehaviour","combatMode","commandArtilleryFire","commandChat","commander","commandFire","commandFollow","commandFSM","commandGetOut","commandingMenu","commandMove","commandRadio","commandStop","commandSuppressiveFire","commandTarget","commandWatch","comment","commitOverlay","compatibleItems","compatibleMagazines","compile","compileFinal","compileScript","completedFSM","composeText","configClasses","configFile","configHierarchy","configName","configOf","configProperties","configSourceAddonList","configSourceMod","configSourceModList","confirmSensorTarget","connectTerminalToUAV","connectToServer","controlsGroupCtrl","conversationDisabled","copyFromClipboard","copyToClipboard","copyWaypoints","cos","count","countEnemy","countFriendly","countSide","countType","countUnknown","create3DENComposition","create3DENEntity","createAgent","createCenter","createDialog","createDiaryLink","createDiaryRecord","createDiarySubject","createDisplay","createGearDialog","createGroup","createGuardedPoint","createHashMap","createHashMapFromArray","createLocation","createMarker","createMarkerLocal","createMenu","createMine","createMissionDisplay","createMPCampaignDisplay","createSimpleObject","createSimpleTask","createSite","createSoundSource","createTask","createTeam","createTrigger","createUnit","createVehicle","createVehicleCrew","createVehicleLocal","crew","ctAddHeader","ctAddRow","ctClear","ctCurSel","ctData","ctFindHeaderRows","ctFindRowHeader","ctHeaderControls","ctHeaderCount","ctRemoveHeaders","ctRemoveRows","ctrlActivate","ctrlAddEventHandler","ctrlAngle","ctrlAnimateModel","ctrlAnimationPhaseModel","ctrlAt","ctrlAutoScrollDelay","ctrlAutoScrollRewind","ctrlAutoScrollSpeed","ctrlBackgroundColor","ctrlChecked","ctrlClassName","ctrlCommit","ctrlCommitted","ctrlCreate","ctrlDelete","ctrlEnable","ctrlEnabled","ctrlFade","ctrlFontHeight","ctrlForegroundColor","ctrlHTMLLoaded","ctrlIDC","ctrlIDD","ctrlMapAnimAdd","ctrlMapAnimClear","ctrlMapAnimCommit","ctrlMapAnimDone","ctrlMapCursor","ctrlMapMouseOver","ctrlMapPosition","ctrlMapScale","ctrlMapScreenToWorld","ctrlMapSetPosition","ctrlMapWorldToScreen","ctrlModel","ctrlModelDirAndUp","ctrlModelScale","ctrlMousePosition","ctrlParent","ctrlParentControlsGroup","ctrlPosition","ctrlRemoveAllEventHandlers","ctrlRemoveEventHandler","ctrlScale","ctrlScrollValues","ctrlSetActiveColor","ctrlSetAngle","ctrlSetAutoScrollDelay","ctrlSetAutoScrollRewind","ctrlSetAutoScrollSpeed","ctrlSetBackgroundColor","ctrlSetChecked","ctrlSetDisabledColor","ctrlSetEventHandler","ctrlSetFade","ctrlSetFocus","ctrlSetFont","ctrlSetFontH1","ctrlSetFontH1B","ctrlSetFontH2","ctrlSetFontH2B","ctrlSetFontH3","ctrlSetFontH3B","ctrlSetFontH4","ctrlSetFontH4B","ctrlSetFontH5","ctrlSetFontH5B","ctrlSetFontH6","ctrlSetFontH6B","ctrlSetFontHeight","ctrlSetFontHeightH1","ctrlSetFontHeightH2","ctrlSetFontHeightH3","ctrlSetFontHeightH4","ctrlSetFontHeightH5","ctrlSetFontHeightH6","ctrlSetFontHeightSecondary","ctrlSetFontP","ctrlSetFontPB","ctrlSetFontSecondary","ctrlSetForegroundColor","ctrlSetModel","ctrlSetModelDirAndUp","ctrlSetModelScale","ctrlSetMousePosition","ctrlSetPixelPrecision","ctrlSetPosition","ctrlSetPositionH","ctrlSetPositionW","ctrlSetPositionX","ctrlSetPositionY","ctrlSetScale","ctrlSetScrollValues","ctrlSetShadow","ctrlSetStructuredText","ctrlSetText","ctrlSetTextColor","ctrlSetTextColorSecondary","ctrlSetTextSecondary","ctrlSetTextSelection","ctrlSetTooltip","ctrlSetTooltipColorBox","ctrlSetTooltipColorShade","ctrlSetTooltipColorText","ctrlSetTooltipMaxWidth","ctrlSetURL","ctrlSetURLOverlayMode","ctrlShadow","ctrlShow","ctrlShown","ctrlStyle","ctrlText","ctrlTextColor","ctrlTextHeight","ctrlTextSecondary","ctrlTextSelection","ctrlTextWidth","ctrlTooltip","ctrlType","ctrlURL","ctrlURLOverlayMode","ctrlVisible","ctRowControls","ctRowCount","ctSetCurSel","ctSetData","ctSetHeaderTemplate","ctSetRowTemplate","ctSetValue","ctValue","curatorAddons","curatorCamera","curatorCameraArea","curatorCameraAreaCeiling","curatorCoef","curatorEditableObjects","curatorEditingArea","curatorEditingAreaType","curatorMouseOver","curatorPoints","curatorRegisteredObjects","curatorSelected","curatorWaypointCost","current3DENOperation","currentChannel","currentCommand","currentMagazine","currentMagazineDetail","currentMagazineDetailTurret","currentMagazineTurret","currentMuzzle","currentNamespace","currentPilot","currentTask","currentTasks","currentThrowable","currentVisionMode","currentWaypoint","currentWeapon","currentWeaponMode","currentWeaponTurret","currentZeroing","cursorObject","cursorTarget","customChat","customRadio","customWaypointPosition","cutFadeOut","cutObj","cutRsc","cutText","damage","date","dateToNumber","dayTime","deActivateKey","debriefingText","debugFSM","debugLog","decayGraphValues","deg","delete3DENEntities","deleteAt","deleteCenter","deleteCollection","deleteEditorObject","deleteGroup","deleteGroupWhenEmpty","deleteIdentity","deleteLocation","deleteMarker","deleteMarkerLocal","deleteRange","deleteResources","deleteSite","deleteStatus","deleteTeam","deleteVehicle","deleteVehicleCrew","deleteWaypoint","detach","detectedMines","diag_activeMissionFSMs","diag_activeScripts","diag_activeSQFScripts","diag_activeSQSScripts","diag_allMissionEventHandlers","diag_captureFrame","diag_captureFrameToFile","diag_captureSlowFrame","diag_codePerformance","diag_deltaTime","diag_drawmode","diag_dumpCalltraceToLog","diag_dumpScriptAssembly","diag_dumpTerrainSynth","diag_dynamicSimulationEnd","diag_enable","diag_enabled","diag_exportConfig","diag_exportTerrainSVG","diag_fps","diag_fpsmin","diag_frameno","diag_getTerrainSegmentOffset","diag_lightNewLoad","diag_list","diag_localized","diag_log","diag_logSlowFrame","diag_mergeConfigFile","diag_recordTurretLimits","diag_resetFSM","diag_resetshapes","diag_scope","diag_setLightNew","diag_stacktrace","diag_tickTime","diag_toggle","dialog","diarySubjectExists","didJIP","didJIPOwner","difficulty","difficultyEnabled","difficultyEnabledRTD","difficultyOption","direction","directionStabilizationEnabled","directSay","disableAI","disableBrakes","disableCollisionWith","disableConversation","disableDebriefingStats","disableMapIndicators","disableNVGEquipment","disableRemoteSensors","disableSerialization","disableTIEquipment","disableUAVConnectability","disableUserInput","displayAddEventHandler","displayChild","displayCtrl","displayParent","displayRemoveAllEventHandlers","displayRemoveEventHandler","displaySetEventHandler","displayUniqueName","displayUpdate","dissolveTeam","distance","distance2D","distanceSqr","distributionRegion","do3DENAction","doArtilleryFire","doFire","doFollow","doFSM","doGetOut","doMove","doorPhase","doStop","doSuppressiveFire","doTarget","doWatch","drawArrow","drawEllipse","drawIcon","drawIcon3D","drawLaser","drawLine","drawLine3D","drawLink","drawLocation","drawPolygon","drawRectangle","drawTriangle","driver","drop","dynamicSimulationDistance","dynamicSimulationDistanceCoef","dynamicSimulationEnabled","dynamicSimulationSystemEnabled","echo","edit3DENMissionAttributes","editObject","editorSetEventHandler","effectiveCommander","elevatePeriscope","emptyPositions","enableAI","enableAIFeature","enableAimPrecision","enableAttack","enableAudioFeature","enableAutoStartUpRTD","enableAutoTrimRTD","enableCamShake","enableCaustics","enableChannel","enableCollisionWith","enableCopilot","enableDebriefingStats","enableDiagLegend","enableDirectionStabilization","enableDynamicSimulation","enableDynamicSimulationSystem","enableEndDialog","enableEngineArtillery","enableEnvironment","enableFatigue","enableGunLights","enableInfoPanelComponent","enableIRLasers","enableMimics","enablePersonTurret","enableRadio","enableReload","enableRopeAttach","enableSatNormalOnDetail","enableSaving","enableSentences","enableSimulation","enableSimulationGlobal","enableStamina","enableStressDamage","enableTeamSwitch","enableTraffic","enableUAVConnectability","enableUAVWaypoints","enableVehicleCargo","enableVehicleSensor","enableWeaponDisassembly","endLoadingScreen","endMission","engineOn","enginesIsOnRTD","enginesPowerRTD","enginesRpmRTD","enginesTorqueRTD","entities","environmentEnabled","environmentVolume","equipmentDisabled","estimatedEndServerTime","estimatedTimeLeft","evalObjectArgument","everyBackpack","everyContainer","exec","execEditorScript","execFSM","execVM","exp","expectedDestination","exportJIPMessages","eyeDirection","eyePos","face","faction","fadeEnvironment","fadeMusic","fadeRadio","fadeSound","fadeSpeech","failMission","fileExists","fillWeaponsFromPool","find","findAny","findCover","findDisplay","findEditorObject","findEmptyPosition","findEmptyPositionReady","findIf","findNearestEnemy","finishMissionInit","finite","fire","fireAtTarget","firstBackpack","flag","flagAnimationPhase","flagOwner","flagSide","flagTexture","flatten","fleeing","floor","flyInHeight","flyInHeightASL","focusedCtrl","fog","fogForecast","fogParams","forceAddUniform","forceAtPositionRTD","forceCadetDifficulty","forcedMap","forceEnd","forceFlagTexture","forceFollowRoad","forceGeneratorRTD","forceMap","forceRespawn","forceSpeed","forceUnicode","forceWalk","forceWeaponFire","forceWeatherChange","forEachMember","forEachMemberAgent","forEachMemberTeam","forgetTarget","format","formation","formationDirection","formationLeader","formationMembers","formationPosition","formationTask","formatText","formLeader","freeExtension","freeLook","fromEditor","fuel","fullCrew","gearIDCAmmoCount","gearSlotAmmoCount","gearSlotData","gestureState","get","get3DENActionState","get3DENAttribute","get3DENCamera","get3DENConnections","get3DENEntity","get3DENEntityID","get3DENGrid","get3DENIconsVisible","get3DENLayerEntities","get3DENLinesVisible","get3DENMissionAttribute","get3DENMouseOver","get3DENSelected","getAimingCoef","getAllEnv3DSoundControllers","getAllEnvSoundControllers","getAllHitPointsDamage","getAllOwnedMines","getAllPylonsInfo","getAllSoundControllers","getAllUnitTraits","getAmmoCargo","getAnimAimPrecision","getAnimSpeedCoef","getArray","getArtilleryAmmo","getArtilleryComputerSettings","getArtilleryETA","getAssetDLCInfo","getAssignedCuratorLogic","getAssignedCuratorUnit","getAttackTarget","getAudioOptionVolumes","getBackpackCargo","getBleedingRemaining","getBurningValue","getCalculatePlayerVisibilityByFriendly","getCameraViewDirection","getCargoIndex","getCenterOfMass","getClientState","getClientStateNumber","getCompatiblePylonMagazines","getConnectedUAV","getConnectedUAVUnit","getContainerMaxLoad","getCorpse","getCruiseControl","getCursorObjectParams","getCustomAimCoef","getCustomSoundController","getCustomSoundControllerCount","getDammage","getDebriefingText","getDescription","getDir","getDirVisual","getDiverState","getDLCAssetsUsage","getDLCAssetsUsageByName","getDLCs","getDLCUsageTime","getEditorCamera","getEditorMode","getEditorObjectScope","getElevationOffset","getEngineTargetRPMRTD","getEnv3DSoundController","getEnvSoundController","getEventHandlerInfo","getFatigue","getFieldManualStartPage","getForcedFlagTexture","getForcedSpeed","getFriend","getFSMVariable","getFuelCargo","getGraphValues","getGroupIcon","getGroupIconParams","getGroupIcons","getHideFrom","getHit","getHitIndex","getHitPointDamage","getItemCargo","getLighting","getLightingAt","getLoadedModsInfo","getMagazineCargo","getMarkerColor","getMarkerPos","getMarkerSize","getMarkerType","getMass","getMissionConfig","getMissionConfigValue","getMissionDLCs","getMissionLayerEntities","getMissionLayers","getMissionPath","getModelInfo","getMousePosition","getMusicPlayedTime","getNumber","getObjectArgument","getObjectChildren","getObjectDLC","getObjectFOV","getObjectID","getObjectMaterials","getObjectProxy","getObjectScale","getObjectTextures","getObjectType","getObjectViewDistance","getOpticsMode","getOrDefault","getOrDefaultCall","getOxygenRemaining","getPersonUsedDLCs","getPilotCameraDirection","getPilotCameraPosition","getPilotCameraRotation","getPilotCameraTarget","getPiPViewDistance","getPlateNumber","getPlayerChannel","getPlayerID","getPlayerScores","getPlayerUID","getPlayerVoNVolume","getPos","getPosASL","getPosASLVisual","getPosASLW","getPosATL","getPosATLVisual","getPosVisual","getPosWorld","getPosWorldVisual","getPylonMagazines","getRelDir","getRelPos","getRemoteSensorsDisabled","getRepairCargo","getResolution","getRoadInfo","getRotorBrakeRTD","getSensorTargets","getSensorThreats","getShadowDistance","getShotParents","getSlingLoad","getSoundController","getSoundControllerResult","getSpeed","getStamina","getStatValue","getSteamFriendsServers","getSubtitleOptions","getSuppression","getTerrainGrid","getTerrainHeight","getTerrainHeightASL","getTerrainInfo","getText","getTextRaw","getTextureInfo","getTextWidth","getTiParameters","getTotalDLCUsageTime","getTrimOffsetRTD","getTurretLimits","getTurretOpticsMode","getUnitFreefallInfo","getUnitLoadout","getUnitTrait","getUnloadInCombat","getUserInfo","getUserMFDText","getUserMFDValue","getVariable","getVehicleCargo","getVehicleTiPars","getWeaponCargo","getWeaponSway","getWingsOrientationRTD","getWingsPositionRTD","getWPPos","glanceAt","globalChat","globalRadio","goggles","goto","group","groupChat","groupFromNetId","groupIconSelectable","groupIconsVisible","groupID","groupOwner","groupRadio","groups","groupSelectedUnits","groupSelectUnit","gunner","gusts","halt","handgunItems","handgunMagazine","handgunWeapon","handsHit","hashValue","hasInterface","hasPilotCamera","hasWeapon","hcAllGroups","hcGroupParams","hcLeader","hcRemoveAllGroups","hcRemoveGroup","hcSelected","hcSelectGroup","hcSetGroup","hcShowBar","hcShownBar","headgear","hideBody","hideObject","hideObjectGlobal","hideSelection","hint","hintC","hintCadet","hintSilent","hmd","hostMission","htmlLoad","HUDMovementLevels","humidity","image","importAllGroups","importance","in","inArea","inAreaArray","incapacitatedState","inflame","inflamed","infoPanel","infoPanelComponentEnabled","infoPanelComponents","infoPanels","inGameUISetEventHandler","inheritsFrom","initAmbientLife","inPolygon","inputAction","inputController","inputMouse","inRangeOfArtillery","insert","insertEditorObject","intersect","is3DEN","is3DENMultiplayer","is3DENPreview","isAbleToBreathe","isActionMenuVisible","isAgent","isAimPrecisionEnabled","isAllowedCrewInImmobile","isArray","isAutoHoverOn","isAutonomous","isAutoStartUpEnabledRTD","isAutotest","isAutoTrimOnRTD","isAwake","isBleeding","isBurning","isClass","isCollisionLightOn","isCopilotEnabled","isDamageAllowed","isDedicated","isDLCAvailable","isEngineOn","isEqualRef","isEqualTo","isEqualType","isEqualTypeAll","isEqualTypeAny","isEqualTypeArray","isEqualTypeParams","isFilePatchingEnabled","isFinal","isFlashlightOn","isFlatEmpty","isForcedWalk","isFormationLeader","isGameFocused","isGamePaused","isGroupDeletedWhenEmpty","isHidden","isInRemainsCollector","isInstructorFigureEnabled","isIRLaserOn","isKeyActive","isKindOf","isLaserOn","isLightOn","isLocalized","isManualFire","isMarkedForCollection","isMissionProfileNamespaceLoaded","isMultiplayer","isMultiplayerSolo","isNil","isNotEqualRef","isNotEqualTo","isNull","isNumber","isObjectHidden","isObjectRTD","isOnRoad","isPiPEnabled","isPlayer","isRealTime","isRemoteExecuted","isRemoteExecutedJIP","isSaving","isSensorTargetConfirmed","isServer","isShowing3DIcons","isSimpleObject","isSprintAllowed","isStaminaEnabled","isSteamMission","isSteamOverlayEnabled","isStreamFriendlyUIEnabled","isStressDamageEnabled","isText","isTouchingGround","isTurnedOut","isTutHintsEnabled","isUAVConnectable","isUAVConnected","isUIContext","isUniformAllowed","isVehicleCargo","isVehicleRadarOn","isVehicleSensorEnabled","isWalking","isWeaponDeployed","isWeaponRested","itemCargo","items","itemsWithMagazines","join","joinAs","joinAsSilent","joinSilent","joinString","kbAddDatabase","kbAddDatabaseTargets","kbAddTopic","kbHasTopic","kbReact","kbRemoveTopic","kbTell","kbWasSaid","keyImage","keyName","keys","knowsAbout","land","landAt","landResult","language","laserTarget","lbAdd","lbClear","lbColor","lbColorRight","lbCurSel","lbData","lbDelete","lbIsSelected","lbPicture","lbPictureRight","lbSelection","lbSetColor","lbSetColorRight","lbSetCurSel","lbSetData","lbSetPicture","lbSetPictureColor","lbSetPictureColorDisabled","lbSetPictureColorSelected","lbSetPictureRight","lbSetPictureRightColor","lbSetPictureRightColorDisabled","lbSetPictureRightColorSelected","lbSetSelectColor","lbSetSelectColorRight","lbSetSelected","lbSetText","lbSetTextRight","lbSetTooltip","lbSetValue","lbSize","lbSort","lbSortBy","lbSortByValue","lbText","lbTextRight","lbTooltip","lbValue","leader","leaderboardDeInit","leaderboardGetRows","leaderboardInit","leaderboardRequestRowsFriends","leaderboardRequestRowsGlobal","leaderboardRequestRowsGlobalAroundUser","leaderboardsRequestUploadScore","leaderboardsRequestUploadScoreKeepBest","leaderboardState","leaveVehicle","libraryCredits","libraryDisclaimers","lifeState","lightAttachObject","lightDetachObject","lightIsOn","lightnings","limitSpeed","linearConversion","lineIntersects","lineIntersectsObjs","lineIntersectsSurfaces","lineIntersectsWith","linkItem","list","listObjects","listRemoteTargets","listVehicleSensors","ln","lnbAddArray","lnbAddColumn","lnbAddRow","lnbClear","lnbColor","lnbColorRight","lnbCurSelRow","lnbData","lnbDeleteColumn","lnbDeleteRow","lnbGetColumnsPosition","lnbPicture","lnbPictureRight","lnbSetColor","lnbSetColorRight","lnbSetColumnsPos","lnbSetCurSelRow","lnbSetData","lnbSetPicture","lnbSetPictureColor","lnbSetPictureColorRight","lnbSetPictureColorSelected","lnbSetPictureColorSelectedRight","lnbSetPictureRight","lnbSetText","lnbSetTextRight","lnbSetTooltip","lnbSetValue","lnbSize","lnbSort","lnbSortBy","lnbSortByValue","lnbText","lnbTextRight","lnbValue","load","loadAbs","loadBackpack","loadConfig","loadFile","loadGame","loadIdentity","loadMagazine","loadOverlay","loadStatus","loadUniform","loadVest","localize","localNamespace","locationPosition","lock","lockCameraTo","lockCargo","lockDriver","locked","lockedCameraTo","lockedCargo","lockedDriver","lockedInventory","lockedTurret","lockIdentity","lockInventory","lockTurret","lockWp","log","logEntities","logNetwork","logNetworkTerminate","lookAt","lookAtPos","magazineCargo","magazines","magazinesAllTurrets","magazinesAmmo","magazinesAmmoCargo","magazinesAmmoFull","magazinesDetail","magazinesDetailBackpack","magazinesDetailUniform","magazinesDetailVest","magazinesTurret","magazineTurretAmmo","mapAnimAdd","mapAnimClear","mapAnimCommit","mapAnimDone","mapCenterOnCamera","mapGridPosition","markAsFinishedOnSteam","markerAlpha","markerBrush","markerChannel","markerColor","markerDir","markerPolyline","markerPos","markerShadow","markerShape","markerSize","markerText","markerType","matrixMultiply","matrixTranspose","max","maxLoad","members","menuAction","menuAdd","menuChecked","menuClear","menuCollapse","menuData","menuDelete","menuEnable","menuEnabled","menuExpand","menuHover","menuPicture","menuSetAction","menuSetCheck","menuSetData","menuSetPicture","menuSetShortcut","menuSetText","menuSetURL","menuSetValue","menuShortcut","menuShortcutText","menuSize","menuSort","menuText","menuURL","menuValue","merge","min","mineActive","mineDetectedBy","missileTarget","missileTargetPos","missionConfigFile","missionDifficulty","missionEnd","missionName","missionNameSource","missionNamespace","missionProfileNamespace","missionStart","missionVersion","mod","modelToWorld","modelToWorldVisual","modelToWorldVisualWorld","modelToWorldWorld","modParams","moonIntensity","moonPhase","morale","move","move3DENCamera","moveInAny","moveInCargo","moveInCommander","moveInDriver","moveInGunner","moveInTurret","moveObjectToEnd","moveOut","moveTime","moveTo","moveToCompleted","moveToFailed","musicVolume","name","namedProperties","nameSound","nearEntities","nearestBuilding","nearestLocation","nearestLocations","nearestLocationWithDubbing","nearestMines","nearestObject","nearestObjects","nearestTerrainObjects","nearObjects","nearObjectsReady","nearRoads","nearSupplies","nearTargets","needReload","needService","netId","netObjNull","newOverlay","nextMenuItemIndex","nextWeatherChange","nMenuItems","not","numberOfEnginesRTD","numberToDate","objectCurators","objectFromNetId","objectParent","objStatus","onBriefingGroup","onBriefingNotes","onBriefingPlan","onBriefingTeamSwitch","onCommandModeChanged","onDoubleClick","onEachFrame","onGroupIconClick","onGroupIconOverEnter","onGroupIconOverLeave","onHCGroupSelectionChanged","onMapSingleClick","onPlayerConnected","onPlayerDisconnected","onPreloadFinished","onPreloadStarted","onShowNewObject","onTeamSwitch","openCuratorInterface","openDLCPage","openGPS","openMap","openSteamApp","openYoutubeVideo","or","orderGetIn","overcast","overcastForecast","owner","param","params","parseNumber","parseSimpleArray","parseText","parsingNamespace","particlesQuality","periscopeElevation","pickWeaponPool","pitch","pixelGrid","pixelGridBase","pixelGridNoUIScale","pixelH","pixelW","playableSlotsNumber","playableUnits","playAction","playActionNow","player","playerRespawnTime","playerSide","playersNumber","playGesture","playMission","playMove","playMoveNow","playMusic","playScriptedMission","playSound","playSound3D","playSoundUI","pose","position","positionCameraToWorld","posScreenToWorld","posWorldToScreen","ppEffectAdjust","ppEffectCommit","ppEffectCommitted","ppEffectCreate","ppEffectDestroy","ppEffectEnable","ppEffectEnabled","ppEffectForceInNVG","precision","preloadCamera","preloadObject","preloadSound","preloadTitleObj","preloadTitleRsc","preprocessFile","preprocessFileLineNumbers","primaryWeapon","primaryWeaponItems","primaryWeaponMagazine","priority","processDiaryLink","productVersion","profileName","profileNamespace","profileNameSteam","progressLoadingScreen","progressPosition","progressSetPosition","publicVariable","publicVariableClient","publicVariableServer","pushBack","pushBackUnique","putWeaponPool","queryItemsPool","queryMagazinePool","queryWeaponPool","rad","radioChannelAdd","radioChannelCreate","radioChannelInfo","radioChannelRemove","radioChannelSetCallSign","radioChannelSetLabel","radioEnabled","radioVolume","rain","rainbow","rainParams","random","rank","rankId","rating","rectangular","regexFind","regexMatch","regexReplace","registeredTasks","registerTask","reload","reloadEnabled","remoteControl","remoteExec","remoteExecCall","remoteExecutedOwner","remove3DENConnection","remove3DENEventHandler","remove3DENLayer","removeAction","removeAll3DENEventHandlers","removeAllActions","removeAllAssignedItems","removeAllBinocularItems","removeAllContainers","removeAllCuratorAddons","removeAllCuratorCameraAreas","removeAllCuratorEditingAreas","removeAllEventHandlers","removeAllHandgunItems","removeAllItems","removeAllItemsWithMagazines","removeAllMissionEventHandlers","removeAllMPEventHandlers","removeAllMusicEventHandlers","removeAllOwnedMines","removeAllPrimaryWeaponItems","removeAllSecondaryWeaponItems","removeAllUserActionEventHandlers","removeAllWeapons","removeBackpack","removeBackpackGlobal","removeBinocularItem","removeCuratorAddons","removeCuratorCameraArea","removeCuratorEditableObjects","removeCuratorEditingArea","removeDiaryRecord","removeDiarySubject","removeDrawIcon","removeDrawLinks","removeEventHandler","removeFromRemainsCollector","removeGoggles","removeGroupIcon","removeHandgunItem","removeHeadgear","removeItem","removeItemFromBackpack","removeItemFromUniform","removeItemFromVest","removeItems","removeMagazine","removeMagazineGlobal","removeMagazines","removeMagazinesTurret","removeMagazineTurret","removeMenuItem","removeMissionEventHandler","removeMPEventHandler","removeMusicEventHandler","removeOwnedMine","removePrimaryWeaponItem","removeSecondaryWeaponItem","removeSimpleTask","removeSwitchableUnit","removeTeamMember","removeUniform","removeUserActionEventHandler","removeVest","removeWeapon","removeWeaponAttachmentCargo","removeWeaponCargo","removeWeaponGlobal","removeWeaponTurret","reportRemoteTarget","requiredVersion","resetCamShake","resetSubgroupDirection","resize","resources","respawnVehicle","restartEditorCamera","reveal","revealMine","reverse","reversedMouseY","roadAt","roadsConnectedTo","roleDescription","ropeAttachedObjects","ropeAttachedTo","ropeAttachEnabled","ropeAttachTo","ropeCreate","ropeCut","ropeDestroy","ropeDetach","ropeEndPosition","ropeLength","ropes","ropesAttachedTo","ropeSegments","ropeUnwind","ropeUnwound","rotorsForcesRTD","rotorsRpmRTD","round","runInitScript","safeZoneH","safeZoneW","safeZoneWAbs","safeZoneX","safeZoneXAbs","safeZoneY","save3DENInventory","saveGame","saveIdentity","saveJoysticks","saveMissionProfileNamespace","saveOverlay","saveProfileNamespace","saveStatus","saveVar","savingEnabled","say","say2D","say3D","scopeName","score","scoreSide","screenshot","screenToWorld","scriptDone","scriptName","scudState","secondaryWeapon","secondaryWeaponItems","secondaryWeaponMagazine","select","selectBestPlaces","selectDiarySubject","selectedEditorObjects","selectEditorObject","selectionNames","selectionPosition","selectionVectorDirAndUp","selectLeader","selectMax","selectMin","selectNoPlayer","selectPlayer","selectRandom","selectRandomWeighted","selectWeapon","selectWeaponTurret","sendAUMessage","sendSimpleCommand","sendTask","sendTaskResult","sendUDPMessage","sentencesEnabled","serverCommand","serverCommandAvailable","serverCommandExecutable","serverName","serverNamespace","serverTime","set","set3DENAttribute","set3DENAttributes","set3DENGrid","set3DENIconsVisible","set3DENLayer","set3DENLinesVisible","set3DENLogicType","set3DENMissionAttribute","set3DENMissionAttributes","set3DENModelsVisible","set3DENObjectType","set3DENSelected","setAccTime","setActualCollectiveRTD","setAirplaneThrottle","setAirportSide","setAmmo","setAmmoCargo","setAmmoOnPylon","setAnimSpeedCoef","setAperture","setApertureNew","setArmoryPoints","setAttributes","setAutonomous","setBehaviour","setBehaviourStrong","setBleedingRemaining","setBrakesRTD","setCameraInterest","setCamShakeDefParams","setCamShakeParams","setCamUseTi","setCaptive","setCenterOfMass","setCollisionLight","setCombatBehaviour","setCombatMode","setCompassOscillation","setConvoySeparation","setCruiseControl","setCuratorCameraAreaCeiling","setCuratorCoef","setCuratorEditingAreaType","setCuratorWaypointCost","setCurrentChannel","setCurrentTask","setCurrentWaypoint","setCustomAimCoef","SetCustomMissionData","setCustomSoundController","setCustomWeightRTD","setDamage","setDammage","setDate","setDebriefingText","setDefaultCamera","setDestination","setDetailMapBlendPars","setDiaryRecordText","setDiarySubjectPicture","setDir","setDirection","setDrawIcon","setDriveOnPath","setDropInterval","setDynamicSimulationDistance","setDynamicSimulationDistanceCoef","setEditorMode","setEditorObjectScope","setEffectCondition","setEffectiveCommander","setEngineRpmRTD","setFace","setFaceanimation","setFatigue","setFeatureType","setFlagAnimationPhase","setFlagOwner","setFlagSide","setFlagTexture","setFog","setForceGeneratorRTD","setFormation","setFormationTask","setFormDir","setFriend","setFromEditor","setFSMVariable","setFuel","setFuelCargo","setGroupIcon","setGroupIconParams","setGroupIconsSelectable","setGroupIconsVisible","setGroupid","setGroupIdGlobal","setGroupOwner","setGusts","setHideBehind","setHit","setHitIndex","setHitPointDamage","setHorizonParallaxCoef","setHUDMovementLevels","setHumidity","setIdentity","setImportance","setInfoPanel","setLeader","setLightAmbient","setLightAttenuation","setLightBrightness","setLightColor","setLightConePars","setLightDayLight","setLightFlareMaxDistance","setLightFlareSize","setLightIntensity","setLightIR","setLightnings","setLightUseFlare","setLightVolumeShape","setLocalWindParams","setMagazineTurretAmmo","setMarkerAlpha","setMarkerAlphaLocal","setMarkerBrush","setMarkerBrushLocal","setMarkerColor","setMarkerColorLocal","setMarkerDir","setMarkerDirLocal","setMarkerPolyline","setMarkerPolylineLocal","setMarkerPos","setMarkerPosLocal","setMarkerShadow","setMarkerShadowLocal","setMarkerShape","setMarkerShapeLocal","setMarkerSize","setMarkerSizeLocal","setMarkerText","setMarkerTextLocal","setMarkerType","setMarkerTypeLocal","setMass","setMaxLoad","setMimic","setMissileTarget","setMissileTargetPos","setMousePosition","setMusicEffect","setMusicEventHandler","setName","setNameSound","setObjectArguments","setObjectMaterial","setObjectMaterialGlobal","setObjectProxy","setObjectScale","setObjectTexture","setObjectTextureGlobal","setObjectViewDistance","setOpticsMode","setOvercast","setOwner","setOxygenRemaining","setParticleCircle","setParticleClass","setParticleFire","setParticleParams","setParticleRandom","setPilotCameraDirection","setPilotCameraRotation","setPilotCameraTarget","setPilotLight","setPiPEffect","setPiPViewDistance","setPitch","setPlateNumber","setPlayable","setPlayerRespawnTime","setPlayerVoNVolume","setPos","setPosASL","setPosASL2","setPosASLW","setPosATL","setPosition","setPosWorld","setPylonLoadout","setPylonsPriority","setRadioMsg","setRain","setRainbow","setRandomLip","setRank","setRectangular","setRepairCargo","setRotorBrakeRTD","setShadowDistance","setShotParents","setSide","setSimpleTaskAlwaysVisible","setSimpleTaskCustomData","setSimpleTaskDescription","setSimpleTaskDestination","setSimpleTaskTarget","setSimpleTaskType","setSimulWeatherLayers","setSize","setSkill","setSlingLoad","setSoundEffect","setSpeaker","setSpeech","setSpeedMode","setStamina","setStaminaScheme","setStatValue","setSuppression","setSystemOfUnits","setTargetAge","setTaskMarkerOffset","setTaskResult","setTaskState","setTerrainGrid","setTerrainHeight","setText","setTimeMultiplier","setTiParameter","setTitleEffect","setTowParent","setTrafficDensity","setTrafficDistance","setTrafficGap","setTrafficSpeed","setTriggerActivation","setTriggerArea","setTriggerInterval","setTriggerStatements","setTriggerText","setTriggerTimeout","setTriggerType","setTurretLimits","setTurretOpticsMode","setType","setUnconscious","setUnitAbility","setUnitCombatMode","setUnitFreefallHeight","setUnitLoadout","setUnitPos","setUnitPosWeak","setUnitRank","setUnitRecoilCoefficient","setUnitTrait","setUnloadInCombat","setUserActionText","setUserMFDText","setUserMFDValue","setVariable","setVectorDir","setVectorDirAndUp","setVectorUp","setVehicleAmmo","setVehicleAmmoDef","setVehicleArmor","setVehicleCargo","setVehicleId","setVehicleLock","setVehiclePosition","setVehicleRadar","setVehicleReceiveRemoteTargets","setVehicleReportOwnPosition","setVehicleReportRemoteTargets","setVehicleTiPars","setVehicleVarName","setVelocity","setVelocityModelSpace","setVelocityTransformation","setViewDistance","setVisibleIfTreeCollapsed","setWantedRPMRTD","setWaves","setWaypointBehaviour","setWaypointCombatMode","setWaypointCompletionRadius","setWaypointDescription","setWaypointForceBehaviour","setWaypointFormation","setWaypointHousePosition","setWaypointLoiterAltitude","setWaypointLoiterRadius","setWaypointLoiterType","setWaypointName","setWaypointPosition","setWaypointScript","setWaypointSpeed","setWaypointStatements","setWaypointTimeout","setWaypointType","setWaypointVisible","setWeaponReloadingTime","setWeaponZeroing","setWind","setWindDir","setWindForce","setWindStr","setWingForceScaleRTD","setWPPos","show3DIcons","showChat","showCinemaBorder","showCommandingMenu","showCompass","showCuratorCompass","showGps","showHUD","showLegend","showMap","shownArtilleryComputer","shownChat","shownCompass","shownCuratorCompass","showNewEditorObject","shownGps","shownHUD","shownMap","shownPad","shownRadio","shownScoretable","shownSubtitles","shownUAVFeed","shownWarrant","shownWatch","showPad","showRadio","showScoretable","showSubtitles","showUAVFeed","showWarrant","showWatch","showWaypoint","showWaypoints","side","sideChat","sideRadio","simpleTasks","simulationEnabled","simulCloudDensity","simulCloudOcclusion","simulInClouds","simulWeatherSync","sin","size","sizeOf","skill","skillFinal","skipTime","sleep","sliderPosition","sliderRange","sliderSetPosition","sliderSetRange","sliderSetSpeed","sliderSpeed","slingLoadAssistantShown","soldierMagazines","someAmmo","sort","soundVolume","spawn","speaker","speechVolume","speed","speedMode","splitString","sqrt","squadParams","stance","startLoadingScreen","stop","stopEngineRTD","stopped","str","sunOrMoon","supportInfo","suppressFor","surfaceIsWater","surfaceNormal","surfaceTexture","surfaceType","swimInDepth","switchableUnits","switchAction","switchCamera","switchGesture","switchLight","switchMove","synchronizedObjects","synchronizedTriggers","synchronizedWaypoints","synchronizeObjectsAdd","synchronizeObjectsRemove","synchronizeTrigger","synchronizeWaypoint","systemChat","systemOfUnits","systemTime","systemTimeUTC","tan","targetKnowledge","targets","targetsAggregate","targetsQuery","taskAlwaysVisible","taskChildren","taskCompleted","taskCustomData","taskDescription","taskDestination","taskHint","taskMarkerOffset","taskName","taskParent","taskResult","taskState","taskType","teamMember","teamName","teams","teamSwitch","teamSwitchEnabled","teamType","terminate","terrainIntersect","terrainIntersectASL","terrainIntersectAtASL","text","textLog","textLogFormat","tg","time","timeMultiplier","titleCut","titleFadeOut","titleObj","titleRsc","titleText","toArray","toFixed","toLower","toLowerANSI","toString","toUpper","toUpperANSI","triggerActivated","triggerActivation","triggerAmmo","triggerArea","triggerAttachedVehicle","triggerAttachObject","triggerAttachVehicle","triggerDynamicSimulation","triggerInterval","triggerStatements","triggerText","triggerTimeout","triggerTimeoutCurrent","triggerType","trim","turretLocal","turretOwner","turretUnit","tvAdd","tvClear","tvCollapse","tvCollapseAll","tvCount","tvCurSel","tvData","tvDelete","tvExpand","tvExpandAll","tvIsSelected","tvPicture","tvPictureRight","tvSelection","tvSetColor","tvSetCurSel","tvSetData","tvSetPicture","tvSetPictureColor","tvSetPictureColorDisabled","tvSetPictureColorSelected","tvSetPictureRight","tvSetPictureRightColor","tvSetPictureRightColorDisabled","tvSetPictureRightColorSelected","tvSetSelectColor","tvSetSelected","tvSetText","tvSetTooltip","tvSetValue","tvSort","tvSortAll","tvSortByValue","tvSortByValueAll","tvText","tvTooltip","tvValue","type","typeName","typeOf","UAVControl","uiNamespace","uiSleep","unassignCurator","unassignItem","unassignTeam","unassignVehicle","underwater","uniform","uniformContainer","uniformItems","uniformMagazines","uniqueUnitItems","unitAddons","unitAimPosition","unitAimPositionVisual","unitBackpack","unitCombatMode","unitIsUAV","unitPos","unitReady","unitRecoilCoefficient","units","unitsBelowHeight","unitTurret","unlinkItem","unlockAchievement","unregisterTask","updateDrawIcon","updateMenuItem","updateObjectTree","useAIOperMapObstructionTest","useAISteeringComponent","useAudioTimeForMoves","userInputDisabled","values","vectorAdd","vectorCos","vectorCrossProduct","vectorDiff","vectorDir","vectorDirVisual","vectorDistance","vectorDistanceSqr","vectorDotProduct","vectorFromTo","vectorLinearConversion","vectorMagnitude","vectorMagnitudeSqr","vectorModelToWorld","vectorModelToWorldVisual","vectorMultiply","vectorNormalized","vectorUp","vectorUpVisual","vectorWorldToModel","vectorWorldToModelVisual","vehicle","vehicleCargoEnabled","vehicleChat","vehicleMoveInfo","vehicleRadio","vehicleReceiveRemoteTargets","vehicleReportOwnPosition","vehicleReportRemoteTargets","vehicles","vehicleVarName","velocity","velocityModelSpace","verifySignature","vest","vestContainer","vestItems","vestMagazines","viewDistance","visibleCompass","visibleGps","visibleMap","visiblePosition","visiblePositionASL","visibleScoretable","visibleWatch","waves","waypointAttachedObject","waypointAttachedVehicle","waypointAttachObject","waypointAttachVehicle","waypointBehaviour","waypointCombatMode","waypointCompletionRadius","waypointDescription","waypointForceBehaviour","waypointFormation","waypointHousePosition","waypointLoiterAltitude","waypointLoiterRadius","waypointLoiterType","waypointName","waypointPosition","waypoints","waypointScript","waypointsEnabledUAV","waypointShow","waypointSpeed","waypointStatements","waypointTimeout","waypointTimeoutCurrent","waypointType","waypointVisible","weaponAccessories","weaponAccessoriesCargo","weaponCargo","weaponDirection","weaponInertia","weaponLowered","weaponReloadingTime","weapons","weaponsInfo","weaponsItems","weaponsItemsCargo","weaponState","weaponsTurret","weightRTD","WFSideText","wind","windDir","windRTD","windStr","wingsForcesRTD","worldName","worldSize","worldToModel","worldToModelVisual","worldToScreen"],ld={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:"define undef ifdef ifndef else endif include if",contains:[{begin:/\\\n/,relevance:0},qa.inherit(td,{className:"string"}),{begin:/<[^\n>]*>/,end:/$/,illegal:"\\n"},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]};return{name:"SQF",case_insensitive:!0,keywords:{keyword:rd,built_in:od,literal:sd},contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.NUMBER_MODE,Ja,ed,td,ld],illegal:[/\$[^a-fA-F0-9]/,/\w\$/,/\?/,/@/,/ \| /,/[a-zA-Z_]\./,/\:\=/,/\[\:/]}}return sqf_1=Ra,sqf_1}var sql_1,hasRequiredSql;function requireSql(){if(hasRequiredSql)return sql_1;hasRequiredSql=1;function Ra(qa){const Ja=qa.regex,ed=qa.COMMENT("--","$"),td={className:"string",variants:[{begin:/'/,end:/'/,contains:[{begin:/''/}]}]},rd={begin:/"/,end:/"/,contains:[{begin:/""/}]},sd=["true","false","unknown"],od=["double precision","large object","with timezone","without timezone"],ld=["bigint","binary","blob","boolean","char","character","clob","date","dec","decfloat","decimal","float","int","integer","interval","nchar","nclob","national","numeric","real","row","smallint","time","timestamp","varchar","varying","varbinary"],cd=["add","asc","collation","desc","final","first","last","view"],ud=["abs","acos","all","allocate","alter","and","any","are","array","array_agg","array_max_cardinality","as","asensitive","asin","asymmetric","at","atan","atomic","authorization","avg","begin","begin_frame","begin_partition","between","bigint","binary","blob","boolean","both","by","call","called","cardinality","cascaded","case","cast","ceil","ceiling","char","char_length","character","character_length","check","classifier","clob","close","coalesce","collate","collect","column","commit","condition","connect","constraint","contains","convert","copy","corr","corresponding","cos","cosh","count","covar_pop","covar_samp","create","cross","cube","cume_dist","current","current_catalog","current_date","current_default_transform_group","current_path","current_role","current_row","current_schema","current_time","current_timestamp","current_path","current_role","current_transform_group_for_type","current_user","cursor","cycle","date","day","deallocate","dec","decimal","decfloat","declare","default","define","delete","dense_rank","deref","describe","deterministic","disconnect","distinct","double","drop","dynamic","each","element","else","empty","end","end_frame","end_partition","end-exec","equals","escape","every","except","exec","execute","exists","exp","external","extract","false","fetch","filter","first_value","float","floor","for","foreign","frame_row","free","from","full","function","fusion","get","global","grant","group","grouping","groups","having","hold","hour","identity","in","indicator","initial","inner","inout","insensitive","insert","int","integer","intersect","intersection","interval","into","is","join","json_array","json_arrayagg","json_exists","json_object","json_objectagg","json_query","json_table","json_table_primitive","json_value","lag","language","large","last_value","lateral","lead","leading","left","like","like_regex","listagg","ln","local","localtime","localtimestamp","log","log10","lower","match","match_number","match_recognize","matches","max","member","merge","method","min","minute","mod","modifies","module","month","multiset","national","natural","nchar","nclob","new","no","none","normalize","not","nth_value","ntile","null","nullif","numeric","octet_length","occurrences_regex","of","offset","old","omit","on","one","only","open","or","order","out","outer","over","overlaps","overlay","parameter","partition","pattern","per","percent","percent_rank","percentile_cont","percentile_disc","period","portion","position","position_regex","power","precedes","precision","prepare","primary","procedure","ptf","range","rank","reads","real","recursive","ref","references","referencing","regr_avgx","regr_avgy","regr_count","regr_intercept","regr_r2","regr_slope","regr_sxx","regr_sxy","regr_syy","release","result","return","returns","revoke","right","rollback","rollup","row","row_number","rows","running","savepoint","scope","scroll","search","second","seek","select","sensitive","session_user","set","show","similar","sin","sinh","skip","smallint","some","specific","specifictype","sql","sqlexception","sqlstate","sqlwarning","sqrt","start","static","stddev_pop","stddev_samp","submultiset","subset","substring","substring_regex","succeeds","sum","symmetric","system","system_time","system_user","table","tablesample","tan","tanh","then","time","timestamp","timezone_hour","timezone_minute","to","trailing","translate","translate_regex","translation","treat","trigger","trim","trim_array","true","truncate","uescape","union","unique","unknown","unnest","update","upper","user","using","value","values","value_of","var_pop","var_samp","varbinary","varchar","varying","versioning","when","whenever","where","width_bucket","window","with","within","without","year"],_d=["abs","acos","array_agg","asin","atan","avg","cast","ceil","ceiling","coalesce","corr","cos","cosh","count","covar_pop","covar_samp","cume_dist","dense_rank","deref","element","exp","extract","first_value","floor","json_array","json_arrayagg","json_exists","json_object","json_objectagg","json_query","json_table","json_table_primitive","json_value","lag","last_value","lead","listagg","ln","log","log10","lower","max","min","mod","nth_value","ntile","nullif","percent_rank","percentile_cont","percentile_disc","position","position_regex","power","rank","regr_avgx","regr_avgy","regr_count","regr_intercept","regr_r2","regr_slope","regr_sxx","regr_sxy","regr_syy","row_number","sin","sinh","sqrt","stddev_pop","stddev_samp","substring","substring_regex","sum","tan","tanh","translate","translate_regex","treat","trim","trim_array","unnest","upper","value_of","var_pop","var_samp","width_bucket"],yd=["current_catalog","current_date","current_default_transform_group","current_path","current_role","current_schema","current_transform_group_for_type","current_user","session_user","system_time","system_user","current_time","localtime","current_timestamp","localtimestamp"],gd=["create table","insert into","primary key","foreign key","not null","alter table","add constraint","grouping sets","on overflow","character set","respect nulls","ignore nulls","nulls first","nulls last","depth first","breadth first"],Ed=_d,Td=[...ud,...cd].filter(Md=>!_d.includes(Md)),kd={className:"variable",begin:/@[a-z0-9][a-z0-9_]*/},Rd={className:"operator",begin:/[-+*/=%^~]|&&?|\|\|?|!=?|<(?:=>?|<|>)?|>[>=]?/,relevance:0},Nd={begin:Ja.concat(/\b/,Ja.either(...Ed),/\s*\(/),relevance:0,keywords:{built_in:Ed}};function Id(Md,{exceptions:Ld,when:Pd}={}){const qd=Pd;return Ld=Ld||[],Md.map(Yd=>Yd.match(/\|\d+$/)||Ld.includes(Yd)?Yd:qd(Yd)?`${Yd}|0`:Yd)}return{name:"SQL",case_insensitive:!0,illegal:/[{}]|<\//,keywords:{$pattern:/\b[\w\.]+/,keyword:Id(Td,{when:Md=>Md.length<3}),literal:sd,type:ld,built_in:yd},contains:[{begin:Ja.either(...gd),relevance:0,keywords:{$pattern:/[\w\.]+/,keyword:Td.concat(gd),literal:sd,type:ld}},{className:"type",begin:Ja.either(...od)},Nd,kd,td,rd,qa.C_NUMBER_MODE,qa.C_BLOCK_COMMENT_MODE,ed,Rd]}}return sql_1=Ra,sql_1}var stan_1,hasRequiredStan;function requireStan(){if(hasRequiredStan)return stan_1;hasRequiredStan=1;function Ra(qa){const Ja=qa.regex,ed=["functions","model","data","parameters","quantities","transformed","generated"],td=["for","in","if","else","while","break","continue","return"],rd=["array","tuple","complex","int","real","vector","complex_vector","ordered","positive_ordered","simplex","unit_vector","row_vector","complex_row_vector","matrix","complex_matrix","cholesky_factor_corr|10","cholesky_factor_cov|10","corr_matrix|10","cov_matrix|10","void"],sd=["abs","acos","acosh","add_diag","algebra_solver","algebra_solver_newton","append_array","append_col","append_row","asin","asinh","atan","atan2","atanh","bessel_first_kind","bessel_second_kind","binary_log_loss","block","cbrt","ceil","chol2inv","cholesky_decompose","choose","col","cols","columns_dot_product","columns_dot_self","complex_schur_decompose","complex_schur_decompose_t","complex_schur_decompose_u","conj","cos","cosh","cov_exp_quad","crossprod","csr_extract","csr_extract_u","csr_extract_v","csr_extract_w","csr_matrix_times_vector","csr_to_dense_matrix","cumulative_sum","dae","dae_tol","determinant","diag_matrix","diagonal","diag_post_multiply","diag_pre_multiply","digamma","dims","distance","dot_product","dot_self","eigendecompose","eigendecompose_sym","eigenvalues","eigenvalues_sym","eigenvectors","eigenvectors_sym","erf","erfc","exp","exp2","expm1","falling_factorial","fdim","fft","fft2","floor","fma","fmax","fmin","fmod","gamma_p","gamma_q","generalized_inverse","get_imag","get_real","head","hmm_hidden_state_prob","hmm_marginal","hypot","identity_matrix","inc_beta","integrate_1d","integrate_ode","integrate_ode_adams","integrate_ode_bdf","integrate_ode_rk45","int_step","inv","inv_cloglog","inv_erfc","inverse","inverse_spd","inv_fft","inv_fft2","inv_inc_beta","inv_logit","inv_Phi","inv_sqrt","inv_square","is_inf","is_nan","lambert_w0","lambert_wm1","lbeta","lchoose","ldexp","lgamma","linspaced_array","linspaced_int_array","linspaced_row_vector","linspaced_vector","lmgamma","lmultiply","log","log1m","log1m_exp","log1m_inv_logit","log1p","log1p_exp","log_determinant","log_diff_exp","log_falling_factorial","log_inv_logit","log_inv_logit_diff","logit","log_mix","log_modified_bessel_first_kind","log_rising_factorial","log_softmax","log_sum_exp","machine_precision","map_rect","matrix_exp","matrix_exp_multiply","matrix_power","max","mdivide_left_spd","mdivide_left_tri_low","mdivide_right_spd","mdivide_right_tri_low","mean","min","modified_bessel_first_kind","modified_bessel_second_kind","multiply_lower_tri_self_transpose","negative_infinity","norm","norm1","norm2","not_a_number","num_elements","ode_adams","ode_adams_tol","ode_adjoint_tol_ctl","ode_bdf","ode_bdf_tol","ode_ckrk","ode_ckrk_tol","ode_rk45","ode_rk45_tol","one_hot_array","one_hot_int_array","one_hot_row_vector","one_hot_vector","ones_array","ones_int_array","ones_row_vector","ones_vector","owens_t","Phi","Phi_approx","polar","positive_infinity","pow","print","prod","proj","qr","qr_Q","qr_R","qr_thin","qr_thin_Q","qr_thin_R","quad_form","quad_form_diag","quad_form_sym","quantile","rank","reduce_sum","reject","rep_array","rep_matrix","rep_row_vector","rep_vector","reverse","rising_factorial","round","row","rows","rows_dot_product","rows_dot_self","scale_matrix_exp_multiply","sd","segment","sin","singular_values","sinh","size","softmax","sort_asc","sort_desc","sort_indices_asc","sort_indices_desc","sqrt","square","squared_distance","step","sub_col","sub_row","sum","svd","svd_U","svd_V","symmetrize_from_lower_tri","tail","tan","tanh","target","tcrossprod","tgamma","to_array_1d","to_array_2d","to_complex","to_int","to_matrix","to_row_vector","to_vector","trace","trace_gen_quad_form","trace_quad_form","trigamma","trunc","uniform_simplex","variance","zeros_array","zeros_int_array","zeros_row_vector"],od=["bernoulli","bernoulli_logit","bernoulli_logit_glm","beta","beta_binomial","beta_proportion","binomial","binomial_logit","categorical","categorical_logit","categorical_logit_glm","cauchy","chi_square","dirichlet","discrete_range","double_exponential","exp_mod_normal","exponential","frechet","gamma","gaussian_dlm_obs","gumbel","hmm_latent","hypergeometric","inv_chi_square","inv_gamma","inv_wishart","inv_wishart_cholesky","lkj_corr","lkj_corr_cholesky","logistic","loglogistic","lognormal","multi_gp","multi_gp_cholesky","multinomial","multinomial_logit","multi_normal","multi_normal_cholesky","multi_normal_prec","multi_student_cholesky_t","multi_student_t","multi_student_t_cholesky","neg_binomial","neg_binomial_2","neg_binomial_2_log","neg_binomial_2_log_glm","normal","normal_id_glm","ordered_logistic","ordered_logistic_glm","ordered_probit","pareto","pareto_type_2","poisson","poisson_log","poisson_log_glm","rayleigh","scaled_inv_chi_square","skew_double_exponential","skew_normal","std_normal","std_normal_log","student_t","uniform","von_mises","weibull","wiener","wishart","wishart_cholesky"],ld=qa.COMMENT(/\/\*/,/\*\//,{relevance:0,contains:[{scope:"doctag",match:/@(return|param)/}]}),cd={scope:"meta",begin:/#include\b/,end:/$/,contains:[{match:/[a-z][a-z-._]+/,scope:"string"},qa.C_LINE_COMMENT_MODE]},ud=["lower","upper","offset","multiplier"];return{name:"Stan",aliases:["stanfuncs"],keywords:{$pattern:qa.IDENT_RE,title:ed,type:rd,keyword:td,built_in:sd},contains:[qa.C_LINE_COMMENT_MODE,cd,qa.HASH_COMMENT_MODE,ld,{scope:"built_in",match:/\s(pi|e|sqrt2|log2|log10)(?=\()/,relevance:0},{match:Ja.concat(/[<,]\s*/,Ja.either(...ud),/\s*=/),keywords:ud},{scope:"keyword",match:/\btarget(?=\s*\+=)/},{match:[/~\s*/,Ja.either(...od),/(?:\(\))/,/\s*T(?=\s*\[)/],scope:{2:"built_in",4:"keyword"}},{scope:"built_in",keywords:od,begin:Ja.concat(/\w*/,Ja.either(...od),/(_lpdf|_lupdf|_lpmf|_cdf|_lcdf|_lccdf|_qf)(?=\s*[\(.*\)])/)},{begin:[/~/,/\s*/,Ja.concat(Ja.either(...od),/(?=\s*[\(.*\)])/)],scope:{3:"built_in"}},{begin:[/~/,/\s*\w+(?=\s*[\(.*\)])/,"(?!.*/\b("+Ja.either(...od)+")\b)"],scope:{2:"title.function"}},{scope:"title.function",begin:/\w*(_lpdf|_lupdf|_lpmf|_cdf|_lcdf|_lccdf|_qf)(?=\s*[\(.*\)])/},{scope:"number",match:Ja.concat(/(?:\b\d+(?:_\d+)*(?:\.(?:\d+(?:_\d+)*)?)?|\B\.\d+(?:_\d+)*)/,/(?:[eE][+-]?\d+(?:_\d+)*)?i?(?!\w)/),relevance:0},{scope:"string",begin:/"/,end:/"/}]}}return stan_1=Ra,stan_1}var stata_1,hasRequiredStata;function requireStata(){if(hasRequiredStata)return stata_1;hasRequiredStata=1;function Ra(qa){return{name:"Stata",aliases:["do","ado"],case_insensitive:!0,keywords:"if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5",contains:[{className:"symbol",begin:/`[a-zA-Z0-9_]+'/},{className:"variable",begin:/\$\{?[a-zA-Z0-9_]+\}?/,relevance:0},{className:"string",variants:[{begin:`\`"[^\r
|
||
]*?"'`},{begin:`"[^\r
|
||
"]*"`}]},{className:"built_in",variants:[{begin:"\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\()"}]},qa.COMMENT("^[ ]*\\*.*$",!1),qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE]}}return stata_1=Ra,stata_1}var step21_1,hasRequiredStep21;function requireStep21(){if(hasRequiredStep21)return step21_1;hasRequiredStep21=1;function Ra(qa){return{name:"STEP Part 21",aliases:["p21","step","stp"],case_insensitive:!0,keywords:{$pattern:"[A-Z_][A-Z0-9_.]*",keyword:["HEADER","ENDSEC","DATA"]},contains:[{className:"meta",begin:"ISO-10303-21;",relevance:10},{className:"meta",begin:"END-ISO-10303-21;",relevance:10},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,qa.COMMENT("/\\*\\*!","\\*/"),qa.C_NUMBER_MODE,qa.inherit(qa.APOS_STRING_MODE,{illegal:null}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"'",end:"'"},{className:"symbol",variants:[{begin:"#",end:"\\d+",illegal:"\\W"}]}]}}return step21_1=Ra,step21_1}var stylus_1,hasRequiredStylus;function requireStylus(){if(hasRequiredStylus)return stylus_1;hasRequiredStylus=1;const Ra=cd=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:cd.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[cd.APOS_STRING_MODE,cd.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:cd.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),qa=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","optgroup","option","p","picture","q","quote","samp","section","select","source","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],Ja=["defs","g","marker","mask","pattern","svg","switch","symbol","feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feFlood","feGaussianBlur","feImage","feMerge","feMorphology","feOffset","feSpecularLighting","feTile","feTurbulence","linearGradient","radialGradient","stop","circle","ellipse","image","line","path","polygon","polyline","rect","text","use","textPath","tspan","foreignObject","clipPath"],ed=[...qa,...Ja],td=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"].sort().reverse(),rd=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"].sort().reverse(),sd=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"].sort().reverse(),od=["accent-color","align-content","align-items","align-self","alignment-baseline","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","baseline-shift","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-end-end-radius","border-end-start-radius","border-right-color","border-right-style","border-right-width","border-spacing","border-start-end-radius","border-start-start-radius","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","cx","cy","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","color-scheme","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","empty-cells","enable-background","fill","fill-opacity","fill-rule","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","flood-color","flood-opacity","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-horizontal","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","inset","inset-block","inset-block-end","inset-block-start","inset-inline","inset-inline-end","inset-inline-start","isolation","kerning","justify-content","justify-items","justify-self","left","letter-spacing","lighting-color","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","marker","marker-end","marker-mid","marker-start","mask","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","r","resize","rest","rest-after","rest-before","right","rotate","row-gap","scale","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","shape-rendering","stop-color","stop-opacity","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","speak","speak-as","src","tab-size","table-layout","text-anchor","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip-ink","text-decoration-style","text-decoration-thickness","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-offset","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","translate","unicode-bidi","vector-effect","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","x","y","z-index"].sort().reverse();function ld(cd){const ud=Ra(cd),_d="and or not only",yd={className:"variable",begin:"\\$"+cd.IDENT_RE},gd=["charset","css","debug","extend","font-face","for","import","include","keyframes","media","mixin","page","warn","while"],Ed="(?=[.\\s\\n[:,(])";return{name:"Stylus",aliases:["styl"],case_insensitive:!1,keywords:"if else for in",illegal:"("+["\\?","(\\bReturn\\b)","(\\bEnd\\b)","(\\bend\\b)","(\\bdef\\b)",";","#\\s","\\*\\s","===\\s","\\|","%"].join("|")+")",contains:[cd.QUOTE_STRING_MODE,cd.APOS_STRING_MODE,cd.C_LINE_COMMENT_MODE,cd.C_BLOCK_COMMENT_MODE,ud.HEXCOLOR,{begin:"\\.[a-zA-Z][a-zA-Z0-9_-]*"+Ed,className:"selector-class"},{begin:"#[a-zA-Z][a-zA-Z0-9_-]*"+Ed,className:"selector-id"},{begin:"\\b("+ed.join("|")+")"+Ed,className:"selector-tag"},{className:"selector-pseudo",begin:"&?:("+rd.join("|")+")"+Ed},{className:"selector-pseudo",begin:"&?:(:)?("+sd.join("|")+")"+Ed},ud.ATTRIBUTE_SELECTOR_MODE,{className:"keyword",begin:/@media/,starts:{end:/[{;}]/,keywords:{$pattern:/[a-z-]+/,keyword:_d,attribute:td.join(" ")},contains:[ud.CSS_NUMBER_MODE]}},{className:"keyword",begin:"@((-(o|moz|ms|webkit)-)?("+gd.join("|")+"))\\b"},yd,ud.CSS_NUMBER_MODE,{className:"function",begin:"^[a-zA-Z][a-zA-Z0-9_-]*\\(.*\\)",illegal:"[\\n]",returnBegin:!0,contains:[{className:"title",begin:"\\b[a-zA-Z][a-zA-Z0-9_-]*"},{className:"params",begin:/\(/,end:/\)/,contains:[ud.HEXCOLOR,yd,cd.APOS_STRING_MODE,ud.CSS_NUMBER_MODE,cd.QUOTE_STRING_MODE]}]},ud.CSS_VARIABLE,{className:"attribute",begin:"\\b("+od.join("|")+")\\b",starts:{end:/;|$/,contains:[ud.HEXCOLOR,yd,cd.APOS_STRING_MODE,cd.QUOTE_STRING_MODE,ud.CSS_NUMBER_MODE,cd.C_BLOCK_COMMENT_MODE,ud.IMPORTANT,ud.FUNCTION_DISPATCH],illegal:/\./,relevance:0}},ud.FUNCTION_DISPATCH]}}return stylus_1=ld,stylus_1}var subunit_1,hasRequiredSubunit;function requireSubunit(){if(hasRequiredSubunit)return subunit_1;hasRequiredSubunit=1;function Ra(qa){return{name:"SubUnit",case_insensitive:!0,contains:[{className:"string",begin:`\\[
|
||
(multipart)?`,end:`\\]
|
||
`},{className:"string",begin:"\\d{4}-\\d{2}-\\d{2}(\\s+)\\d{2}:\\d{2}:\\d{2}.\\d+Z"},{className:"string",begin:"(\\+|-)\\d+"},{className:"keyword",relevance:10,variants:[{begin:"^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\s+(test)?"},{begin:"^progress(:?)(\\s+)?(pop|push)?"},{begin:"^tags:"},{begin:"^time:"}]}]}}return subunit_1=Ra,subunit_1}var swift_1,hasRequiredSwift;function requireSwift(){if(hasRequiredSwift)return swift_1;hasRequiredSwift=1;function Ra(Yd){return Yd?typeof Yd=="string"?Yd:Yd.source:null}function qa(Yd){return Ja("(?=",Yd,")")}function Ja(...Yd){return Yd.map(Hd=>Ra(Hd)).join("")}function ed(Yd){const Ud=Yd[Yd.length-1];return typeof Ud=="object"&&Ud.constructor===Object?(Yd.splice(Yd.length-1,1),Ud):{}}function td(...Yd){return"("+(ed(Yd).capture?"":"?:")+Yd.map(Vd=>Ra(Vd)).join("|")+")"}const rd=Yd=>Ja(/\b/,Yd,/\w$/.test(Yd)?/\b/:/\B/),sd=["Protocol","Type"].map(rd),od=["init","self"].map(rd),ld=["Any","Self"],cd=["actor","any","associatedtype","async","await",/as\?/,/as!/,"as","borrowing","break","case","catch","class","consume","consuming","continue","convenience","copy","default","defer","deinit","didSet","distributed","do","dynamic","each","else","enum","extension","fallthrough",/fileprivate\(set\)/,"fileprivate","final","for","func","get","guard","if","import","indirect","infix",/init\?/,/init!/,"inout",/internal\(set\)/,"internal","in","is","isolated","nonisolated","lazy","let","macro","mutating","nonmutating",/open\(set\)/,"open","operator","optional","override","package","postfix","precedencegroup","prefix",/private\(set\)/,"private","protocol",/public\(set\)/,"public","repeat","required","rethrows","return","set","some","static","struct","subscript","super","switch","throws","throw",/try\?/,/try!/,"try","typealias",/unowned\(safe\)/,/unowned\(unsafe\)/,"unowned","var","weak","where","while","willSet"],ud=["false","nil","true"],_d=["assignment","associativity","higherThan","left","lowerThan","none","right"],yd=["#colorLiteral","#column","#dsohandle","#else","#elseif","#endif","#error","#file","#fileID","#fileLiteral","#filePath","#function","#if","#imageLiteral","#keyPath","#line","#selector","#sourceLocation","#warning"],gd=["abs","all","any","assert","assertionFailure","debugPrint","dump","fatalError","getVaList","isKnownUniquelyReferenced","max","min","numericCast","pointwiseMax","pointwiseMin","precondition","preconditionFailure","print","readLine","repeatElement","sequence","stride","swap","swift_unboxFromSwiftValueWithType","transcode","type","unsafeBitCast","unsafeDowncast","withExtendedLifetime","withUnsafeMutablePointer","withUnsafePointer","withVaList","withoutActuallyEscaping","zip"],Ed=td(/[/=\-+!*%<>&|^~?]/,/[\u00A1-\u00A7]/,/[\u00A9\u00AB]/,/[\u00AC\u00AE]/,/[\u00B0\u00B1]/,/[\u00B6\u00BB\u00BF\u00D7\u00F7]/,/[\u2016-\u2017]/,/[\u2020-\u2027]/,/[\u2030-\u203E]/,/[\u2041-\u2053]/,/[\u2055-\u205E]/,/[\u2190-\u23FF]/,/[\u2500-\u2775]/,/[\u2794-\u2BFF]/,/[\u2E00-\u2E7F]/,/[\u3001-\u3003]/,/[\u3008-\u3020]/,/[\u3030]/),Td=td(Ed,/[\u0300-\u036F]/,/[\u1DC0-\u1DFF]/,/[\u20D0-\u20FF]/,/[\uFE00-\uFE0F]/,/[\uFE20-\uFE2F]/),kd=Ja(Ed,Td,"*"),Rd=td(/[a-zA-Z_]/,/[\u00A8\u00AA\u00AD\u00AF\u00B2-\u00B5\u00B7-\u00BA]/,/[\u00BC-\u00BE\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u00FF]/,/[\u0100-\u02FF\u0370-\u167F\u1681-\u180D\u180F-\u1DBF]/,/[\u1E00-\u1FFF]/,/[\u200B-\u200D\u202A-\u202E\u203F-\u2040\u2054\u2060-\u206F]/,/[\u2070-\u20CF\u2100-\u218F\u2460-\u24FF\u2776-\u2793]/,/[\u2C00-\u2DFF\u2E80-\u2FFF]/,/[\u3004-\u3007\u3021-\u302F\u3031-\u303F\u3040-\uD7FF]/,/[\uF900-\uFD3D\uFD40-\uFDCF\uFDF0-\uFE1F\uFE30-\uFE44]/,/[\uFE47-\uFEFE\uFF00-\uFFFD]/),Nd=td(Rd,/\d/,/[\u0300-\u036F\u1DC0-\u1DFF\u20D0-\u20FF\uFE20-\uFE2F]/),Id=Ja(Rd,Nd,"*"),Md=Ja(/[A-Z]/,Nd,"*"),Ld=["attached","autoclosure",Ja(/convention\(/,td("swift","block","c"),/\)/),"discardableResult","dynamicCallable","dynamicMemberLookup","escaping","freestanding","frozen","GKInspectable","IBAction","IBDesignable","IBInspectable","IBOutlet","IBSegueAction","inlinable","main","nonobjc","NSApplicationMain","NSCopying","NSManaged",Ja(/objc\(/,Id,/\)/),"objc","objcMembers","propertyWrapper","requires_stored_property_inits","resultBuilder","Sendable","testable","UIApplicationMain","unchecked","unknown","usableFromInline","warn_unqualified_access"],Pd=["iOS","iOSApplicationExtension","macOS","macOSApplicationExtension","macCatalyst","macCatalystApplicationExtension","watchOS","watchOSApplicationExtension","tvOS","tvOSApplicationExtension","swift"];function qd(Yd){const Ud={match:/\s+/,relevance:0},Hd=Yd.COMMENT("/\\*","\\*/",{contains:["self"]}),Vd=[Yd.C_LINE_COMMENT_MODE,Hd],Jd={match:[/\./,td(...sd,...od)],className:{2:"keyword"}},Zd={match:Ja(/\./,td(...cd)),relevance:0},pf=cd.filter(gm=>typeof gm=="string").concat(["_|0"]),Xd=cd.filter(gm=>typeof gm!="string").concat(ld).map(rd),hf={variants:[{className:"keyword",match:td(...Xd,...od)}]},_f={$pattern:td(/\b\w+/,/#\w+/),keyword:pf.concat(yd),literal:ud},xf=[Jd,Zd,hf],Lf={match:Ja(/\./,td(...gd)),relevance:0},Wf={className:"built_in",match:Ja(/\b/,td(...gd),/(?=\()/)},Yf=[Lf,Wf],If={match:/->/,relevance:0},Sf={className:"operator",relevance:0,variants:[{match:kd},{match:`\\.(\\.|${Td})+`}]},wf=[If,Sf],Kf="([0-9]_*)+",Gf="([0-9a-fA-F]_*)+",gf={className:"number",relevance:0,variants:[{match:`\\b(${Kf})(\\.(${Kf}))?([eE][+-]?(${Kf}))?\\b`},{match:`\\b0x(${Gf})(\\.(${Gf}))?([pP][+-]?(${Kf}))?\\b`},{match:/\b0o([0-7]_*)+\b/},{match:/\b0b([01]_*)+\b/}]},mf=(gm="")=>({className:"subst",variants:[{match:Ja(/\\/,gm,/[0\\tnr"']/)},{match:Ja(/\\/,gm,/u\{[0-9a-fA-F]{1,8}\}/)}]}),$f=(gm="")=>({className:"subst",match:Ja(/\\/,gm,/[\t ]*(?:[\r\n]|\r\n)/)}),zf=(gm="")=>({className:"subst",label:"interpol",begin:Ja(/\\/,gm,/\(/),end:/\)/}),hh=(gm="")=>({begin:Ja(gm,/"""/),end:Ja(/"""/,gm),contains:[mf(gm),$f(gm),zf(gm)]}),Vf=(gm="")=>({begin:Ja(gm,/"/),end:Ja(/"/,gm),contains:[mf(gm),zf(gm)]}),kf={className:"string",variants:[hh(),hh("#"),hh("##"),hh("###"),Vf(),Vf("#"),Vf("##"),Vf("###")]},Jf=[Yd.BACKSLASH_ESCAPE,{begin:/\[/,end:/\]/,relevance:0,contains:[Yd.BACKSLASH_ESCAPE]}],Ch={begin:/\/[^\s](?=[^/\n]*\/)/,end:/\//,contains:Jf},qf=gm=>{const om=Ja(gm,/\//),Gm=Ja(/\//,gm);return{begin:om,end:Gm,contains:[...Jf,{scope:"comment",begin:`#(?!.*${Gm})`,end:/$/}]}},Tf={scope:"regexp",variants:[qf("###"),qf("##"),qf("#"),Ch]},Af={match:Ja(/`/,Id,/`/)},Pf={className:"variable",match:/\$\d+/},gh={className:"variable",match:`\\$${Nd}+`},Nh=[Af,Pf,gh],dh={match:/(@|#(un)?)available/,scope:"keyword",starts:{contains:[{begin:/\(/,end:/\)/,keywords:Pd,contains:[...wf,gf,kf]}]}},$h={scope:"keyword",match:Ja(/@/,td(...Ld),qa(td(/\(/,/\s+/)))},Rh={scope:"meta",match:Ja(/@/,Id)},jh=[dh,$h,Rh],Zh={match:qa(/\b[A-Z]/),relevance:0,contains:[{className:"type",match:Ja(/(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)/,Nd,"+")},{className:"type",match:Md,relevance:0},{match:/[?!]+/,relevance:0},{match:/\.\.\./,relevance:0},{match:Ja(/\s+&\s+/,qa(Md)),relevance:0}]},Wh={begin:/</,end:/>/,keywords:_f,contains:[...Vd,...xf,...jh,If,Zh]};Zh.contains.push(Wh);const sm={match:Ja(Id,/\s*:/),keywords:"_|0",relevance:0},fm={begin:/\(/,end:/\)/,relevance:0,keywords:_f,contains:["self",sm,...Vd,Tf,...xf,...Yf,...wf,gf,kf,...Nh,...jh,Zh]},ih={begin:/</,end:/>/,keywords:"repeat each",contains:[...Vd,Zh]},Rf={begin:td(qa(Ja(Id,/\s*:/)),qa(Ja(Id,/\s+/,Id,/\s*:/))),end:/:/,relevance:0,contains:[{className:"keyword",match:/\b_\b/},{className:"params",match:Id}]},Df={begin:/\(/,end:/\)/,keywords:_f,contains:[Rf,...Vd,...xf,...wf,gf,kf,...jh,Zh,fm],endsParent:!0,illegal:/["']/},Zf={match:[/(func|macro)/,/\s+/,td(Af.match,Id,kd)],className:{1:"keyword",3:"title.function"},contains:[ih,Df,Ud],illegal:[/\[/,/%/]},bh={match:[/\b(?:subscript|init[?!]?)/,/\s*(?=[<(])/],className:{1:"keyword"},contains:[ih,Df,Ud],illegal:/\[|%/},Lh={match:[/operator/,/\s+/,kd],className:{1:"keyword",3:"title"}},Hh={begin:[/precedencegroup/,/\s+/,Md],className:{1:"keyword",3:"title"},contains:[Zh],keywords:[..._d,...ud],end:/}/},Xh={begin:[/(struct|protocol|class|extension|enum|actor)/,/\s+/,Id,/\s*/],beginScope:{1:"keyword",3:"title.class"},keywords:_f,contains:[ih,...xf,{begin:/:/,end:/\{/,keywords:_f,contains:[{scope:"title.class.inherited",match:Md},...xf],relevance:0}]};for(const gm of kf.variants){const om=gm.contains.find(Fm=>Fm.label==="interpol");om.keywords=_f;const Gm=[...xf,...Yf,...wf,gf,kf,...Nh];om.contains=[...Gm,{begin:/\(/,end:/\)/,contains:["self",...Gm]}]}return{name:"Swift",keywords:_f,contains:[...Vd,Zf,bh,Xh,Lh,Hh,{beginKeywords:"import",end:/$/,contains:[...Vd],relevance:0},Tf,...xf,...Yf,...wf,gf,kf,...Nh,...jh,Zh,fm]}}return swift_1=qd,swift_1}var taggerscript_1,hasRequiredTaggerscript;function requireTaggerscript(){if(hasRequiredTaggerscript)return taggerscript_1;hasRequiredTaggerscript=1;function Ra(qa){return{name:"Tagger Script",contains:[{className:"comment",begin:/\$noop\(/,end:/\)/,contains:[{begin:/\\[()]/},{begin:/\(/,end:/\)/,contains:[{begin:/\\[()]/},"self"]}],relevance:10},{className:"keyword",begin:/\$[_a-zA-Z0-9]+(?=\()/},{className:"variable",begin:/%[_a-zA-Z0-9:]+%/},{className:"symbol",begin:/\\[\\nt$%,()]/},{className:"symbol",begin:/\\u[a-fA-F0-9]{4}/}]}}return taggerscript_1=Ra,taggerscript_1}var yaml_1,hasRequiredYaml;function requireYaml(){if(hasRequiredYaml)return yaml_1;hasRequiredYaml=1;function Ra(qa){const Ja="true false yes no null",ed="[\\w#;/?:@&=+$,.~*'()[\\]]+",td={className:"attr",variants:[{begin:/\w[\w :()\./-]*:(?=[ \t]|$)/},{begin:/"\w[\w :()\./-]*":(?=[ \t]|$)/},{begin:/'\w[\w :()\./-]*':(?=[ \t]|$)/}]},rd={className:"template-variable",variants:[{begin:/\{\{/,end:/\}\}/},{begin:/%\{/,end:/\}/}]},sd={className:"string",relevance:0,variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/\S+/}],contains:[qa.BACKSLASH_ESCAPE,rd]},od=qa.inherit(sd,{variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/[^\s,{}[\]]+/}]}),yd={className:"number",begin:"\\b"+"[0-9]{4}(-[0-9][0-9]){0,2}"+"([Tt \\t][0-9][0-9]?(:[0-9][0-9]){2})?"+"(\\.[0-9]*)?"+"([ \\t])*(Z|[-+][0-9][0-9]?(:[0-9][0-9])?)?"+"\\b"},gd={end:",",endsWithParent:!0,excludeEnd:!0,keywords:Ja,relevance:0},Ed={begin:/\{/,end:/\}/,contains:[gd],illegal:"\\n",relevance:0},Td={begin:"\\[",end:"\\]",contains:[gd],illegal:"\\n",relevance:0},kd=[td,{className:"meta",begin:"^---\\s*$",relevance:10},{className:"string",begin:"[\\|>]([1-9]?[+-])?[ ]*\\n( +)[^ ][^\\n]*\\n(\\2[^\\n]+\\n?)*"},{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:"!\\w+!"+ed},{className:"type",begin:"!<"+ed+">"},{className:"type",begin:"!"+ed},{className:"type",begin:"!!"+ed},{className:"meta",begin:"&"+qa.UNDERSCORE_IDENT_RE+"$"},{className:"meta",begin:"\\*"+qa.UNDERSCORE_IDENT_RE+"$"},{className:"bullet",begin:"-(?=[ ]|$)",relevance:0},qa.HASH_COMMENT_MODE,{beginKeywords:Ja,keywords:{literal:Ja}},yd,{className:"number",begin:qa.C_NUMBER_RE+"\\b",relevance:0},Ed,Td,sd],Rd=[...kd];return Rd.pop(),Rd.push(od),gd.contains=Rd,{name:"YAML",case_insensitive:!0,aliases:["yml"],contains:kd}}return yaml_1=Ra,yaml_1}var tap_1,hasRequiredTap;function requireTap(){if(hasRequiredTap)return tap_1;hasRequiredTap=1;function Ra(qa){return{name:"Test Anything Protocol",case_insensitive:!0,contains:[qa.HASH_COMMENT_MODE,{className:"meta",variants:[{begin:"^TAP version (\\d+)$"},{begin:"^1\\.\\.(\\d+)$"}]},{begin:/---$/,end:"\\.\\.\\.$",subLanguage:"yaml",relevance:0},{className:"number",begin:" (\\d+) "},{className:"symbol",variants:[{begin:"^ok"},{begin:"^not ok"}]}]}}return tap_1=Ra,tap_1}var tcl_1,hasRequiredTcl;function requireTcl(){if(hasRequiredTcl)return tcl_1;hasRequiredTcl=1;function Ra(qa){const Ja=qa.regex,ed=/[a-zA-Z_][a-zA-Z0-9_]*/,td={className:"number",variants:[qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE]};return{name:"Tcl",aliases:["tk"],keywords:["after","append","apply","array","auto_execok","auto_import","auto_load","auto_mkindex","auto_mkindex_old","auto_qualify","auto_reset","bgerror","binary","break","catch","cd","chan","clock","close","concat","continue","dde","dict","encoding","eof","error","eval","exec","exit","expr","fblocked","fconfigure","fcopy","file","fileevent","filename","flush","for","foreach","format","gets","glob","global","history","http","if","incr","info","interp","join","lappend|10","lassign|10","lindex|10","linsert|10","list","llength|10","load","lrange|10","lrepeat|10","lreplace|10","lreverse|10","lsearch|10","lset|10","lsort|10","mathfunc","mathop","memory","msgcat","namespace","open","package","parray","pid","pkg::create","pkg_mkIndex","platform","platform::shell","proc","puts","pwd","read","refchan","regexp","registry","regsub|10","rename","return","safe","scan","seek","set","socket","source","split","string","subst","switch","tcl_endOfWord","tcl_findLibrary","tcl_startOfNextWord","tcl_startOfPreviousWord","tcl_wordBreakAfter","tcl_wordBreakBefore","tcltest","tclvars","tell","time","tm","trace","unknown","unload","unset","update","uplevel","upvar","variable","vwait","while"],contains:[qa.COMMENT(";[ \\t]*#","$"),qa.COMMENT("^[ \\t]*#","$"),{beginKeywords:"proc",end:"[\\{]",excludeEnd:!0,contains:[{className:"title",begin:"[ \\t\\n\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"[ \\t\\n\\r]",endsWithParent:!0,excludeEnd:!0}]},{className:"variable",variants:[{begin:Ja.concat(/\$/,Ja.optional(/::/),ed,"(::",ed,")*")},{begin:"\\$\\{(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"\\}",contains:[td]}]},{className:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null})]},td]}}return tcl_1=Ra,tcl_1}var thrift_1,hasRequiredThrift;function requireThrift(){if(hasRequiredThrift)return thrift_1;hasRequiredThrift=1;function Ra(qa){const Ja=["bool","byte","i16","i32","i64","double","string","binary"];return{name:"Thrift",keywords:{keyword:["namespace","const","typedef","struct","enum","service","exception","void","oneway","set","list","map","required","optional"],type:Ja,literal:"true false"},contains:[qa.QUOTE_STRING_MODE,qa.NUMBER_MODE,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"struct enum service exception",end:/\{/,illegal:/\n/,contains:[qa.inherit(qa.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{begin:"\\b(set|list|map)\\s*<",keywords:{type:[...Ja,"set","list","map"]},end:">",contains:["self"]}]}}return thrift_1=Ra,thrift_1}var tp_1,hasRequiredTp;function requireTp(){if(hasRequiredTp)return tp_1;hasRequiredTp=1;function Ra(qa){const Ja={className:"number",begin:"[1-9][0-9]*",relevance:0},ed={className:"symbol",begin:":[^\\]]+"},td={className:"built_in",begin:"(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\[",end:"\\]",contains:["self",Ja,ed]},rd={className:"built_in",begin:"(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\[",end:"\\]",contains:["self",Ja,qa.QUOTE_STRING_MODE,ed]};return{name:"TP",keywords:{keyword:["ABORT","ACC","ADJUST","AND","AP_LD","BREAK","CALL","CNT","COL","CONDITION","CONFIG","DA","DB","DIV","DETECT","ELSE","END","ENDFOR","ERR_NUM","ERROR_PROG","FINE","FOR","GP","GUARD","INC","IF","JMP","LINEAR_MAX_SPEED","LOCK","MOD","MONITOR","OFFSET","Offset","OR","OVERRIDE","PAUSE","PREG","PTH","RT_LD","RUN","SELECT","SKIP","Skip","TA","TB","TO","TOOL_OFFSET","Tool_Offset","UF","UT","UFRAME_NUM","UTOOL_NUM","UNLOCK","WAIT","X","Y","Z","W","P","R","STRLEN","SUBSTR","FINDSTR","VOFFSET","PROG","ATTR","MN","POS"],literal:["ON","OFF","max_speed","LPOS","JPOS","ENABLE","DISABLE","START","STOP","RESET"]},contains:[td,rd,{className:"keyword",begin:"/(PROG|ATTR|MN|POS|END)\\b"},{className:"keyword",begin:"(CALL|RUN|POINT_LOGIC|LBL)\\b"},{className:"keyword",begin:"\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)"},{className:"number",begin:"\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\b",relevance:0},qa.COMMENT("//","[;$]"),qa.COMMENT("!","[;$]"),qa.COMMENT("--eg:","$"),qa.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"'"},qa.C_NUMBER_MODE,{className:"variable",begin:"\\$[A-Za-z0-9_]+"}]}}return tp_1=Ra,tp_1}var twig_1,hasRequiredTwig;function requireTwig(){if(hasRequiredTwig)return twig_1;hasRequiredTwig=1;function Ra(qa){const Ja=qa.regex,ed=["absolute_url","asset|0","asset_version","attribute","block","constant","controller|0","country_timezones","csrf_token","cycle","date","dump","expression","form|0","form_end","form_errors","form_help","form_label","form_rest","form_row","form_start","form_widget","html_classes","include","is_granted","logout_path","logout_url","max","min","parent","path|0","random","range","relative_path","render","render_esi","source","template_from_string","url|0"],td=["abs","abbr_class","abbr_method","batch","capitalize","column","convert_encoding","country_name","currency_name","currency_symbol","data_uri","date","date_modify","default","escape","file_excerpt","file_link","file_relative","filter","first","format","format_args","format_args_as_text","format_currency","format_date","format_datetime","format_file","format_file_from_text","format_number","format_time","html_to_markdown","humanize","inky_to_html","inline_css","join","json_encode","keys","language_name","last","length","locale_name","lower","map","markdown","markdown_to_html","merge","nl2br","number_format","raw","reduce","replace","reverse","round","slice","slug","sort","spaceless","split","striptags","timezone_name","title","trans","transchoice","trim","u|0","upper","url_encode","yaml_dump","yaml_encode"];let rd=["apply","autoescape","block","cache","deprecated","do","embed","extends","filter","flush","for","form_theme","from","if","import","include","macro","sandbox","set","stopwatch","trans","trans_default_domain","transchoice","use","verbatim","with"];rd=rd.concat(rd.map(Td=>`end${Td}`));const sd={scope:"string",variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},od={scope:"number",match:/\d+/},ld={begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[sd,od]},cd={beginKeywords:ed.join(" "),keywords:{name:ed},relevance:0,contains:[ld]},ud={match:/\|(?=[A-Za-z_]+:?)/,beginScope:"punctuation",relevance:0,contains:[{match:/[A-Za-z_]+:?/,keywords:td}]},_d=(Td,{relevance:kd})=>({beginScope:{1:"template-tag",3:"name"},relevance:kd||2,endScope:"template-tag",begin:[/\{%/,/\s*/,Ja.either(...Td)],end:/%\}/,keywords:"in",contains:[ud,cd,sd,od]}),yd=/[a-z_]+/,gd=_d(rd,{relevance:2}),Ed=_d([yd],{relevance:1});return{name:"Twig",aliases:["craftcms"],case_insensitive:!0,subLanguage:"xml",contains:[qa.COMMENT(/\{#/,/#\}/),gd,Ed,{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:["self",ud,cd,sd,od]}]}}return twig_1=Ra,twig_1}var typescript_1,hasRequiredTypescript;function requireTypescript(){if(hasRequiredTypescript)return typescript_1;hasRequiredTypescript=1;const Ra="[A-Za-z$_][0-9A-Za-z$_]*",qa=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],Ja=["true","false","null","undefined","NaN","Infinity"],ed=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],td=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],rd=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],sd=["arguments","this","super","console","window","document","localStorage","sessionStorage","module","global"],od=[].concat(rd,ed,td);function ld(ud){const _d=ud.regex,yd=(mf,{after:$f})=>{const zf="</"+mf[0].slice(1);return mf.input.indexOf(zf,$f)!==-1},gd=Ra,Ed={begin:"<>",end:"</>"},Td=/<[A-Za-z0-9\\._:-]+\s*\/>/,kd={begin:/<[A-Za-z0-9\\._:-]+/,end:/\/[A-Za-z0-9\\._:-]+>|\/>/,isTrulyOpeningTag:(mf,$f)=>{const zf=mf[0].length+mf.index,hh=mf.input[zf];if(hh==="<"||hh===","){$f.ignoreMatch();return}hh===">"&&(yd(mf,{after:zf})||$f.ignoreMatch());let Vf;const kf=mf.input.substring(zf);if(Vf=kf.match(/^\s*=/)){$f.ignoreMatch();return}if((Vf=kf.match(/^\s+extends\s+/))&&Vf.index===0){$f.ignoreMatch();return}}},Rd={$pattern:Ra,keyword:qa,literal:Ja,built_in:od,"variable.language":sd},Nd="[0-9](_?[0-9])*",Id=`\\.(${Nd})`,Md="0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*",Ld={className:"number",variants:[{begin:`(\\b(${Md})((${Id})|\\.)?|(${Id}))[eE][+-]?(${Nd})\\b`},{begin:`\\b(${Md})\\b((${Id})\\b|\\.)?|(${Id})\\b`},{begin:"\\b(0|[1-9](_?[0-9])*)n\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*n?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*n?\\b"},{begin:"\\b0[0-7]+n?\\b"}],relevance:0},Pd={className:"subst",begin:"\\$\\{",end:"\\}",keywords:Rd,contains:[]},qd={begin:".?html`",end:"",starts:{end:"`",returnEnd:!1,contains:[ud.BACKSLASH_ESCAPE,Pd],subLanguage:"xml"}},Yd={begin:".?css`",end:"",starts:{end:"`",returnEnd:!1,contains:[ud.BACKSLASH_ESCAPE,Pd],subLanguage:"css"}},Ud={begin:".?gql`",end:"",starts:{end:"`",returnEnd:!1,contains:[ud.BACKSLASH_ESCAPE,Pd],subLanguage:"graphql"}},Hd={className:"string",begin:"`",end:"`",contains:[ud.BACKSLASH_ESCAPE,Pd]},Jd={className:"comment",variants:[ud.COMMENT(/\/\*\*(?!\/)/,"\\*/",{relevance:0,contains:[{begin:"(?=@[A-Za-z]+)",relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"},{className:"type",begin:"\\{",end:"\\}",excludeEnd:!0,excludeBegin:!0,relevance:0},{className:"variable",begin:gd+"(?=\\s*(-)|$)",endsParent:!0,relevance:0},{begin:/(?=[^\n])\s/,relevance:0}]}]}),ud.C_BLOCK_COMMENT_MODE,ud.C_LINE_COMMENT_MODE]},Zd=[ud.APOS_STRING_MODE,ud.QUOTE_STRING_MODE,qd,Yd,Ud,Hd,{match:/\$\d+/},Ld];Pd.contains=Zd.concat({begin:/\{/,end:/\}/,keywords:Rd,contains:["self"].concat(Zd)});const pf=[].concat(Jd,Pd.contains),Xd=pf.concat([{begin:/(\s*)\(/,end:/\)/,keywords:Rd,contains:["self"].concat(pf)}]),hf={className:"params",begin:/(\s*)\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:Rd,contains:Xd},_f={variants:[{match:[/class/,/\s+/,gd,/\s+/,/extends/,/\s+/,_d.concat(gd,"(",_d.concat(/\./,gd),")*")],scope:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},{match:[/class/,/\s+/,gd],scope:{1:"keyword",3:"title.class"}}]},xf={relevance:0,match:_d.either(/\bJSON/,/\b[A-Z][a-z]+([A-Z][a-z]*|\d)*/,/\b[A-Z]{2,}([A-Z][a-z]+|\d)+([A-Z][a-z]*)*/,/\b[A-Z]{2,}[a-z]+([A-Z][a-z]+|\d)*([A-Z][a-z]*)*/),className:"title.class",keywords:{_:[...ed,...td]}},Lf={label:"use_strict",className:"meta",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},Wf={variants:[{match:[/function/,/\s+/,gd,/(?=\s*\()/]},{match:[/function/,/\s*(?=\()/]}],className:{1:"keyword",3:"title.function"},label:"func.def",contains:[hf],illegal:/%/},Yf={relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"};function If(mf){return _d.concat("(?!",mf.join("|"),")")}const Sf={match:_d.concat(/\b/,If([...rd,"super","import"].map(mf=>`${mf}\\s*\\(`)),gd,_d.lookahead(/\s*\(/)),className:"title.function",relevance:0},wf={begin:_d.concat(/\./,_d.lookahead(_d.concat(gd,/(?![0-9A-Za-z$_(])/))),end:gd,excludeBegin:!0,keywords:"prototype",className:"property",relevance:0},Kf={match:[/get|set/,/\s+/,gd,/(?=\()/],className:{1:"keyword",3:"title.function"},contains:[{begin:/\(\)/},hf]},Gf="(\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)|"+ud.UNDERSCORE_IDENT_RE+")\\s*=>",gf={match:[/const|var|let/,/\s+/,gd,/\s*/,/=\s*/,/(async\s*)?/,_d.lookahead(Gf)],keywords:"async",className:{1:"keyword",3:"title.function"},contains:[hf]};return{name:"JavaScript",aliases:["js","jsx","mjs","cjs"],keywords:Rd,exports:{PARAMS_CONTAINS:Xd,CLASS_REFERENCE:xf},illegal:/#(?![$_A-z])/,contains:[ud.SHEBANG({label:"shebang",binary:"node",relevance:5}),Lf,ud.APOS_STRING_MODE,ud.QUOTE_STRING_MODE,qd,Yd,Ud,Hd,Jd,{match:/\$\d+/},Ld,xf,{className:"attr",begin:gd+_d.lookahead(":"),relevance:0},gf,{begin:"("+ud.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",relevance:0,contains:[Jd,ud.REGEXP_MODE,{className:"function",begin:Gf,returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:ud.UNDERSCORE_IDENT_RE,relevance:0},{className:null,begin:/\(\s*\)/,skip:!0},{begin:/(\s*)\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:Rd,contains:Xd}]}]},{begin:/,/,relevance:0},{match:/\s+/,relevance:0},{variants:[{begin:Ed.begin,end:Ed.end},{match:Td},{begin:kd.begin,"on:begin":kd.isTrulyOpeningTag,end:kd.end}],subLanguage:"xml",contains:[{begin:kd.begin,end:kd.end,skip:!0,contains:["self"]}]}]},Wf,{beginKeywords:"while if switch catch for"},{begin:"\\b(?!function)"+ud.UNDERSCORE_IDENT_RE+"\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)\\s*\\{",returnBegin:!0,label:"func.def",contains:[hf,ud.inherit(ud.TITLE_MODE,{begin:gd,className:"title.function"})]},{match:/\.\.\./,relevance:0},wf,{match:"\\$"+gd,relevance:0},{match:[/\bconstructor(?=\s*\()/],className:{1:"title.function"},contains:[hf]},Sf,Yf,_f,Kf,{match:/\$[(.]/}]}}function cd(ud){const _d=ld(ud),yd=Ra,gd=["any","void","number","boolean","string","object","never","symbol","bigint","unknown"],Ed={begin:[/namespace/,/\s+/,ud.IDENT_RE],beginScope:{1:"keyword",3:"title.class"}},Td={beginKeywords:"interface",end:/\{/,excludeEnd:!0,keywords:{keyword:"interface extends",built_in:gd},contains:[_d.exports.CLASS_REFERENCE]},kd={className:"meta",relevance:10,begin:/^\s*['"]use strict['"]/},Rd=["type","interface","public","private","protected","implements","declare","abstract","readonly","enum","override","satisfies"],Nd={$pattern:Ra,keyword:qa.concat(Rd),literal:Ja,built_in:od.concat(gd),"variable.language":sd},Id={className:"meta",begin:"@"+yd},Md=(qd,Yd,Ud)=>{const Hd=qd.contains.findIndex(Vd=>Vd.label===Yd);if(Hd===-1)throw new Error("can not find mode to replace");qd.contains.splice(Hd,1,Ud)};Object.assign(_d.keywords,Nd),_d.exports.PARAMS_CONTAINS.push(Id);const Ld=_d.contains.find(qd=>qd.className==="attr");_d.exports.PARAMS_CONTAINS.push([_d.exports.CLASS_REFERENCE,Ld]),_d.contains=_d.contains.concat([Id,Ed,Td]),Md(_d,"shebang",ud.SHEBANG()),Md(_d,"use_strict",kd);const Pd=_d.contains.find(qd=>qd.label==="func.def");return Pd.relevance=0,Object.assign(_d,{name:"TypeScript",aliases:["ts","tsx","mts","cts"]}),_d}return typescript_1=cd,typescript_1}var vala_1,hasRequiredVala;function requireVala(){if(hasRequiredVala)return vala_1;hasRequiredVala=1;function Ra(qa){return{name:"Vala",keywords:{keyword:"char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override virtual delegate if while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var",built_in:"DBus GLib CCode Gee Object Gtk Posix",literal:"false true null"},contains:[{className:"class",beginKeywords:"class interface namespace",end:/\{/,excludeEnd:!0,illegal:"[^,:\\n\\s\\.]",contains:[qa.UNDERSCORE_TITLE_MODE]},qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,{className:"string",begin:'"""',end:'"""',relevance:5},qa.APOS_STRING_MODE,qa.QUOTE_STRING_MODE,qa.C_NUMBER_MODE,{className:"meta",begin:"^#",end:"$"}]}}return vala_1=Ra,vala_1}var vbnet_1,hasRequiredVbnet;function requireVbnet(){if(hasRequiredVbnet)return vbnet_1;hasRequiredVbnet=1;function Ra(qa){const Ja=qa.regex,ed={className:"string",begin:/"(""|[^/n])"C\b/},td={className:"string",begin:/"/,end:/"/,illegal:/\n/,contains:[{begin:/""/}]},rd=/\d{1,2}\/\d{1,2}\/\d{4}/,sd=/\d{4}-\d{1,2}-\d{1,2}/,od=/(\d|1[012])(:\d+){0,2} *(AM|PM)/,ld=/\d{1,2}(:\d{1,2}){1,2}/,cd={className:"literal",variants:[{begin:Ja.concat(/# */,Ja.either(sd,rd),/ *#/)},{begin:Ja.concat(/# */,ld,/ *#/)},{begin:Ja.concat(/# */,od,/ *#/)},{begin:Ja.concat(/# */,Ja.either(sd,rd),/ +/,Ja.either(od,ld),/ *#/)}]},ud={className:"number",relevance:0,variants:[{begin:/\b\d[\d_]*((\.[\d_]+(E[+-]?[\d_]+)?)|(E[+-]?[\d_]+))[RFD@!#]?/},{begin:/\b\d[\d_]*((U?[SIL])|[%&])?/},{begin:/&H[\dA-F_]+((U?[SIL])|[%&])?/},{begin:/&O[0-7_]+((U?[SIL])|[%&])?/},{begin:/&B[01_]+((U?[SIL])|[%&])?/}]},_d={className:"label",begin:/^\w+:/},yd=qa.COMMENT(/'''/,/$/,{contains:[{className:"doctag",begin:/<\/?/,end:/>/}]}),gd=qa.COMMENT(null,/$/,{variants:[{begin:/'/},{begin:/([\t ]|^)REM(?=\s)/}]});return{name:"Visual Basic .NET",aliases:["vb"],case_insensitive:!0,classNameAliases:{label:"symbol"},keywords:{keyword:"addhandler alias aggregate ansi as async assembly auto binary by byref byval call case catch class compare const continue custom declare default delegate dim distinct do each equals else elseif end enum erase error event exit explicit finally for friend from function get global goto group handles if implements imports in inherits interface into iterator join key let lib loop me mid module mustinherit mustoverride mybase myclass namespace narrowing new next notinheritable notoverridable of off on operator option optional order overloads overridable overrides paramarray partial preserve private property protected public raiseevent readonly redim removehandler resume return select set shadows shared skip static step stop structure strict sub synclock take text then throw to try unicode until using when where while widening with withevents writeonly yield",built_in:"addressof and andalso await directcast gettype getxmlnamespace is isfalse isnot istrue like mod nameof new not or orelse trycast typeof xor cbool cbyte cchar cdate cdbl cdec cint clng cobj csbyte cshort csng cstr cuint culng cushort",type:"boolean byte char date decimal double integer long object sbyte short single string uinteger ulong ushort",literal:"true false nothing"},illegal:"//|\\{|\\}|endif|gosub|variant|wend|^\\$ ",contains:[ed,td,cd,ud,_d,yd,gd,{className:"meta",begin:/[\t ]*#(const|disable|else|elseif|enable|end|externalsource|if|region)\b/,end:/$/,keywords:{keyword:"const disable else elseif enable end externalsource if region then"},contains:[gd]}]}}return vbnet_1=Ra,vbnet_1}var vbscript_1,hasRequiredVbscript;function requireVbscript(){if(hasRequiredVbscript)return vbscript_1;hasRequiredVbscript=1;function Ra(qa){const Ja=qa.regex,ed=["lcase","month","vartype","instrrev","ubound","setlocale","getobject","rgb","getref","string","weekdayname","rnd","dateadd","monthname","now","day","minute","isarray","cbool","round","formatcurrency","conversions","csng","timevalue","second","year","space","abs","clng","timeserial","fixs","len","asc","isempty","maths","dateserial","atn","timer","isobject","filter","weekday","datevalue","ccur","isdate","instr","datediff","formatdatetime","replace","isnull","right","sgn","array","snumeric","log","cdbl","hex","chr","lbound","msgbox","ucase","getlocale","cos","cdate","cbyte","rtrim","join","hour","oct","typename","trim","strcomp","int","createobject","loadpicture","tan","formatnumber","mid","split","cint","sin","datepart","ltrim","sqr","time","derived","eval","date","formatpercent","exp","inputbox","left","ascw","chrw","regexp","cstr","err"],td=["server","response","request","scriptengine","scriptenginebuildversion","scriptengineminorversion","scriptenginemajorversion"],rd={begin:Ja.concat(Ja.either(...ed),"\\s*\\("),relevance:0,keywords:{built_in:ed}};return{name:"VBScript",aliases:["vbs"],case_insensitive:!0,keywords:{keyword:["call","class","const","dim","do","loop","erase","execute","executeglobal","exit","for","each","next","function","if","then","else","on","error","option","explicit","new","private","property","let","get","public","randomize","redim","rem","select","case","set","stop","sub","while","wend","with","end","to","elseif","is","or","xor","and","not","class_initialize","class_terminate","default","preserve","in","me","byval","byref","step","resume","goto"],built_in:td,literal:["true","false","null","nothing","empty"]},illegal:"//",contains:[rd,qa.inherit(qa.QUOTE_STRING_MODE,{contains:[{begin:'""'}]}),qa.COMMENT(/'/,/$/,{relevance:0}),qa.C_NUMBER_MODE]}}return vbscript_1=Ra,vbscript_1}var vbscriptHtml_1,hasRequiredVbscriptHtml;function requireVbscriptHtml(){if(hasRequiredVbscriptHtml)return vbscriptHtml_1;hasRequiredVbscriptHtml=1;function Ra(qa){return{name:"VBScript in HTML",subLanguage:"xml",contains:[{begin:"<%",end:"%>",subLanguage:"vbscript"}]}}return vbscriptHtml_1=Ra,vbscriptHtml_1}var verilog_1,hasRequiredVerilog;function requireVerilog(){if(hasRequiredVerilog)return verilog_1;hasRequiredVerilog=1;function Ra(qa){const Ja=qa.regex,ed={$pattern:/\$?[\w]+(\$[\w]+)*/,keyword:["accept_on","alias","always","always_comb","always_ff","always_latch","and","assert","assign","assume","automatic","before","begin","bind","bins","binsof","bit","break","buf|0","bufif0","bufif1","byte","case","casex","casez","cell","chandle","checker","class","clocking","cmos","config","const","constraint","context","continue","cover","covergroup","coverpoint","cross","deassign","default","defparam","design","disable","dist","do","edge","else","end","endcase","endchecker","endclass","endclocking","endconfig","endfunction","endgenerate","endgroup","endinterface","endmodule","endpackage","endprimitive","endprogram","endproperty","endspecify","endsequence","endtable","endtask","enum","event","eventually","expect","export","extends","extern","final","first_match","for","force","foreach","forever","fork","forkjoin","function","generate|5","genvar","global","highz0","highz1","if","iff","ifnone","ignore_bins","illegal_bins","implements","implies","import","incdir","include","initial","inout","input","inside","instance","int","integer","interconnect","interface","intersect","join","join_any","join_none","large","let","liblist","library","local","localparam","logic","longint","macromodule","matches","medium","modport","module","nand","negedge","nettype","new","nexttime","nmos","nor","noshowcancelled","not","notif0","notif1","or","output","package","packed","parameter","pmos","posedge","primitive","priority","program","property","protected","pull0","pull1","pulldown","pullup","pulsestyle_ondetect","pulsestyle_onevent","pure","rand","randc","randcase","randsequence","rcmos","real","realtime","ref","reg","reject_on","release","repeat","restrict","return","rnmos","rpmos","rtran","rtranif0","rtranif1","s_always","s_eventually","s_nexttime","s_until","s_until_with","scalared","sequence","shortint","shortreal","showcancelled","signed","small","soft","solve","specify","specparam","static","string","strong","strong0","strong1","struct","super","supply0","supply1","sync_accept_on","sync_reject_on","table","tagged","task","this","throughout","time","timeprecision","timeunit","tran","tranif0","tranif1","tri","tri0","tri1","triand","trior","trireg","type","typedef","union","unique","unique0","unsigned","until","until_with","untyped","use","uwire","var","vectored","virtual","void","wait","wait_order","wand","weak","weak0","weak1","while","wildcard","wire","with","within","wor","xnor","xor"],literal:["null"],built_in:["$finish","$stop","$exit","$fatal","$error","$warning","$info","$realtime","$time","$printtimescale","$bitstoreal","$bitstoshortreal","$itor","$signed","$cast","$bits","$stime","$timeformat","$realtobits","$shortrealtobits","$rtoi","$unsigned","$asserton","$assertkill","$assertpasson","$assertfailon","$assertnonvacuouson","$assertoff","$assertcontrol","$assertpassoff","$assertfailoff","$assertvacuousoff","$isunbounded","$sampled","$fell","$changed","$past_gclk","$fell_gclk","$changed_gclk","$rising_gclk","$steady_gclk","$coverage_control","$coverage_get","$coverage_save","$set_coverage_db_name","$rose","$stable","$past","$rose_gclk","$stable_gclk","$future_gclk","$falling_gclk","$changing_gclk","$display","$coverage_get_max","$coverage_merge","$get_coverage","$load_coverage_db","$typename","$unpacked_dimensions","$left","$low","$increment","$clog2","$ln","$log10","$exp","$sqrt","$pow","$floor","$ceil","$sin","$cos","$tan","$countbits","$onehot","$isunknown","$fatal","$warning","$dimensions","$right","$high","$size","$asin","$acos","$atan","$atan2","$hypot","$sinh","$cosh","$tanh","$asinh","$acosh","$atanh","$countones","$onehot0","$error","$info","$random","$dist_chi_square","$dist_erlang","$dist_exponential","$dist_normal","$dist_poisson","$dist_t","$dist_uniform","$q_initialize","$q_remove","$q_exam","$async$and$array","$async$nand$array","$async$or$array","$async$nor$array","$sync$and$array","$sync$nand$array","$sync$or$array","$sync$nor$array","$q_add","$q_full","$psprintf","$async$and$plane","$async$nand$plane","$async$or$plane","$async$nor$plane","$sync$and$plane","$sync$nand$plane","$sync$or$plane","$sync$nor$plane","$system","$display","$displayb","$displayh","$displayo","$strobe","$strobeb","$strobeh","$strobeo","$write","$readmemb","$readmemh","$writememh","$value$plusargs","$dumpvars","$dumpon","$dumplimit","$dumpports","$dumpportson","$dumpportslimit","$writeb","$writeh","$writeo","$monitor","$monitorb","$monitorh","$monitoro","$writememb","$dumpfile","$dumpoff","$dumpall","$dumpflush","$dumpportsoff","$dumpportsall","$dumpportsflush","$fclose","$fdisplay","$fdisplayb","$fdisplayh","$fdisplayo","$fstrobe","$fstrobeb","$fstrobeh","$fstrobeo","$swrite","$swriteb","$swriteh","$swriteo","$fscanf","$fread","$fseek","$fflush","$feof","$fopen","$fwrite","$fwriteb","$fwriteh","$fwriteo","$fmonitor","$fmonitorb","$fmonitorh","$fmonitoro","$sformat","$sformatf","$fgetc","$ungetc","$fgets","$sscanf","$rewind","$ftell","$ferror"]},td=["__FILE__","__LINE__"],rd=["begin_keywords","celldefine","default_nettype","default_decay_time","default_trireg_strength","define","delay_mode_distributed","delay_mode_path","delay_mode_unit","delay_mode_zero","else","elsif","end_keywords","endcelldefine","endif","ifdef","ifndef","include","line","nounconnected_drive","pragma","resetall","timescale","unconnected_drive","undef","undefineall"];return{name:"Verilog",aliases:["v","sv","svh"],case_insensitive:!1,keywords:ed,contains:[qa.C_BLOCK_COMMENT_MODE,qa.C_LINE_COMMENT_MODE,qa.QUOTE_STRING_MODE,{scope:"number",contains:[qa.BACKSLASH_ESCAPE],variants:[{begin:/\b((\d+'([bhodBHOD]))[0-9xzXZa-fA-F_]+)/},{begin:/\B(('([bhodBHOD]))[0-9xzXZa-fA-F_]+)/},{begin:/\b[0-9][0-9_]*/,relevance:0}]},{scope:"variable",variants:[{begin:"#\\((?!parameter).+\\)"},{begin:"\\.\\w+",relevance:0}]},{scope:"variable.constant",match:Ja.concat(/`/,Ja.either(...td))},{scope:"meta",begin:Ja.concat(/`/,Ja.either(...rd)),end:/$|\/\/|\/\*/,returnEnd:!0,keywords:rd}]}}return verilog_1=Ra,verilog_1}var vhdl_1,hasRequiredVhdl;function requireVhdl(){if(hasRequiredVhdl)return vhdl_1;hasRequiredVhdl=1;function Ra(qa){const Ja="\\d(_|\\d)*",ed="[eE][-+]?"+Ja,td=Ja+"(\\."+Ja+")?("+ed+")?",rd="\\w+",od="\\b("+(Ja+"#"+rd+"(\\."+rd+")?#("+ed+")?")+"|"+td+")";return{name:"VHDL",case_insensitive:!0,keywords:{keyword:["abs","access","after","alias","all","and","architecture","array","assert","assume","assume_guarantee","attribute","begin","block","body","buffer","bus","case","component","configuration","constant","context","cover","disconnect","downto","default","else","elsif","end","entity","exit","fairness","file","for","force","function","generate","generic","group","guarded","if","impure","in","inertial","inout","is","label","library","linkage","literal","loop","map","mod","nand","new","next","nor","not","null","of","on","open","or","others","out","package","parameter","port","postponed","procedure","process","property","protected","pure","range","record","register","reject","release","rem","report","restrict","restrict_guarantee","return","rol","ror","select","sequence","severity","shared","signal","sla","sll","sra","srl","strong","subtype","then","to","transport","type","unaffected","units","until","use","variable","view","vmode","vprop","vunit","wait","when","while","with","xnor","xor"],built_in:["boolean","bit","character","integer","time","delay_length","natural","positive","string","bit_vector","file_open_kind","file_open_status","std_logic","std_logic_vector","unsigned","signed","boolean_vector","integer_vector","std_ulogic","std_ulogic_vector","unresolved_unsigned","u_unsigned","unresolved_signed","u_signed","real_vector","time_vector"],literal:["false","true","note","warning","error","failure","line","text","side","width"]},illegal:/\{/,contains:[qa.C_BLOCK_COMMENT_MODE,qa.COMMENT("--","$"),qa.QUOTE_STRING_MODE,{className:"number",begin:od,relevance:0},{className:"string",begin:"'(U|X|0|1|Z|W|L|H|-)'",contains:[qa.BACKSLASH_ESCAPE]},{className:"symbol",begin:"'[A-Za-z](_?[A-Za-z0-9])*",contains:[qa.BACKSLASH_ESCAPE]}]}}return vhdl_1=Ra,vhdl_1}var vim_1,hasRequiredVim;function requireVim(){if(hasRequiredVim)return vim_1;hasRequiredVim=1;function Ra(qa){return{name:"Vim Script",keywords:{$pattern:/[!#@\w]+/,keyword:"N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank",built_in:"synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv complete_check add getwinposx getqflist getwinposy screencol clearmatches empty extend getcmdpos mzeval garbagecollect setreg ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable shiftwidth max sinh isdirectory synID system inputrestore winline atan visualmode inputlist tabpagewinnr round getregtype mapcheck hasmapto histdel argidx findfile sha256 exists toupper getcmdline taglist string getmatches bufnr strftime winwidth bufexists strtrans tabpagebuflist setcmdpos remote_read printf setloclist getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval resolve libcallnr foldclosedend reverse filter has_key bufname str2float strlen setline getcharmod setbufvar index searchpos shellescape undofile foldclosed setqflist buflisted strchars str2nr virtcol floor remove undotree remote_expr winheight gettabwinvar reltime cursor tabpagenr finddir localtime acos getloclist search tanh matchend rename gettabvar strdisplaywidth type abs py3eval setwinvar tolower wildmenumode log10 spellsuggest bufloaded synconcealed nextnonblank server2client complete settabwinvar executable input wincol setmatches getftype hlID inputsave searchpair or screenrow line settabvar histadd deepcopy strpart remote_peek and eval getftime submatch screenchar winsaveview matchadd mkdir screenattr getfontname libcall reltimestr getfsize winnr invert pow getbufline byte2line soundfold repeat fnameescape tagfiles sin strwidth spellbadword trunc maparg log lispindent hostname setpos globpath remote_foreground getchar synIDattr fnamemodify cscope_connection stridx winbufnr indent min complete_add nr2char searchpairpos inputdialog values matchlist items hlexists strridx browsedir expand fmod pathshorten line2byte argc count getwinvar glob foldtextresult getreg foreground cosh matchdelete has char2nr simplify histget searchdecl iconv winrestcmd pumvisible writefile foldlevel haslocaldir keys cos matchstr foldtext histnr tan tempname getcwd byteidx getbufvar islocked escape eventhandler remote_send serverlist winrestview synstack pyeval prevnonblank readfile cindent filereadable changenr exp"},illegal:/;/,contains:[qa.NUMBER_MODE,{className:"string",begin:"'",end:"'",illegal:"\\n"},{className:"string",begin:/"(\\"|\n\\|[^"\n])*"/},qa.COMMENT('"',"$"),{className:"variable",begin:/[bwtglsav]:[\w\d_]+/},{begin:[/\b(?:function|function!)/,/\s+/,qa.IDENT_RE],className:{1:"keyword",3:"title"},end:"$",relevance:0,contains:[{className:"params",begin:"\\(",end:"\\)"}]},{className:"symbol",begin:/<[\w-]+>/}]}}return vim_1=Ra,vim_1}var wasm_1,hasRequiredWasm;function requireWasm(){if(hasRequiredWasm)return wasm_1;hasRequiredWasm=1;function Ra(qa){qa.regex;const Ja=qa.COMMENT(/\(;/,/;\)/);Ja.contains.push("self");const ed=qa.COMMENT(/;;/,/$/),td=["anyfunc","block","br","br_if","br_table","call","call_indirect","data","drop","elem","else","end","export","func","global.get","global.set","local.get","local.set","local.tee","get_global","get_local","global","if","import","local","loop","memory","memory.grow","memory.size","module","mut","nop","offset","param","result","return","select","set_global","set_local","start","table","tee_local","then","type","unreachable"],rd={begin:[/(?:func|call|call_indirect)/,/\s+/,/\$[^\s)]+/],className:{1:"keyword",3:"title.function"}},sd={className:"variable",begin:/\$[\w_]+/},od={match:/(\((?!;)|\))+/,className:"punctuation",relevance:0},ld={className:"number",relevance:0,match:/[+-]?\b(?:\d(?:_?\d)*(?:\.\d(?:_?\d)*)?(?:[eE][+-]?\d(?:_?\d)*)?|0x[\da-fA-F](?:_?[\da-fA-F])*(?:\.[\da-fA-F](?:_?[\da-fA-D])*)?(?:[pP][+-]?\d(?:_?\d)*)?)\b|\binf\b|\bnan(?::0x[\da-fA-F](?:_?[\da-fA-D])*)?\b/},cd={match:/(i32|i64|f32|f64)(?!\.)/,className:"type"},ud={className:"keyword",match:/\b(f32|f64|i32|i64)(?:\.(?:abs|add|and|ceil|clz|const|convert_[su]\/i(?:32|64)|copysign|ctz|demote\/f64|div(?:_[su])?|eqz?|extend_[su]\/i32|floor|ge(?:_[su])?|gt(?:_[su])?|le(?:_[su])?|load(?:(?:8|16|32)_[su])?|lt(?:_[su])?|max|min|mul|nearest|neg?|or|popcnt|promote\/f32|reinterpret\/[fi](?:32|64)|rem_[su]|rot[lr]|shl|shr_[su]|store(?:8|16|32)?|sqrt|sub|trunc(?:_[su]\/f(?:32|64))?|wrap\/i64|xor))\b/};return{name:"WebAssembly",keywords:{$pattern:/[\w.]+/,keyword:td},contains:[ed,Ja,{match:[/(?:offset|align)/,/\s*/,/=/],className:{1:"keyword",3:"operator"}},sd,od,rd,qa.QUOTE_STRING_MODE,cd,ud,ld]}}return wasm_1=Ra,wasm_1}var wren_1,hasRequiredWren;function requireWren(){if(hasRequiredWren)return wren_1;hasRequiredWren=1;function Ra(qa){const Ja=qa.regex,ed=/[a-zA-Z]\w*/,td=["as","break","class","construct","continue","else","for","foreign","if","import","in","is","return","static","var","while"],rd=["true","false","null"],sd=["this","super"],od=["Bool","Class","Fiber","Fn","List","Map","Null","Num","Object","Range","Sequence","String","System"],ld=["-","~",/\*/,"%",/\.\.\./,/\.\./,/\+/,"<<",">>",">=","<=","<",">",/\^/,/!=/,/!/,/\bis\b/,"==","&&","&",/\|\|/,/\|/,/\?:/,"="],cd={relevance:0,match:Ja.concat(/\b(?!(if|while|for|else|super)\b)/,ed,/(?=\s*[({])/),className:"title.function"},ud={match:Ja.concat(Ja.either(Ja.concat(/\b(?!(if|while|for|else|super)\b)/,ed),Ja.either(...ld)),/(?=\s*\([^)]+\)\s*\{)/),className:"title.function",starts:{contains:[{begin:/\(/,end:/\)/,contains:[{relevance:0,scope:"params",match:ed}]}]}},_d={variants:[{match:[/class\s+/,ed,/\s+is\s+/,ed]},{match:[/class\s+/,ed]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:td},yd={relevance:0,match:Ja.either(...ld),className:"operator"},gd={className:"string",begin:/"""/,end:/"""/},Ed={className:"property",begin:Ja.concat(/\./,Ja.lookahead(ed)),end:ed,excludeBegin:!0,relevance:0},Td={relevance:0,match:Ja.concat(/\b_/,ed),scope:"variable"},kd={relevance:0,match:/\b[A-Z]+[a-z]+([A-Z]+[a-z]+)*/,scope:"title.class",keywords:{_:od}},Rd=qa.C_NUMBER_MODE,Nd={match:[ed,/\s*/,/=/,/\s*/,/\(/,ed,/\)\s*\{/],scope:{1:"title.function",3:"operator",6:"params"}},Id=qa.COMMENT(/\/\*\*/,/\*\//,{contains:[{match:/@[a-z]+/,scope:"doctag"},"self"]}),Md={scope:"subst",begin:/%\(/,end:/\)/,contains:[Rd,kd,cd,Td,yd]},Ld={scope:"string",begin:/"/,end:/"/,contains:[Md,{scope:"char.escape",variants:[{match:/\\\\|\\["0%abefnrtv]/},{match:/\\x[0-9A-F]{2}/},{match:/\\u[0-9A-F]{4}/},{match:/\\U[0-9A-F]{8}/}]}]};Md.contains.push(Ld);const Pd=[...td,...sd,...rd],qd={relevance:0,match:Ja.concat("\\b(?!",Pd.join("|"),"\\b)",/[a-zA-Z_]\w*(?:[?!]|\b)/),className:"variable"};return{name:"Wren",keywords:{keyword:td,"variable.language":sd,literal:rd},contains:[{scope:"comment",variants:[{begin:[/#!?/,/[A-Za-z_]+(?=\()/],beginScope:{},keywords:{literal:rd},contains:[],end:/\)/},{begin:[/#!?/,/[A-Za-z_]+/],beginScope:{},end:/$/}]},Rd,Ld,gd,Id,qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,kd,_d,Nd,ud,cd,yd,Td,Ed,qd]}}return wren_1=Ra,wren_1}var x86asm_1,hasRequiredX86asm;function requireX86asm(){if(hasRequiredX86asm)return x86asm_1;hasRequiredX86asm=1;function Ra(qa){return{name:"Intel x86 Assembly",case_insensitive:!0,keywords:{$pattern:"[.%]?"+qa.IDENT_RE,keyword:"lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63",built_in:"ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr",meta:"%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist __FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__"},contains:[qa.COMMENT(";","$",{relevance:0}),{className:"number",variants:[{begin:"\\b(?:([0-9][0-9_]*)?\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*(\\.[0-9_]*)?(?:[pP](?:[+-]?[0-9_]+)?)?)\\b",relevance:0},{begin:"\\$[0-9][0-9A-Fa-f]*",relevance:0},{begin:"\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\b"},{begin:"\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\b"}]},qa.QUOTE_STRING_MODE,{className:"string",variants:[{begin:"'",end:"[^\\\\]'"},{begin:"`",end:"[^\\\\]`"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)"},{begin:"^\\s*%%[A-Za-z0-9_$#@~.?]*:"}],relevance:0},{className:"subst",begin:"%[0-9]+",relevance:0},{className:"subst",begin:"%!S+",relevance:0},{className:"meta",begin:/^\s*\.[\w_-]+/}]}}return x86asm_1=Ra,x86asm_1}var xl_1,hasRequiredXl;function requireXl(){if(hasRequiredXl)return xl_1;hasRequiredXl=1;function Ra(qa){const Ja=["if","then","else","do","while","until","for","loop","import","with","is","as","where","when","by","data","constant","integer","real","text","name","boolean","symbol","infix","prefix","postfix","block","tree"],ed=["in","mod","rem","and","or","xor","not","abs","sign","floor","ceil","sqrt","sin","cos","tan","asin","acos","atan","exp","expm1","log","log2","log10","log1p","pi","at","text_length","text_range","text_find","text_replace","contains","page","slide","basic_slide","title_slide","title","subtitle","fade_in","fade_out","fade_at","clear_color","color","line_color","line_width","texture_wrap","texture_transform","texture","scale_?x","scale_?y","scale_?z?","translate_?x","translate_?y","translate_?z?","rotate_?x","rotate_?y","rotate_?z?","rectangle","circle","ellipse","sphere","path","line_to","move_to","quad_to","curve_to","theme","background","contents","locally","time","mouse_?x","mouse_?y","mouse_buttons"],td=["ObjectLoader","Animate","MovieCredits","Slides","Filters","Shading","Materials","LensFlare","Mapping","VLCAudioVideo","StereoDecoder","PointCloud","NetworkAccess","RemoteControl","RegExp","ChromaKey","Snowfall","NodeJS","Speech","Charts"],sd={$pattern:/[a-zA-Z][a-zA-Z0-9_?]*/,keyword:Ja,literal:["true","false","nil"],built_in:ed.concat(td)},od={className:"string",begin:'"',end:'"',illegal:"\\n"},ld={className:"string",begin:"'",end:"'",illegal:"\\n"},cd={className:"string",begin:"<<",end:">>"},ud={className:"number",begin:"[0-9]+#[0-9A-Z_]+(\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?"},_d={beginKeywords:"import",end:"$",keywords:sd,contains:[od]},yd={className:"function",begin:/[a-z][^\n]*->/,returnBegin:!0,end:/->/,contains:[qa.inherit(qa.TITLE_MODE,{starts:{endsWithParent:!0,keywords:sd}})]};return{name:"XL",aliases:["tao"],keywords:sd,contains:[qa.C_LINE_COMMENT_MODE,qa.C_BLOCK_COMMENT_MODE,od,ld,cd,yd,_d,ud,qa.NUMBER_MODE]}}return xl_1=Ra,xl_1}var xquery_1,hasRequiredXquery;function requireXquery(){if(hasRequiredXquery)return xquery_1;hasRequiredXquery=1;function Ra(qa){return{name:"XQuery",aliases:["xpath","xq","xqm"],case_insensitive:!1,illegal:/(proc)|(abstract)|(extends)|(until)|(#)/,keywords:{$pattern:/[a-zA-Z$][a-zA-Z0-9_:-]*/,keyword:["module","schema","namespace","boundary-space","preserve","no-preserve","strip","default","collation","base-uri","ordering","context","decimal-format","decimal-separator","copy-namespaces","empty-sequence","except","exponent-separator","external","grouping-separator","inherit","no-inherit","lax","minus-sign","per-mille","percent","schema-attribute","schema-element","strict","unordered","zero-digit","declare","import","option","function","validate","variable","for","at","in","let","where","order","group","by","return","if","then","else","tumbling","sliding","window","start","when","only","end","previous","next","stable","ascending","descending","allowing","empty","greatest","least","some","every","satisfies","switch","case","typeswitch","try","catch","and","or","to","union","intersect","instance","of","treat","as","castable","cast","map","array","delete","insert","into","replace","value","rename","copy","modify","update"],type:["item","document-node","node","attribute","document","element","comment","namespace","namespace-node","processing-instruction","text","construction","xs:anyAtomicType","xs:untypedAtomic","xs:duration","xs:time","xs:decimal","xs:float","xs:double","xs:gYearMonth","xs:gYear","xs:gMonthDay","xs:gMonth","xs:gDay","xs:boolean","xs:base64Binary","xs:hexBinary","xs:anyURI","xs:QName","xs:NOTATION","xs:dateTime","xs:dateTimeStamp","xs:date","xs:string","xs:normalizedString","xs:token","xs:language","xs:NMTOKEN","xs:Name","xs:NCName","xs:ID","xs:IDREF","xs:ENTITY","xs:integer","xs:nonPositiveInteger","xs:negativeInteger","xs:long","xs:int","xs:short","xs:byte","xs:nonNegativeInteger","xs:unisignedLong","xs:unsignedInt","xs:unsignedShort","xs:unsignedByte","xs:positiveInteger","xs:yearMonthDuration","xs:dayTimeDuration"],literal:["eq","ne","lt","le","gt","ge","is","self::","child::","descendant::","descendant-or-self::","attribute::","following::","following-sibling::","parent::","ancestor::","ancestor-or-self::","preceding::","preceding-sibling::","NaN"]},contains:[{className:"variable",begin:/[$][\w\-:]+/},{className:"built_in",variants:[{begin:/\barray:/,end:/(?:append|filter|flatten|fold-(?:left|right)|for-each(?:-pair)?|get|head|insert-before|join|put|remove|reverse|size|sort|subarray|tail)\b/},{begin:/\bmap:/,end:/(?:contains|entry|find|for-each|get|keys|merge|put|remove|size)\b/},{begin:/\bmath:/,end:/(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\b/},{begin:/\bop:/,end:/\(/,excludeEnd:!0},{begin:/\bfn:/,end:/\(/,excludeEnd:!0},{begin:/[^</$:'"-]\b(?:abs|accumulator-(?:after|before)|adjust-(?:date(?:Time)?|time)-to-timezone|analyze-string|apply|available-(?:environment-variables|system-properties)|avg|base-uri|boolean|ceiling|codepoints?-(?:equal|to-string)|collation-key|collection|compare|concat|contains(?:-token)?|copy-of|count|current(?:-)?(?:date(?:Time)?|time|group(?:ing-key)?|output-uri|merge-(?:group|key))?data|dateTime|days?-from-(?:date(?:Time)?|duration)|deep-equal|default-(?:collation|language)|distinct-values|document(?:-uri)?|doc(?:-available)?|element-(?:available|with-id)|empty|encode-for-uri|ends-with|environment-variable|error|escape-html-uri|exactly-one|exists|false|filter|floor|fold-(?:left|right)|for-each(?:-pair)?|format-(?:date(?:Time)?|time|integer|number)|function-(?:arity|available|lookup|name)|generate-id|has-children|head|hours-from-(?:dateTime|duration|time)|id(?:ref)?|implicit-timezone|in-scope-prefixes|index-of|innermost|insert-before|iri-to-uri|json-(?:doc|to-xml)|key|lang|last|load-xquery-module|local-name(?:-from-QName)?|(?:lower|upper)-case|matches|max|minutes-from-(?:dateTime|duration|time)|min|months?-from-(?:date(?:Time)?|duration)|name(?:space-uri-?(?:for-prefix|from-QName)?)?|nilled|node-name|normalize-(?:space|unicode)|not|number|one-or-more|outermost|parse-(?:ietf-date|json)|path|position|(?:prefix-from-)?QName|random-number-generator|regex-group|remove|replace|resolve-(?:QName|uri)|reverse|root|round(?:-half-to-even)?|seconds-from-(?:dateTime|duration|time)|snapshot|sort|starts-with|static-base-uri|stream-available|string-?(?:join|length|to-codepoints)?|subsequence|substring-?(?:after|before)?|sum|system-property|tail|timezone-from-(?:date(?:Time)?|time)|tokenize|trace|trans(?:form|late)|true|type-available|unordered|unparsed-(?:entity|text)?-?(?:public-id|uri|available|lines)?|uri-collection|xml-to-json|years?-from-(?:date(?:Time)?|duration)|zero-or-one)\b/},{begin:/\blocal:/,end:/\(/,excludeEnd:!0},{begin:/\bzip:/,end:/(?:zip-file|(?:xml|html|text|binary)-entry| (?:update-)?entries)\b/},{begin:/\b(?:util|db|functx|app|xdmp|xmldb):/,end:/\(/,excludeEnd:!0}]},{className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},{className:"number",begin:/(\b0[0-7_]+)|(\b0x[0-9a-fA-F_]+)|(\b[1-9][0-9_]*(\.[0-9_]+)?)|[0_]\b/,relevance:0},{className:"comment",begin:/\(:/,end:/:\)/,relevance:10,contains:[{className:"doctag",begin:/@\w+/}]},{className:"meta",begin:/%[\w\-:]+/},{className:"title",begin:/\bxquery version "[13]\.[01]"\s?(?:encoding ".+")?/,end:/;/},{beginKeywords:"element attribute comment document processing-instruction",end:/\{/,excludeEnd:!0},{begin:/<([\w._:-]+)(\s+\S*=('|").*('|"))?>/,end:/(\/[\w._:-]+>)/,subLanguage:"xml",contains:[{begin:/\{/,end:/\}/,subLanguage:"xquery"},"self"]}]}}return xquery_1=Ra,xquery_1}var zephir_1,hasRequiredZephir;function requireZephir(){if(hasRequiredZephir)return zephir_1;hasRequiredZephir=1;function Ra(qa){const Ja={className:"string",contains:[qa.BACKSLASH_ESCAPE],variants:[qa.inherit(qa.APOS_STRING_MODE,{illegal:null}),qa.inherit(qa.QUOTE_STRING_MODE,{illegal:null})]},ed=qa.UNDERSCORE_TITLE_MODE,td={variants:[qa.BINARY_NUMBER_MODE,qa.C_NUMBER_MODE]},rd="namespace class interface use extends function return abstract final public protected private static deprecated throw try catch Exception echo empty isset instanceof unset let var new const self require if else elseif switch case default do while loop for continue break likely unlikely __LINE__ __FILE__ __DIR__ __FUNCTION__ __CLASS__ __TRAIT__ __METHOD__ __NAMESPACE__ array boolean float double integer object resource string char long unsigned bool int uint ulong uchar true false null undefined";return{name:"Zephir",aliases:["zep"],keywords:rd,contains:[qa.C_LINE_COMMENT_MODE,qa.COMMENT(/\/\*/,/\*\//,{contains:[{className:"doctag",begin:/@[A-Za-z]+/}]}),{className:"string",begin:/<<<['"]?\w+['"]?$/,end:/^\w+;/,contains:[qa.BACKSLASH_ESCAPE]},{begin:/(::|->)+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/},{className:"function",beginKeywords:"function fn",end:/[;{]/,excludeEnd:!0,illegal:/\$|\[|%/,contains:[ed,{className:"params",begin:/\(/,end:/\)/,keywords:rd,contains:["self",qa.C_BLOCK_COMMENT_MODE,Ja,td]}]},{className:"class",beginKeywords:"class interface",end:/\{/,excludeEnd:!0,illegal:/[:($"]/,contains:[{beginKeywords:"extends implements"},ed]},{beginKeywords:"namespace",end:/;/,illegal:/[.']/,contains:[ed]},{beginKeywords:"use",end:/;/,contains:[ed]},{begin:/=>/},Ja,td]}}return zephir_1=Ra,zephir_1}var hljs=core$2;hljs.registerLanguage("1c",require_1c());hljs.registerLanguage("abnf",requireAbnf());hljs.registerLanguage("accesslog",requireAccesslog());hljs.registerLanguage("actionscript",requireActionscript());hljs.registerLanguage("ada",requireAda());hljs.registerLanguage("angelscript",requireAngelscript());hljs.registerLanguage("apache",requireApache());hljs.registerLanguage("applescript",requireApplescript());hljs.registerLanguage("arcade",requireArcade());hljs.registerLanguage("arduino",requireArduino());hljs.registerLanguage("armasm",requireArmasm());hljs.registerLanguage("xml",requireXml$1());hljs.registerLanguage("asciidoc",requireAsciidoc());hljs.registerLanguage("aspectj",requireAspectj());hljs.registerLanguage("autohotkey",requireAutohotkey());hljs.registerLanguage("autoit",requireAutoit());hljs.registerLanguage("avrasm",requireAvrasm());hljs.registerLanguage("awk",requireAwk());hljs.registerLanguage("axapta",requireAxapta());hljs.registerLanguage("bash",requireBash());hljs.registerLanguage("basic",requireBasic());hljs.registerLanguage("bnf",requireBnf());hljs.registerLanguage("brainfuck",requireBrainfuck());hljs.registerLanguage("c",requireC());hljs.registerLanguage("cal",requireCal());hljs.registerLanguage("capnproto",requireCapnproto());hljs.registerLanguage("ceylon",requireCeylon());hljs.registerLanguage("clean",requireClean());hljs.registerLanguage("clojure",requireClojure());hljs.registerLanguage("clojure-repl",requireClojureRepl());hljs.registerLanguage("cmake",requireCmake());hljs.registerLanguage("coffeescript",requireCoffeescript());hljs.registerLanguage("coq",requireCoq());hljs.registerLanguage("cos",requireCos());hljs.registerLanguage("cpp",requireCpp());hljs.registerLanguage("crmsh",requireCrmsh());hljs.registerLanguage("crystal",requireCrystal());hljs.registerLanguage("csharp",requireCsharp());hljs.registerLanguage("csp",requireCsp());hljs.registerLanguage("css",requireCss$1());hljs.registerLanguage("d",requireD());hljs.registerLanguage("markdown",requireMarkdown());hljs.registerLanguage("dart",requireDart());hljs.registerLanguage("delphi",requireDelphi());hljs.registerLanguage("diff",requireDiff());hljs.registerLanguage("django",requireDjango());hljs.registerLanguage("dns",requireDns());hljs.registerLanguage("dockerfile",requireDockerfile());hljs.registerLanguage("dos",requireDos());hljs.registerLanguage("dsconfig",requireDsconfig());hljs.registerLanguage("dts",requireDts());hljs.registerLanguage("dust",requireDust());hljs.registerLanguage("ebnf",requireEbnf());hljs.registerLanguage("elixir",requireElixir());hljs.registerLanguage("elm",requireElm());hljs.registerLanguage("ruby",requireRuby());hljs.registerLanguage("erb",requireErb());hljs.registerLanguage("erlang-repl",requireErlangRepl());hljs.registerLanguage("erlang",requireErlang());hljs.registerLanguage("excel",requireExcel());hljs.registerLanguage("fix",requireFix());hljs.registerLanguage("flix",requireFlix());hljs.registerLanguage("fortran",requireFortran());hljs.registerLanguage("fsharp",requireFsharp());hljs.registerLanguage("gams",requireGams());hljs.registerLanguage("gauss",requireGauss());hljs.registerLanguage("gcode",requireGcode());hljs.registerLanguage("gherkin",requireGherkin());hljs.registerLanguage("glsl",requireGlsl());hljs.registerLanguage("gml",requireGml());hljs.registerLanguage("go",requireGo());hljs.registerLanguage("golo",requireGolo());hljs.registerLanguage("gradle",requireGradle());hljs.registerLanguage("graphql",requireGraphql());hljs.registerLanguage("groovy",requireGroovy());hljs.registerLanguage("haml",requireHaml());hljs.registerLanguage("handlebars",requireHandlebars());hljs.registerLanguage("haskell",requireHaskell());hljs.registerLanguage("haxe",requireHaxe());hljs.registerLanguage("hsp",requireHsp());hljs.registerLanguage("http",requireHttp());hljs.registerLanguage("hy",requireHy());hljs.registerLanguage("inform7",requireInform7());hljs.registerLanguage("ini",requireIni());hljs.registerLanguage("irpf90",requireIrpf90());hljs.registerLanguage("isbl",requireIsbl());hljs.registerLanguage("java",requireJava());hljs.registerLanguage("javascript",requireJavascript());hljs.registerLanguage("jboss-cli",requireJbossCli());hljs.registerLanguage("json",requireJson());hljs.registerLanguage("julia",requireJulia());hljs.registerLanguage("julia-repl",requireJuliaRepl());hljs.registerLanguage("kotlin",requireKotlin());hljs.registerLanguage("lasso",requireLasso());hljs.registerLanguage("latex",requireLatex());hljs.registerLanguage("ldif",requireLdif());hljs.registerLanguage("leaf",requireLeaf());hljs.registerLanguage("less",requireLess());hljs.registerLanguage("lisp",requireLisp());hljs.registerLanguage("livecodeserver",requireLivecodeserver());hljs.registerLanguage("livescript",requireLivescript());hljs.registerLanguage("llvm",requireLlvm());hljs.registerLanguage("lsl",requireLsl());hljs.registerLanguage("lua",requireLua());hljs.registerLanguage("makefile",requireMakefile());hljs.registerLanguage("mathematica",requireMathematica());hljs.registerLanguage("matlab",requireMatlab());hljs.registerLanguage("maxima",requireMaxima());hljs.registerLanguage("mel",requireMel());hljs.registerLanguage("mercury",requireMercury());hljs.registerLanguage("mipsasm",requireMipsasm());hljs.registerLanguage("mizar",requireMizar());hljs.registerLanguage("perl",requirePerl());hljs.registerLanguage("mojolicious",requireMojolicious());hljs.registerLanguage("monkey",requireMonkey());hljs.registerLanguage("moonscript",requireMoonscript());hljs.registerLanguage("n1ql",requireN1ql());hljs.registerLanguage("nestedtext",requireNestedtext());hljs.registerLanguage("nginx",requireNginx());hljs.registerLanguage("nim",requireNim());hljs.registerLanguage("nix",requireNix());hljs.registerLanguage("node-repl",requireNodeRepl());hljs.registerLanguage("nsis",requireNsis());hljs.registerLanguage("objectivec",requireObjectivec());hljs.registerLanguage("ocaml",requireOcaml());hljs.registerLanguage("openscad",requireOpenscad());hljs.registerLanguage("oxygene",requireOxygene());hljs.registerLanguage("parser3",requireParser3());hljs.registerLanguage("pf",requirePf());hljs.registerLanguage("pgsql",requirePgsql());hljs.registerLanguage("php",requirePhp());hljs.registerLanguage("php-template",requirePhpTemplate());hljs.registerLanguage("plaintext",requirePlaintext());hljs.registerLanguage("pony",requirePony());hljs.registerLanguage("powershell",requirePowershell());hljs.registerLanguage("processing",requireProcessing());hljs.registerLanguage("profile",requireProfile());hljs.registerLanguage("prolog",requireProlog());hljs.registerLanguage("properties",requireProperties());hljs.registerLanguage("protobuf",requireProtobuf());hljs.registerLanguage("puppet",requirePuppet());hljs.registerLanguage("purebasic",requirePurebasic());hljs.registerLanguage("python",requirePython());hljs.registerLanguage("python-repl",requirePythonRepl());hljs.registerLanguage("q",requireQ());hljs.registerLanguage("qml",requireQml());hljs.registerLanguage("r",requireR());hljs.registerLanguage("reasonml",requireReasonml());hljs.registerLanguage("rib",requireRib());hljs.registerLanguage("roboconf",requireRoboconf());hljs.registerLanguage("routeros",requireRouteros());hljs.registerLanguage("rsl",requireRsl());hljs.registerLanguage("ruleslanguage",requireRuleslanguage());hljs.registerLanguage("rust",requireRust());hljs.registerLanguage("sas",requireSas());hljs.registerLanguage("scala",requireScala());hljs.registerLanguage("scheme",requireScheme());hljs.registerLanguage("scilab",requireScilab());hljs.registerLanguage("scss",requireScss());hljs.registerLanguage("shell",requireShell());hljs.registerLanguage("smali",requireSmali());hljs.registerLanguage("smalltalk",requireSmalltalk());hljs.registerLanguage("sml",requireSml());hljs.registerLanguage("sqf",requireSqf());hljs.registerLanguage("sql",requireSql());hljs.registerLanguage("stan",requireStan());hljs.registerLanguage("stata",requireStata());hljs.registerLanguage("step21",requireStep21());hljs.registerLanguage("stylus",requireStylus());hljs.registerLanguage("subunit",requireSubunit());hljs.registerLanguage("swift",requireSwift());hljs.registerLanguage("taggerscript",requireTaggerscript());hljs.registerLanguage("yaml",requireYaml());hljs.registerLanguage("tap",requireTap());hljs.registerLanguage("tcl",requireTcl());hljs.registerLanguage("thrift",requireThrift());hljs.registerLanguage("tp",requireTp());hljs.registerLanguage("twig",requireTwig());hljs.registerLanguage("typescript",requireTypescript());hljs.registerLanguage("vala",requireVala());hljs.registerLanguage("vbnet",requireVbnet());hljs.registerLanguage("vbscript",requireVbscript());hljs.registerLanguage("vbscript-html",requireVbscriptHtml());hljs.registerLanguage("verilog",requireVerilog());hljs.registerLanguage("vhdl",requireVhdl());hljs.registerLanguage("vim",requireVim());hljs.registerLanguage("wasm",requireWasm());hljs.registerLanguage("wren",requireWren());hljs.registerLanguage("x86asm",requireX86asm());hljs.registerLanguage("xl",requireXl());hljs.registerLanguage("xquery",requireXquery());hljs.registerLanguage("zephir",requireZephir());hljs.HighlightJS=hljs;hljs.default=hljs;var lib$f=hljs;const HighlightJS=getDefaultExportFromCjs(lib$f);class SourceLocation{constructor(qa,Ja,ed){this.lexer=void 0,this.start=void 0,this.end=void 0,this.lexer=qa,this.start=Ja,this.end=ed}static range(qa,Ja){return Ja?!qa||!qa.loc||!Ja.loc||qa.loc.lexer!==Ja.loc.lexer?null:new SourceLocation(qa.loc.lexer,qa.loc.start,Ja.loc.end):qa&&qa.loc}}class Token{constructor(qa,Ja){this.text=void 0,this.loc=void 0,this.noexpand=void 0,this.treatAsRelax=void 0,this.text=qa,this.loc=Ja}range(qa,Ja){return new Token(Ja,SourceLocation.range(this,qa))}}class ParseError{constructor(qa,Ja){this.name=void 0,this.position=void 0,this.length=void 0,this.rawMessage=void 0;var ed="KaTeX parse error: "+qa,td,rd,sd=Ja&&Ja.loc;if(sd&&sd.start<=sd.end){var od=sd.lexer.input;td=sd.start,rd=sd.end,td===od.length?ed+=" at end of input: ":ed+=" at position "+(td+1)+": ";var ld=od.slice(td,rd).replace(/[^]/g,"$&̲"),cd;td>15?cd="…"+od.slice(td-15,td):cd=od.slice(0,td);var ud;rd+15<od.length?ud=od.slice(rd,rd+15)+"…":ud=od.slice(rd),ed+=cd+ld+ud}var _d=new Error(ed);return _d.name="ParseError",_d.__proto__=ParseError.prototype,_d.position=td,td!=null&&rd!=null&&(_d.length=rd-td),_d.rawMessage=qa,_d}}ParseError.prototype.__proto__=Error.prototype;var contains=function(qa,Ja){return qa.indexOf(Ja)!==-1},deflt=function(qa,Ja){return qa===void 0?Ja:qa},uppercase=/([A-Z])/g,hyphenate=function(qa){return qa.replace(uppercase,"-$1").toLowerCase()},ESCAPE_LOOKUP={"&":"&",">":">","<":"<",'"':""","'":"'"},ESCAPE_REGEX=/[&><"']/g;function escape$3(Ra){return String(Ra).replace(ESCAPE_REGEX,qa=>ESCAPE_LOOKUP[qa])}var getBaseElem=function Ra(qa){return qa.type==="ordgroup"||qa.type==="color"?qa.body.length===1?Ra(qa.body[0]):qa:qa.type==="font"?Ra(qa.body):qa},isCharacterBox=function(qa){var Ja=getBaseElem(qa);return Ja.type==="mathord"||Ja.type==="textord"||Ja.type==="atom"},assert=function(qa){if(!qa)throw new Error("Expected non-null, but got "+String(qa));return qa},protocolFromUrl=function(qa){var Ja=/^[\x00-\x20]*([^\\/#?]*?)(:|�*58|�*3a|&colon)/i.exec(qa);return Ja?Ja[2]!==":"||!/^[a-zA-Z][a-zA-Z0-9+\-.]*$/.test(Ja[1])?null:Ja[1].toLowerCase():"_relative"},utils$6={contains,deflt,escape:escape$3,hyphenate,getBaseElem,isCharacterBox,protocolFromUrl},SETTINGS_SCHEMA={displayMode:{type:"boolean",description:"Render math in display mode, which puts the math in display style (so \\int and \\sum are large, for example), and centers the math on the page on its own line.",cli:"-d, --display-mode"},output:{type:{enum:["htmlAndMathml","html","mathml"]},description:"Determines the markup language of the output.",cli:"-F, --format <type>"},leqno:{type:"boolean",description:"Render display math in leqno style (left-justified tags)."},fleqn:{type:"boolean",description:"Render display math flush left."},throwOnError:{type:"boolean",default:!0,cli:"-t, --no-throw-on-error",cliDescription:"Render errors (in the color given by --error-color) instead of throwing a ParseError exception when encountering an error."},errorColor:{type:"string",default:"#cc0000",cli:"-c, --error-color <color>",cliDescription:"A color string given in the format 'rgb' or 'rrggbb' (no #). This option determines the color of errors rendered by the -t option.",cliProcessor:Ra=>"#"+Ra},macros:{type:"object",cli:"-m, --macro <def>",cliDescription:"Define custom macro of the form '\\foo:expansion' (use multiple -m arguments for multiple macros).",cliDefault:[],cliProcessor:(Ra,qa)=>(qa.push(Ra),qa)},minRuleThickness:{type:"number",description:"Specifies a minimum thickness, in ems, for fraction lines, `\\sqrt` top lines, `{array}` vertical lines, `\\hline`, `\\hdashline`, `\\underline`, `\\overline`, and the borders of `\\fbox`, `\\boxed`, and `\\fcolorbox`.",processor:Ra=>Math.max(0,Ra),cli:"--min-rule-thickness <size>",cliProcessor:parseFloat},colorIsTextColor:{type:"boolean",description:"Makes \\color behave like LaTeX's 2-argument \\textcolor, instead of LaTeX's one-argument \\color mode change.",cli:"-b, --color-is-text-color"},strict:{type:[{enum:["warn","ignore","error"]},"boolean","function"],description:"Turn on strict / LaTeX faithfulness mode, which throws an error if the input uses features that are not supported by LaTeX.",cli:"-S, --strict",cliDefault:!1},trust:{type:["boolean","function"],description:"Trust the input, enabling all HTML features such as \\url.",cli:"-T, --trust"},maxSize:{type:"number",default:1/0,description:"If non-zero, all user-specified sizes, e.g. in \\rule{500em}{500em}, will be capped to maxSize ems. Otherwise, elements and spaces can be arbitrarily large",processor:Ra=>Math.max(0,Ra),cli:"-s, --max-size <n>",cliProcessor:parseInt},maxExpand:{type:"number",default:1e3,description:"Limit the number of macro expansions to the specified number, to prevent e.g. infinite macro loops. If set to Infinity, the macro expander will try to fully expand as in LaTeX.",processor:Ra=>Math.max(0,Ra),cli:"-e, --max-expand <n>",cliProcessor:Ra=>Ra==="Infinity"?1/0:parseInt(Ra)},globalGroup:{type:"boolean",cli:!1}};function getDefaultValue(Ra){if(Ra.default)return Ra.default;var qa=Ra.type,Ja=Array.isArray(qa)?qa[0]:qa;if(typeof Ja!="string")return Ja.enum[0];switch(Ja){case"boolean":return!1;case"string":return"";case"number":return 0;case"object":return{}}}class Settings{constructor(qa){this.displayMode=void 0,this.output=void 0,this.leqno=void 0,this.fleqn=void 0,this.throwOnError=void 0,this.errorColor=void 0,this.macros=void 0,this.minRuleThickness=void 0,this.colorIsTextColor=void 0,this.strict=void 0,this.trust=void 0,this.maxSize=void 0,this.maxExpand=void 0,this.globalGroup=void 0,qa=qa||{};for(var Ja in SETTINGS_SCHEMA)if(SETTINGS_SCHEMA.hasOwnProperty(Ja)){var ed=SETTINGS_SCHEMA[Ja];this[Ja]=qa[Ja]!==void 0?ed.processor?ed.processor(qa[Ja]):qa[Ja]:getDefaultValue(ed)}}reportNonstrict(qa,Ja,ed){var td=this.strict;if(typeof td=="function"&&(td=td(qa,Ja,ed)),!(!td||td==="ignore")){if(td===!0||td==="error")throw new ParseError("LaTeX-incompatible input and strict mode is set to 'error': "+(Ja+" ["+qa+"]"),ed);td==="warn"?typeof console<"u"&&console.warn("LaTeX-incompatible input and strict mode is set to 'warn': "+(Ja+" ["+qa+"]")):typeof console<"u"&&console.warn("LaTeX-incompatible input and strict mode is set to "+("unrecognized '"+td+"': "+Ja+" ["+qa+"]"))}}useStrictBehavior(qa,Ja,ed){var td=this.strict;if(typeof td=="function")try{td=td(qa,Ja,ed)}catch{td="error"}return!td||td==="ignore"?!1:td===!0||td==="error"?!0:td==="warn"?(typeof console<"u"&&console.warn("LaTeX-incompatible input and strict mode is set to 'warn': "+(Ja+" ["+qa+"]")),!1):(typeof console<"u"&&console.warn("LaTeX-incompatible input and strict mode is set to "+("unrecognized '"+td+"': "+Ja+" ["+qa+"]")),!1)}isTrusted(qa){if(qa.url&&!qa.protocol){var Ja=utils$6.protocolFromUrl(qa.url);if(Ja==null)return!1;qa.protocol=Ja}var ed=typeof this.trust=="function"?this.trust(qa):this.trust;return!!ed}}class Style{constructor(qa,Ja,ed){this.id=void 0,this.size=void 0,this.cramped=void 0,this.id=qa,this.size=Ja,this.cramped=ed}sup(){return styles[sup$2[this.id]]}sub(){return styles[sub$2[this.id]]}fracNum(){return styles[fracNum[this.id]]}fracDen(){return styles[fracDen[this.id]]}cramp(){return styles[cramp[this.id]]}text(){return styles[text$1[this.id]]}isTight(){return this.size>=2}}var D$3=0,Dc$1=1,T$3=2,Tc$1=3,S$2=4,Sc$3=5,SS=6,SSc=7,styles=[new Style(D$3,0,!1),new Style(Dc$1,0,!0),new Style(T$3,1,!1),new Style(Tc$1,1,!0),new Style(S$2,2,!1),new Style(Sc$3,2,!0),new Style(SS,3,!1),new Style(SSc,3,!0)],sup$2=[S$2,Sc$3,S$2,Sc$3,SS,SSc,SS,SSc],sub$2=[Sc$3,Sc$3,Sc$3,Sc$3,SSc,SSc,SSc,SSc],fracNum=[T$3,Tc$1,S$2,Sc$3,SS,SSc,SS,SSc],fracDen=[Tc$1,Tc$1,Sc$3,Sc$3,SSc,SSc,SSc,SSc],cramp=[Dc$1,Dc$1,Tc$1,Tc$1,Sc$3,Sc$3,SSc,SSc],text$1=[D$3,Dc$1,T$3,Tc$1,T$3,Tc$1,T$3,Tc$1],Style$1={DISPLAY:styles[D$3],TEXT:styles[T$3],SCRIPT:styles[S$2],SCRIPTSCRIPT:styles[SS]},scriptData=[{name:"latin",blocks:[[256,591],[768,879]]},{name:"cyrillic",blocks:[[1024,1279]]},{name:"armenian",blocks:[[1328,1423]]},{name:"brahmic",blocks:[[2304,4255]]},{name:"georgian",blocks:[[4256,4351]]},{name:"cjk",blocks:[[12288,12543],[19968,40879],[65280,65376]]},{name:"hangul",blocks:[[44032,55215]]}];function scriptFromCodepoint(Ra){for(var qa=0;qa<scriptData.length;qa++)for(var Ja=scriptData[qa],ed=0;ed<Ja.blocks.length;ed++){var td=Ja.blocks[ed];if(Ra>=td[0]&&Ra<=td[1])return Ja.name}return null}var allBlocks=[];scriptData.forEach(Ra=>Ra.blocks.forEach(qa=>allBlocks.push(...qa)));function supportedCodepoint(Ra){for(var qa=0;qa<allBlocks.length;qa+=2)if(Ra>=allBlocks[qa]&&Ra<=allBlocks[qa+1])return!0;return!1}var hLinePad=80,sqrtMain=function(qa,Ja){return"M95,"+(622+qa+Ja)+`
|
||
c-2.7,0,-7.17,-2.7,-13.5,-8c-5.8,-5.3,-9.5,-10,-9.5,-14
|
||
c0,-2,0.3,-3.3,1,-4c1.3,-2.7,23.83,-20.7,67.5,-54
|
||
c44.2,-33.3,65.8,-50.3,66.5,-51c1.3,-1.3,3,-2,5,-2c4.7,0,8.7,3.3,12,10
|
||
s173,378,173,378c0.7,0,35.3,-71,104,-213c68.7,-142,137.5,-285,206.5,-429
|
||
c69,-144,104.5,-217.7,106.5,-221
|
||
l`+qa/2.075+" -"+qa+`
|
||
c5.3,-9.3,12,-14,20,-14
|
||
H400000v`+(40+qa)+`H845.2724
|
||
s-225.272,467,-225.272,467s-235,486,-235,486c-2.7,4.7,-9,7,-19,7
|
||
c-6,0,-10,-1,-12,-3s-194,-422,-194,-422s-65,47,-65,47z
|
||
M`+(834+qa)+" "+Ja+"h400000v"+(40+qa)+"h-400000z"},sqrtSize1=function(qa,Ja){return"M263,"+(601+qa+Ja)+`c0.7,0,18,39.7,52,119
|
||
c34,79.3,68.167,158.7,102.5,238c34.3,79.3,51.8,119.3,52.5,120
|
||
c340,-704.7,510.7,-1060.3,512,-1067
|
||
l`+qa/2.084+" -"+qa+`
|
||
c4.7,-7.3,11,-11,19,-11
|
||
H40000v`+(40+qa)+`H1012.3
|
||
s-271.3,567,-271.3,567c-38.7,80.7,-84,175,-136,283c-52,108,-89.167,185.3,-111.5,232
|
||
c-22.3,46.7,-33.8,70.3,-34.5,71c-4.7,4.7,-12.3,7,-23,7s-12,-1,-12,-1
|
||
s-109,-253,-109,-253c-72.7,-168,-109.3,-252,-110,-252c-10.7,8,-22,16.7,-34,26
|
||
c-22,17.3,-33.3,26,-34,26s-26,-26,-26,-26s76,-59,76,-59s76,-60,76,-60z
|
||
M`+(1001+qa)+" "+Ja+"h400000v"+(40+qa)+"h-400000z"},sqrtSize2=function(qa,Ja){return"M983 "+(10+qa+Ja)+`
|
||
l`+qa/3.13+" -"+qa+`
|
||
c4,-6.7,10,-10,18,-10 H400000v`+(40+qa)+`
|
||
H1013.1s-83.4,268,-264.1,840c-180.7,572,-277,876.3,-289,913c-4.7,4.7,-12.7,7,-24,7
|
||
s-12,0,-12,0c-1.3,-3.3,-3.7,-11.7,-7,-25c-35.3,-125.3,-106.7,-373.3,-214,-744
|
||
c-10,12,-21,25,-33,39s-32,39,-32,39c-6,-5.3,-15,-14,-27,-26s25,-30,25,-30
|
||
c26.7,-32.7,52,-63,76,-91s52,-60,52,-60s208,722,208,722
|
||
c56,-175.3,126.3,-397.3,211,-666c84.7,-268.7,153.8,-488.2,207.5,-658.5
|
||
c53.7,-170.3,84.5,-266.8,92.5,-289.5z
|
||
M`+(1001+qa)+" "+Ja+"h400000v"+(40+qa)+"h-400000z"},sqrtSize3=function(qa,Ja){return"M424,"+(2398+qa+Ja)+`
|
||
c-1.3,-0.7,-38.5,-172,-111.5,-514c-73,-342,-109.8,-513.3,-110.5,-514
|
||
c0,-2,-10.7,14.3,-32,49c-4.7,7.3,-9.8,15.7,-15.5,25c-5.7,9.3,-9.8,16,-12.5,20
|
||
s-5,7,-5,7c-4,-3.3,-8.3,-7.7,-13,-13s-13,-13,-13,-13s76,-122,76,-122s77,-121,77,-121
|
||
s209,968,209,968c0,-2,84.7,-361.7,254,-1079c169.3,-717.3,254.7,-1077.7,256,-1081
|
||
l`+qa/4.223+" -"+qa+`c4,-6.7,10,-10,18,-10 H400000
|
||
v`+(40+qa)+`H1014.6
|
||
s-87.3,378.7,-272.6,1166c-185.3,787.3,-279.3,1182.3,-282,1185
|
||
c-2,6,-10,9,-24,9
|
||
c-8,0,-12,-0.7,-12,-2z M`+(1001+qa)+" "+Ja+`
|
||
h400000v`+(40+qa)+"h-400000z"},sqrtSize4=function(qa,Ja){return"M473,"+(2713+qa+Ja)+`
|
||
c339.3,-1799.3,509.3,-2700,510,-2702 l`+qa/5.298+" -"+qa+`
|
||
c3.3,-7.3,9.3,-11,18,-11 H400000v`+(40+qa)+`H1017.7
|
||
s-90.5,478,-276.2,1466c-185.7,988,-279.5,1483,-281.5,1485c-2,6,-10,9,-24,9
|
||
c-8,0,-12,-0.7,-12,-2c0,-1.3,-5.3,-32,-16,-92c-50.7,-293.3,-119.7,-693.3,-207,-1200
|
||
c0,-1.3,-5.3,8.7,-16,30c-10.7,21.3,-21.3,42.7,-32,64s-16,33,-16,33s-26,-26,-26,-26
|
||
s76,-153,76,-153s77,-151,77,-151c0.7,0.7,35.7,202,105,604c67.3,400.7,102,602.7,104,
|
||
606zM`+(1001+qa)+" "+Ja+"h400000v"+(40+qa)+"H1017.7z"},phasePath=function(qa){var Ja=qa/2;return"M400000 "+qa+" H0 L"+Ja+" 0 l65 45 L145 "+(qa-80)+" H400000z"},sqrtTall=function(qa,Ja,ed){var td=ed-54-Ja-qa;return"M702 "+(qa+Ja)+"H400000"+(40+qa)+`
|
||
H742v`+td+`l-4 4-4 4c-.667.7 -2 1.5-4 2.5s-4.167 1.833-6.5 2.5-5.5 1-9.5 1
|
||
h-12l-28-84c-16.667-52-96.667 -294.333-240-727l-212 -643 -85 170
|
||
c-4-3.333-8.333-7.667-13 -13l-13-13l77-155 77-156c66 199.333 139 419.667
|
||
219 661 l218 661zM702 `+Ja+"H400000v"+(40+qa)+"H742z"},sqrtPath=function(qa,Ja,ed){Ja=1e3*Ja;var td="";switch(qa){case"sqrtMain":td=sqrtMain(Ja,hLinePad);break;case"sqrtSize1":td=sqrtSize1(Ja,hLinePad);break;case"sqrtSize2":td=sqrtSize2(Ja,hLinePad);break;case"sqrtSize3":td=sqrtSize3(Ja,hLinePad);break;case"sqrtSize4":td=sqrtSize4(Ja,hLinePad);break;case"sqrtTall":td=sqrtTall(Ja,hLinePad,ed)}return td},innerPath=function(qa,Ja){switch(qa){case"⎜":return"M291 0 H417 V"+Ja+" H291z M291 0 H417 V"+Ja+" H291z";case"∣":return"M145 0 H188 V"+Ja+" H145z M145 0 H188 V"+Ja+" H145z";case"∥":return"M145 0 H188 V"+Ja+" H145z M145 0 H188 V"+Ja+" H145z"+("M367 0 H410 V"+Ja+" H367z M367 0 H410 V"+Ja+" H367z");case"⎟":return"M457 0 H583 V"+Ja+" H457z M457 0 H583 V"+Ja+" H457z";case"⎢":return"M319 0 H403 V"+Ja+" H319z M319 0 H403 V"+Ja+" H319z";case"⎥":return"M263 0 H347 V"+Ja+" H263z M263 0 H347 V"+Ja+" H263z";case"⎪":return"M384 0 H504 V"+Ja+" H384z M384 0 H504 V"+Ja+" H384z";case"⏐":return"M312 0 H355 V"+Ja+" H312z M312 0 H355 V"+Ja+" H312z";case"‖":return"M257 0 H300 V"+Ja+" H257z M257 0 H300 V"+Ja+" H257z"+("M478 0 H521 V"+Ja+" H478z M478 0 H521 V"+Ja+" H478z");default:return""}},path={doubleleftarrow:`M262 157
|
||
l10-10c34-36 62.7-77 86-123 3.3-8 5-13.3 5-16 0-5.3-6.7-8-20-8-7.3
|
||
0-12.2.5-14.5 1.5-2.3 1-4.8 4.5-7.5 10.5-49.3 97.3-121.7 169.3-217 216-28
|
||
14-57.3 25-88 33-6.7 2-11 3.8-13 5.5-2 1.7-3 4.2-3 7.5s1 5.8 3 7.5
|
||
c2 1.7 6.3 3.5 13 5.5 68 17.3 128.2 47.8 180.5 91.5 52.3 43.7 93.8 96.2 124.5
|
||
157.5 9.3 8 15.3 12.3 18 13h6c12-.7 18-4 18-10 0-2-1.7-7-5-15-23.3-46-52-87
|
||
-86-123l-10-10h399738v-40H218c328 0 0 0 0 0l-10-8c-26.7-20-65.7-43-117-69 2.7
|
||
-2 6-3.7 10-5 36.7-16 72.3-37.3 107-64l10-8h399782v-40z
|
||
m8 0v40h399730v-40zm0 194v40h399730v-40z`,doublerightarrow:`M399738 392l
|
||
-10 10c-34 36-62.7 77-86 123-3.3 8-5 13.3-5 16 0 5.3 6.7 8 20 8 7.3 0 12.2-.5
|
||
14.5-1.5 2.3-1 4.8-4.5 7.5-10.5 49.3-97.3 121.7-169.3 217-216 28-14 57.3-25 88
|
||
-33 6.7-2 11-3.8 13-5.5 2-1.7 3-4.2 3-7.5s-1-5.8-3-7.5c-2-1.7-6.3-3.5-13-5.5-68
|
||
-17.3-128.2-47.8-180.5-91.5-52.3-43.7-93.8-96.2-124.5-157.5-9.3-8-15.3-12.3-18
|
||
-13h-6c-12 .7-18 4-18 10 0 2 1.7 7 5 15 23.3 46 52 87 86 123l10 10H0v40h399782
|
||
c-328 0 0 0 0 0l10 8c26.7 20 65.7 43 117 69-2.7 2-6 3.7-10 5-36.7 16-72.3 37.3
|
||
-107 64l-10 8H0v40zM0 157v40h399730v-40zm0 194v40h399730v-40z`,leftarrow:`M400000 241H110l3-3c68.7-52.7 113.7-120
|
||
135-202 4-14.7 6-23 6-25 0-7.3-7-11-21-11-8 0-13.2.8-15.5 2.5-2.3 1.7-4.2 5.8
|
||
-5.5 12.5-1.3 4.7-2.7 10.3-4 17-12 48.7-34.8 92-68.5 130S65.3 228.3 18 247
|
||
c-10 4-16 7.7-18 11 0 8.7 6 14.3 18 17 47.3 18.7 87.8 47 121.5 85S196 441.3 208
|
||
490c.7 2 1.3 5 2 9s1.2 6.7 1.5 8c.3 1.3 1 3.3 2 6s2.2 4.5 3.5 5.5c1.3 1 3.3
|
||
1.8 6 2.5s6 1 10 1c14 0 21-3.7 21-11 0-2-2-10.3-6-25-20-79.3-65-146.7-135-202
|
||
l-3-3h399890zM100 241v40h399900v-40z`,leftbrace:`M6 548l-6-6v-35l6-11c56-104 135.3-181.3 238-232 57.3-28.7 117
|
||
-45 179-50h399577v120H403c-43.3 7-81 15-113 26-100.7 33-179.7 91-237 174-2.7
|
||
5-6 9-10 13-.7 1-7.3 1-20 1H6z`,leftbraceunder:`M0 6l6-6h17c12.688 0 19.313.3 20 1 4 4 7.313 8.3 10 13
|
||
35.313 51.3 80.813 93.8 136.5 127.5 55.688 33.7 117.188 55.8 184.5 66.5.688
|
||
0 2 .3 4 1 18.688 2.7 76 4.3 172 5h399450v120H429l-6-1c-124.688-8-235-61.7
|
||
-331-161C60.687 138.7 32.312 99.3 7 54L0 41V6z`,leftgroup:`M400000 80
|
||
H435C64 80 168.3 229.4 21 260c-5.9 1.2-18 0-18 0-2 0-3-1-3-3v-38C76 61 257 0
|
||
435 0h399565z`,leftgroupunder:`M400000 262
|
||
H435C64 262 168.3 112.6 21 82c-5.9-1.2-18 0-18 0-2 0-3 1-3 3v38c76 158 257 219
|
||
435 219h399565z`,leftharpoon:`M0 267c.7 5.3 3 10 7 14h399993v-40H93c3.3
|
||
-3.3 10.2-9.5 20.5-18.5s17.8-15.8 22.5-20.5c50.7-52 88-110.3 112-175 4-11.3 5
|
||
-18.3 3-21-1.3-4-7.3-6-18-6-8 0-13 .7-15 2s-4.7 6.7-8 16c-42 98.7-107.3 174.7
|
||
-196 228-6.7 4.7-10.7 8-12 10-1.3 2-2 5.7-2 11zm100-26v40h399900v-40z`,leftharpoonplus:`M0 267c.7 5.3 3 10 7 14h399993v-40H93c3.3-3.3 10.2-9.5
|
||
20.5-18.5s17.8-15.8 22.5-20.5c50.7-52 88-110.3 112-175 4-11.3 5-18.3 3-21-1.3
|
||
-4-7.3-6-18-6-8 0-13 .7-15 2s-4.7 6.7-8 16c-42 98.7-107.3 174.7-196 228-6.7 4.7
|
||
-10.7 8-12 10-1.3 2-2 5.7-2 11zm100-26v40h399900v-40zM0 435v40h400000v-40z
|
||
m0 0v40h400000v-40z`,leftharpoondown:`M7 241c-4 4-6.333 8.667-7 14 0 5.333.667 9 2 11s5.333
|
||
5.333 12 10c90.667 54 156 130 196 228 3.333 10.667 6.333 16.333 9 17 2 .667 5
|
||
1 9 1h5c10.667 0 16.667-2 18-6 2-2.667 1-9.667-3-21-32-87.333-82.667-157.667
|
||
-152-211l-3-3h399907v-40zM93 281 H400000 v-40L7 241z`,leftharpoondownplus:`M7 435c-4 4-6.3 8.7-7 14 0 5.3.7 9 2 11s5.3 5.3 12
|
||
10c90.7 54 156 130 196 228 3.3 10.7 6.3 16.3 9 17 2 .7 5 1 9 1h5c10.7 0 16.7
|
||
-2 18-6 2-2.7 1-9.7-3-21-32-87.3-82.7-157.7-152-211l-3-3h399907v-40H7zm93 0
|
||
v40h399900v-40zM0 241v40h399900v-40zm0 0v40h399900v-40z`,lefthook:`M400000 281 H103s-33-11.2-61-33.5S0 197.3 0 164s14.2-61.2 42.5
|
||
-83.5C70.8 58.2 104 47 142 47 c16.7 0 25 6.7 25 20 0 12-8.7 18.7-26 20-40 3.3
|
||
-68.7 15.7-86 37-10 12-15 25.3-15 40 0 22.7 9.8 40.7 29.5 54 19.7 13.3 43.5 21
|
||
71.5 23h399859zM103 281v-40h399897v40z`,leftlinesegment:`M40 281 V428 H0 V94 H40 V241 H400000 v40z
|
||
M40 281 V428 H0 V94 H40 V241 H400000 v40z`,leftmapsto:`M40 281 V448H0V74H40V241H400000v40z
|
||
M40 281 V448H0V74H40V241H400000v40z`,leftToFrom:`M0 147h400000v40H0zm0 214c68 40 115.7 95.7 143 167h22c15.3 0 23
|
||
-.3 23-1 0-1.3-5.3-13.7-16-37-18-35.3-41.3-69-70-101l-7-8h399905v-40H95l7-8
|
||
c28.7-32 52-65.7 70-101 10.7-23.3 16-35.7 16-37 0-.7-7.7-1-23-1h-22C115.7 265.3
|
||
68 321 0 361zm0-174v-40h399900v40zm100 154v40h399900v-40z`,longequal:`M0 50 h400000 v40H0z m0 194h40000v40H0z
|
||
M0 50 h400000 v40H0z m0 194h40000v40H0z`,midbrace:`M200428 334
|
||
c-100.7-8.3-195.3-44-280-108-55.3-42-101.7-93-139-153l-9-14c-2.7 4-5.7 8.7-9 14
|
||
-53.3 86.7-123.7 153-211 199-66.7 36-137.3 56.3-212 62H0V214h199568c178.3-11.7
|
||
311.7-78.3 403-201 6-8 9.7-12 11-12 .7-.7 6.7-1 18-1s17.3.3 18 1c1.3 0 5 4 11
|
||
12 44.7 59.3 101.3 106.3 170 141s145.3 54.3 229 60h199572v120z`,midbraceunder:`M199572 214
|
||
c100.7 8.3 195.3 44 280 108 55.3 42 101.7 93 139 153l9 14c2.7-4 5.7-8.7 9-14
|
||
53.3-86.7 123.7-153 211-199 66.7-36 137.3-56.3 212-62h199568v120H200432c-178.3
|
||
11.7-311.7 78.3-403 201-6 8-9.7 12-11 12-.7.7-6.7 1-18 1s-17.3-.3-18-1c-1.3 0
|
||
-5-4-11-12-44.7-59.3-101.3-106.3-170-141s-145.3-54.3-229-60H0V214z`,oiintSize1:`M512.6 71.6c272.6 0 320.3 106.8 320.3 178.2 0 70.8-47.7 177.6
|
||
-320.3 177.6S193.1 320.6 193.1 249.8c0-71.4 46.9-178.2 319.5-178.2z
|
||
m368.1 178.2c0-86.4-60.9-215.4-368.1-215.4-306.4 0-367.3 129-367.3 215.4 0 85.8
|
||
60.9 214.8 367.3 214.8 307.2 0 368.1-129 368.1-214.8z`,oiintSize2:`M757.8 100.1c384.7 0 451.1 137.6 451.1 230 0 91.3-66.4 228.8
|
||
-451.1 228.8-386.3 0-452.7-137.5-452.7-228.8 0-92.4 66.4-230 452.7-230z
|
||
m502.4 230c0-111.2-82.4-277.2-502.4-277.2s-504 166-504 277.2
|
||
c0 110 84 276 504 276s502.4-166 502.4-276z`,oiiintSize1:`M681.4 71.6c408.9 0 480.5 106.8 480.5 178.2 0 70.8-71.6 177.6
|
||
-480.5 177.6S202.1 320.6 202.1 249.8c0-71.4 70.5-178.2 479.3-178.2z
|
||
m525.8 178.2c0-86.4-86.8-215.4-525.7-215.4-437.9 0-524.7 129-524.7 215.4 0
|
||
85.8 86.8 214.8 524.7 214.8 438.9 0 525.7-129 525.7-214.8z`,oiiintSize2:`M1021.2 53c603.6 0 707.8 165.8 707.8 277.2 0 110-104.2 275.8
|
||
-707.8 275.8-606 0-710.2-165.8-710.2-275.8C311 218.8 415.2 53 1021.2 53z
|
||
m770.4 277.1c0-131.2-126.4-327.6-770.5-327.6S248.4 198.9 248.4 330.1
|
||
c0 130 128.8 326.4 772.7 326.4s770.5-196.4 770.5-326.4z`,rightarrow:`M0 241v40h399891c-47.3 35.3-84 78-110 128
|
||
-16.7 32-27.7 63.7-33 95 0 1.3-.2 2.7-.5 4-.3 1.3-.5 2.3-.5 3 0 7.3 6.7 11 20
|
||
11 8 0 13.2-.8 15.5-2.5 2.3-1.7 4.2-5.5 5.5-11.5 2-13.3 5.7-27 11-41 14.7-44.7
|
||
39-84.5 73-119.5s73.7-60.2 119-75.5c6-2 9-5.7 9-11s-3-9-9-11c-45.3-15.3-85
|
||
-40.5-119-75.5s-58.3-74.8-73-119.5c-4.7-14-8.3-27.3-11-40-1.3-6.7-3.2-10.8-5.5
|
||
-12.5-2.3-1.7-7.5-2.5-15.5-2.5-14 0-21 3.7-21 11 0 2 2 10.3 6 25 20.7 83.3 67
|
||
151.7 139 205zm0 0v40h399900v-40z`,rightbrace:`M400000 542l
|
||
-6 6h-17c-12.7 0-19.3-.3-20-1-4-4-7.3-8.3-10-13-35.3-51.3-80.8-93.8-136.5-127.5
|
||
s-117.2-55.8-184.5-66.5c-.7 0-2-.3-4-1-18.7-2.7-76-4.3-172-5H0V214h399571l6 1
|
||
c124.7 8 235 61.7 331 161 31.3 33.3 59.7 72.7 85 118l7 13v35z`,rightbraceunder:`M399994 0l6 6v35l-6 11c-56 104-135.3 181.3-238 232-57.3
|
||
28.7-117 45-179 50H-300V214h399897c43.3-7 81-15 113-26 100.7-33 179.7-91 237
|
||
-174 2.7-5 6-9 10-13 .7-1 7.3-1 20-1h17z`,rightgroup:`M0 80h399565c371 0 266.7 149.4 414 180 5.9 1.2 18 0 18 0 2 0
|
||
3-1 3-3v-38c-76-158-257-219-435-219H0z`,rightgroupunder:`M0 262h399565c371 0 266.7-149.4 414-180 5.9-1.2 18 0 18
|
||
0 2 0 3 1 3 3v38c-76 158-257 219-435 219H0z`,rightharpoon:`M0 241v40h399993c4.7-4.7 7-9.3 7-14 0-9.3
|
||
-3.7-15.3-11-18-92.7-56.7-159-133.7-199-231-3.3-9.3-6-14.7-8-16-2-1.3-7-2-15-2
|
||
-10.7 0-16.7 2-18 6-2 2.7-1 9.7 3 21 15.3 42 36.7 81.8 64 119.5 27.3 37.7 58
|
||
69.2 92 94.5zm0 0v40h399900v-40z`,rightharpoonplus:`M0 241v40h399993c4.7-4.7 7-9.3 7-14 0-9.3-3.7-15.3-11
|
||
-18-92.7-56.7-159-133.7-199-231-3.3-9.3-6-14.7-8-16-2-1.3-7-2-15-2-10.7 0-16.7
|
||
2-18 6-2 2.7-1 9.7 3 21 15.3 42 36.7 81.8 64 119.5 27.3 37.7 58 69.2 92 94.5z
|
||
m0 0v40h399900v-40z m100 194v40h399900v-40zm0 0v40h399900v-40z`,rightharpoondown:`M399747 511c0 7.3 6.7 11 20 11 8 0 13-.8 15-2.5s4.7-6.8
|
||
8-15.5c40-94 99.3-166.3 178-217 13.3-8 20.3-12.3 21-13 5.3-3.3 8.5-5.8 9.5
|
||
-7.5 1-1.7 1.5-5.2 1.5-10.5s-2.3-10.3-7-15H0v40h399908c-34 25.3-64.7 57-92 95
|
||
-27.3 38-48.7 77.7-64 119-3.3 8.7-5 14-5 16zM0 241v40h399900v-40z`,rightharpoondownplus:`M399747 705c0 7.3 6.7 11 20 11 8 0 13-.8
|
||
15-2.5s4.7-6.8 8-15.5c40-94 99.3-166.3 178-217 13.3-8 20.3-12.3 21-13 5.3-3.3
|
||
8.5-5.8 9.5-7.5 1-1.7 1.5-5.2 1.5-10.5s-2.3-10.3-7-15H0v40h399908c-34 25.3
|
||
-64.7 57-92 95-27.3 38-48.7 77.7-64 119-3.3 8.7-5 14-5 16zM0 435v40h399900v-40z
|
||
m0-194v40h400000v-40zm0 0v40h400000v-40z`,righthook:`M399859 241c-764 0 0 0 0 0 40-3.3 68.7-15.7 86-37 10-12 15-25.3
|
||
15-40 0-22.7-9.8-40.7-29.5-54-19.7-13.3-43.5-21-71.5-23-17.3-1.3-26-8-26-20 0
|
||
-13.3 8.7-20 26-20 38 0 71 11.2 99 33.5 0 0 7 5.6 21 16.7 14 11.2 21 33.5 21
|
||
66.8s-14 61.2-42 83.5c-28 22.3-61 33.5-99 33.5L0 241z M0 281v-40h399859v40z`,rightlinesegment:`M399960 241 V94 h40 V428 h-40 V281 H0 v-40z
|
||
M399960 241 V94 h40 V428 h-40 V281 H0 v-40z`,rightToFrom:`M400000 167c-70.7-42-118-97.7-142-167h-23c-15.3 0-23 .3-23
|
||
1 0 1.3 5.3 13.7 16 37 18 35.3 41.3 69 70 101l7 8H0v40h399905l-7 8c-28.7 32
|
||
-52 65.7-70 101-10.7 23.3-16 35.7-16 37 0 .7 7.7 1 23 1h23c24-69.3 71.3-125 142
|
||
-167z M100 147v40h399900v-40zM0 341v40h399900v-40z`,twoheadleftarrow:`M0 167c68 40
|
||
115.7 95.7 143 167h22c15.3 0 23-.3 23-1 0-1.3-5.3-13.7-16-37-18-35.3-41.3-69
|
||
-70-101l-7-8h125l9 7c50.7 39.3 85 86 103 140h46c0-4.7-6.3-18.7-19-42-18-35.3
|
||
-40-67.3-66-96l-9-9h399716v-40H284l9-9c26-28.7 48-60.7 66-96 12.7-23.333 19
|
||
-37.333 19-42h-46c-18 54-52.3 100.7-103 140l-9 7H95l7-8c28.7-32 52-65.7 70-101
|
||
10.7-23.333 16-35.7 16-37 0-.7-7.7-1-23-1h-22C115.7 71.3 68 127 0 167z`,twoheadrightarrow:`M400000 167
|
||
c-68-40-115.7-95.7-143-167h-22c-15.3 0-23 .3-23 1 0 1.3 5.3 13.7 16 37 18 35.3
|
||
41.3 69 70 101l7 8h-125l-9-7c-50.7-39.3-85-86-103-140h-46c0 4.7 6.3 18.7 19 42
|
||
18 35.3 40 67.3 66 96l9 9H0v40h399716l-9 9c-26 28.7-48 60.7-66 96-12.7 23.333
|
||
-19 37.333-19 42h46c18-54 52.3-100.7 103-140l9-7h125l-7 8c-28.7 32-52 65.7-70
|
||
101-10.7 23.333-16 35.7-16 37 0 .7 7.7 1 23 1h22c27.3-71.3 75-127 143-167z`,tilde1:`M200 55.538c-77 0-168 73.953-177 73.953-3 0-7
|
||
-2.175-9-5.437L2 97c-1-2-2-4-2-6 0-4 2-7 5-9l20-12C116 12 171 0 207 0c86 0
|
||
114 68 191 68 78 0 168-68 177-68 4 0 7 2 9 5l12 19c1 2.175 2 4.35 2 6.525 0
|
||
4.35-2 7.613-5 9.788l-19 13.05c-92 63.077-116.937 75.308-183 76.128
|
||
-68.267.847-113-73.952-191-73.952z`,tilde2:`M344 55.266c-142 0-300.638 81.316-311.5 86.418
|
||
-8.01 3.762-22.5 10.91-23.5 5.562L1 120c-1-2-1-3-1-4 0-5 3-9 8-10l18.4-9C160.9
|
||
31.9 283 0 358 0c148 0 188 122 331 122s314-97 326-97c4 0 8 2 10 7l7 21.114
|
||
c1 2.14 1 3.21 1 4.28 0 5.347-3 9.626-7 10.696l-22.3 12.622C852.6 158.372 751
|
||
181.476 676 181.476c-149 0-189-126.21-332-126.21z`,tilde3:`M786 59C457 59 32 175.242 13 175.242c-6 0-10-3.457
|
||
-11-10.37L.15 138c-1-7 3-12 10-13l19.2-6.4C378.4 40.7 634.3 0 804.3 0c337 0
|
||
411.8 157 746.8 157 328 0 754-112 773-112 5 0 10 3 11 9l1 14.075c1 8.066-.697
|
||
16.595-6.697 17.492l-21.052 7.31c-367.9 98.146-609.15 122.696-778.15 122.696
|
||
-338 0-409-156.573-744-156.573z`,tilde4:`M786 58C457 58 32 177.487 13 177.487c-6 0-10-3.345
|
||
-11-10.035L.15 143c-1-7 3-12 10-13l22-6.7C381.2 35 637.15 0 807.15 0c337 0 409
|
||
177 744 177 328 0 754-127 773-127 5 0 10 3 11 9l1 14.794c1 7.805-3 13.38-9
|
||
14.495l-20.7 5.574c-366.85 99.79-607.3 139.372-776.3 139.372-338 0-409
|
||
-175.236-744-175.236z`,vec:`M377 20c0-5.333 1.833-10 5.5-14S391 0 397 0c4.667 0 8.667 1.667 12 5
|
||
3.333 2.667 6.667 9 10 19 6.667 24.667 20.333 43.667 41 57 7.333 4.667 11
|
||
10.667 11 18 0 6-1 10-3 12s-6.667 5-14 9c-28.667 14.667-53.667 35.667-75 63
|
||
-1.333 1.333-3.167 3.5-5.5 6.5s-4 4.833-5 5.5c-1 .667-2.5 1.333-4.5 2s-4.333 1
|
||
-7 1c-4.667 0-9.167-1.833-13.5-5.5S337 184 337 178c0-12.667 15.667-32.333 47-59
|
||
H213l-171-1c-8.667-6-13-12.333-13-19 0-4.667 4.333-11.333 13-20h359
|
||
c-16-25.333-24-45-24-59z`,widehat1:`M529 0h5l519 115c5 1 9 5 9 10 0 1-1 2-1 3l-4 22
|
||
c-1 5-5 9-11 9h-2L532 67 19 159h-2c-5 0-9-4-11-9l-5-22c-1-6 2-12 8-13z`,widehat2:`M1181 0h2l1171 176c6 0 10 5 10 11l-2 23c-1 6-5 10
|
||
-11 10h-1L1182 67 15 220h-1c-6 0-10-4-11-10l-2-23c-1-6 4-11 10-11z`,widehat3:`M1181 0h2l1171 236c6 0 10 5 10 11l-2 23c-1 6-5 10
|
||
-11 10h-1L1182 67 15 280h-1c-6 0-10-4-11-10l-2-23c-1-6 4-11 10-11z`,widehat4:`M1181 0h2l1171 296c6 0 10 5 10 11l-2 23c-1 6-5 10
|
||
-11 10h-1L1182 67 15 340h-1c-6 0-10-4-11-10l-2-23c-1-6 4-11 10-11z`,widecheck1:`M529,159h5l519,-115c5,-1,9,-5,9,-10c0,-1,-1,-2,-1,-3l-4,-22c-1,
|
||
-5,-5,-9,-11,-9h-2l-512,92l-513,-92h-2c-5,0,-9,4,-11,9l-5,22c-1,6,2,12,8,13z`,widecheck2:`M1181,220h2l1171,-176c6,0,10,-5,10,-11l-2,-23c-1,-6,-5,-10,
|
||
-11,-10h-1l-1168,153l-1167,-153h-1c-6,0,-10,4,-11,10l-2,23c-1,6,4,11,10,11z`,widecheck3:`M1181,280h2l1171,-236c6,0,10,-5,10,-11l-2,-23c-1,-6,-5,-10,
|
||
-11,-10h-1l-1168,213l-1167,-213h-1c-6,0,-10,4,-11,10l-2,23c-1,6,4,11,10,11z`,widecheck4:`M1181,340h2l1171,-296c6,0,10,-5,10,-11l-2,-23c-1,-6,-5,-10,
|
||
-11,-10h-1l-1168,273l-1167,-273h-1c-6,0,-10,4,-11,10l-2,23c-1,6,4,11,10,11z`,baraboveleftarrow:`M400000 620h-399890l3 -3c68.7 -52.7 113.7 -120 135 -202
|
||
c4 -14.7 6 -23 6 -25c0 -7.3 -7 -11 -21 -11c-8 0 -13.2 0.8 -15.5 2.5
|
||
c-2.3 1.7 -4.2 5.8 -5.5 12.5c-1.3 4.7 -2.7 10.3 -4 17c-12 48.7 -34.8 92 -68.5 130
|
||
s-74.2 66.3 -121.5 85c-10 4 -16 7.7 -18 11c0 8.7 6 14.3 18 17c47.3 18.7 87.8 47
|
||
121.5 85s56.5 81.3 68.5 130c0.7 2 1.3 5 2 9s1.2 6.7 1.5 8c0.3 1.3 1 3.3 2 6
|
||
s2.2 4.5 3.5 5.5c1.3 1 3.3 1.8 6 2.5s6 1 10 1c14 0 21 -3.7 21 -11
|
||
c0 -2 -2 -10.3 -6 -25c-20 -79.3 -65 -146.7 -135 -202l-3 -3h399890z
|
||
M100 620v40h399900v-40z M0 241v40h399900v-40zM0 241v40h399900v-40z`,rightarrowabovebar:`M0 241v40h399891c-47.3 35.3-84 78-110 128-16.7 32
|
||
-27.7 63.7-33 95 0 1.3-.2 2.7-.5 4-.3 1.3-.5 2.3-.5 3 0 7.3 6.7 11 20 11 8 0
|
||
13.2-.8 15.5-2.5 2.3-1.7 4.2-5.5 5.5-11.5 2-13.3 5.7-27 11-41 14.7-44.7 39
|
||
-84.5 73-119.5s73.7-60.2 119-75.5c6-2 9-5.7 9-11s-3-9-9-11c-45.3-15.3-85-40.5
|
||
-119-75.5s-58.3-74.8-73-119.5c-4.7-14-8.3-27.3-11-40-1.3-6.7-3.2-10.8-5.5
|
||
-12.5-2.3-1.7-7.5-2.5-15.5-2.5-14 0-21 3.7-21 11 0 2 2 10.3 6 25 20.7 83.3 67
|
||
151.7 139 205zm96 379h399894v40H0zm0 0h399904v40H0z`,baraboveshortleftharpoon:`M507,435c-4,4,-6.3,8.7,-7,14c0,5.3,0.7,9,2,11
|
||
c1.3,2,5.3,5.3,12,10c90.7,54,156,130,196,228c3.3,10.7,6.3,16.3,9,17
|
||
c2,0.7,5,1,9,1c0,0,5,0,5,0c10.7,0,16.7,-2,18,-6c2,-2.7,1,-9.7,-3,-21
|
||
c-32,-87.3,-82.7,-157.7,-152,-211c0,0,-3,-3,-3,-3l399351,0l0,-40
|
||
c-398570,0,-399437,0,-399437,0z M593 435 v40 H399500 v-40z
|
||
M0 281 v-40 H399908 v40z M0 281 v-40 H399908 v40z`,rightharpoonaboveshortbar:`M0,241 l0,40c399126,0,399993,0,399993,0
|
||
c4.7,-4.7,7,-9.3,7,-14c0,-9.3,-3.7,-15.3,-11,-18c-92.7,-56.7,-159,-133.7,-199,
|
||
-231c-3.3,-9.3,-6,-14.7,-8,-16c-2,-1.3,-7,-2,-15,-2c-10.7,0,-16.7,2,-18,6
|
||
c-2,2.7,-1,9.7,3,21c15.3,42,36.7,81.8,64,119.5c27.3,37.7,58,69.2,92,94.5z
|
||
M0 241 v40 H399908 v-40z M0 475 v-40 H399500 v40z M0 475 v-40 H399500 v40z`,shortbaraboveleftharpoon:`M7,435c-4,4,-6.3,8.7,-7,14c0,5.3,0.7,9,2,11
|
||
c1.3,2,5.3,5.3,12,10c90.7,54,156,130,196,228c3.3,10.7,6.3,16.3,9,17c2,0.7,5,1,9,
|
||
1c0,0,5,0,5,0c10.7,0,16.7,-2,18,-6c2,-2.7,1,-9.7,-3,-21c-32,-87.3,-82.7,-157.7,
|
||
-152,-211c0,0,-3,-3,-3,-3l399907,0l0,-40c-399126,0,-399993,0,-399993,0z
|
||
M93 435 v40 H400000 v-40z M500 241 v40 H400000 v-40z M500 241 v40 H400000 v-40z`,shortrightharpoonabovebar:`M53,241l0,40c398570,0,399437,0,399437,0
|
||
c4.7,-4.7,7,-9.3,7,-14c0,-9.3,-3.7,-15.3,-11,-18c-92.7,-56.7,-159,-133.7,-199,
|
||
-231c-3.3,-9.3,-6,-14.7,-8,-16c-2,-1.3,-7,-2,-15,-2c-10.7,0,-16.7,2,-18,6
|
||
c-2,2.7,-1,9.7,3,21c15.3,42,36.7,81.8,64,119.5c27.3,37.7,58,69.2,92,94.5z
|
||
M500 241 v40 H399408 v-40z M500 435 v40 H400000 v-40z`},tallDelim=function(qa,Ja){switch(qa){case"lbrack":return"M403 1759 V84 H666 V0 H319 V1759 v"+Ja+` v1759 h347 v-84
|
||
H403z M403 1759 V0 H319 V1759 v`+Ja+" v1759 h84z";case"rbrack":return"M347 1759 V0 H0 V84 H263 V1759 v"+Ja+` v1759 H0 v84 H347z
|
||
M347 1759 V0 H263 V1759 v`+Ja+" v1759 h84z";case"vert":return"M145 15 v585 v"+Ja+` v585 c2.667,10,9.667,15,21,15
|
||
c10,0,16.667,-5,20,-15 v-585 v`+-Ja+` v-585 c-2.667,-10,-9.667,-15,-21,-15
|
||
c-10,0,-16.667,5,-20,15z M188 15 H145 v585 v`+Ja+" v585 h43z";case"doublevert":return"M145 15 v585 v"+Ja+` v585 c2.667,10,9.667,15,21,15
|
||
c10,0,16.667,-5,20,-15 v-585 v`+-Ja+` v-585 c-2.667,-10,-9.667,-15,-21,-15
|
||
c-10,0,-16.667,5,-20,15z M188 15 H145 v585 v`+Ja+` v585 h43z
|
||
M367 15 v585 v`+Ja+` v585 c2.667,10,9.667,15,21,15
|
||
c10,0,16.667,-5,20,-15 v-585 v`+-Ja+` v-585 c-2.667,-10,-9.667,-15,-21,-15
|
||
c-10,0,-16.667,5,-20,15z M410 15 H367 v585 v`+Ja+" v585 h43z";case"lfloor":return"M319 602 V0 H403 V602 v"+Ja+` v1715 h263 v84 H319z
|
||
MM319 602 V0 H403 V602 v`+Ja+" v1715 H319z";case"rfloor":return"M319 602 V0 H403 V602 v"+Ja+` v1799 H0 v-84 H319z
|
||
MM319 602 V0 H403 V602 v`+Ja+" v1715 H319z";case"lceil":return"M403 1759 V84 H666 V0 H319 V1759 v"+Ja+` v602 h84z
|
||
M403 1759 V0 H319 V1759 v`+Ja+" v602 h84z";case"rceil":return"M347 1759 V0 H0 V84 H263 V1759 v"+Ja+` v602 h84z
|
||
M347 1759 V0 h-84 V1759 v`+Ja+" v602 h84z";case"lparen":return`M863,9c0,-2,-2,-5,-6,-9c0,0,-17,0,-17,0c-12.7,0,-19.3,0.3,-20,1
|
||
c-5.3,5.3,-10.3,11,-15,17c-242.7,294.7,-395.3,682,-458,1162c-21.3,163.3,-33.3,349,
|
||
-36,557 l0,`+(Ja+84)+`c0.2,6,0,26,0,60c2,159.3,10,310.7,24,454c53.3,528,210,
|
||
949.7,470,1265c4.7,6,9.7,11.7,15,17c0.7,0.7,7,1,19,1c0,0,18,0,18,0c4,-4,6,-7,6,-9
|
||
c0,-2.7,-3.3,-8.7,-10,-18c-135.3,-192.7,-235.5,-414.3,-300.5,-665c-65,-250.7,-102.5,
|
||
-544.7,-112.5,-882c-2,-104,-3,-167,-3,-189
|
||
l0,-`+(Ja+92)+`c0,-162.7,5.7,-314,17,-454c20.7,-272,63.7,-513,129,-723c65.3,
|
||
-210,155.3,-396.3,270,-559c6.7,-9.3,10,-15.3,10,-18z`;case"rparen":return`M76,0c-16.7,0,-25,3,-25,9c0,2,2,6.3,6,13c21.3,28.7,42.3,60.3,
|
||
63,95c96.7,156.7,172.8,332.5,228.5,527.5c55.7,195,92.8,416.5,111.5,664.5
|
||
c11.3,139.3,17,290.7,17,454c0,28,1.7,43,3.3,45l0,`+(Ja+9)+`
|
||
c-3,4,-3.3,16.7,-3.3,38c0,162,-5.7,313.7,-17,455c-18.7,248,-55.8,469.3,-111.5,664
|
||
c-55.7,194.7,-131.8,370.3,-228.5,527c-20.7,34.7,-41.7,66.3,-63,95c-2,3.3,-4,7,-6,11
|
||
c0,7.3,5.7,11,17,11c0,0,11,0,11,0c9.3,0,14.3,-0.3,15,-1c5.3,-5.3,10.3,-11,15,-17
|
||
c242.7,-294.7,395.3,-681.7,458,-1161c21.3,-164.7,33.3,-350.7,36,-558
|
||
l0,-`+(Ja+144)+`c-2,-159.3,-10,-310.7,-24,-454c-53.3,-528,-210,-949.7,
|
||
-470,-1265c-4.7,-6,-9.7,-11.7,-15,-17c-0.7,-0.7,-6.7,-1,-18,-1z`;default:throw new Error("Unknown stretchy delimiter.")}};class DocumentFragment{constructor(qa){this.children=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.maxFontSize=void 0,this.style=void 0,this.children=qa,this.classes=[],this.height=0,this.depth=0,this.maxFontSize=0,this.style={}}hasClass(qa){return utils$6.contains(this.classes,qa)}toNode(){for(var qa=document.createDocumentFragment(),Ja=0;Ja<this.children.length;Ja++)qa.appendChild(this.children[Ja].toNode());return qa}toMarkup(){for(var qa="",Ja=0;Ja<this.children.length;Ja++)qa+=this.children[Ja].toMarkup();return qa}toText(){var qa=Ja=>Ja.toText();return this.children.map(qa).join("")}}var fontMetricsData={"AMS-Regular":{32:[0,0,0,0,.25],65:[0,.68889,0,0,.72222],66:[0,.68889,0,0,.66667],67:[0,.68889,0,0,.72222],68:[0,.68889,0,0,.72222],69:[0,.68889,0,0,.66667],70:[0,.68889,0,0,.61111],71:[0,.68889,0,0,.77778],72:[0,.68889,0,0,.77778],73:[0,.68889,0,0,.38889],74:[.16667,.68889,0,0,.5],75:[0,.68889,0,0,.77778],76:[0,.68889,0,0,.66667],77:[0,.68889,0,0,.94445],78:[0,.68889,0,0,.72222],79:[.16667,.68889,0,0,.77778],80:[0,.68889,0,0,.61111],81:[.16667,.68889,0,0,.77778],82:[0,.68889,0,0,.72222],83:[0,.68889,0,0,.55556],84:[0,.68889,0,0,.66667],85:[0,.68889,0,0,.72222],86:[0,.68889,0,0,.72222],87:[0,.68889,0,0,1],88:[0,.68889,0,0,.72222],89:[0,.68889,0,0,.72222],90:[0,.68889,0,0,.66667],107:[0,.68889,0,0,.55556],160:[0,0,0,0,.25],165:[0,.675,.025,0,.75],174:[.15559,.69224,0,0,.94666],240:[0,.68889,0,0,.55556],295:[0,.68889,0,0,.54028],710:[0,.825,0,0,2.33334],732:[0,.9,0,0,2.33334],770:[0,.825,0,0,2.33334],771:[0,.9,0,0,2.33334],989:[.08167,.58167,0,0,.77778],1008:[0,.43056,.04028,0,.66667],8245:[0,.54986,0,0,.275],8463:[0,.68889,0,0,.54028],8487:[0,.68889,0,0,.72222],8498:[0,.68889,0,0,.55556],8502:[0,.68889,0,0,.66667],8503:[0,.68889,0,0,.44445],8504:[0,.68889,0,0,.66667],8513:[0,.68889,0,0,.63889],8592:[-.03598,.46402,0,0,.5],8594:[-.03598,.46402,0,0,.5],8602:[-.13313,.36687,0,0,1],8603:[-.13313,.36687,0,0,1],8606:[.01354,.52239,0,0,1],8608:[.01354,.52239,0,0,1],8610:[.01354,.52239,0,0,1.11111],8611:[.01354,.52239,0,0,1.11111],8619:[0,.54986,0,0,1],8620:[0,.54986,0,0,1],8621:[-.13313,.37788,0,0,1.38889],8622:[-.13313,.36687,0,0,1],8624:[0,.69224,0,0,.5],8625:[0,.69224,0,0,.5],8630:[0,.43056,0,0,1],8631:[0,.43056,0,0,1],8634:[.08198,.58198,0,0,.77778],8635:[.08198,.58198,0,0,.77778],8638:[.19444,.69224,0,0,.41667],8639:[.19444,.69224,0,0,.41667],8642:[.19444,.69224,0,0,.41667],8643:[.19444,.69224,0,0,.41667],8644:[.1808,.675,0,0,1],8646:[.1808,.675,0,0,1],8647:[.1808,.675,0,0,1],8648:[.19444,.69224,0,0,.83334],8649:[.1808,.675,0,0,1],8650:[.19444,.69224,0,0,.83334],8651:[.01354,.52239,0,0,1],8652:[.01354,.52239,0,0,1],8653:[-.13313,.36687,0,0,1],8654:[-.13313,.36687,0,0,1],8655:[-.13313,.36687,0,0,1],8666:[.13667,.63667,0,0,1],8667:[.13667,.63667,0,0,1],8669:[-.13313,.37788,0,0,1],8672:[-.064,.437,0,0,1.334],8674:[-.064,.437,0,0,1.334],8705:[0,.825,0,0,.5],8708:[0,.68889,0,0,.55556],8709:[.08167,.58167,0,0,.77778],8717:[0,.43056,0,0,.42917],8722:[-.03598,.46402,0,0,.5],8724:[.08198,.69224,0,0,.77778],8726:[.08167,.58167,0,0,.77778],8733:[0,.69224,0,0,.77778],8736:[0,.69224,0,0,.72222],8737:[0,.69224,0,0,.72222],8738:[.03517,.52239,0,0,.72222],8739:[.08167,.58167,0,0,.22222],8740:[.25142,.74111,0,0,.27778],8741:[.08167,.58167,0,0,.38889],8742:[.25142,.74111,0,0,.5],8756:[0,.69224,0,0,.66667],8757:[0,.69224,0,0,.66667],8764:[-.13313,.36687,0,0,.77778],8765:[-.13313,.37788,0,0,.77778],8769:[-.13313,.36687,0,0,.77778],8770:[-.03625,.46375,0,0,.77778],8774:[.30274,.79383,0,0,.77778],8776:[-.01688,.48312,0,0,.77778],8778:[.08167,.58167,0,0,.77778],8782:[.06062,.54986,0,0,.77778],8783:[.06062,.54986,0,0,.77778],8785:[.08198,.58198,0,0,.77778],8786:[.08198,.58198,0,0,.77778],8787:[.08198,.58198,0,0,.77778],8790:[0,.69224,0,0,.77778],8791:[.22958,.72958,0,0,.77778],8796:[.08198,.91667,0,0,.77778],8806:[.25583,.75583,0,0,.77778],8807:[.25583,.75583,0,0,.77778],8808:[.25142,.75726,0,0,.77778],8809:[.25142,.75726,0,0,.77778],8812:[.25583,.75583,0,0,.5],8814:[.20576,.70576,0,0,.77778],8815:[.20576,.70576,0,0,.77778],8816:[.30274,.79383,0,0,.77778],8817:[.30274,.79383,0,0,.77778],8818:[.22958,.72958,0,0,.77778],8819:[.22958,.72958,0,0,.77778],8822:[.1808,.675,0,0,.77778],8823:[.1808,.675,0,0,.77778],8828:[.13667,.63667,0,0,.77778],8829:[.13667,.63667,0,0,.77778],8830:[.22958,.72958,0,0,.77778],8831:[.22958,.72958,0,0,.77778],8832:[.20576,.70576,0,0,.77778],8833:[.20576,.70576,0,0,.77778],8840:[.30274,.79383,0,0,.77778],8841:[.30274,.79383,0,0,.77778],8842:[.13597,.63597,0,0,.77778],8843:[.13597,.63597,0,0,.77778],8847:[.03517,.54986,0,0,.77778],8848:[.03517,.54986,0,0,.77778],8858:[.08198,.58198,0,0,.77778],8859:[.08198,.58198,0,0,.77778],8861:[.08198,.58198,0,0,.77778],8862:[0,.675,0,0,.77778],8863:[0,.675,0,0,.77778],8864:[0,.675,0,0,.77778],8865:[0,.675,0,0,.77778],8872:[0,.69224,0,0,.61111],8873:[0,.69224,0,0,.72222],8874:[0,.69224,0,0,.88889],8876:[0,.68889,0,0,.61111],8877:[0,.68889,0,0,.61111],8878:[0,.68889,0,0,.72222],8879:[0,.68889,0,0,.72222],8882:[.03517,.54986,0,0,.77778],8883:[.03517,.54986,0,0,.77778],8884:[.13667,.63667,0,0,.77778],8885:[.13667,.63667,0,0,.77778],8888:[0,.54986,0,0,1.11111],8890:[.19444,.43056,0,0,.55556],8891:[.19444,.69224,0,0,.61111],8892:[.19444,.69224,0,0,.61111],8901:[0,.54986,0,0,.27778],8903:[.08167,.58167,0,0,.77778],8905:[.08167,.58167,0,0,.77778],8906:[.08167,.58167,0,0,.77778],8907:[0,.69224,0,0,.77778],8908:[0,.69224,0,0,.77778],8909:[-.03598,.46402,0,0,.77778],8910:[0,.54986,0,0,.76042],8911:[0,.54986,0,0,.76042],8912:[.03517,.54986,0,0,.77778],8913:[.03517,.54986,0,0,.77778],8914:[0,.54986,0,0,.66667],8915:[0,.54986,0,0,.66667],8916:[0,.69224,0,0,.66667],8918:[.0391,.5391,0,0,.77778],8919:[.0391,.5391,0,0,.77778],8920:[.03517,.54986,0,0,1.33334],8921:[.03517,.54986,0,0,1.33334],8922:[.38569,.88569,0,0,.77778],8923:[.38569,.88569,0,0,.77778],8926:[.13667,.63667,0,0,.77778],8927:[.13667,.63667,0,0,.77778],8928:[.30274,.79383,0,0,.77778],8929:[.30274,.79383,0,0,.77778],8934:[.23222,.74111,0,0,.77778],8935:[.23222,.74111,0,0,.77778],8936:[.23222,.74111,0,0,.77778],8937:[.23222,.74111,0,0,.77778],8938:[.20576,.70576,0,0,.77778],8939:[.20576,.70576,0,0,.77778],8940:[.30274,.79383,0,0,.77778],8941:[.30274,.79383,0,0,.77778],8994:[.19444,.69224,0,0,.77778],8995:[.19444,.69224,0,0,.77778],9416:[.15559,.69224,0,0,.90222],9484:[0,.69224,0,0,.5],9488:[0,.69224,0,0,.5],9492:[0,.37788,0,0,.5],9496:[0,.37788,0,0,.5],9585:[.19444,.68889,0,0,.88889],9586:[.19444,.74111,0,0,.88889],9632:[0,.675,0,0,.77778],9633:[0,.675,0,0,.77778],9650:[0,.54986,0,0,.72222],9651:[0,.54986,0,0,.72222],9654:[.03517,.54986,0,0,.77778],9660:[0,.54986,0,0,.72222],9661:[0,.54986,0,0,.72222],9664:[.03517,.54986,0,0,.77778],9674:[.11111,.69224,0,0,.66667],9733:[.19444,.69224,0,0,.94445],10003:[0,.69224,0,0,.83334],10016:[0,.69224,0,0,.83334],10731:[.11111,.69224,0,0,.66667],10846:[.19444,.75583,0,0,.61111],10877:[.13667,.63667,0,0,.77778],10878:[.13667,.63667,0,0,.77778],10885:[.25583,.75583,0,0,.77778],10886:[.25583,.75583,0,0,.77778],10887:[.13597,.63597,0,0,.77778],10888:[.13597,.63597,0,0,.77778],10889:[.26167,.75726,0,0,.77778],10890:[.26167,.75726,0,0,.77778],10891:[.48256,.98256,0,0,.77778],10892:[.48256,.98256,0,0,.77778],10901:[.13667,.63667,0,0,.77778],10902:[.13667,.63667,0,0,.77778],10933:[.25142,.75726,0,0,.77778],10934:[.25142,.75726,0,0,.77778],10935:[.26167,.75726,0,0,.77778],10936:[.26167,.75726,0,0,.77778],10937:[.26167,.75726,0,0,.77778],10938:[.26167,.75726,0,0,.77778],10949:[.25583,.75583,0,0,.77778],10950:[.25583,.75583,0,0,.77778],10955:[.28481,.79383,0,0,.77778],10956:[.28481,.79383,0,0,.77778],57350:[.08167,.58167,0,0,.22222],57351:[.08167,.58167,0,0,.38889],57352:[.08167,.58167,0,0,.77778],57353:[0,.43056,.04028,0,.66667],57356:[.25142,.75726,0,0,.77778],57357:[.25142,.75726,0,0,.77778],57358:[.41951,.91951,0,0,.77778],57359:[.30274,.79383,0,0,.77778],57360:[.30274,.79383,0,0,.77778],57361:[.41951,.91951,0,0,.77778],57366:[.25142,.75726,0,0,.77778],57367:[.25142,.75726,0,0,.77778],57368:[.25142,.75726,0,0,.77778],57369:[.25142,.75726,0,0,.77778],57370:[.13597,.63597,0,0,.77778],57371:[.13597,.63597,0,0,.77778]},"Caligraphic-Regular":{32:[0,0,0,0,.25],65:[0,.68333,0,.19445,.79847],66:[0,.68333,.03041,.13889,.65681],67:[0,.68333,.05834,.13889,.52653],68:[0,.68333,.02778,.08334,.77139],69:[0,.68333,.08944,.11111,.52778],70:[0,.68333,.09931,.11111,.71875],71:[.09722,.68333,.0593,.11111,.59487],72:[0,.68333,.00965,.11111,.84452],73:[0,.68333,.07382,0,.54452],74:[.09722,.68333,.18472,.16667,.67778],75:[0,.68333,.01445,.05556,.76195],76:[0,.68333,0,.13889,.68972],77:[0,.68333,0,.13889,1.2009],78:[0,.68333,.14736,.08334,.82049],79:[0,.68333,.02778,.11111,.79611],80:[0,.68333,.08222,.08334,.69556],81:[.09722,.68333,0,.11111,.81667],82:[0,.68333,0,.08334,.8475],83:[0,.68333,.075,.13889,.60556],84:[0,.68333,.25417,0,.54464],85:[0,.68333,.09931,.08334,.62583],86:[0,.68333,.08222,0,.61278],87:[0,.68333,.08222,.08334,.98778],88:[0,.68333,.14643,.13889,.7133],89:[.09722,.68333,.08222,.08334,.66834],90:[0,.68333,.07944,.13889,.72473],160:[0,0,0,0,.25]},"Fraktur-Regular":{32:[0,0,0,0,.25],33:[0,.69141,0,0,.29574],34:[0,.69141,0,0,.21471],38:[0,.69141,0,0,.73786],39:[0,.69141,0,0,.21201],40:[.24982,.74947,0,0,.38865],41:[.24982,.74947,0,0,.38865],42:[0,.62119,0,0,.27764],43:[.08319,.58283,0,0,.75623],44:[0,.10803,0,0,.27764],45:[.08319,.58283,0,0,.75623],46:[0,.10803,0,0,.27764],47:[.24982,.74947,0,0,.50181],48:[0,.47534,0,0,.50181],49:[0,.47534,0,0,.50181],50:[0,.47534,0,0,.50181],51:[.18906,.47534,0,0,.50181],52:[.18906,.47534,0,0,.50181],53:[.18906,.47534,0,0,.50181],54:[0,.69141,0,0,.50181],55:[.18906,.47534,0,0,.50181],56:[0,.69141,0,0,.50181],57:[.18906,.47534,0,0,.50181],58:[0,.47534,0,0,.21606],59:[.12604,.47534,0,0,.21606],61:[-.13099,.36866,0,0,.75623],63:[0,.69141,0,0,.36245],65:[0,.69141,0,0,.7176],66:[0,.69141,0,0,.88397],67:[0,.69141,0,0,.61254],68:[0,.69141,0,0,.83158],69:[0,.69141,0,0,.66278],70:[.12604,.69141,0,0,.61119],71:[0,.69141,0,0,.78539],72:[.06302,.69141,0,0,.7203],73:[0,.69141,0,0,.55448],74:[.12604,.69141,0,0,.55231],75:[0,.69141,0,0,.66845],76:[0,.69141,0,0,.66602],77:[0,.69141,0,0,1.04953],78:[0,.69141,0,0,.83212],79:[0,.69141,0,0,.82699],80:[.18906,.69141,0,0,.82753],81:[.03781,.69141,0,0,.82699],82:[0,.69141,0,0,.82807],83:[0,.69141,0,0,.82861],84:[0,.69141,0,0,.66899],85:[0,.69141,0,0,.64576],86:[0,.69141,0,0,.83131],87:[0,.69141,0,0,1.04602],88:[0,.69141,0,0,.71922],89:[.18906,.69141,0,0,.83293],90:[.12604,.69141,0,0,.60201],91:[.24982,.74947,0,0,.27764],93:[.24982,.74947,0,0,.27764],94:[0,.69141,0,0,.49965],97:[0,.47534,0,0,.50046],98:[0,.69141,0,0,.51315],99:[0,.47534,0,0,.38946],100:[0,.62119,0,0,.49857],101:[0,.47534,0,0,.40053],102:[.18906,.69141,0,0,.32626],103:[.18906,.47534,0,0,.5037],104:[.18906,.69141,0,0,.52126],105:[0,.69141,0,0,.27899],106:[0,.69141,0,0,.28088],107:[0,.69141,0,0,.38946],108:[0,.69141,0,0,.27953],109:[0,.47534,0,0,.76676],110:[0,.47534,0,0,.52666],111:[0,.47534,0,0,.48885],112:[.18906,.52396,0,0,.50046],113:[.18906,.47534,0,0,.48912],114:[0,.47534,0,0,.38919],115:[0,.47534,0,0,.44266],116:[0,.62119,0,0,.33301],117:[0,.47534,0,0,.5172],118:[0,.52396,0,0,.5118],119:[0,.52396,0,0,.77351],120:[.18906,.47534,0,0,.38865],121:[.18906,.47534,0,0,.49884],122:[.18906,.47534,0,0,.39054],160:[0,0,0,0,.25],8216:[0,.69141,0,0,.21471],8217:[0,.69141,0,0,.21471],58112:[0,.62119,0,0,.49749],58113:[0,.62119,0,0,.4983],58114:[.18906,.69141,0,0,.33328],58115:[.18906,.69141,0,0,.32923],58116:[.18906,.47534,0,0,.50343],58117:[0,.69141,0,0,.33301],58118:[0,.62119,0,0,.33409],58119:[0,.47534,0,0,.50073]},"Main-Bold":{32:[0,0,0,0,.25],33:[0,.69444,0,0,.35],34:[0,.69444,0,0,.60278],35:[.19444,.69444,0,0,.95833],36:[.05556,.75,0,0,.575],37:[.05556,.75,0,0,.95833],38:[0,.69444,0,0,.89444],39:[0,.69444,0,0,.31944],40:[.25,.75,0,0,.44722],41:[.25,.75,0,0,.44722],42:[0,.75,0,0,.575],43:[.13333,.63333,0,0,.89444],44:[.19444,.15556,0,0,.31944],45:[0,.44444,0,0,.38333],46:[0,.15556,0,0,.31944],47:[.25,.75,0,0,.575],48:[0,.64444,0,0,.575],49:[0,.64444,0,0,.575],50:[0,.64444,0,0,.575],51:[0,.64444,0,0,.575],52:[0,.64444,0,0,.575],53:[0,.64444,0,0,.575],54:[0,.64444,0,0,.575],55:[0,.64444,0,0,.575],56:[0,.64444,0,0,.575],57:[0,.64444,0,0,.575],58:[0,.44444,0,0,.31944],59:[.19444,.44444,0,0,.31944],60:[.08556,.58556,0,0,.89444],61:[-.10889,.39111,0,0,.89444],62:[.08556,.58556,0,0,.89444],63:[0,.69444,0,0,.54305],64:[0,.69444,0,0,.89444],65:[0,.68611,0,0,.86944],66:[0,.68611,0,0,.81805],67:[0,.68611,0,0,.83055],68:[0,.68611,0,0,.88194],69:[0,.68611,0,0,.75555],70:[0,.68611,0,0,.72361],71:[0,.68611,0,0,.90416],72:[0,.68611,0,0,.9],73:[0,.68611,0,0,.43611],74:[0,.68611,0,0,.59444],75:[0,.68611,0,0,.90138],76:[0,.68611,0,0,.69166],77:[0,.68611,0,0,1.09166],78:[0,.68611,0,0,.9],79:[0,.68611,0,0,.86388],80:[0,.68611,0,0,.78611],81:[.19444,.68611,0,0,.86388],82:[0,.68611,0,0,.8625],83:[0,.68611,0,0,.63889],84:[0,.68611,0,0,.8],85:[0,.68611,0,0,.88472],86:[0,.68611,.01597,0,.86944],87:[0,.68611,.01597,0,1.18888],88:[0,.68611,0,0,.86944],89:[0,.68611,.02875,0,.86944],90:[0,.68611,0,0,.70277],91:[.25,.75,0,0,.31944],92:[.25,.75,0,0,.575],93:[.25,.75,0,0,.31944],94:[0,.69444,0,0,.575],95:[.31,.13444,.03194,0,.575],97:[0,.44444,0,0,.55902],98:[0,.69444,0,0,.63889],99:[0,.44444,0,0,.51111],100:[0,.69444,0,0,.63889],101:[0,.44444,0,0,.52708],102:[0,.69444,.10903,0,.35139],103:[.19444,.44444,.01597,0,.575],104:[0,.69444,0,0,.63889],105:[0,.69444,0,0,.31944],106:[.19444,.69444,0,0,.35139],107:[0,.69444,0,0,.60694],108:[0,.69444,0,0,.31944],109:[0,.44444,0,0,.95833],110:[0,.44444,0,0,.63889],111:[0,.44444,0,0,.575],112:[.19444,.44444,0,0,.63889],113:[.19444,.44444,0,0,.60694],114:[0,.44444,0,0,.47361],115:[0,.44444,0,0,.45361],116:[0,.63492,0,0,.44722],117:[0,.44444,0,0,.63889],118:[0,.44444,.01597,0,.60694],119:[0,.44444,.01597,0,.83055],120:[0,.44444,0,0,.60694],121:[.19444,.44444,.01597,0,.60694],122:[0,.44444,0,0,.51111],123:[.25,.75,0,0,.575],124:[.25,.75,0,0,.31944],125:[.25,.75,0,0,.575],126:[.35,.34444,0,0,.575],160:[0,0,0,0,.25],163:[0,.69444,0,0,.86853],168:[0,.69444,0,0,.575],172:[0,.44444,0,0,.76666],176:[0,.69444,0,0,.86944],177:[.13333,.63333,0,0,.89444],184:[.17014,0,0,0,.51111],198:[0,.68611,0,0,1.04166],215:[.13333,.63333,0,0,.89444],216:[.04861,.73472,0,0,.89444],223:[0,.69444,0,0,.59722],230:[0,.44444,0,0,.83055],247:[.13333,.63333,0,0,.89444],248:[.09722,.54167,0,0,.575],305:[0,.44444,0,0,.31944],338:[0,.68611,0,0,1.16944],339:[0,.44444,0,0,.89444],567:[.19444,.44444,0,0,.35139],710:[0,.69444,0,0,.575],711:[0,.63194,0,0,.575],713:[0,.59611,0,0,.575],714:[0,.69444,0,0,.575],715:[0,.69444,0,0,.575],728:[0,.69444,0,0,.575],729:[0,.69444,0,0,.31944],730:[0,.69444,0,0,.86944],732:[0,.69444,0,0,.575],733:[0,.69444,0,0,.575],915:[0,.68611,0,0,.69166],916:[0,.68611,0,0,.95833],920:[0,.68611,0,0,.89444],923:[0,.68611,0,0,.80555],926:[0,.68611,0,0,.76666],928:[0,.68611,0,0,.9],931:[0,.68611,0,0,.83055],933:[0,.68611,0,0,.89444],934:[0,.68611,0,0,.83055],936:[0,.68611,0,0,.89444],937:[0,.68611,0,0,.83055],8211:[0,.44444,.03194,0,.575],8212:[0,.44444,.03194,0,1.14999],8216:[0,.69444,0,0,.31944],8217:[0,.69444,0,0,.31944],8220:[0,.69444,0,0,.60278],8221:[0,.69444,0,0,.60278],8224:[.19444,.69444,0,0,.51111],8225:[.19444,.69444,0,0,.51111],8242:[0,.55556,0,0,.34444],8407:[0,.72444,.15486,0,.575],8463:[0,.69444,0,0,.66759],8465:[0,.69444,0,0,.83055],8467:[0,.69444,0,0,.47361],8472:[.19444,.44444,0,0,.74027],8476:[0,.69444,0,0,.83055],8501:[0,.69444,0,0,.70277],8592:[-.10889,.39111,0,0,1.14999],8593:[.19444,.69444,0,0,.575],8594:[-.10889,.39111,0,0,1.14999],8595:[.19444,.69444,0,0,.575],8596:[-.10889,.39111,0,0,1.14999],8597:[.25,.75,0,0,.575],8598:[.19444,.69444,0,0,1.14999],8599:[.19444,.69444,0,0,1.14999],8600:[.19444,.69444,0,0,1.14999],8601:[.19444,.69444,0,0,1.14999],8636:[-.10889,.39111,0,0,1.14999],8637:[-.10889,.39111,0,0,1.14999],8640:[-.10889,.39111,0,0,1.14999],8641:[-.10889,.39111,0,0,1.14999],8656:[-.10889,.39111,0,0,1.14999],8657:[.19444,.69444,0,0,.70277],8658:[-.10889,.39111,0,0,1.14999],8659:[.19444,.69444,0,0,.70277],8660:[-.10889,.39111,0,0,1.14999],8661:[.25,.75,0,0,.70277],8704:[0,.69444,0,0,.63889],8706:[0,.69444,.06389,0,.62847],8707:[0,.69444,0,0,.63889],8709:[.05556,.75,0,0,.575],8711:[0,.68611,0,0,.95833],8712:[.08556,.58556,0,0,.76666],8715:[.08556,.58556,0,0,.76666],8722:[.13333,.63333,0,0,.89444],8723:[.13333,.63333,0,0,.89444],8725:[.25,.75,0,0,.575],8726:[.25,.75,0,0,.575],8727:[-.02778,.47222,0,0,.575],8728:[-.02639,.47361,0,0,.575],8729:[-.02639,.47361,0,0,.575],8730:[.18,.82,0,0,.95833],8733:[0,.44444,0,0,.89444],8734:[0,.44444,0,0,1.14999],8736:[0,.69224,0,0,.72222],8739:[.25,.75,0,0,.31944],8741:[.25,.75,0,0,.575],8743:[0,.55556,0,0,.76666],8744:[0,.55556,0,0,.76666],8745:[0,.55556,0,0,.76666],8746:[0,.55556,0,0,.76666],8747:[.19444,.69444,.12778,0,.56875],8764:[-.10889,.39111,0,0,.89444],8768:[.19444,.69444,0,0,.31944],8771:[.00222,.50222,0,0,.89444],8773:[.027,.638,0,0,.894],8776:[.02444,.52444,0,0,.89444],8781:[.00222,.50222,0,0,.89444],8801:[.00222,.50222,0,0,.89444],8804:[.19667,.69667,0,0,.89444],8805:[.19667,.69667,0,0,.89444],8810:[.08556,.58556,0,0,1.14999],8811:[.08556,.58556,0,0,1.14999],8826:[.08556,.58556,0,0,.89444],8827:[.08556,.58556,0,0,.89444],8834:[.08556,.58556,0,0,.89444],8835:[.08556,.58556,0,0,.89444],8838:[.19667,.69667,0,0,.89444],8839:[.19667,.69667,0,0,.89444],8846:[0,.55556,0,0,.76666],8849:[.19667,.69667,0,0,.89444],8850:[.19667,.69667,0,0,.89444],8851:[0,.55556,0,0,.76666],8852:[0,.55556,0,0,.76666],8853:[.13333,.63333,0,0,.89444],8854:[.13333,.63333,0,0,.89444],8855:[.13333,.63333,0,0,.89444],8856:[.13333,.63333,0,0,.89444],8857:[.13333,.63333,0,0,.89444],8866:[0,.69444,0,0,.70277],8867:[0,.69444,0,0,.70277],8868:[0,.69444,0,0,.89444],8869:[0,.69444,0,0,.89444],8900:[-.02639,.47361,0,0,.575],8901:[-.02639,.47361,0,0,.31944],8902:[-.02778,.47222,0,0,.575],8968:[.25,.75,0,0,.51111],8969:[.25,.75,0,0,.51111],8970:[.25,.75,0,0,.51111],8971:[.25,.75,0,0,.51111],8994:[-.13889,.36111,0,0,1.14999],8995:[-.13889,.36111,0,0,1.14999],9651:[.19444,.69444,0,0,1.02222],9657:[-.02778,.47222,0,0,.575],9661:[.19444,.69444,0,0,1.02222],9667:[-.02778,.47222,0,0,.575],9711:[.19444,.69444,0,0,1.14999],9824:[.12963,.69444,0,0,.89444],9825:[.12963,.69444,0,0,.89444],9826:[.12963,.69444,0,0,.89444],9827:[.12963,.69444,0,0,.89444],9837:[0,.75,0,0,.44722],9838:[.19444,.69444,0,0,.44722],9839:[.19444,.69444,0,0,.44722],10216:[.25,.75,0,0,.44722],10217:[.25,.75,0,0,.44722],10815:[0,.68611,0,0,.9],10927:[.19667,.69667,0,0,.89444],10928:[.19667,.69667,0,0,.89444],57376:[.19444,.69444,0,0,0]},"Main-BoldItalic":{32:[0,0,0,0,.25],33:[0,.69444,.11417,0,.38611],34:[0,.69444,.07939,0,.62055],35:[.19444,.69444,.06833,0,.94444],37:[.05556,.75,.12861,0,.94444],38:[0,.69444,.08528,0,.88555],39:[0,.69444,.12945,0,.35555],40:[.25,.75,.15806,0,.47333],41:[.25,.75,.03306,0,.47333],42:[0,.75,.14333,0,.59111],43:[.10333,.60333,.03306,0,.88555],44:[.19444,.14722,0,0,.35555],45:[0,.44444,.02611,0,.41444],46:[0,.14722,0,0,.35555],47:[.25,.75,.15806,0,.59111],48:[0,.64444,.13167,0,.59111],49:[0,.64444,.13167,0,.59111],50:[0,.64444,.13167,0,.59111],51:[0,.64444,.13167,0,.59111],52:[.19444,.64444,.13167,0,.59111],53:[0,.64444,.13167,0,.59111],54:[0,.64444,.13167,0,.59111],55:[.19444,.64444,.13167,0,.59111],56:[0,.64444,.13167,0,.59111],57:[0,.64444,.13167,0,.59111],58:[0,.44444,.06695,0,.35555],59:[.19444,.44444,.06695,0,.35555],61:[-.10889,.39111,.06833,0,.88555],63:[0,.69444,.11472,0,.59111],64:[0,.69444,.09208,0,.88555],65:[0,.68611,0,0,.86555],66:[0,.68611,.0992,0,.81666],67:[0,.68611,.14208,0,.82666],68:[0,.68611,.09062,0,.87555],69:[0,.68611,.11431,0,.75666],70:[0,.68611,.12903,0,.72722],71:[0,.68611,.07347,0,.89527],72:[0,.68611,.17208,0,.8961],73:[0,.68611,.15681,0,.47166],74:[0,.68611,.145,0,.61055],75:[0,.68611,.14208,0,.89499],76:[0,.68611,0,0,.69777],77:[0,.68611,.17208,0,1.07277],78:[0,.68611,.17208,0,.8961],79:[0,.68611,.09062,0,.85499],80:[0,.68611,.0992,0,.78721],81:[.19444,.68611,.09062,0,.85499],82:[0,.68611,.02559,0,.85944],83:[0,.68611,.11264,0,.64999],84:[0,.68611,.12903,0,.7961],85:[0,.68611,.17208,0,.88083],86:[0,.68611,.18625,0,.86555],87:[0,.68611,.18625,0,1.15999],88:[0,.68611,.15681,0,.86555],89:[0,.68611,.19803,0,.86555],90:[0,.68611,.14208,0,.70888],91:[.25,.75,.1875,0,.35611],93:[.25,.75,.09972,0,.35611],94:[0,.69444,.06709,0,.59111],95:[.31,.13444,.09811,0,.59111],97:[0,.44444,.09426,0,.59111],98:[0,.69444,.07861,0,.53222],99:[0,.44444,.05222,0,.53222],100:[0,.69444,.10861,0,.59111],101:[0,.44444,.085,0,.53222],102:[.19444,.69444,.21778,0,.4],103:[.19444,.44444,.105,0,.53222],104:[0,.69444,.09426,0,.59111],105:[0,.69326,.11387,0,.35555],106:[.19444,.69326,.1672,0,.35555],107:[0,.69444,.11111,0,.53222],108:[0,.69444,.10861,0,.29666],109:[0,.44444,.09426,0,.94444],110:[0,.44444,.09426,0,.64999],111:[0,.44444,.07861,0,.59111],112:[.19444,.44444,.07861,0,.59111],113:[.19444,.44444,.105,0,.53222],114:[0,.44444,.11111,0,.50167],115:[0,.44444,.08167,0,.48694],116:[0,.63492,.09639,0,.385],117:[0,.44444,.09426,0,.62055],118:[0,.44444,.11111,0,.53222],119:[0,.44444,.11111,0,.76777],120:[0,.44444,.12583,0,.56055],121:[.19444,.44444,.105,0,.56166],122:[0,.44444,.13889,0,.49055],126:[.35,.34444,.11472,0,.59111],160:[0,0,0,0,.25],168:[0,.69444,.11473,0,.59111],176:[0,.69444,0,0,.94888],184:[.17014,0,0,0,.53222],198:[0,.68611,.11431,0,1.02277],216:[.04861,.73472,.09062,0,.88555],223:[.19444,.69444,.09736,0,.665],230:[0,.44444,.085,0,.82666],248:[.09722,.54167,.09458,0,.59111],305:[0,.44444,.09426,0,.35555],338:[0,.68611,.11431,0,1.14054],339:[0,.44444,.085,0,.82666],567:[.19444,.44444,.04611,0,.385],710:[0,.69444,.06709,0,.59111],711:[0,.63194,.08271,0,.59111],713:[0,.59444,.10444,0,.59111],714:[0,.69444,.08528,0,.59111],715:[0,.69444,0,0,.59111],728:[0,.69444,.10333,0,.59111],729:[0,.69444,.12945,0,.35555],730:[0,.69444,0,0,.94888],732:[0,.69444,.11472,0,.59111],733:[0,.69444,.11472,0,.59111],915:[0,.68611,.12903,0,.69777],916:[0,.68611,0,0,.94444],920:[0,.68611,.09062,0,.88555],923:[0,.68611,0,0,.80666],926:[0,.68611,.15092,0,.76777],928:[0,.68611,.17208,0,.8961],931:[0,.68611,.11431,0,.82666],933:[0,.68611,.10778,0,.88555],934:[0,.68611,.05632,0,.82666],936:[0,.68611,.10778,0,.88555],937:[0,.68611,.0992,0,.82666],8211:[0,.44444,.09811,0,.59111],8212:[0,.44444,.09811,0,1.18221],8216:[0,.69444,.12945,0,.35555],8217:[0,.69444,.12945,0,.35555],8220:[0,.69444,.16772,0,.62055],8221:[0,.69444,.07939,0,.62055]},"Main-Italic":{32:[0,0,0,0,.25],33:[0,.69444,.12417,0,.30667],34:[0,.69444,.06961,0,.51444],35:[.19444,.69444,.06616,0,.81777],37:[.05556,.75,.13639,0,.81777],38:[0,.69444,.09694,0,.76666],39:[0,.69444,.12417,0,.30667],40:[.25,.75,.16194,0,.40889],41:[.25,.75,.03694,0,.40889],42:[0,.75,.14917,0,.51111],43:[.05667,.56167,.03694,0,.76666],44:[.19444,.10556,0,0,.30667],45:[0,.43056,.02826,0,.35778],46:[0,.10556,0,0,.30667],47:[.25,.75,.16194,0,.51111],48:[0,.64444,.13556,0,.51111],49:[0,.64444,.13556,0,.51111],50:[0,.64444,.13556,0,.51111],51:[0,.64444,.13556,0,.51111],52:[.19444,.64444,.13556,0,.51111],53:[0,.64444,.13556,0,.51111],54:[0,.64444,.13556,0,.51111],55:[.19444,.64444,.13556,0,.51111],56:[0,.64444,.13556,0,.51111],57:[0,.64444,.13556,0,.51111],58:[0,.43056,.0582,0,.30667],59:[.19444,.43056,.0582,0,.30667],61:[-.13313,.36687,.06616,0,.76666],63:[0,.69444,.1225,0,.51111],64:[0,.69444,.09597,0,.76666],65:[0,.68333,0,0,.74333],66:[0,.68333,.10257,0,.70389],67:[0,.68333,.14528,0,.71555],68:[0,.68333,.09403,0,.755],69:[0,.68333,.12028,0,.67833],70:[0,.68333,.13305,0,.65277],71:[0,.68333,.08722,0,.77361],72:[0,.68333,.16389,0,.74333],73:[0,.68333,.15806,0,.38555],74:[0,.68333,.14028,0,.525],75:[0,.68333,.14528,0,.76888],76:[0,.68333,0,0,.62722],77:[0,.68333,.16389,0,.89666],78:[0,.68333,.16389,0,.74333],79:[0,.68333,.09403,0,.76666],80:[0,.68333,.10257,0,.67833],81:[.19444,.68333,.09403,0,.76666],82:[0,.68333,.03868,0,.72944],83:[0,.68333,.11972,0,.56222],84:[0,.68333,.13305,0,.71555],85:[0,.68333,.16389,0,.74333],86:[0,.68333,.18361,0,.74333],87:[0,.68333,.18361,0,.99888],88:[0,.68333,.15806,0,.74333],89:[0,.68333,.19383,0,.74333],90:[0,.68333,.14528,0,.61333],91:[.25,.75,.1875,0,.30667],93:[.25,.75,.10528,0,.30667],94:[0,.69444,.06646,0,.51111],95:[.31,.12056,.09208,0,.51111],97:[0,.43056,.07671,0,.51111],98:[0,.69444,.06312,0,.46],99:[0,.43056,.05653,0,.46],100:[0,.69444,.10333,0,.51111],101:[0,.43056,.07514,0,.46],102:[.19444,.69444,.21194,0,.30667],103:[.19444,.43056,.08847,0,.46],104:[0,.69444,.07671,0,.51111],105:[0,.65536,.1019,0,.30667],106:[.19444,.65536,.14467,0,.30667],107:[0,.69444,.10764,0,.46],108:[0,.69444,.10333,0,.25555],109:[0,.43056,.07671,0,.81777],110:[0,.43056,.07671,0,.56222],111:[0,.43056,.06312,0,.51111],112:[.19444,.43056,.06312,0,.51111],113:[.19444,.43056,.08847,0,.46],114:[0,.43056,.10764,0,.42166],115:[0,.43056,.08208,0,.40889],116:[0,.61508,.09486,0,.33222],117:[0,.43056,.07671,0,.53666],118:[0,.43056,.10764,0,.46],119:[0,.43056,.10764,0,.66444],120:[0,.43056,.12042,0,.46389],121:[.19444,.43056,.08847,0,.48555],122:[0,.43056,.12292,0,.40889],126:[.35,.31786,.11585,0,.51111],160:[0,0,0,0,.25],168:[0,.66786,.10474,0,.51111],176:[0,.69444,0,0,.83129],184:[.17014,0,0,0,.46],198:[0,.68333,.12028,0,.88277],216:[.04861,.73194,.09403,0,.76666],223:[.19444,.69444,.10514,0,.53666],230:[0,.43056,.07514,0,.71555],248:[.09722,.52778,.09194,0,.51111],338:[0,.68333,.12028,0,.98499],339:[0,.43056,.07514,0,.71555],710:[0,.69444,.06646,0,.51111],711:[0,.62847,.08295,0,.51111],713:[0,.56167,.10333,0,.51111],714:[0,.69444,.09694,0,.51111],715:[0,.69444,0,0,.51111],728:[0,.69444,.10806,0,.51111],729:[0,.66786,.11752,0,.30667],730:[0,.69444,0,0,.83129],732:[0,.66786,.11585,0,.51111],733:[0,.69444,.1225,0,.51111],915:[0,.68333,.13305,0,.62722],916:[0,.68333,0,0,.81777],920:[0,.68333,.09403,0,.76666],923:[0,.68333,0,0,.69222],926:[0,.68333,.15294,0,.66444],928:[0,.68333,.16389,0,.74333],931:[0,.68333,.12028,0,.71555],933:[0,.68333,.11111,0,.76666],934:[0,.68333,.05986,0,.71555],936:[0,.68333,.11111,0,.76666],937:[0,.68333,.10257,0,.71555],8211:[0,.43056,.09208,0,.51111],8212:[0,.43056,.09208,0,1.02222],8216:[0,.69444,.12417,0,.30667],8217:[0,.69444,.12417,0,.30667],8220:[0,.69444,.1685,0,.51444],8221:[0,.69444,.06961,0,.51444],8463:[0,.68889,0,0,.54028]},"Main-Regular":{32:[0,0,0,0,.25],33:[0,.69444,0,0,.27778],34:[0,.69444,0,0,.5],35:[.19444,.69444,0,0,.83334],36:[.05556,.75,0,0,.5],37:[.05556,.75,0,0,.83334],38:[0,.69444,0,0,.77778],39:[0,.69444,0,0,.27778],40:[.25,.75,0,0,.38889],41:[.25,.75,0,0,.38889],42:[0,.75,0,0,.5],43:[.08333,.58333,0,0,.77778],44:[.19444,.10556,0,0,.27778],45:[0,.43056,0,0,.33333],46:[0,.10556,0,0,.27778],47:[.25,.75,0,0,.5],48:[0,.64444,0,0,.5],49:[0,.64444,0,0,.5],50:[0,.64444,0,0,.5],51:[0,.64444,0,0,.5],52:[0,.64444,0,0,.5],53:[0,.64444,0,0,.5],54:[0,.64444,0,0,.5],55:[0,.64444,0,0,.5],56:[0,.64444,0,0,.5],57:[0,.64444,0,0,.5],58:[0,.43056,0,0,.27778],59:[.19444,.43056,0,0,.27778],60:[.0391,.5391,0,0,.77778],61:[-.13313,.36687,0,0,.77778],62:[.0391,.5391,0,0,.77778],63:[0,.69444,0,0,.47222],64:[0,.69444,0,0,.77778],65:[0,.68333,0,0,.75],66:[0,.68333,0,0,.70834],67:[0,.68333,0,0,.72222],68:[0,.68333,0,0,.76389],69:[0,.68333,0,0,.68056],70:[0,.68333,0,0,.65278],71:[0,.68333,0,0,.78472],72:[0,.68333,0,0,.75],73:[0,.68333,0,0,.36111],74:[0,.68333,0,0,.51389],75:[0,.68333,0,0,.77778],76:[0,.68333,0,0,.625],77:[0,.68333,0,0,.91667],78:[0,.68333,0,0,.75],79:[0,.68333,0,0,.77778],80:[0,.68333,0,0,.68056],81:[.19444,.68333,0,0,.77778],82:[0,.68333,0,0,.73611],83:[0,.68333,0,0,.55556],84:[0,.68333,0,0,.72222],85:[0,.68333,0,0,.75],86:[0,.68333,.01389,0,.75],87:[0,.68333,.01389,0,1.02778],88:[0,.68333,0,0,.75],89:[0,.68333,.025,0,.75],90:[0,.68333,0,0,.61111],91:[.25,.75,0,0,.27778],92:[.25,.75,0,0,.5],93:[.25,.75,0,0,.27778],94:[0,.69444,0,0,.5],95:[.31,.12056,.02778,0,.5],97:[0,.43056,0,0,.5],98:[0,.69444,0,0,.55556],99:[0,.43056,0,0,.44445],100:[0,.69444,0,0,.55556],101:[0,.43056,0,0,.44445],102:[0,.69444,.07778,0,.30556],103:[.19444,.43056,.01389,0,.5],104:[0,.69444,0,0,.55556],105:[0,.66786,0,0,.27778],106:[.19444,.66786,0,0,.30556],107:[0,.69444,0,0,.52778],108:[0,.69444,0,0,.27778],109:[0,.43056,0,0,.83334],110:[0,.43056,0,0,.55556],111:[0,.43056,0,0,.5],112:[.19444,.43056,0,0,.55556],113:[.19444,.43056,0,0,.52778],114:[0,.43056,0,0,.39167],115:[0,.43056,0,0,.39445],116:[0,.61508,0,0,.38889],117:[0,.43056,0,0,.55556],118:[0,.43056,.01389,0,.52778],119:[0,.43056,.01389,0,.72222],120:[0,.43056,0,0,.52778],121:[.19444,.43056,.01389,0,.52778],122:[0,.43056,0,0,.44445],123:[.25,.75,0,0,.5],124:[.25,.75,0,0,.27778],125:[.25,.75,0,0,.5],126:[.35,.31786,0,0,.5],160:[0,0,0,0,.25],163:[0,.69444,0,0,.76909],167:[.19444,.69444,0,0,.44445],168:[0,.66786,0,0,.5],172:[0,.43056,0,0,.66667],176:[0,.69444,0,0,.75],177:[.08333,.58333,0,0,.77778],182:[.19444,.69444,0,0,.61111],184:[.17014,0,0,0,.44445],198:[0,.68333,0,0,.90278],215:[.08333,.58333,0,0,.77778],216:[.04861,.73194,0,0,.77778],223:[0,.69444,0,0,.5],230:[0,.43056,0,0,.72222],247:[.08333,.58333,0,0,.77778],248:[.09722,.52778,0,0,.5],305:[0,.43056,0,0,.27778],338:[0,.68333,0,0,1.01389],339:[0,.43056,0,0,.77778],567:[.19444,.43056,0,0,.30556],710:[0,.69444,0,0,.5],711:[0,.62847,0,0,.5],713:[0,.56778,0,0,.5],714:[0,.69444,0,0,.5],715:[0,.69444,0,0,.5],728:[0,.69444,0,0,.5],729:[0,.66786,0,0,.27778],730:[0,.69444,0,0,.75],732:[0,.66786,0,0,.5],733:[0,.69444,0,0,.5],915:[0,.68333,0,0,.625],916:[0,.68333,0,0,.83334],920:[0,.68333,0,0,.77778],923:[0,.68333,0,0,.69445],926:[0,.68333,0,0,.66667],928:[0,.68333,0,0,.75],931:[0,.68333,0,0,.72222],933:[0,.68333,0,0,.77778],934:[0,.68333,0,0,.72222],936:[0,.68333,0,0,.77778],937:[0,.68333,0,0,.72222],8211:[0,.43056,.02778,0,.5],8212:[0,.43056,.02778,0,1],8216:[0,.69444,0,0,.27778],8217:[0,.69444,0,0,.27778],8220:[0,.69444,0,0,.5],8221:[0,.69444,0,0,.5],8224:[.19444,.69444,0,0,.44445],8225:[.19444,.69444,0,0,.44445],8230:[0,.123,0,0,1.172],8242:[0,.55556,0,0,.275],8407:[0,.71444,.15382,0,.5],8463:[0,.68889,0,0,.54028],8465:[0,.69444,0,0,.72222],8467:[0,.69444,0,.11111,.41667],8472:[.19444,.43056,0,.11111,.63646],8476:[0,.69444,0,0,.72222],8501:[0,.69444,0,0,.61111],8592:[-.13313,.36687,0,0,1],8593:[.19444,.69444,0,0,.5],8594:[-.13313,.36687,0,0,1],8595:[.19444,.69444,0,0,.5],8596:[-.13313,.36687,0,0,1],8597:[.25,.75,0,0,.5],8598:[.19444,.69444,0,0,1],8599:[.19444,.69444,0,0,1],8600:[.19444,.69444,0,0,1],8601:[.19444,.69444,0,0,1],8614:[.011,.511,0,0,1],8617:[.011,.511,0,0,1.126],8618:[.011,.511,0,0,1.126],8636:[-.13313,.36687,0,0,1],8637:[-.13313,.36687,0,0,1],8640:[-.13313,.36687,0,0,1],8641:[-.13313,.36687,0,0,1],8652:[.011,.671,0,0,1],8656:[-.13313,.36687,0,0,1],8657:[.19444,.69444,0,0,.61111],8658:[-.13313,.36687,0,0,1],8659:[.19444,.69444,0,0,.61111],8660:[-.13313,.36687,0,0,1],8661:[.25,.75,0,0,.61111],8704:[0,.69444,0,0,.55556],8706:[0,.69444,.05556,.08334,.5309],8707:[0,.69444,0,0,.55556],8709:[.05556,.75,0,0,.5],8711:[0,.68333,0,0,.83334],8712:[.0391,.5391,0,0,.66667],8715:[.0391,.5391,0,0,.66667],8722:[.08333,.58333,0,0,.77778],8723:[.08333,.58333,0,0,.77778],8725:[.25,.75,0,0,.5],8726:[.25,.75,0,0,.5],8727:[-.03472,.46528,0,0,.5],8728:[-.05555,.44445,0,0,.5],8729:[-.05555,.44445,0,0,.5],8730:[.2,.8,0,0,.83334],8733:[0,.43056,0,0,.77778],8734:[0,.43056,0,0,1],8736:[0,.69224,0,0,.72222],8739:[.25,.75,0,0,.27778],8741:[.25,.75,0,0,.5],8743:[0,.55556,0,0,.66667],8744:[0,.55556,0,0,.66667],8745:[0,.55556,0,0,.66667],8746:[0,.55556,0,0,.66667],8747:[.19444,.69444,.11111,0,.41667],8764:[-.13313,.36687,0,0,.77778],8768:[.19444,.69444,0,0,.27778],8771:[-.03625,.46375,0,0,.77778],8773:[-.022,.589,0,0,.778],8776:[-.01688,.48312,0,0,.77778],8781:[-.03625,.46375,0,0,.77778],8784:[-.133,.673,0,0,.778],8801:[-.03625,.46375,0,0,.77778],8804:[.13597,.63597,0,0,.77778],8805:[.13597,.63597,0,0,.77778],8810:[.0391,.5391,0,0,1],8811:[.0391,.5391,0,0,1],8826:[.0391,.5391,0,0,.77778],8827:[.0391,.5391,0,0,.77778],8834:[.0391,.5391,0,0,.77778],8835:[.0391,.5391,0,0,.77778],8838:[.13597,.63597,0,0,.77778],8839:[.13597,.63597,0,0,.77778],8846:[0,.55556,0,0,.66667],8849:[.13597,.63597,0,0,.77778],8850:[.13597,.63597,0,0,.77778],8851:[0,.55556,0,0,.66667],8852:[0,.55556,0,0,.66667],8853:[.08333,.58333,0,0,.77778],8854:[.08333,.58333,0,0,.77778],8855:[.08333,.58333,0,0,.77778],8856:[.08333,.58333,0,0,.77778],8857:[.08333,.58333,0,0,.77778],8866:[0,.69444,0,0,.61111],8867:[0,.69444,0,0,.61111],8868:[0,.69444,0,0,.77778],8869:[0,.69444,0,0,.77778],8872:[.249,.75,0,0,.867],8900:[-.05555,.44445,0,0,.5],8901:[-.05555,.44445,0,0,.27778],8902:[-.03472,.46528,0,0,.5],8904:[.005,.505,0,0,.9],8942:[.03,.903,0,0,.278],8943:[-.19,.313,0,0,1.172],8945:[-.1,.823,0,0,1.282],8968:[.25,.75,0,0,.44445],8969:[.25,.75,0,0,.44445],8970:[.25,.75,0,0,.44445],8971:[.25,.75,0,0,.44445],8994:[-.14236,.35764,0,0,1],8995:[-.14236,.35764,0,0,1],9136:[.244,.744,0,0,.412],9137:[.244,.745,0,0,.412],9651:[.19444,.69444,0,0,.88889],9657:[-.03472,.46528,0,0,.5],9661:[.19444,.69444,0,0,.88889],9667:[-.03472,.46528,0,0,.5],9711:[.19444,.69444,0,0,1],9824:[.12963,.69444,0,0,.77778],9825:[.12963,.69444,0,0,.77778],9826:[.12963,.69444,0,0,.77778],9827:[.12963,.69444,0,0,.77778],9837:[0,.75,0,0,.38889],9838:[.19444,.69444,0,0,.38889],9839:[.19444,.69444,0,0,.38889],10216:[.25,.75,0,0,.38889],10217:[.25,.75,0,0,.38889],10222:[.244,.744,0,0,.412],10223:[.244,.745,0,0,.412],10229:[.011,.511,0,0,1.609],10230:[.011,.511,0,0,1.638],10231:[.011,.511,0,0,1.859],10232:[.024,.525,0,0,1.609],10233:[.024,.525,0,0,1.638],10234:[.024,.525,0,0,1.858],10236:[.011,.511,0,0,1.638],10815:[0,.68333,0,0,.75],10927:[.13597,.63597,0,0,.77778],10928:[.13597,.63597,0,0,.77778],57376:[.19444,.69444,0,0,0]},"Math-BoldItalic":{32:[0,0,0,0,.25],48:[0,.44444,0,0,.575],49:[0,.44444,0,0,.575],50:[0,.44444,0,0,.575],51:[.19444,.44444,0,0,.575],52:[.19444,.44444,0,0,.575],53:[.19444,.44444,0,0,.575],54:[0,.64444,0,0,.575],55:[.19444,.44444,0,0,.575],56:[0,.64444,0,0,.575],57:[.19444,.44444,0,0,.575],65:[0,.68611,0,0,.86944],66:[0,.68611,.04835,0,.8664],67:[0,.68611,.06979,0,.81694],68:[0,.68611,.03194,0,.93812],69:[0,.68611,.05451,0,.81007],70:[0,.68611,.15972,0,.68889],71:[0,.68611,0,0,.88673],72:[0,.68611,.08229,0,.98229],73:[0,.68611,.07778,0,.51111],74:[0,.68611,.10069,0,.63125],75:[0,.68611,.06979,0,.97118],76:[0,.68611,0,0,.75555],77:[0,.68611,.11424,0,1.14201],78:[0,.68611,.11424,0,.95034],79:[0,.68611,.03194,0,.83666],80:[0,.68611,.15972,0,.72309],81:[.19444,.68611,0,0,.86861],82:[0,.68611,.00421,0,.87235],83:[0,.68611,.05382,0,.69271],84:[0,.68611,.15972,0,.63663],85:[0,.68611,.11424,0,.80027],86:[0,.68611,.25555,0,.67778],87:[0,.68611,.15972,0,1.09305],88:[0,.68611,.07778,0,.94722],89:[0,.68611,.25555,0,.67458],90:[0,.68611,.06979,0,.77257],97:[0,.44444,0,0,.63287],98:[0,.69444,0,0,.52083],99:[0,.44444,0,0,.51342],100:[0,.69444,0,0,.60972],101:[0,.44444,0,0,.55361],102:[.19444,.69444,.11042,0,.56806],103:[.19444,.44444,.03704,0,.5449],104:[0,.69444,0,0,.66759],105:[0,.69326,0,0,.4048],106:[.19444,.69326,.0622,0,.47083],107:[0,.69444,.01852,0,.6037],108:[0,.69444,.0088,0,.34815],109:[0,.44444,0,0,1.0324],110:[0,.44444,0,0,.71296],111:[0,.44444,0,0,.58472],112:[.19444,.44444,0,0,.60092],113:[.19444,.44444,.03704,0,.54213],114:[0,.44444,.03194,0,.5287],115:[0,.44444,0,0,.53125],116:[0,.63492,0,0,.41528],117:[0,.44444,0,0,.68102],118:[0,.44444,.03704,0,.56666],119:[0,.44444,.02778,0,.83148],120:[0,.44444,0,0,.65903],121:[.19444,.44444,.03704,0,.59028],122:[0,.44444,.04213,0,.55509],160:[0,0,0,0,.25],915:[0,.68611,.15972,0,.65694],916:[0,.68611,0,0,.95833],920:[0,.68611,.03194,0,.86722],923:[0,.68611,0,0,.80555],926:[0,.68611,.07458,0,.84125],928:[0,.68611,.08229,0,.98229],931:[0,.68611,.05451,0,.88507],933:[0,.68611,.15972,0,.67083],934:[0,.68611,0,0,.76666],936:[0,.68611,.11653,0,.71402],937:[0,.68611,.04835,0,.8789],945:[0,.44444,0,0,.76064],946:[.19444,.69444,.03403,0,.65972],947:[.19444,.44444,.06389,0,.59003],948:[0,.69444,.03819,0,.52222],949:[0,.44444,0,0,.52882],950:[.19444,.69444,.06215,0,.50833],951:[.19444,.44444,.03704,0,.6],952:[0,.69444,.03194,0,.5618],953:[0,.44444,0,0,.41204],954:[0,.44444,0,0,.66759],955:[0,.69444,0,0,.67083],956:[.19444,.44444,0,0,.70787],957:[0,.44444,.06898,0,.57685],958:[.19444,.69444,.03021,0,.50833],959:[0,.44444,0,0,.58472],960:[0,.44444,.03704,0,.68241],961:[.19444,.44444,0,0,.6118],962:[.09722,.44444,.07917,0,.42361],963:[0,.44444,.03704,0,.68588],964:[0,.44444,.13472,0,.52083],965:[0,.44444,.03704,0,.63055],966:[.19444,.44444,0,0,.74722],967:[.19444,.44444,0,0,.71805],968:[.19444,.69444,.03704,0,.75833],969:[0,.44444,.03704,0,.71782],977:[0,.69444,0,0,.69155],981:[.19444,.69444,0,0,.7125],982:[0,.44444,.03194,0,.975],1009:[.19444,.44444,0,0,.6118],1013:[0,.44444,0,0,.48333],57649:[0,.44444,0,0,.39352],57911:[.19444,.44444,0,0,.43889]},"Math-Italic":{32:[0,0,0,0,.25],48:[0,.43056,0,0,.5],49:[0,.43056,0,0,.5],50:[0,.43056,0,0,.5],51:[.19444,.43056,0,0,.5],52:[.19444,.43056,0,0,.5],53:[.19444,.43056,0,0,.5],54:[0,.64444,0,0,.5],55:[.19444,.43056,0,0,.5],56:[0,.64444,0,0,.5],57:[.19444,.43056,0,0,.5],65:[0,.68333,0,.13889,.75],66:[0,.68333,.05017,.08334,.75851],67:[0,.68333,.07153,.08334,.71472],68:[0,.68333,.02778,.05556,.82792],69:[0,.68333,.05764,.08334,.7382],70:[0,.68333,.13889,.08334,.64306],71:[0,.68333,0,.08334,.78625],72:[0,.68333,.08125,.05556,.83125],73:[0,.68333,.07847,.11111,.43958],74:[0,.68333,.09618,.16667,.55451],75:[0,.68333,.07153,.05556,.84931],76:[0,.68333,0,.02778,.68056],77:[0,.68333,.10903,.08334,.97014],78:[0,.68333,.10903,.08334,.80347],79:[0,.68333,.02778,.08334,.76278],80:[0,.68333,.13889,.08334,.64201],81:[.19444,.68333,0,.08334,.79056],82:[0,.68333,.00773,.08334,.75929],83:[0,.68333,.05764,.08334,.6132],84:[0,.68333,.13889,.08334,.58438],85:[0,.68333,.10903,.02778,.68278],86:[0,.68333,.22222,0,.58333],87:[0,.68333,.13889,0,.94445],88:[0,.68333,.07847,.08334,.82847],89:[0,.68333,.22222,0,.58056],90:[0,.68333,.07153,.08334,.68264],97:[0,.43056,0,0,.52859],98:[0,.69444,0,0,.42917],99:[0,.43056,0,.05556,.43276],100:[0,.69444,0,.16667,.52049],101:[0,.43056,0,.05556,.46563],102:[.19444,.69444,.10764,.16667,.48959],103:[.19444,.43056,.03588,.02778,.47697],104:[0,.69444,0,0,.57616],105:[0,.65952,0,0,.34451],106:[.19444,.65952,.05724,0,.41181],107:[0,.69444,.03148,0,.5206],108:[0,.69444,.01968,.08334,.29838],109:[0,.43056,0,0,.87801],110:[0,.43056,0,0,.60023],111:[0,.43056,0,.05556,.48472],112:[.19444,.43056,0,.08334,.50313],113:[.19444,.43056,.03588,.08334,.44641],114:[0,.43056,.02778,.05556,.45116],115:[0,.43056,0,.05556,.46875],116:[0,.61508,0,.08334,.36111],117:[0,.43056,0,.02778,.57246],118:[0,.43056,.03588,.02778,.48472],119:[0,.43056,.02691,.08334,.71592],120:[0,.43056,0,.02778,.57153],121:[.19444,.43056,.03588,.05556,.49028],122:[0,.43056,.04398,.05556,.46505],160:[0,0,0,0,.25],915:[0,.68333,.13889,.08334,.61528],916:[0,.68333,0,.16667,.83334],920:[0,.68333,.02778,.08334,.76278],923:[0,.68333,0,.16667,.69445],926:[0,.68333,.07569,.08334,.74236],928:[0,.68333,.08125,.05556,.83125],931:[0,.68333,.05764,.08334,.77986],933:[0,.68333,.13889,.05556,.58333],934:[0,.68333,0,.08334,.66667],936:[0,.68333,.11,.05556,.61222],937:[0,.68333,.05017,.08334,.7724],945:[0,.43056,.0037,.02778,.6397],946:[.19444,.69444,.05278,.08334,.56563],947:[.19444,.43056,.05556,0,.51773],948:[0,.69444,.03785,.05556,.44444],949:[0,.43056,0,.08334,.46632],950:[.19444,.69444,.07378,.08334,.4375],951:[.19444,.43056,.03588,.05556,.49653],952:[0,.69444,.02778,.08334,.46944],953:[0,.43056,0,.05556,.35394],954:[0,.43056,0,0,.57616],955:[0,.69444,0,0,.58334],956:[.19444,.43056,0,.02778,.60255],957:[0,.43056,.06366,.02778,.49398],958:[.19444,.69444,.04601,.11111,.4375],959:[0,.43056,0,.05556,.48472],960:[0,.43056,.03588,0,.57003],961:[.19444,.43056,0,.08334,.51702],962:[.09722,.43056,.07986,.08334,.36285],963:[0,.43056,.03588,0,.57141],964:[0,.43056,.1132,.02778,.43715],965:[0,.43056,.03588,.02778,.54028],966:[.19444,.43056,0,.08334,.65417],967:[.19444,.43056,0,.05556,.62569],968:[.19444,.69444,.03588,.11111,.65139],969:[0,.43056,.03588,0,.62245],977:[0,.69444,0,.08334,.59144],981:[.19444,.69444,0,.08334,.59583],982:[0,.43056,.02778,0,.82813],1009:[.19444,.43056,0,.08334,.51702],1013:[0,.43056,0,.05556,.4059],57649:[0,.43056,0,.02778,.32246],57911:[.19444,.43056,0,.08334,.38403]},"SansSerif-Bold":{32:[0,0,0,0,.25],33:[0,.69444,0,0,.36667],34:[0,.69444,0,0,.55834],35:[.19444,.69444,0,0,.91667],36:[.05556,.75,0,0,.55],37:[.05556,.75,0,0,1.02912],38:[0,.69444,0,0,.83056],39:[0,.69444,0,0,.30556],40:[.25,.75,0,0,.42778],41:[.25,.75,0,0,.42778],42:[0,.75,0,0,.55],43:[.11667,.61667,0,0,.85556],44:[.10556,.13056,0,0,.30556],45:[0,.45833,0,0,.36667],46:[0,.13056,0,0,.30556],47:[.25,.75,0,0,.55],48:[0,.69444,0,0,.55],49:[0,.69444,0,0,.55],50:[0,.69444,0,0,.55],51:[0,.69444,0,0,.55],52:[0,.69444,0,0,.55],53:[0,.69444,0,0,.55],54:[0,.69444,0,0,.55],55:[0,.69444,0,0,.55],56:[0,.69444,0,0,.55],57:[0,.69444,0,0,.55],58:[0,.45833,0,0,.30556],59:[.10556,.45833,0,0,.30556],61:[-.09375,.40625,0,0,.85556],63:[0,.69444,0,0,.51945],64:[0,.69444,0,0,.73334],65:[0,.69444,0,0,.73334],66:[0,.69444,0,0,.73334],67:[0,.69444,0,0,.70278],68:[0,.69444,0,0,.79445],69:[0,.69444,0,0,.64167],70:[0,.69444,0,0,.61111],71:[0,.69444,0,0,.73334],72:[0,.69444,0,0,.79445],73:[0,.69444,0,0,.33056],74:[0,.69444,0,0,.51945],75:[0,.69444,0,0,.76389],76:[0,.69444,0,0,.58056],77:[0,.69444,0,0,.97778],78:[0,.69444,0,0,.79445],79:[0,.69444,0,0,.79445],80:[0,.69444,0,0,.70278],81:[.10556,.69444,0,0,.79445],82:[0,.69444,0,0,.70278],83:[0,.69444,0,0,.61111],84:[0,.69444,0,0,.73334],85:[0,.69444,0,0,.76389],86:[0,.69444,.01528,0,.73334],87:[0,.69444,.01528,0,1.03889],88:[0,.69444,0,0,.73334],89:[0,.69444,.0275,0,.73334],90:[0,.69444,0,0,.67223],91:[.25,.75,0,0,.34306],93:[.25,.75,0,0,.34306],94:[0,.69444,0,0,.55],95:[.35,.10833,.03056,0,.55],97:[0,.45833,0,0,.525],98:[0,.69444,0,0,.56111],99:[0,.45833,0,0,.48889],100:[0,.69444,0,0,.56111],101:[0,.45833,0,0,.51111],102:[0,.69444,.07639,0,.33611],103:[.19444,.45833,.01528,0,.55],104:[0,.69444,0,0,.56111],105:[0,.69444,0,0,.25556],106:[.19444,.69444,0,0,.28611],107:[0,.69444,0,0,.53056],108:[0,.69444,0,0,.25556],109:[0,.45833,0,0,.86667],110:[0,.45833,0,0,.56111],111:[0,.45833,0,0,.55],112:[.19444,.45833,0,0,.56111],113:[.19444,.45833,0,0,.56111],114:[0,.45833,.01528,0,.37222],115:[0,.45833,0,0,.42167],116:[0,.58929,0,0,.40417],117:[0,.45833,0,0,.56111],118:[0,.45833,.01528,0,.5],119:[0,.45833,.01528,0,.74445],120:[0,.45833,0,0,.5],121:[.19444,.45833,.01528,0,.5],122:[0,.45833,0,0,.47639],126:[.35,.34444,0,0,.55],160:[0,0,0,0,.25],168:[0,.69444,0,0,.55],176:[0,.69444,0,0,.73334],180:[0,.69444,0,0,.55],184:[.17014,0,0,0,.48889],305:[0,.45833,0,0,.25556],567:[.19444,.45833,0,0,.28611],710:[0,.69444,0,0,.55],711:[0,.63542,0,0,.55],713:[0,.63778,0,0,.55],728:[0,.69444,0,0,.55],729:[0,.69444,0,0,.30556],730:[0,.69444,0,0,.73334],732:[0,.69444,0,0,.55],733:[0,.69444,0,0,.55],915:[0,.69444,0,0,.58056],916:[0,.69444,0,0,.91667],920:[0,.69444,0,0,.85556],923:[0,.69444,0,0,.67223],926:[0,.69444,0,0,.73334],928:[0,.69444,0,0,.79445],931:[0,.69444,0,0,.79445],933:[0,.69444,0,0,.85556],934:[0,.69444,0,0,.79445],936:[0,.69444,0,0,.85556],937:[0,.69444,0,0,.79445],8211:[0,.45833,.03056,0,.55],8212:[0,.45833,.03056,0,1.10001],8216:[0,.69444,0,0,.30556],8217:[0,.69444,0,0,.30556],8220:[0,.69444,0,0,.55834],8221:[0,.69444,0,0,.55834]},"SansSerif-Italic":{32:[0,0,0,0,.25],33:[0,.69444,.05733,0,.31945],34:[0,.69444,.00316,0,.5],35:[.19444,.69444,.05087,0,.83334],36:[.05556,.75,.11156,0,.5],37:[.05556,.75,.03126,0,.83334],38:[0,.69444,.03058,0,.75834],39:[0,.69444,.07816,0,.27778],40:[.25,.75,.13164,0,.38889],41:[.25,.75,.02536,0,.38889],42:[0,.75,.11775,0,.5],43:[.08333,.58333,.02536,0,.77778],44:[.125,.08333,0,0,.27778],45:[0,.44444,.01946,0,.33333],46:[0,.08333,0,0,.27778],47:[.25,.75,.13164,0,.5],48:[0,.65556,.11156,0,.5],49:[0,.65556,.11156,0,.5],50:[0,.65556,.11156,0,.5],51:[0,.65556,.11156,0,.5],52:[0,.65556,.11156,0,.5],53:[0,.65556,.11156,0,.5],54:[0,.65556,.11156,0,.5],55:[0,.65556,.11156,0,.5],56:[0,.65556,.11156,0,.5],57:[0,.65556,.11156,0,.5],58:[0,.44444,.02502,0,.27778],59:[.125,.44444,.02502,0,.27778],61:[-.13,.37,.05087,0,.77778],63:[0,.69444,.11809,0,.47222],64:[0,.69444,.07555,0,.66667],65:[0,.69444,0,0,.66667],66:[0,.69444,.08293,0,.66667],67:[0,.69444,.11983,0,.63889],68:[0,.69444,.07555,0,.72223],69:[0,.69444,.11983,0,.59722],70:[0,.69444,.13372,0,.56945],71:[0,.69444,.11983,0,.66667],72:[0,.69444,.08094,0,.70834],73:[0,.69444,.13372,0,.27778],74:[0,.69444,.08094,0,.47222],75:[0,.69444,.11983,0,.69445],76:[0,.69444,0,0,.54167],77:[0,.69444,.08094,0,.875],78:[0,.69444,.08094,0,.70834],79:[0,.69444,.07555,0,.73611],80:[0,.69444,.08293,0,.63889],81:[.125,.69444,.07555,0,.73611],82:[0,.69444,.08293,0,.64584],83:[0,.69444,.09205,0,.55556],84:[0,.69444,.13372,0,.68056],85:[0,.69444,.08094,0,.6875],86:[0,.69444,.1615,0,.66667],87:[0,.69444,.1615,0,.94445],88:[0,.69444,.13372,0,.66667],89:[0,.69444,.17261,0,.66667],90:[0,.69444,.11983,0,.61111],91:[.25,.75,.15942,0,.28889],93:[.25,.75,.08719,0,.28889],94:[0,.69444,.0799,0,.5],95:[.35,.09444,.08616,0,.5],97:[0,.44444,.00981,0,.48056],98:[0,.69444,.03057,0,.51667],99:[0,.44444,.08336,0,.44445],100:[0,.69444,.09483,0,.51667],101:[0,.44444,.06778,0,.44445],102:[0,.69444,.21705,0,.30556],103:[.19444,.44444,.10836,0,.5],104:[0,.69444,.01778,0,.51667],105:[0,.67937,.09718,0,.23889],106:[.19444,.67937,.09162,0,.26667],107:[0,.69444,.08336,0,.48889],108:[0,.69444,.09483,0,.23889],109:[0,.44444,.01778,0,.79445],110:[0,.44444,.01778,0,.51667],111:[0,.44444,.06613,0,.5],112:[.19444,.44444,.0389,0,.51667],113:[.19444,.44444,.04169,0,.51667],114:[0,.44444,.10836,0,.34167],115:[0,.44444,.0778,0,.38333],116:[0,.57143,.07225,0,.36111],117:[0,.44444,.04169,0,.51667],118:[0,.44444,.10836,0,.46111],119:[0,.44444,.10836,0,.68334],120:[0,.44444,.09169,0,.46111],121:[.19444,.44444,.10836,0,.46111],122:[0,.44444,.08752,0,.43472],126:[.35,.32659,.08826,0,.5],160:[0,0,0,0,.25],168:[0,.67937,.06385,0,.5],176:[0,.69444,0,0,.73752],184:[.17014,0,0,0,.44445],305:[0,.44444,.04169,0,.23889],567:[.19444,.44444,.04169,0,.26667],710:[0,.69444,.0799,0,.5],711:[0,.63194,.08432,0,.5],713:[0,.60889,.08776,0,.5],714:[0,.69444,.09205,0,.5],715:[0,.69444,0,0,.5],728:[0,.69444,.09483,0,.5],729:[0,.67937,.07774,0,.27778],730:[0,.69444,0,0,.73752],732:[0,.67659,.08826,0,.5],733:[0,.69444,.09205,0,.5],915:[0,.69444,.13372,0,.54167],916:[0,.69444,0,0,.83334],920:[0,.69444,.07555,0,.77778],923:[0,.69444,0,0,.61111],926:[0,.69444,.12816,0,.66667],928:[0,.69444,.08094,0,.70834],931:[0,.69444,.11983,0,.72222],933:[0,.69444,.09031,0,.77778],934:[0,.69444,.04603,0,.72222],936:[0,.69444,.09031,0,.77778],937:[0,.69444,.08293,0,.72222],8211:[0,.44444,.08616,0,.5],8212:[0,.44444,.08616,0,1],8216:[0,.69444,.07816,0,.27778],8217:[0,.69444,.07816,0,.27778],8220:[0,.69444,.14205,0,.5],8221:[0,.69444,.00316,0,.5]},"SansSerif-Regular":{32:[0,0,0,0,.25],33:[0,.69444,0,0,.31945],34:[0,.69444,0,0,.5],35:[.19444,.69444,0,0,.83334],36:[.05556,.75,0,0,.5],37:[.05556,.75,0,0,.83334],38:[0,.69444,0,0,.75834],39:[0,.69444,0,0,.27778],40:[.25,.75,0,0,.38889],41:[.25,.75,0,0,.38889],42:[0,.75,0,0,.5],43:[.08333,.58333,0,0,.77778],44:[.125,.08333,0,0,.27778],45:[0,.44444,0,0,.33333],46:[0,.08333,0,0,.27778],47:[.25,.75,0,0,.5],48:[0,.65556,0,0,.5],49:[0,.65556,0,0,.5],50:[0,.65556,0,0,.5],51:[0,.65556,0,0,.5],52:[0,.65556,0,0,.5],53:[0,.65556,0,0,.5],54:[0,.65556,0,0,.5],55:[0,.65556,0,0,.5],56:[0,.65556,0,0,.5],57:[0,.65556,0,0,.5],58:[0,.44444,0,0,.27778],59:[.125,.44444,0,0,.27778],61:[-.13,.37,0,0,.77778],63:[0,.69444,0,0,.47222],64:[0,.69444,0,0,.66667],65:[0,.69444,0,0,.66667],66:[0,.69444,0,0,.66667],67:[0,.69444,0,0,.63889],68:[0,.69444,0,0,.72223],69:[0,.69444,0,0,.59722],70:[0,.69444,0,0,.56945],71:[0,.69444,0,0,.66667],72:[0,.69444,0,0,.70834],73:[0,.69444,0,0,.27778],74:[0,.69444,0,0,.47222],75:[0,.69444,0,0,.69445],76:[0,.69444,0,0,.54167],77:[0,.69444,0,0,.875],78:[0,.69444,0,0,.70834],79:[0,.69444,0,0,.73611],80:[0,.69444,0,0,.63889],81:[.125,.69444,0,0,.73611],82:[0,.69444,0,0,.64584],83:[0,.69444,0,0,.55556],84:[0,.69444,0,0,.68056],85:[0,.69444,0,0,.6875],86:[0,.69444,.01389,0,.66667],87:[0,.69444,.01389,0,.94445],88:[0,.69444,0,0,.66667],89:[0,.69444,.025,0,.66667],90:[0,.69444,0,0,.61111],91:[.25,.75,0,0,.28889],93:[.25,.75,0,0,.28889],94:[0,.69444,0,0,.5],95:[.35,.09444,.02778,0,.5],97:[0,.44444,0,0,.48056],98:[0,.69444,0,0,.51667],99:[0,.44444,0,0,.44445],100:[0,.69444,0,0,.51667],101:[0,.44444,0,0,.44445],102:[0,.69444,.06944,0,.30556],103:[.19444,.44444,.01389,0,.5],104:[0,.69444,0,0,.51667],105:[0,.67937,0,0,.23889],106:[.19444,.67937,0,0,.26667],107:[0,.69444,0,0,.48889],108:[0,.69444,0,0,.23889],109:[0,.44444,0,0,.79445],110:[0,.44444,0,0,.51667],111:[0,.44444,0,0,.5],112:[.19444,.44444,0,0,.51667],113:[.19444,.44444,0,0,.51667],114:[0,.44444,.01389,0,.34167],115:[0,.44444,0,0,.38333],116:[0,.57143,0,0,.36111],117:[0,.44444,0,0,.51667],118:[0,.44444,.01389,0,.46111],119:[0,.44444,.01389,0,.68334],120:[0,.44444,0,0,.46111],121:[.19444,.44444,.01389,0,.46111],122:[0,.44444,0,0,.43472],126:[.35,.32659,0,0,.5],160:[0,0,0,0,.25],168:[0,.67937,0,0,.5],176:[0,.69444,0,0,.66667],184:[.17014,0,0,0,.44445],305:[0,.44444,0,0,.23889],567:[.19444,.44444,0,0,.26667],710:[0,.69444,0,0,.5],711:[0,.63194,0,0,.5],713:[0,.60889,0,0,.5],714:[0,.69444,0,0,.5],715:[0,.69444,0,0,.5],728:[0,.69444,0,0,.5],729:[0,.67937,0,0,.27778],730:[0,.69444,0,0,.66667],732:[0,.67659,0,0,.5],733:[0,.69444,0,0,.5],915:[0,.69444,0,0,.54167],916:[0,.69444,0,0,.83334],920:[0,.69444,0,0,.77778],923:[0,.69444,0,0,.61111],926:[0,.69444,0,0,.66667],928:[0,.69444,0,0,.70834],931:[0,.69444,0,0,.72222],933:[0,.69444,0,0,.77778],934:[0,.69444,0,0,.72222],936:[0,.69444,0,0,.77778],937:[0,.69444,0,0,.72222],8211:[0,.44444,.02778,0,.5],8212:[0,.44444,.02778,0,1],8216:[0,.69444,0,0,.27778],8217:[0,.69444,0,0,.27778],8220:[0,.69444,0,0,.5],8221:[0,.69444,0,0,.5]},"Script-Regular":{32:[0,0,0,0,.25],65:[0,.7,.22925,0,.80253],66:[0,.7,.04087,0,.90757],67:[0,.7,.1689,0,.66619],68:[0,.7,.09371,0,.77443],69:[0,.7,.18583,0,.56162],70:[0,.7,.13634,0,.89544],71:[0,.7,.17322,0,.60961],72:[0,.7,.29694,0,.96919],73:[0,.7,.19189,0,.80907],74:[.27778,.7,.19189,0,1.05159],75:[0,.7,.31259,0,.91364],76:[0,.7,.19189,0,.87373],77:[0,.7,.15981,0,1.08031],78:[0,.7,.3525,0,.9015],79:[0,.7,.08078,0,.73787],80:[0,.7,.08078,0,1.01262],81:[0,.7,.03305,0,.88282],82:[0,.7,.06259,0,.85],83:[0,.7,.19189,0,.86767],84:[0,.7,.29087,0,.74697],85:[0,.7,.25815,0,.79996],86:[0,.7,.27523,0,.62204],87:[0,.7,.27523,0,.80532],88:[0,.7,.26006,0,.94445],89:[0,.7,.2939,0,.70961],90:[0,.7,.24037,0,.8212],160:[0,0,0,0,.25]},"Size1-Regular":{32:[0,0,0,0,.25],40:[.35001,.85,0,0,.45834],41:[.35001,.85,0,0,.45834],47:[.35001,.85,0,0,.57778],91:[.35001,.85,0,0,.41667],92:[.35001,.85,0,0,.57778],93:[.35001,.85,0,0,.41667],123:[.35001,.85,0,0,.58334],125:[.35001,.85,0,0,.58334],160:[0,0,0,0,.25],710:[0,.72222,0,0,.55556],732:[0,.72222,0,0,.55556],770:[0,.72222,0,0,.55556],771:[0,.72222,0,0,.55556],8214:[-99e-5,.601,0,0,.77778],8593:[1e-5,.6,0,0,.66667],8595:[1e-5,.6,0,0,.66667],8657:[1e-5,.6,0,0,.77778],8659:[1e-5,.6,0,0,.77778],8719:[.25001,.75,0,0,.94445],8720:[.25001,.75,0,0,.94445],8721:[.25001,.75,0,0,1.05556],8730:[.35001,.85,0,0,1],8739:[-.00599,.606,0,0,.33333],8741:[-.00599,.606,0,0,.55556],8747:[.30612,.805,.19445,0,.47222],8748:[.306,.805,.19445,0,.47222],8749:[.306,.805,.19445,0,.47222],8750:[.30612,.805,.19445,0,.47222],8896:[.25001,.75,0,0,.83334],8897:[.25001,.75,0,0,.83334],8898:[.25001,.75,0,0,.83334],8899:[.25001,.75,0,0,.83334],8968:[.35001,.85,0,0,.47222],8969:[.35001,.85,0,0,.47222],8970:[.35001,.85,0,0,.47222],8971:[.35001,.85,0,0,.47222],9168:[-99e-5,.601,0,0,.66667],10216:[.35001,.85,0,0,.47222],10217:[.35001,.85,0,0,.47222],10752:[.25001,.75,0,0,1.11111],10753:[.25001,.75,0,0,1.11111],10754:[.25001,.75,0,0,1.11111],10756:[.25001,.75,0,0,.83334],10758:[.25001,.75,0,0,.83334]},"Size2-Regular":{32:[0,0,0,0,.25],40:[.65002,1.15,0,0,.59722],41:[.65002,1.15,0,0,.59722],47:[.65002,1.15,0,0,.81111],91:[.65002,1.15,0,0,.47222],92:[.65002,1.15,0,0,.81111],93:[.65002,1.15,0,0,.47222],123:[.65002,1.15,0,0,.66667],125:[.65002,1.15,0,0,.66667],160:[0,0,0,0,.25],710:[0,.75,0,0,1],732:[0,.75,0,0,1],770:[0,.75,0,0,1],771:[0,.75,0,0,1],8719:[.55001,1.05,0,0,1.27778],8720:[.55001,1.05,0,0,1.27778],8721:[.55001,1.05,0,0,1.44445],8730:[.65002,1.15,0,0,1],8747:[.86225,1.36,.44445,0,.55556],8748:[.862,1.36,.44445,0,.55556],8749:[.862,1.36,.44445,0,.55556],8750:[.86225,1.36,.44445,0,.55556],8896:[.55001,1.05,0,0,1.11111],8897:[.55001,1.05,0,0,1.11111],8898:[.55001,1.05,0,0,1.11111],8899:[.55001,1.05,0,0,1.11111],8968:[.65002,1.15,0,0,.52778],8969:[.65002,1.15,0,0,.52778],8970:[.65002,1.15,0,0,.52778],8971:[.65002,1.15,0,0,.52778],10216:[.65002,1.15,0,0,.61111],10217:[.65002,1.15,0,0,.61111],10752:[.55001,1.05,0,0,1.51112],10753:[.55001,1.05,0,0,1.51112],10754:[.55001,1.05,0,0,1.51112],10756:[.55001,1.05,0,0,1.11111],10758:[.55001,1.05,0,0,1.11111]},"Size3-Regular":{32:[0,0,0,0,.25],40:[.95003,1.45,0,0,.73611],41:[.95003,1.45,0,0,.73611],47:[.95003,1.45,0,0,1.04445],91:[.95003,1.45,0,0,.52778],92:[.95003,1.45,0,0,1.04445],93:[.95003,1.45,0,0,.52778],123:[.95003,1.45,0,0,.75],125:[.95003,1.45,0,0,.75],160:[0,0,0,0,.25],710:[0,.75,0,0,1.44445],732:[0,.75,0,0,1.44445],770:[0,.75,0,0,1.44445],771:[0,.75,0,0,1.44445],8730:[.95003,1.45,0,0,1],8968:[.95003,1.45,0,0,.58334],8969:[.95003,1.45,0,0,.58334],8970:[.95003,1.45,0,0,.58334],8971:[.95003,1.45,0,0,.58334],10216:[.95003,1.45,0,0,.75],10217:[.95003,1.45,0,0,.75]},"Size4-Regular":{32:[0,0,0,0,.25],40:[1.25003,1.75,0,0,.79167],41:[1.25003,1.75,0,0,.79167],47:[1.25003,1.75,0,0,1.27778],91:[1.25003,1.75,0,0,.58334],92:[1.25003,1.75,0,0,1.27778],93:[1.25003,1.75,0,0,.58334],123:[1.25003,1.75,0,0,.80556],125:[1.25003,1.75,0,0,.80556],160:[0,0,0,0,.25],710:[0,.825,0,0,1.8889],732:[0,.825,0,0,1.8889],770:[0,.825,0,0,1.8889],771:[0,.825,0,0,1.8889],8730:[1.25003,1.75,0,0,1],8968:[1.25003,1.75,0,0,.63889],8969:[1.25003,1.75,0,0,.63889],8970:[1.25003,1.75,0,0,.63889],8971:[1.25003,1.75,0,0,.63889],9115:[.64502,1.155,0,0,.875],9116:[1e-5,.6,0,0,.875],9117:[.64502,1.155,0,0,.875],9118:[.64502,1.155,0,0,.875],9119:[1e-5,.6,0,0,.875],9120:[.64502,1.155,0,0,.875],9121:[.64502,1.155,0,0,.66667],9122:[-99e-5,.601,0,0,.66667],9123:[.64502,1.155,0,0,.66667],9124:[.64502,1.155,0,0,.66667],9125:[-99e-5,.601,0,0,.66667],9126:[.64502,1.155,0,0,.66667],9127:[1e-5,.9,0,0,.88889],9128:[.65002,1.15,0,0,.88889],9129:[.90001,0,0,0,.88889],9130:[0,.3,0,0,.88889],9131:[1e-5,.9,0,0,.88889],9132:[.65002,1.15,0,0,.88889],9133:[.90001,0,0,0,.88889],9143:[.88502,.915,0,0,1.05556],10216:[1.25003,1.75,0,0,.80556],10217:[1.25003,1.75,0,0,.80556],57344:[-.00499,.605,0,0,1.05556],57345:[-.00499,.605,0,0,1.05556],57680:[0,.12,0,0,.45],57681:[0,.12,0,0,.45],57682:[0,.12,0,0,.45],57683:[0,.12,0,0,.45]},"Typewriter-Regular":{32:[0,0,0,0,.525],33:[0,.61111,0,0,.525],34:[0,.61111,0,0,.525],35:[0,.61111,0,0,.525],36:[.08333,.69444,0,0,.525],37:[.08333,.69444,0,0,.525],38:[0,.61111,0,0,.525],39:[0,.61111,0,0,.525],40:[.08333,.69444,0,0,.525],41:[.08333,.69444,0,0,.525],42:[0,.52083,0,0,.525],43:[-.08056,.53055,0,0,.525],44:[.13889,.125,0,0,.525],45:[-.08056,.53055,0,0,.525],46:[0,.125,0,0,.525],47:[.08333,.69444,0,0,.525],48:[0,.61111,0,0,.525],49:[0,.61111,0,0,.525],50:[0,.61111,0,0,.525],51:[0,.61111,0,0,.525],52:[0,.61111,0,0,.525],53:[0,.61111,0,0,.525],54:[0,.61111,0,0,.525],55:[0,.61111,0,0,.525],56:[0,.61111,0,0,.525],57:[0,.61111,0,0,.525],58:[0,.43056,0,0,.525],59:[.13889,.43056,0,0,.525],60:[-.05556,.55556,0,0,.525],61:[-.19549,.41562,0,0,.525],62:[-.05556,.55556,0,0,.525],63:[0,.61111,0,0,.525],64:[0,.61111,0,0,.525],65:[0,.61111,0,0,.525],66:[0,.61111,0,0,.525],67:[0,.61111,0,0,.525],68:[0,.61111,0,0,.525],69:[0,.61111,0,0,.525],70:[0,.61111,0,0,.525],71:[0,.61111,0,0,.525],72:[0,.61111,0,0,.525],73:[0,.61111,0,0,.525],74:[0,.61111,0,0,.525],75:[0,.61111,0,0,.525],76:[0,.61111,0,0,.525],77:[0,.61111,0,0,.525],78:[0,.61111,0,0,.525],79:[0,.61111,0,0,.525],80:[0,.61111,0,0,.525],81:[.13889,.61111,0,0,.525],82:[0,.61111,0,0,.525],83:[0,.61111,0,0,.525],84:[0,.61111,0,0,.525],85:[0,.61111,0,0,.525],86:[0,.61111,0,0,.525],87:[0,.61111,0,0,.525],88:[0,.61111,0,0,.525],89:[0,.61111,0,0,.525],90:[0,.61111,0,0,.525],91:[.08333,.69444,0,0,.525],92:[.08333,.69444,0,0,.525],93:[.08333,.69444,0,0,.525],94:[0,.61111,0,0,.525],95:[.09514,0,0,0,.525],96:[0,.61111,0,0,.525],97:[0,.43056,0,0,.525],98:[0,.61111,0,0,.525],99:[0,.43056,0,0,.525],100:[0,.61111,0,0,.525],101:[0,.43056,0,0,.525],102:[0,.61111,0,0,.525],103:[.22222,.43056,0,0,.525],104:[0,.61111,0,0,.525],105:[0,.61111,0,0,.525],106:[.22222,.61111,0,0,.525],107:[0,.61111,0,0,.525],108:[0,.61111,0,0,.525],109:[0,.43056,0,0,.525],110:[0,.43056,0,0,.525],111:[0,.43056,0,0,.525],112:[.22222,.43056,0,0,.525],113:[.22222,.43056,0,0,.525],114:[0,.43056,0,0,.525],115:[0,.43056,0,0,.525],116:[0,.55358,0,0,.525],117:[0,.43056,0,0,.525],118:[0,.43056,0,0,.525],119:[0,.43056,0,0,.525],120:[0,.43056,0,0,.525],121:[.22222,.43056,0,0,.525],122:[0,.43056,0,0,.525],123:[.08333,.69444,0,0,.525],124:[.08333,.69444,0,0,.525],125:[.08333,.69444,0,0,.525],126:[0,.61111,0,0,.525],127:[0,.61111,0,0,.525],160:[0,0,0,0,.525],176:[0,.61111,0,0,.525],184:[.19445,0,0,0,.525],305:[0,.43056,0,0,.525],567:[.22222,.43056,0,0,.525],711:[0,.56597,0,0,.525],713:[0,.56555,0,0,.525],714:[0,.61111,0,0,.525],715:[0,.61111,0,0,.525],728:[0,.61111,0,0,.525],730:[0,.61111,0,0,.525],770:[0,.61111,0,0,.525],771:[0,.61111,0,0,.525],776:[0,.61111,0,0,.525],915:[0,.61111,0,0,.525],916:[0,.61111,0,0,.525],920:[0,.61111,0,0,.525],923:[0,.61111,0,0,.525],926:[0,.61111,0,0,.525],928:[0,.61111,0,0,.525],931:[0,.61111,0,0,.525],933:[0,.61111,0,0,.525],934:[0,.61111,0,0,.525],936:[0,.61111,0,0,.525],937:[0,.61111,0,0,.525],8216:[0,.61111,0,0,.525],8217:[0,.61111,0,0,.525],8242:[0,.61111,0,0,.525],9251:[.11111,.21944,0,0,.525]}},sigmasAndXis={slant:[.25,.25,.25],space:[0,0,0],stretch:[0,0,0],shrink:[0,0,0],xHeight:[.431,.431,.431],quad:[1,1.171,1.472],extraSpace:[0,0,0],num1:[.677,.732,.925],num2:[.394,.384,.387],num3:[.444,.471,.504],denom1:[.686,.752,1.025],denom2:[.345,.344,.532],sup1:[.413,.503,.504],sup2:[.363,.431,.404],sup3:[.289,.286,.294],sub1:[.15,.143,.2],sub2:[.247,.286,.4],supDrop:[.386,.353,.494],subDrop:[.05,.071,.1],delim1:[2.39,1.7,1.98],delim2:[1.01,1.157,1.42],axisHeight:[.25,.25,.25],defaultRuleThickness:[.04,.049,.049],bigOpSpacing1:[.111,.111,.111],bigOpSpacing2:[.166,.166,.166],bigOpSpacing3:[.2,.2,.2],bigOpSpacing4:[.6,.611,.611],bigOpSpacing5:[.1,.143,.143],sqrtRuleThickness:[.04,.04,.04],ptPerEm:[10,10,10],doubleRuleSep:[.2,.2,.2],arrayRuleWidth:[.04,.04,.04],fboxsep:[.3,.3,.3],fboxrule:[.04,.04,.04]},extraCharacterMap={Å:"A",Ð:"D",Þ:"o",å:"a",ð:"d",þ:"o",А:"A",Б:"B",В:"B",Г:"F",Д:"A",Е:"E",Ж:"K",З:"3",И:"N",Й:"N",К:"K",Л:"N",М:"M",Н:"H",О:"O",П:"N",Р:"P",С:"C",Т:"T",У:"y",Ф:"O",Х:"X",Ц:"U",Ч:"h",Ш:"W",Щ:"W",Ъ:"B",Ы:"X",Ь:"B",Э:"3",Ю:"X",Я:"R",а:"a",б:"b",в:"a",г:"r",д:"y",е:"e",ж:"m",з:"e",и:"n",й:"n",к:"n",л:"n",м:"m",н:"n",о:"o",п:"n",р:"p",с:"c",т:"o",у:"y",ф:"b",х:"x",ц:"n",ч:"n",ш:"w",щ:"w",ъ:"a",ы:"m",ь:"a",э:"e",ю:"m",я:"r"};function setFontMetrics(Ra,qa){fontMetricsData[Ra]=qa}function getCharacterMetrics(Ra,qa,Ja){if(!fontMetricsData[qa])throw new Error("Font metrics not found for font: "+qa+".");var ed=Ra.charCodeAt(0),td=fontMetricsData[qa][ed];if(!td&&Ra[0]in extraCharacterMap&&(ed=extraCharacterMap[Ra[0]].charCodeAt(0),td=fontMetricsData[qa][ed]),!td&&Ja==="text"&&supportedCodepoint(ed)&&(td=fontMetricsData[qa][77]),td)return{depth:td[0],height:td[1],italic:td[2],skew:td[3],width:td[4]}}var fontMetricsBySizeIndex={};function getGlobalMetrics(Ra){var qa;if(Ra>=5?qa=0:Ra>=3?qa=1:qa=2,!fontMetricsBySizeIndex[qa]){var Ja=fontMetricsBySizeIndex[qa]={cssEmPerMu:sigmasAndXis.quad[qa]/18};for(var ed in sigmasAndXis)sigmasAndXis.hasOwnProperty(ed)&&(Ja[ed]=sigmasAndXis[ed][qa])}return fontMetricsBySizeIndex[qa]}var sizeStyleMap=[[1,1,1],[2,1,1],[3,1,1],[4,2,1],[5,2,1],[6,3,1],[7,4,2],[8,6,3],[9,7,6],[10,8,7],[11,10,9]],sizeMultipliers=[.5,.6,.7,.8,.9,1,1.2,1.44,1.728,2.074,2.488],sizeAtStyle=function(qa,Ja){return Ja.size<2?qa:sizeStyleMap[qa-1][Ja.size-1]};class Options{constructor(qa){this.style=void 0,this.color=void 0,this.size=void 0,this.textSize=void 0,this.phantom=void 0,this.font=void 0,this.fontFamily=void 0,this.fontWeight=void 0,this.fontShape=void 0,this.sizeMultiplier=void 0,this.maxSize=void 0,this.minRuleThickness=void 0,this._fontMetrics=void 0,this.style=qa.style,this.color=qa.color,this.size=qa.size||Options.BASESIZE,this.textSize=qa.textSize||this.size,this.phantom=!!qa.phantom,this.font=qa.font||"",this.fontFamily=qa.fontFamily||"",this.fontWeight=qa.fontWeight||"",this.fontShape=qa.fontShape||"",this.sizeMultiplier=sizeMultipliers[this.size-1],this.maxSize=qa.maxSize,this.minRuleThickness=qa.minRuleThickness,this._fontMetrics=void 0}extend(qa){var Ja={style:this.style,size:this.size,textSize:this.textSize,color:this.color,phantom:this.phantom,font:this.font,fontFamily:this.fontFamily,fontWeight:this.fontWeight,fontShape:this.fontShape,maxSize:this.maxSize,minRuleThickness:this.minRuleThickness};for(var ed in qa)qa.hasOwnProperty(ed)&&(Ja[ed]=qa[ed]);return new Options(Ja)}havingStyle(qa){return this.style===qa?this:this.extend({style:qa,size:sizeAtStyle(this.textSize,qa)})}havingCrampedStyle(){return this.havingStyle(this.style.cramp())}havingSize(qa){return this.size===qa&&this.textSize===qa?this:this.extend({style:this.style.text(),size:qa,textSize:qa,sizeMultiplier:sizeMultipliers[qa-1]})}havingBaseStyle(qa){qa=qa||this.style.text();var Ja=sizeAtStyle(Options.BASESIZE,qa);return this.size===Ja&&this.textSize===Options.BASESIZE&&this.style===qa?this:this.extend({style:qa,size:Ja})}havingBaseSizing(){var qa;switch(this.style.id){case 4:case 5:qa=3;break;case 6:case 7:qa=1;break;default:qa=6}return this.extend({style:this.style.text(),size:qa})}withColor(qa){return this.extend({color:qa})}withPhantom(){return this.extend({phantom:!0})}withFont(qa){return this.extend({font:qa})}withTextFontFamily(qa){return this.extend({fontFamily:qa,font:""})}withTextFontWeight(qa){return this.extend({fontWeight:qa,font:""})}withTextFontShape(qa){return this.extend({fontShape:qa,font:""})}sizingClasses(qa){return qa.size!==this.size?["sizing","reset-size"+qa.size,"size"+this.size]:[]}baseSizingClasses(){return this.size!==Options.BASESIZE?["sizing","reset-size"+this.size,"size"+Options.BASESIZE]:[]}fontMetrics(){return this._fontMetrics||(this._fontMetrics=getGlobalMetrics(this.size)),this._fontMetrics}getColor(){return this.phantom?"transparent":this.color}}Options.BASESIZE=6;var ptPerUnit={pt:1,mm:7227/2540,cm:7227/254,in:72.27,bp:803/800,pc:12,dd:1238/1157,cc:14856/1157,nd:685/642,nc:1370/107,sp:1/65536,px:803/800},relativeUnit={ex:!0,em:!0,mu:!0},validUnit=function(qa){return typeof qa!="string"&&(qa=qa.unit),qa in ptPerUnit||qa in relativeUnit||qa==="ex"},calculateSize$1=function(qa,Ja){var ed;if(qa.unit in ptPerUnit)ed=ptPerUnit[qa.unit]/Ja.fontMetrics().ptPerEm/Ja.sizeMultiplier;else if(qa.unit==="mu")ed=Ja.fontMetrics().cssEmPerMu;else{var td;if(Ja.style.isTight()?td=Ja.havingStyle(Ja.style.text()):td=Ja,qa.unit==="ex")ed=td.fontMetrics().xHeight;else if(qa.unit==="em")ed=td.fontMetrics().quad;else throw new ParseError("Invalid unit: '"+qa.unit+"'");td!==Ja&&(ed*=td.sizeMultiplier/Ja.sizeMultiplier)}return Math.min(qa.number*ed,Ja.maxSize)},makeEm=function(qa){return+qa.toFixed(4)+"em"},createClass=function(qa){return qa.filter(Ja=>Ja).join(" ")},initNode=function(qa,Ja,ed){if(this.classes=qa||[],this.attributes={},this.height=0,this.depth=0,this.maxFontSize=0,this.style=ed||{},Ja){Ja.style.isTight()&&this.classes.push("mtight");var td=Ja.getColor();td&&(this.style.color=td)}},toNode=function(qa){var Ja=document.createElement(qa);Ja.className=createClass(this.classes);for(var ed in this.style)this.style.hasOwnProperty(ed)&&(Ja.style[ed]=this.style[ed]);for(var td in this.attributes)this.attributes.hasOwnProperty(td)&&Ja.setAttribute(td,this.attributes[td]);for(var rd=0;rd<this.children.length;rd++)Ja.appendChild(this.children[rd].toNode());return Ja},toMarkup=function(qa){var Ja="<"+qa;this.classes.length&&(Ja+=' class="'+utils$6.escape(createClass(this.classes))+'"');var ed="";for(var td in this.style)this.style.hasOwnProperty(td)&&(ed+=utils$6.hyphenate(td)+":"+this.style[td]+";");ed&&(Ja+=' style="'+utils$6.escape(ed)+'"');for(var rd in this.attributes)this.attributes.hasOwnProperty(rd)&&(Ja+=" "+rd+'="'+utils$6.escape(this.attributes[rd])+'"');Ja+=">";for(var sd=0;sd<this.children.length;sd++)Ja+=this.children[sd].toMarkup();return Ja+="</"+qa+">",Ja};class Span{constructor(qa,Ja,ed,td){this.children=void 0,this.attributes=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.width=void 0,this.maxFontSize=void 0,this.style=void 0,initNode.call(this,qa,ed,td),this.children=Ja||[]}setAttribute(qa,Ja){this.attributes[qa]=Ja}hasClass(qa){return utils$6.contains(this.classes,qa)}toNode(){return toNode.call(this,"span")}toMarkup(){return toMarkup.call(this,"span")}}class Anchor{constructor(qa,Ja,ed,td){this.children=void 0,this.attributes=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.maxFontSize=void 0,this.style=void 0,initNode.call(this,Ja,td),this.children=ed||[],this.setAttribute("href",qa)}setAttribute(qa,Ja){this.attributes[qa]=Ja}hasClass(qa){return utils$6.contains(this.classes,qa)}toNode(){return toNode.call(this,"a")}toMarkup(){return toMarkup.call(this,"a")}}class Img{constructor(qa,Ja,ed){this.src=void 0,this.alt=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.maxFontSize=void 0,this.style=void 0,this.alt=Ja,this.src=qa,this.classes=["mord"],this.style=ed}hasClass(qa){return utils$6.contains(this.classes,qa)}toNode(){var qa=document.createElement("img");qa.src=this.src,qa.alt=this.alt,qa.className="mord";for(var Ja in this.style)this.style.hasOwnProperty(Ja)&&(qa.style[Ja]=this.style[Ja]);return qa}toMarkup(){var qa='<img src="'+utils$6.escape(this.src)+'"'+(' alt="'+utils$6.escape(this.alt)+'"'),Ja="";for(var ed in this.style)this.style.hasOwnProperty(ed)&&(Ja+=utils$6.hyphenate(ed)+":"+this.style[ed]+";");return Ja&&(qa+=' style="'+utils$6.escape(Ja)+'"'),qa+="'/>",qa}}var iCombinations={î:"ı̂",ï:"ı̈",í:"ı́",ì:"ı̀"};class SymbolNode{constructor(qa,Ja,ed,td,rd,sd,od,ld){this.text=void 0,this.height=void 0,this.depth=void 0,this.italic=void 0,this.skew=void 0,this.width=void 0,this.maxFontSize=void 0,this.classes=void 0,this.style=void 0,this.text=qa,this.height=Ja||0,this.depth=ed||0,this.italic=td||0,this.skew=rd||0,this.width=sd||0,this.classes=od||[],this.style=ld||{},this.maxFontSize=0;var cd=scriptFromCodepoint(this.text.charCodeAt(0));cd&&this.classes.push(cd+"_fallback"),/[îïíì]/.test(this.text)&&(this.text=iCombinations[this.text])}hasClass(qa){return utils$6.contains(this.classes,qa)}toNode(){var qa=document.createTextNode(this.text),Ja=null;this.italic>0&&(Ja=document.createElement("span"),Ja.style.marginRight=makeEm(this.italic)),this.classes.length>0&&(Ja=Ja||document.createElement("span"),Ja.className=createClass(this.classes));for(var ed in this.style)this.style.hasOwnProperty(ed)&&(Ja=Ja||document.createElement("span"),Ja.style[ed]=this.style[ed]);return Ja?(Ja.appendChild(qa),Ja):qa}toMarkup(){var qa=!1,Ja="<span";this.classes.length&&(qa=!0,Ja+=' class="',Ja+=utils$6.escape(createClass(this.classes)),Ja+='"');var ed="";this.italic>0&&(ed+="margin-right:"+this.italic+"em;");for(var td in this.style)this.style.hasOwnProperty(td)&&(ed+=utils$6.hyphenate(td)+":"+this.style[td]+";");ed&&(qa=!0,Ja+=' style="'+utils$6.escape(ed)+'"');var rd=utils$6.escape(this.text);return qa?(Ja+=">",Ja+=rd,Ja+="</span>",Ja):rd}}class SvgNode{constructor(qa,Ja){this.children=void 0,this.attributes=void 0,this.children=qa||[],this.attributes=Ja||{}}toNode(){var qa="http://www.w3.org/2000/svg",Ja=document.createElementNS(qa,"svg");for(var ed in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,ed)&&Ja.setAttribute(ed,this.attributes[ed]);for(var td=0;td<this.children.length;td++)Ja.appendChild(this.children[td].toNode());return Ja}toMarkup(){var qa='<svg xmlns="http://www.w3.org/2000/svg"';for(var Ja in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,Ja)&&(qa+=" "+Ja+'="'+utils$6.escape(this.attributes[Ja])+'"');qa+=">";for(var ed=0;ed<this.children.length;ed++)qa+=this.children[ed].toMarkup();return qa+="</svg>",qa}}class PathNode{constructor(qa,Ja){this.pathName=void 0,this.alternate=void 0,this.pathName=qa,this.alternate=Ja}toNode(){var qa="http://www.w3.org/2000/svg",Ja=document.createElementNS(qa,"path");return this.alternate?Ja.setAttribute("d",this.alternate):Ja.setAttribute("d",path[this.pathName]),Ja}toMarkup(){return this.alternate?'<path d="'+utils$6.escape(this.alternate)+'"/>':'<path d="'+utils$6.escape(path[this.pathName])+'"/>'}}class LineNode{constructor(qa){this.attributes=void 0,this.attributes=qa||{}}toNode(){var qa="http://www.w3.org/2000/svg",Ja=document.createElementNS(qa,"line");for(var ed in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,ed)&&Ja.setAttribute(ed,this.attributes[ed]);return Ja}toMarkup(){var qa="<line";for(var Ja in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,Ja)&&(qa+=" "+Ja+'="'+utils$6.escape(this.attributes[Ja])+'"');return qa+="/>",qa}}function assertSymbolDomNode(Ra){if(Ra instanceof SymbolNode)return Ra;throw new Error("Expected symbolNode but got "+String(Ra)+".")}function assertSpan(Ra){if(Ra instanceof Span)return Ra;throw new Error("Expected span<HtmlDomNode> but got "+String(Ra)+".")}var ATOMS={bin:1,close:1,inner:1,open:1,punct:1,rel:1},NON_ATOMS={"accent-token":1,mathord:1,"op-token":1,spacing:1,textord:1},symbols={math:{},text:{}};function defineSymbol(Ra,qa,Ja,ed,td,rd){symbols[Ra][td]={font:qa,group:Ja,replace:ed},rd&&ed&&(symbols[Ra][ed]=symbols[Ra][td])}var math="math",text$2="text",main$1="main",ams="ams",accent="accent-token",bin="bin",close="close",inner="inner",mathord="mathord",op$2="op-token",open="open",punct="punct",rel="rel",spacing="spacing",textord="textord";defineSymbol(math,main$1,rel,"≡","\\equiv",!0);defineSymbol(math,main$1,rel,"≺","\\prec",!0);defineSymbol(math,main$1,rel,"≻","\\succ",!0);defineSymbol(math,main$1,rel,"∼","\\sim",!0);defineSymbol(math,main$1,rel,"⊥","\\perp");defineSymbol(math,main$1,rel,"⪯","\\preceq",!0);defineSymbol(math,main$1,rel,"⪰","\\succeq",!0);defineSymbol(math,main$1,rel,"≃","\\simeq",!0);defineSymbol(math,main$1,rel,"∣","\\mid",!0);defineSymbol(math,main$1,rel,"≪","\\ll",!0);defineSymbol(math,main$1,rel,"≫","\\gg",!0);defineSymbol(math,main$1,rel,"≍","\\asymp",!0);defineSymbol(math,main$1,rel,"∥","\\parallel");defineSymbol(math,main$1,rel,"⋈","\\bowtie",!0);defineSymbol(math,main$1,rel,"⌣","\\smile",!0);defineSymbol(math,main$1,rel,"⊑","\\sqsubseteq",!0);defineSymbol(math,main$1,rel,"⊒","\\sqsupseteq",!0);defineSymbol(math,main$1,rel,"≐","\\doteq",!0);defineSymbol(math,main$1,rel,"⌢","\\frown",!0);defineSymbol(math,main$1,rel,"∋","\\ni",!0);defineSymbol(math,main$1,rel,"∝","\\propto",!0);defineSymbol(math,main$1,rel,"⊢","\\vdash",!0);defineSymbol(math,main$1,rel,"⊣","\\dashv",!0);defineSymbol(math,main$1,rel,"∋","\\owns");defineSymbol(math,main$1,punct,".","\\ldotp");defineSymbol(math,main$1,punct,"⋅","\\cdotp");defineSymbol(math,main$1,textord,"#","\\#");defineSymbol(text$2,main$1,textord,"#","\\#");defineSymbol(math,main$1,textord,"&","\\&");defineSymbol(text$2,main$1,textord,"&","\\&");defineSymbol(math,main$1,textord,"ℵ","\\aleph",!0);defineSymbol(math,main$1,textord,"∀","\\forall",!0);defineSymbol(math,main$1,textord,"ℏ","\\hbar",!0);defineSymbol(math,main$1,textord,"∃","\\exists",!0);defineSymbol(math,main$1,textord,"∇","\\nabla",!0);defineSymbol(math,main$1,textord,"♭","\\flat",!0);defineSymbol(math,main$1,textord,"ℓ","\\ell",!0);defineSymbol(math,main$1,textord,"♮","\\natural",!0);defineSymbol(math,main$1,textord,"♣","\\clubsuit",!0);defineSymbol(math,main$1,textord,"℘","\\wp",!0);defineSymbol(math,main$1,textord,"♯","\\sharp",!0);defineSymbol(math,main$1,textord,"♢","\\diamondsuit",!0);defineSymbol(math,main$1,textord,"ℜ","\\Re",!0);defineSymbol(math,main$1,textord,"♡","\\heartsuit",!0);defineSymbol(math,main$1,textord,"ℑ","\\Im",!0);defineSymbol(math,main$1,textord,"♠","\\spadesuit",!0);defineSymbol(math,main$1,textord,"§","\\S",!0);defineSymbol(text$2,main$1,textord,"§","\\S");defineSymbol(math,main$1,textord,"¶","\\P",!0);defineSymbol(text$2,main$1,textord,"¶","\\P");defineSymbol(math,main$1,textord,"†","\\dag");defineSymbol(text$2,main$1,textord,"†","\\dag");defineSymbol(text$2,main$1,textord,"†","\\textdagger");defineSymbol(math,main$1,textord,"‡","\\ddag");defineSymbol(text$2,main$1,textord,"‡","\\ddag");defineSymbol(text$2,main$1,textord,"‡","\\textdaggerdbl");defineSymbol(math,main$1,close,"⎱","\\rmoustache",!0);defineSymbol(math,main$1,open,"⎰","\\lmoustache",!0);defineSymbol(math,main$1,close,"⟯","\\rgroup",!0);defineSymbol(math,main$1,open,"⟮","\\lgroup",!0);defineSymbol(math,main$1,bin,"∓","\\mp",!0);defineSymbol(math,main$1,bin,"⊖","\\ominus",!0);defineSymbol(math,main$1,bin,"⊎","\\uplus",!0);defineSymbol(math,main$1,bin,"⊓","\\sqcap",!0);defineSymbol(math,main$1,bin,"∗","\\ast");defineSymbol(math,main$1,bin,"⊔","\\sqcup",!0);defineSymbol(math,main$1,bin,"◯","\\bigcirc",!0);defineSymbol(math,main$1,bin,"∙","\\bullet",!0);defineSymbol(math,main$1,bin,"‡","\\ddagger");defineSymbol(math,main$1,bin,"≀","\\wr",!0);defineSymbol(math,main$1,bin,"⨿","\\amalg");defineSymbol(math,main$1,bin,"&","\\And");defineSymbol(math,main$1,rel,"⟵","\\longleftarrow",!0);defineSymbol(math,main$1,rel,"⇐","\\Leftarrow",!0);defineSymbol(math,main$1,rel,"⟸","\\Longleftarrow",!0);defineSymbol(math,main$1,rel,"⟶","\\longrightarrow",!0);defineSymbol(math,main$1,rel,"⇒","\\Rightarrow",!0);defineSymbol(math,main$1,rel,"⟹","\\Longrightarrow",!0);defineSymbol(math,main$1,rel,"↔","\\leftrightarrow",!0);defineSymbol(math,main$1,rel,"⟷","\\longleftrightarrow",!0);defineSymbol(math,main$1,rel,"⇔","\\Leftrightarrow",!0);defineSymbol(math,main$1,rel,"⟺","\\Longleftrightarrow",!0);defineSymbol(math,main$1,rel,"↦","\\mapsto",!0);defineSymbol(math,main$1,rel,"⟼","\\longmapsto",!0);defineSymbol(math,main$1,rel,"↗","\\nearrow",!0);defineSymbol(math,main$1,rel,"↩","\\hookleftarrow",!0);defineSymbol(math,main$1,rel,"↪","\\hookrightarrow",!0);defineSymbol(math,main$1,rel,"↘","\\searrow",!0);defineSymbol(math,main$1,rel,"↼","\\leftharpoonup",!0);defineSymbol(math,main$1,rel,"⇀","\\rightharpoonup",!0);defineSymbol(math,main$1,rel,"↙","\\swarrow",!0);defineSymbol(math,main$1,rel,"↽","\\leftharpoondown",!0);defineSymbol(math,main$1,rel,"⇁","\\rightharpoondown",!0);defineSymbol(math,main$1,rel,"↖","\\nwarrow",!0);defineSymbol(math,main$1,rel,"⇌","\\rightleftharpoons",!0);defineSymbol(math,ams,rel,"≮","\\nless",!0);defineSymbol(math,ams,rel,"","\\@nleqslant");defineSymbol(math,ams,rel,"","\\@nleqq");defineSymbol(math,ams,rel,"⪇","\\lneq",!0);defineSymbol(math,ams,rel,"≨","\\lneqq",!0);defineSymbol(math,ams,rel,"","\\@lvertneqq");defineSymbol(math,ams,rel,"⋦","\\lnsim",!0);defineSymbol(math,ams,rel,"⪉","\\lnapprox",!0);defineSymbol(math,ams,rel,"⊀","\\nprec",!0);defineSymbol(math,ams,rel,"⋠","\\npreceq",!0);defineSymbol(math,ams,rel,"⋨","\\precnsim",!0);defineSymbol(math,ams,rel,"⪹","\\precnapprox",!0);defineSymbol(math,ams,rel,"≁","\\nsim",!0);defineSymbol(math,ams,rel,"","\\@nshortmid");defineSymbol(math,ams,rel,"∤","\\nmid",!0);defineSymbol(math,ams,rel,"⊬","\\nvdash",!0);defineSymbol(math,ams,rel,"⊭","\\nvDash",!0);defineSymbol(math,ams,rel,"⋪","\\ntriangleleft");defineSymbol(math,ams,rel,"⋬","\\ntrianglelefteq",!0);defineSymbol(math,ams,rel,"⊊","\\subsetneq",!0);defineSymbol(math,ams,rel,"","\\@varsubsetneq");defineSymbol(math,ams,rel,"⫋","\\subsetneqq",!0);defineSymbol(math,ams,rel,"","\\@varsubsetneqq");defineSymbol(math,ams,rel,"≯","\\ngtr",!0);defineSymbol(math,ams,rel,"","\\@ngeqslant");defineSymbol(math,ams,rel,"","\\@ngeqq");defineSymbol(math,ams,rel,"⪈","\\gneq",!0);defineSymbol(math,ams,rel,"≩","\\gneqq",!0);defineSymbol(math,ams,rel,"","\\@gvertneqq");defineSymbol(math,ams,rel,"⋧","\\gnsim",!0);defineSymbol(math,ams,rel,"⪊","\\gnapprox",!0);defineSymbol(math,ams,rel,"⊁","\\nsucc",!0);defineSymbol(math,ams,rel,"⋡","\\nsucceq",!0);defineSymbol(math,ams,rel,"⋩","\\succnsim",!0);defineSymbol(math,ams,rel,"⪺","\\succnapprox",!0);defineSymbol(math,ams,rel,"≆","\\ncong",!0);defineSymbol(math,ams,rel,"","\\@nshortparallel");defineSymbol(math,ams,rel,"∦","\\nparallel",!0);defineSymbol(math,ams,rel,"⊯","\\nVDash",!0);defineSymbol(math,ams,rel,"⋫","\\ntriangleright");defineSymbol(math,ams,rel,"⋭","\\ntrianglerighteq",!0);defineSymbol(math,ams,rel,"","\\@nsupseteqq");defineSymbol(math,ams,rel,"⊋","\\supsetneq",!0);defineSymbol(math,ams,rel,"","\\@varsupsetneq");defineSymbol(math,ams,rel,"⫌","\\supsetneqq",!0);defineSymbol(math,ams,rel,"","\\@varsupsetneqq");defineSymbol(math,ams,rel,"⊮","\\nVdash",!0);defineSymbol(math,ams,rel,"⪵","\\precneqq",!0);defineSymbol(math,ams,rel,"⪶","\\succneqq",!0);defineSymbol(math,ams,rel,"","\\@nsubseteqq");defineSymbol(math,ams,bin,"⊴","\\unlhd");defineSymbol(math,ams,bin,"⊵","\\unrhd");defineSymbol(math,ams,rel,"↚","\\nleftarrow",!0);defineSymbol(math,ams,rel,"↛","\\nrightarrow",!0);defineSymbol(math,ams,rel,"⇍","\\nLeftarrow",!0);defineSymbol(math,ams,rel,"⇏","\\nRightarrow",!0);defineSymbol(math,ams,rel,"↮","\\nleftrightarrow",!0);defineSymbol(math,ams,rel,"⇎","\\nLeftrightarrow",!0);defineSymbol(math,ams,rel,"△","\\vartriangle");defineSymbol(math,ams,textord,"ℏ","\\hslash");defineSymbol(math,ams,textord,"▽","\\triangledown");defineSymbol(math,ams,textord,"◊","\\lozenge");defineSymbol(math,ams,textord,"Ⓢ","\\circledS");defineSymbol(math,ams,textord,"®","\\circledR");defineSymbol(text$2,ams,textord,"®","\\circledR");defineSymbol(math,ams,textord,"∡","\\measuredangle",!0);defineSymbol(math,ams,textord,"∄","\\nexists");defineSymbol(math,ams,textord,"℧","\\mho");defineSymbol(math,ams,textord,"Ⅎ","\\Finv",!0);defineSymbol(math,ams,textord,"⅁","\\Game",!0);defineSymbol(math,ams,textord,"‵","\\backprime");defineSymbol(math,ams,textord,"▲","\\blacktriangle");defineSymbol(math,ams,textord,"▼","\\blacktriangledown");defineSymbol(math,ams,textord,"■","\\blacksquare");defineSymbol(math,ams,textord,"⧫","\\blacklozenge");defineSymbol(math,ams,textord,"★","\\bigstar");defineSymbol(math,ams,textord,"∢","\\sphericalangle",!0);defineSymbol(math,ams,textord,"∁","\\complement",!0);defineSymbol(math,ams,textord,"ð","\\eth",!0);defineSymbol(text$2,main$1,textord,"ð","ð");defineSymbol(math,ams,textord,"╱","\\diagup");defineSymbol(math,ams,textord,"╲","\\diagdown");defineSymbol(math,ams,textord,"□","\\square");defineSymbol(math,ams,textord,"□","\\Box");defineSymbol(math,ams,textord,"◊","\\Diamond");defineSymbol(math,ams,textord,"¥","\\yen",!0);defineSymbol(text$2,ams,textord,"¥","\\yen",!0);defineSymbol(math,ams,textord,"✓","\\checkmark",!0);defineSymbol(text$2,ams,textord,"✓","\\checkmark");defineSymbol(math,ams,textord,"ℶ","\\beth",!0);defineSymbol(math,ams,textord,"ℸ","\\daleth",!0);defineSymbol(math,ams,textord,"ℷ","\\gimel",!0);defineSymbol(math,ams,textord,"ϝ","\\digamma",!0);defineSymbol(math,ams,textord,"ϰ","\\varkappa");defineSymbol(math,ams,open,"┌","\\@ulcorner",!0);defineSymbol(math,ams,close,"┐","\\@urcorner",!0);defineSymbol(math,ams,open,"└","\\@llcorner",!0);defineSymbol(math,ams,close,"┘","\\@lrcorner",!0);defineSymbol(math,ams,rel,"≦","\\leqq",!0);defineSymbol(math,ams,rel,"⩽","\\leqslant",!0);defineSymbol(math,ams,rel,"⪕","\\eqslantless",!0);defineSymbol(math,ams,rel,"≲","\\lesssim",!0);defineSymbol(math,ams,rel,"⪅","\\lessapprox",!0);defineSymbol(math,ams,rel,"≊","\\approxeq",!0);defineSymbol(math,ams,bin,"⋖","\\lessdot");defineSymbol(math,ams,rel,"⋘","\\lll",!0);defineSymbol(math,ams,rel,"≶","\\lessgtr",!0);defineSymbol(math,ams,rel,"⋚","\\lesseqgtr",!0);defineSymbol(math,ams,rel,"⪋","\\lesseqqgtr",!0);defineSymbol(math,ams,rel,"≑","\\doteqdot");defineSymbol(math,ams,rel,"≓","\\risingdotseq",!0);defineSymbol(math,ams,rel,"≒","\\fallingdotseq",!0);defineSymbol(math,ams,rel,"∽","\\backsim",!0);defineSymbol(math,ams,rel,"⋍","\\backsimeq",!0);defineSymbol(math,ams,rel,"⫅","\\subseteqq",!0);defineSymbol(math,ams,rel,"⋐","\\Subset",!0);defineSymbol(math,ams,rel,"⊏","\\sqsubset",!0);defineSymbol(math,ams,rel,"≼","\\preccurlyeq",!0);defineSymbol(math,ams,rel,"⋞","\\curlyeqprec",!0);defineSymbol(math,ams,rel,"≾","\\precsim",!0);defineSymbol(math,ams,rel,"⪷","\\precapprox",!0);defineSymbol(math,ams,rel,"⊲","\\vartriangleleft");defineSymbol(math,ams,rel,"⊴","\\trianglelefteq");defineSymbol(math,ams,rel,"⊨","\\vDash",!0);defineSymbol(math,ams,rel,"⊪","\\Vvdash",!0);defineSymbol(math,ams,rel,"⌣","\\smallsmile");defineSymbol(math,ams,rel,"⌢","\\smallfrown");defineSymbol(math,ams,rel,"≏","\\bumpeq",!0);defineSymbol(math,ams,rel,"≎","\\Bumpeq",!0);defineSymbol(math,ams,rel,"≧","\\geqq",!0);defineSymbol(math,ams,rel,"⩾","\\geqslant",!0);defineSymbol(math,ams,rel,"⪖","\\eqslantgtr",!0);defineSymbol(math,ams,rel,"≳","\\gtrsim",!0);defineSymbol(math,ams,rel,"⪆","\\gtrapprox",!0);defineSymbol(math,ams,bin,"⋗","\\gtrdot");defineSymbol(math,ams,rel,"⋙","\\ggg",!0);defineSymbol(math,ams,rel,"≷","\\gtrless",!0);defineSymbol(math,ams,rel,"⋛","\\gtreqless",!0);defineSymbol(math,ams,rel,"⪌","\\gtreqqless",!0);defineSymbol(math,ams,rel,"≖","\\eqcirc",!0);defineSymbol(math,ams,rel,"≗","\\circeq",!0);defineSymbol(math,ams,rel,"≜","\\triangleq",!0);defineSymbol(math,ams,rel,"∼","\\thicksim");defineSymbol(math,ams,rel,"≈","\\thickapprox");defineSymbol(math,ams,rel,"⫆","\\supseteqq",!0);defineSymbol(math,ams,rel,"⋑","\\Supset",!0);defineSymbol(math,ams,rel,"⊐","\\sqsupset",!0);defineSymbol(math,ams,rel,"≽","\\succcurlyeq",!0);defineSymbol(math,ams,rel,"⋟","\\curlyeqsucc",!0);defineSymbol(math,ams,rel,"≿","\\succsim",!0);defineSymbol(math,ams,rel,"⪸","\\succapprox",!0);defineSymbol(math,ams,rel,"⊳","\\vartriangleright");defineSymbol(math,ams,rel,"⊵","\\trianglerighteq");defineSymbol(math,ams,rel,"⊩","\\Vdash",!0);defineSymbol(math,ams,rel,"∣","\\shortmid");defineSymbol(math,ams,rel,"∥","\\shortparallel");defineSymbol(math,ams,rel,"≬","\\between",!0);defineSymbol(math,ams,rel,"⋔","\\pitchfork",!0);defineSymbol(math,ams,rel,"∝","\\varpropto");defineSymbol(math,ams,rel,"◀","\\blacktriangleleft");defineSymbol(math,ams,rel,"∴","\\therefore",!0);defineSymbol(math,ams,rel,"∍","\\backepsilon");defineSymbol(math,ams,rel,"▶","\\blacktriangleright");defineSymbol(math,ams,rel,"∵","\\because",!0);defineSymbol(math,ams,rel,"⋘","\\llless");defineSymbol(math,ams,rel,"⋙","\\gggtr");defineSymbol(math,ams,bin,"⊲","\\lhd");defineSymbol(math,ams,bin,"⊳","\\rhd");defineSymbol(math,ams,rel,"≂","\\eqsim",!0);defineSymbol(math,main$1,rel,"⋈","\\Join");defineSymbol(math,ams,rel,"≑","\\Doteq",!0);defineSymbol(math,ams,bin,"∔","\\dotplus",!0);defineSymbol(math,ams,bin,"∖","\\smallsetminus");defineSymbol(math,ams,bin,"⋒","\\Cap",!0);defineSymbol(math,ams,bin,"⋓","\\Cup",!0);defineSymbol(math,ams,bin,"⩞","\\doublebarwedge",!0);defineSymbol(math,ams,bin,"⊟","\\boxminus",!0);defineSymbol(math,ams,bin,"⊞","\\boxplus",!0);defineSymbol(math,ams,bin,"⋇","\\divideontimes",!0);defineSymbol(math,ams,bin,"⋉","\\ltimes",!0);defineSymbol(math,ams,bin,"⋊","\\rtimes",!0);defineSymbol(math,ams,bin,"⋋","\\leftthreetimes",!0);defineSymbol(math,ams,bin,"⋌","\\rightthreetimes",!0);defineSymbol(math,ams,bin,"⋏","\\curlywedge",!0);defineSymbol(math,ams,bin,"⋎","\\curlyvee",!0);defineSymbol(math,ams,bin,"⊝","\\circleddash",!0);defineSymbol(math,ams,bin,"⊛","\\circledast",!0);defineSymbol(math,ams,bin,"⋅","\\centerdot");defineSymbol(math,ams,bin,"⊺","\\intercal",!0);defineSymbol(math,ams,bin,"⋒","\\doublecap");defineSymbol(math,ams,bin,"⋓","\\doublecup");defineSymbol(math,ams,bin,"⊠","\\boxtimes",!0);defineSymbol(math,ams,rel,"⇢","\\dashrightarrow",!0);defineSymbol(math,ams,rel,"⇠","\\dashleftarrow",!0);defineSymbol(math,ams,rel,"⇇","\\leftleftarrows",!0);defineSymbol(math,ams,rel,"⇆","\\leftrightarrows",!0);defineSymbol(math,ams,rel,"⇚","\\Lleftarrow",!0);defineSymbol(math,ams,rel,"↞","\\twoheadleftarrow",!0);defineSymbol(math,ams,rel,"↢","\\leftarrowtail",!0);defineSymbol(math,ams,rel,"↫","\\looparrowleft",!0);defineSymbol(math,ams,rel,"⇋","\\leftrightharpoons",!0);defineSymbol(math,ams,rel,"↶","\\curvearrowleft",!0);defineSymbol(math,ams,rel,"↺","\\circlearrowleft",!0);defineSymbol(math,ams,rel,"↰","\\Lsh",!0);defineSymbol(math,ams,rel,"⇈","\\upuparrows",!0);defineSymbol(math,ams,rel,"↿","\\upharpoonleft",!0);defineSymbol(math,ams,rel,"⇃","\\downharpoonleft",!0);defineSymbol(math,main$1,rel,"⊶","\\origof",!0);defineSymbol(math,main$1,rel,"⊷","\\imageof",!0);defineSymbol(math,ams,rel,"⊸","\\multimap",!0);defineSymbol(math,ams,rel,"↭","\\leftrightsquigarrow",!0);defineSymbol(math,ams,rel,"⇉","\\rightrightarrows",!0);defineSymbol(math,ams,rel,"⇄","\\rightleftarrows",!0);defineSymbol(math,ams,rel,"↠","\\twoheadrightarrow",!0);defineSymbol(math,ams,rel,"↣","\\rightarrowtail",!0);defineSymbol(math,ams,rel,"↬","\\looparrowright",!0);defineSymbol(math,ams,rel,"↷","\\curvearrowright",!0);defineSymbol(math,ams,rel,"↻","\\circlearrowright",!0);defineSymbol(math,ams,rel,"↱","\\Rsh",!0);defineSymbol(math,ams,rel,"⇊","\\downdownarrows",!0);defineSymbol(math,ams,rel,"↾","\\upharpoonright",!0);defineSymbol(math,ams,rel,"⇂","\\downharpoonright",!0);defineSymbol(math,ams,rel,"⇝","\\rightsquigarrow",!0);defineSymbol(math,ams,rel,"⇝","\\leadsto");defineSymbol(math,ams,rel,"⇛","\\Rrightarrow",!0);defineSymbol(math,ams,rel,"↾","\\restriction");defineSymbol(math,main$1,textord,"‘","`");defineSymbol(math,main$1,textord,"$","\\$");defineSymbol(text$2,main$1,textord,"$","\\$");defineSymbol(text$2,main$1,textord,"$","\\textdollar");defineSymbol(math,main$1,textord,"%","\\%");defineSymbol(text$2,main$1,textord,"%","\\%");defineSymbol(math,main$1,textord,"_","\\_");defineSymbol(text$2,main$1,textord,"_","\\_");defineSymbol(text$2,main$1,textord,"_","\\textunderscore");defineSymbol(math,main$1,textord,"∠","\\angle",!0);defineSymbol(math,main$1,textord,"∞","\\infty",!0);defineSymbol(math,main$1,textord,"′","\\prime");defineSymbol(math,main$1,textord,"△","\\triangle");defineSymbol(math,main$1,textord,"Γ","\\Gamma",!0);defineSymbol(math,main$1,textord,"Δ","\\Delta",!0);defineSymbol(math,main$1,textord,"Θ","\\Theta",!0);defineSymbol(math,main$1,textord,"Λ","\\Lambda",!0);defineSymbol(math,main$1,textord,"Ξ","\\Xi",!0);defineSymbol(math,main$1,textord,"Π","\\Pi",!0);defineSymbol(math,main$1,textord,"Σ","\\Sigma",!0);defineSymbol(math,main$1,textord,"Υ","\\Upsilon",!0);defineSymbol(math,main$1,textord,"Φ","\\Phi",!0);defineSymbol(math,main$1,textord,"Ψ","\\Psi",!0);defineSymbol(math,main$1,textord,"Ω","\\Omega",!0);defineSymbol(math,main$1,textord,"A","Α");defineSymbol(math,main$1,textord,"B","Β");defineSymbol(math,main$1,textord,"E","Ε");defineSymbol(math,main$1,textord,"Z","Ζ");defineSymbol(math,main$1,textord,"H","Η");defineSymbol(math,main$1,textord,"I","Ι");defineSymbol(math,main$1,textord,"K","Κ");defineSymbol(math,main$1,textord,"M","Μ");defineSymbol(math,main$1,textord,"N","Ν");defineSymbol(math,main$1,textord,"O","Ο");defineSymbol(math,main$1,textord,"P","Ρ");defineSymbol(math,main$1,textord,"T","Τ");defineSymbol(math,main$1,textord,"X","Χ");defineSymbol(math,main$1,textord,"¬","\\neg",!0);defineSymbol(math,main$1,textord,"¬","\\lnot");defineSymbol(math,main$1,textord,"⊤","\\top");defineSymbol(math,main$1,textord,"⊥","\\bot");defineSymbol(math,main$1,textord,"∅","\\emptyset");defineSymbol(math,ams,textord,"∅","\\varnothing");defineSymbol(math,main$1,mathord,"α","\\alpha",!0);defineSymbol(math,main$1,mathord,"β","\\beta",!0);defineSymbol(math,main$1,mathord,"γ","\\gamma",!0);defineSymbol(math,main$1,mathord,"δ","\\delta",!0);defineSymbol(math,main$1,mathord,"ϵ","\\epsilon",!0);defineSymbol(math,main$1,mathord,"ζ","\\zeta",!0);defineSymbol(math,main$1,mathord,"η","\\eta",!0);defineSymbol(math,main$1,mathord,"θ","\\theta",!0);defineSymbol(math,main$1,mathord,"ι","\\iota",!0);defineSymbol(math,main$1,mathord,"κ","\\kappa",!0);defineSymbol(math,main$1,mathord,"λ","\\lambda",!0);defineSymbol(math,main$1,mathord,"μ","\\mu",!0);defineSymbol(math,main$1,mathord,"ν","\\nu",!0);defineSymbol(math,main$1,mathord,"ξ","\\xi",!0);defineSymbol(math,main$1,mathord,"ο","\\omicron",!0);defineSymbol(math,main$1,mathord,"π","\\pi",!0);defineSymbol(math,main$1,mathord,"ρ","\\rho",!0);defineSymbol(math,main$1,mathord,"σ","\\sigma",!0);defineSymbol(math,main$1,mathord,"τ","\\tau",!0);defineSymbol(math,main$1,mathord,"υ","\\upsilon",!0);defineSymbol(math,main$1,mathord,"ϕ","\\phi",!0);defineSymbol(math,main$1,mathord,"χ","\\chi",!0);defineSymbol(math,main$1,mathord,"ψ","\\psi",!0);defineSymbol(math,main$1,mathord,"ω","\\omega",!0);defineSymbol(math,main$1,mathord,"ε","\\varepsilon",!0);defineSymbol(math,main$1,mathord,"ϑ","\\vartheta",!0);defineSymbol(math,main$1,mathord,"ϖ","\\varpi",!0);defineSymbol(math,main$1,mathord,"ϱ","\\varrho",!0);defineSymbol(math,main$1,mathord,"ς","\\varsigma",!0);defineSymbol(math,main$1,mathord,"φ","\\varphi",!0);defineSymbol(math,main$1,bin,"∗","*",!0);defineSymbol(math,main$1,bin,"+","+");defineSymbol(math,main$1,bin,"−","-",!0);defineSymbol(math,main$1,bin,"⋅","\\cdot",!0);defineSymbol(math,main$1,bin,"∘","\\circ",!0);defineSymbol(math,main$1,bin,"÷","\\div",!0);defineSymbol(math,main$1,bin,"±","\\pm",!0);defineSymbol(math,main$1,bin,"×","\\times",!0);defineSymbol(math,main$1,bin,"∩","\\cap",!0);defineSymbol(math,main$1,bin,"∪","\\cup",!0);defineSymbol(math,main$1,bin,"∖","\\setminus",!0);defineSymbol(math,main$1,bin,"∧","\\land");defineSymbol(math,main$1,bin,"∨","\\lor");defineSymbol(math,main$1,bin,"∧","\\wedge",!0);defineSymbol(math,main$1,bin,"∨","\\vee",!0);defineSymbol(math,main$1,textord,"√","\\surd");defineSymbol(math,main$1,open,"⟨","\\langle",!0);defineSymbol(math,main$1,open,"∣","\\lvert");defineSymbol(math,main$1,open,"∥","\\lVert");defineSymbol(math,main$1,close,"?","?");defineSymbol(math,main$1,close,"!","!");defineSymbol(math,main$1,close,"⟩","\\rangle",!0);defineSymbol(math,main$1,close,"∣","\\rvert");defineSymbol(math,main$1,close,"∥","\\rVert");defineSymbol(math,main$1,rel,"=","=");defineSymbol(math,main$1,rel,":",":");defineSymbol(math,main$1,rel,"≈","\\approx",!0);defineSymbol(math,main$1,rel,"≅","\\cong",!0);defineSymbol(math,main$1,rel,"≥","\\ge");defineSymbol(math,main$1,rel,"≥","\\geq",!0);defineSymbol(math,main$1,rel,"←","\\gets");defineSymbol(math,main$1,rel,">","\\gt",!0);defineSymbol(math,main$1,rel,"∈","\\in",!0);defineSymbol(math,main$1,rel,"","\\@not");defineSymbol(math,main$1,rel,"⊂","\\subset",!0);defineSymbol(math,main$1,rel,"⊃","\\supset",!0);defineSymbol(math,main$1,rel,"⊆","\\subseteq",!0);defineSymbol(math,main$1,rel,"⊇","\\supseteq",!0);defineSymbol(math,ams,rel,"⊈","\\nsubseteq",!0);defineSymbol(math,ams,rel,"⊉","\\nsupseteq",!0);defineSymbol(math,main$1,rel,"⊨","\\models");defineSymbol(math,main$1,rel,"←","\\leftarrow",!0);defineSymbol(math,main$1,rel,"≤","\\le");defineSymbol(math,main$1,rel,"≤","\\leq",!0);defineSymbol(math,main$1,rel,"<","\\lt",!0);defineSymbol(math,main$1,rel,"→","\\rightarrow",!0);defineSymbol(math,main$1,rel,"→","\\to");defineSymbol(math,ams,rel,"≱","\\ngeq",!0);defineSymbol(math,ams,rel,"≰","\\nleq",!0);defineSymbol(math,main$1,spacing," ","\\ ");defineSymbol(math,main$1,spacing," ","\\space");defineSymbol(math,main$1,spacing," ","\\nobreakspace");defineSymbol(text$2,main$1,spacing," ","\\ ");defineSymbol(text$2,main$1,spacing," "," ");defineSymbol(text$2,main$1,spacing," ","\\space");defineSymbol(text$2,main$1,spacing," ","\\nobreakspace");defineSymbol(math,main$1,spacing,null,"\\nobreak");defineSymbol(math,main$1,spacing,null,"\\allowbreak");defineSymbol(math,main$1,punct,",",",");defineSymbol(math,main$1,punct,";",";");defineSymbol(math,ams,bin,"⊼","\\barwedge",!0);defineSymbol(math,ams,bin,"⊻","\\veebar",!0);defineSymbol(math,main$1,bin,"⊙","\\odot",!0);defineSymbol(math,main$1,bin,"⊕","\\oplus",!0);defineSymbol(math,main$1,bin,"⊗","\\otimes",!0);defineSymbol(math,main$1,textord,"∂","\\partial",!0);defineSymbol(math,main$1,bin,"⊘","\\oslash",!0);defineSymbol(math,ams,bin,"⊚","\\circledcirc",!0);defineSymbol(math,ams,bin,"⊡","\\boxdot",!0);defineSymbol(math,main$1,bin,"△","\\bigtriangleup");defineSymbol(math,main$1,bin,"▽","\\bigtriangledown");defineSymbol(math,main$1,bin,"†","\\dagger");defineSymbol(math,main$1,bin,"⋄","\\diamond");defineSymbol(math,main$1,bin,"⋆","\\star");defineSymbol(math,main$1,bin,"◃","\\triangleleft");defineSymbol(math,main$1,bin,"▹","\\triangleright");defineSymbol(math,main$1,open,"{","\\{");defineSymbol(text$2,main$1,textord,"{","\\{");defineSymbol(text$2,main$1,textord,"{","\\textbraceleft");defineSymbol(math,main$1,close,"}","\\}");defineSymbol(text$2,main$1,textord,"}","\\}");defineSymbol(text$2,main$1,textord,"}","\\textbraceright");defineSymbol(math,main$1,open,"{","\\lbrace");defineSymbol(math,main$1,close,"}","\\rbrace");defineSymbol(math,main$1,open,"[","\\lbrack",!0);defineSymbol(text$2,main$1,textord,"[","\\lbrack",!0);defineSymbol(math,main$1,close,"]","\\rbrack",!0);defineSymbol(text$2,main$1,textord,"]","\\rbrack",!0);defineSymbol(math,main$1,open,"(","\\lparen",!0);defineSymbol(math,main$1,close,")","\\rparen",!0);defineSymbol(text$2,main$1,textord,"<","\\textless",!0);defineSymbol(text$2,main$1,textord,">","\\textgreater",!0);defineSymbol(math,main$1,open,"⌊","\\lfloor",!0);defineSymbol(math,main$1,close,"⌋","\\rfloor",!0);defineSymbol(math,main$1,open,"⌈","\\lceil",!0);defineSymbol(math,main$1,close,"⌉","\\rceil",!0);defineSymbol(math,main$1,textord,"\\","\\backslash");defineSymbol(math,main$1,textord,"∣","|");defineSymbol(math,main$1,textord,"∣","\\vert");defineSymbol(text$2,main$1,textord,"|","\\textbar",!0);defineSymbol(math,main$1,textord,"∥","\\|");defineSymbol(math,main$1,textord,"∥","\\Vert");defineSymbol(text$2,main$1,textord,"∥","\\textbardbl");defineSymbol(text$2,main$1,textord,"~","\\textasciitilde");defineSymbol(text$2,main$1,textord,"\\","\\textbackslash");defineSymbol(text$2,main$1,textord,"^","\\textasciicircum");defineSymbol(math,main$1,rel,"↑","\\uparrow",!0);defineSymbol(math,main$1,rel,"⇑","\\Uparrow",!0);defineSymbol(math,main$1,rel,"↓","\\downarrow",!0);defineSymbol(math,main$1,rel,"⇓","\\Downarrow",!0);defineSymbol(math,main$1,rel,"↕","\\updownarrow",!0);defineSymbol(math,main$1,rel,"⇕","\\Updownarrow",!0);defineSymbol(math,main$1,op$2,"∐","\\coprod");defineSymbol(math,main$1,op$2,"⋁","\\bigvee");defineSymbol(math,main$1,op$2,"⋀","\\bigwedge");defineSymbol(math,main$1,op$2,"⨄","\\biguplus");defineSymbol(math,main$1,op$2,"⋂","\\bigcap");defineSymbol(math,main$1,op$2,"⋃","\\bigcup");defineSymbol(math,main$1,op$2,"∫","\\int");defineSymbol(math,main$1,op$2,"∫","\\intop");defineSymbol(math,main$1,op$2,"∬","\\iint");defineSymbol(math,main$1,op$2,"∭","\\iiint");defineSymbol(math,main$1,op$2,"∏","\\prod");defineSymbol(math,main$1,op$2,"∑","\\sum");defineSymbol(math,main$1,op$2,"⨂","\\bigotimes");defineSymbol(math,main$1,op$2,"⨁","\\bigoplus");defineSymbol(math,main$1,op$2,"⨀","\\bigodot");defineSymbol(math,main$1,op$2,"∮","\\oint");defineSymbol(math,main$1,op$2,"∯","\\oiint");defineSymbol(math,main$1,op$2,"∰","\\oiiint");defineSymbol(math,main$1,op$2,"⨆","\\bigsqcup");defineSymbol(math,main$1,op$2,"∫","\\smallint");defineSymbol(text$2,main$1,inner,"…","\\textellipsis");defineSymbol(math,main$1,inner,"…","\\mathellipsis");defineSymbol(text$2,main$1,inner,"…","\\ldots",!0);defineSymbol(math,main$1,inner,"…","\\ldots",!0);defineSymbol(math,main$1,inner,"⋯","\\@cdots",!0);defineSymbol(math,main$1,inner,"⋱","\\ddots",!0);defineSymbol(math,main$1,textord,"⋮","\\varvdots");defineSymbol(math,main$1,accent,"ˊ","\\acute");defineSymbol(math,main$1,accent,"ˋ","\\grave");defineSymbol(math,main$1,accent,"¨","\\ddot");defineSymbol(math,main$1,accent,"~","\\tilde");defineSymbol(math,main$1,accent,"ˉ","\\bar");defineSymbol(math,main$1,accent,"˘","\\breve");defineSymbol(math,main$1,accent,"ˇ","\\check");defineSymbol(math,main$1,accent,"^","\\hat");defineSymbol(math,main$1,accent,"⃗","\\vec");defineSymbol(math,main$1,accent,"˙","\\dot");defineSymbol(math,main$1,accent,"˚","\\mathring");defineSymbol(math,main$1,mathord,"","\\@imath");defineSymbol(math,main$1,mathord,"","\\@jmath");defineSymbol(math,main$1,textord,"ı","ı");defineSymbol(math,main$1,textord,"ȷ","ȷ");defineSymbol(text$2,main$1,textord,"ı","\\i",!0);defineSymbol(text$2,main$1,textord,"ȷ","\\j",!0);defineSymbol(text$2,main$1,textord,"ß","\\ss",!0);defineSymbol(text$2,main$1,textord,"æ","\\ae",!0);defineSymbol(text$2,main$1,textord,"œ","\\oe",!0);defineSymbol(text$2,main$1,textord,"ø","\\o",!0);defineSymbol(text$2,main$1,textord,"Æ","\\AE",!0);defineSymbol(text$2,main$1,textord,"Œ","\\OE",!0);defineSymbol(text$2,main$1,textord,"Ø","\\O",!0);defineSymbol(text$2,main$1,accent,"ˊ","\\'");defineSymbol(text$2,main$1,accent,"ˋ","\\`");defineSymbol(text$2,main$1,accent,"ˆ","\\^");defineSymbol(text$2,main$1,accent,"˜","\\~");defineSymbol(text$2,main$1,accent,"ˉ","\\=");defineSymbol(text$2,main$1,accent,"˘","\\u");defineSymbol(text$2,main$1,accent,"˙","\\.");defineSymbol(text$2,main$1,accent,"¸","\\c");defineSymbol(text$2,main$1,accent,"˚","\\r");defineSymbol(text$2,main$1,accent,"ˇ","\\v");defineSymbol(text$2,main$1,accent,"¨",'\\"');defineSymbol(text$2,main$1,accent,"˝","\\H");defineSymbol(text$2,main$1,accent,"◯","\\textcircled");var ligatures={"--":!0,"---":!0,"``":!0,"''":!0};defineSymbol(text$2,main$1,textord,"–","--",!0);defineSymbol(text$2,main$1,textord,"–","\\textendash");defineSymbol(text$2,main$1,textord,"—","---",!0);defineSymbol(text$2,main$1,textord,"—","\\textemdash");defineSymbol(text$2,main$1,textord,"‘","`",!0);defineSymbol(text$2,main$1,textord,"‘","\\textquoteleft");defineSymbol(text$2,main$1,textord,"’","'",!0);defineSymbol(text$2,main$1,textord,"’","\\textquoteright");defineSymbol(text$2,main$1,textord,"“","``",!0);defineSymbol(text$2,main$1,textord,"“","\\textquotedblleft");defineSymbol(text$2,main$1,textord,"”","''",!0);defineSymbol(text$2,main$1,textord,"”","\\textquotedblright");defineSymbol(math,main$1,textord,"°","\\degree",!0);defineSymbol(text$2,main$1,textord,"°","\\degree");defineSymbol(text$2,main$1,textord,"°","\\textdegree",!0);defineSymbol(math,main$1,textord,"£","\\pounds");defineSymbol(math,main$1,textord,"£","\\mathsterling",!0);defineSymbol(text$2,main$1,textord,"£","\\pounds");defineSymbol(text$2,main$1,textord,"£","\\textsterling",!0);defineSymbol(math,ams,textord,"✠","\\maltese");defineSymbol(text$2,ams,textord,"✠","\\maltese");var mathTextSymbols='0123456789/@."';for(var i$3=0;i$3<mathTextSymbols.length;i$3++){var ch=mathTextSymbols.charAt(i$3);defineSymbol(math,main$1,textord,ch,ch)}var textSymbols='0123456789!@*()-=+";:?/.,';for(var _i$3=0;_i$3<textSymbols.length;_i$3++){var _ch=textSymbols.charAt(_i$3);defineSymbol(text$2,main$1,textord,_ch,_ch)}var letters="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz";for(var _i2=0;_i2<letters.length;_i2++){var _ch2=letters.charAt(_i2);defineSymbol(math,main$1,mathord,_ch2,_ch2),defineSymbol(text$2,main$1,textord,_ch2,_ch2)}defineSymbol(math,ams,textord,"C","ℂ");defineSymbol(text$2,ams,textord,"C","ℂ");defineSymbol(math,ams,textord,"H","ℍ");defineSymbol(text$2,ams,textord,"H","ℍ");defineSymbol(math,ams,textord,"N","ℕ");defineSymbol(text$2,ams,textord,"N","ℕ");defineSymbol(math,ams,textord,"P","ℙ");defineSymbol(text$2,ams,textord,"P","ℙ");defineSymbol(math,ams,textord,"Q","ℚ");defineSymbol(text$2,ams,textord,"Q","ℚ");defineSymbol(math,ams,textord,"R","ℝ");defineSymbol(text$2,ams,textord,"R","ℝ");defineSymbol(math,ams,textord,"Z","ℤ");defineSymbol(text$2,ams,textord,"Z","ℤ");defineSymbol(math,main$1,mathord,"h","ℎ");defineSymbol(text$2,main$1,mathord,"h","ℎ");var wideChar="";for(var _i3=0;_i3<letters.length;_i3++){var _ch3=letters.charAt(_i3);wideChar=String.fromCharCode(55349,56320+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar),wideChar=String.fromCharCode(55349,56372+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar),wideChar=String.fromCharCode(55349,56424+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar),wideChar=String.fromCharCode(55349,56580+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar),wideChar=String.fromCharCode(55349,56684+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar),wideChar=String.fromCharCode(55349,56736+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar),wideChar=String.fromCharCode(55349,56788+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar),wideChar=String.fromCharCode(55349,56840+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar),wideChar=String.fromCharCode(55349,56944+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar),_i3<26&&(wideChar=String.fromCharCode(55349,56632+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar),wideChar=String.fromCharCode(55349,56476+_i3),defineSymbol(math,main$1,mathord,_ch3,wideChar),defineSymbol(text$2,main$1,textord,_ch3,wideChar))}wideChar="𝕜";defineSymbol(math,main$1,mathord,"k",wideChar);defineSymbol(text$2,main$1,textord,"k",wideChar);for(var _i4=0;_i4<10;_i4++){var _ch4=_i4.toString();wideChar=String.fromCharCode(55349,57294+_i4),defineSymbol(math,main$1,mathord,_ch4,wideChar),defineSymbol(text$2,main$1,textord,_ch4,wideChar),wideChar=String.fromCharCode(55349,57314+_i4),defineSymbol(math,main$1,mathord,_ch4,wideChar),defineSymbol(text$2,main$1,textord,_ch4,wideChar),wideChar=String.fromCharCode(55349,57324+_i4),defineSymbol(math,main$1,mathord,_ch4,wideChar),defineSymbol(text$2,main$1,textord,_ch4,wideChar),wideChar=String.fromCharCode(55349,57334+_i4),defineSymbol(math,main$1,mathord,_ch4,wideChar),defineSymbol(text$2,main$1,textord,_ch4,wideChar)}var extraLatin="ÐÞþ";for(var _i5=0;_i5<extraLatin.length;_i5++){var _ch5=extraLatin.charAt(_i5);defineSymbol(math,main$1,mathord,_ch5,_ch5),defineSymbol(text$2,main$1,textord,_ch5,_ch5)}var wideLatinLetterData=[["mathbf","textbf","Main-Bold"],["mathbf","textbf","Main-Bold"],["mathnormal","textit","Math-Italic"],["mathnormal","textit","Math-Italic"],["boldsymbol","boldsymbol","Main-BoldItalic"],["boldsymbol","boldsymbol","Main-BoldItalic"],["mathscr","textscr","Script-Regular"],["","",""],["","",""],["","",""],["mathfrak","textfrak","Fraktur-Regular"],["mathfrak","textfrak","Fraktur-Regular"],["mathbb","textbb","AMS-Regular"],["mathbb","textbb","AMS-Regular"],["mathboldfrak","textboldfrak","Fraktur-Regular"],["mathboldfrak","textboldfrak","Fraktur-Regular"],["mathsf","textsf","SansSerif-Regular"],["mathsf","textsf","SansSerif-Regular"],["mathboldsf","textboldsf","SansSerif-Bold"],["mathboldsf","textboldsf","SansSerif-Bold"],["mathitsf","textitsf","SansSerif-Italic"],["mathitsf","textitsf","SansSerif-Italic"],["","",""],["","",""],["mathtt","texttt","Typewriter-Regular"],["mathtt","texttt","Typewriter-Regular"]],wideNumeralData=[["mathbf","textbf","Main-Bold"],["","",""],["mathsf","textsf","SansSerif-Regular"],["mathboldsf","textboldsf","SansSerif-Bold"],["mathtt","texttt","Typewriter-Regular"]],wideCharacterFont=function(qa,Ja){var ed=qa.charCodeAt(0),td=qa.charCodeAt(1),rd=(ed-55296)*1024+(td-56320)+65536,sd=Ja==="math"?0:1;if(119808<=rd&&rd<120484){var od=Math.floor((rd-119808)/26);return[wideLatinLetterData[od][2],wideLatinLetterData[od][sd]]}else if(120782<=rd&&rd<=120831){var ld=Math.floor((rd-120782)/10);return[wideNumeralData[ld][2],wideNumeralData[ld][sd]]}else{if(rd===120485||rd===120486)return[wideLatinLetterData[0][2],wideLatinLetterData[0][sd]];if(120486<rd&&rd<120782)return["",""];throw new ParseError("Unsupported character: "+qa)}},lookupSymbol=function(qa,Ja,ed){return symbols[ed][qa]&&symbols[ed][qa].replace&&(qa=symbols[ed][qa].replace),{value:qa,metrics:getCharacterMetrics(qa,Ja,ed)}},makeSymbol=function(qa,Ja,ed,td,rd){var sd=lookupSymbol(qa,Ja,ed),od=sd.metrics;qa=sd.value;var ld;if(od){var cd=od.italic;(ed==="text"||td&&td.font==="mathit")&&(cd=0),ld=new SymbolNode(qa,od.height,od.depth,cd,od.skew,od.width,rd)}else typeof console<"u"&&console.warn("No character metrics "+("for '"+qa+"' in style '"+Ja+"' and mode '"+ed+"'")),ld=new SymbolNode(qa,0,0,0,0,0,rd);if(td){ld.maxFontSize=td.sizeMultiplier,td.style.isTight()&&ld.classes.push("mtight");var ud=td.getColor();ud&&(ld.style.color=ud)}return ld},mathsym=function(qa,Ja,ed,td){return td===void 0&&(td=[]),ed.font==="boldsymbol"&&lookupSymbol(qa,"Main-Bold",Ja).metrics?makeSymbol(qa,"Main-Bold",Ja,ed,td.concat(["mathbf"])):qa==="\\"||symbols[Ja][qa].font==="main"?makeSymbol(qa,"Main-Regular",Ja,ed,td):makeSymbol(qa,"AMS-Regular",Ja,ed,td.concat(["amsrm"]))},boldsymbol=function(qa,Ja,ed,td,rd){return rd!=="textord"&&lookupSymbol(qa,"Math-BoldItalic",Ja).metrics?{fontName:"Math-BoldItalic",fontClass:"boldsymbol"}:{fontName:"Main-Bold",fontClass:"mathbf"}},makeOrd=function(qa,Ja,ed){var td=qa.mode,rd=qa.text,sd=["mord"],od=td==="math"||td==="text"&&Ja.font,ld=od?Ja.font:Ja.fontFamily,cd="",ud="";if(rd.charCodeAt(0)===55349&&([cd,ud]=wideCharacterFont(rd,td)),cd.length>0)return makeSymbol(rd,cd,td,Ja,sd.concat(ud));if(ld){var _d,yd;if(ld==="boldsymbol"){var gd=boldsymbol(rd,td,Ja,sd,ed);_d=gd.fontName,yd=[gd.fontClass]}else od?(_d=fontMap[ld].fontName,yd=[ld]):(_d=retrieveTextFontName(ld,Ja.fontWeight,Ja.fontShape),yd=[ld,Ja.fontWeight,Ja.fontShape]);if(lookupSymbol(rd,_d,td).metrics)return makeSymbol(rd,_d,td,Ja,sd.concat(yd));if(ligatures.hasOwnProperty(rd)&&_d.slice(0,10)==="Typewriter"){for(var Ed=[],Td=0;Td<rd.length;Td++)Ed.push(makeSymbol(rd[Td],_d,td,Ja,sd.concat(yd)));return makeFragment(Ed)}}if(ed==="mathord")return makeSymbol(rd,"Math-Italic",td,Ja,sd.concat(["mathnormal"]));if(ed==="textord"){var kd=symbols[td][rd]&&symbols[td][rd].font;if(kd==="ams"){var Rd=retrieveTextFontName("amsrm",Ja.fontWeight,Ja.fontShape);return makeSymbol(rd,Rd,td,Ja,sd.concat("amsrm",Ja.fontWeight,Ja.fontShape))}else if(kd==="main"||!kd){var Nd=retrieveTextFontName("textrm",Ja.fontWeight,Ja.fontShape);return makeSymbol(rd,Nd,td,Ja,sd.concat(Ja.fontWeight,Ja.fontShape))}else{var Id=retrieveTextFontName(kd,Ja.fontWeight,Ja.fontShape);return makeSymbol(rd,Id,td,Ja,sd.concat(Id,Ja.fontWeight,Ja.fontShape))}}else throw new Error("unexpected type: "+ed+" in makeOrd")},canCombine=(Ra,qa)=>{if(createClass(Ra.classes)!==createClass(qa.classes)||Ra.skew!==qa.skew||Ra.maxFontSize!==qa.maxFontSize)return!1;if(Ra.classes.length===1){var Ja=Ra.classes[0];if(Ja==="mbin"||Ja==="mord")return!1}for(var ed in Ra.style)if(Ra.style.hasOwnProperty(ed)&&Ra.style[ed]!==qa.style[ed])return!1;for(var td in qa.style)if(qa.style.hasOwnProperty(td)&&Ra.style[td]!==qa.style[td])return!1;return!0},tryCombineChars=Ra=>{for(var qa=0;qa<Ra.length-1;qa++){var Ja=Ra[qa],ed=Ra[qa+1];Ja instanceof SymbolNode&&ed instanceof SymbolNode&&canCombine(Ja,ed)&&(Ja.text+=ed.text,Ja.height=Math.max(Ja.height,ed.height),Ja.depth=Math.max(Ja.depth,ed.depth),Ja.italic=ed.italic,Ra.splice(qa+1,1),qa--)}return Ra},sizeElementFromChildren=function(qa){for(var Ja=0,ed=0,td=0,rd=0;rd<qa.children.length;rd++){var sd=qa.children[rd];sd.height>Ja&&(Ja=sd.height),sd.depth>ed&&(ed=sd.depth),sd.maxFontSize>td&&(td=sd.maxFontSize)}qa.height=Ja,qa.depth=ed,qa.maxFontSize=td},makeSpan$2=function(qa,Ja,ed,td){var rd=new Span(qa,Ja,ed,td);return sizeElementFromChildren(rd),rd},makeSvgSpan=(Ra,qa,Ja,ed)=>new Span(Ra,qa,Ja,ed),makeLineSpan=function(qa,Ja,ed){var td=makeSpan$2([qa],[],Ja);return td.height=Math.max(ed||Ja.fontMetrics().defaultRuleThickness,Ja.minRuleThickness),td.style.borderBottomWidth=makeEm(td.height),td.maxFontSize=1,td},makeAnchor=function(qa,Ja,ed,td){var rd=new Anchor(qa,Ja,ed,td);return sizeElementFromChildren(rd),rd},makeFragment=function(qa){var Ja=new DocumentFragment(qa);return sizeElementFromChildren(Ja),Ja},wrapFragment=function(qa,Ja){return qa instanceof DocumentFragment?makeSpan$2([],[qa],Ja):qa},getVListChildrenAndDepth=function(qa){if(qa.positionType==="individualShift"){for(var Ja=qa.children,ed=[Ja[0]],td=-Ja[0].shift-Ja[0].elem.depth,rd=td,sd=1;sd<Ja.length;sd++){var od=-Ja[sd].shift-rd-Ja[sd].elem.depth,ld=od-(Ja[sd-1].elem.height+Ja[sd-1].elem.depth);rd=rd+od,ed.push({type:"kern",size:ld}),ed.push(Ja[sd])}return{children:ed,depth:td}}var cd;if(qa.positionType==="top"){for(var ud=qa.positionData,_d=0;_d<qa.children.length;_d++){var yd=qa.children[_d];ud-=yd.type==="kern"?yd.size:yd.elem.height+yd.elem.depth}cd=ud}else if(qa.positionType==="bottom")cd=-qa.positionData;else{var gd=qa.children[0];if(gd.type!=="elem")throw new Error('First child must have type "elem".');if(qa.positionType==="shift")cd=-gd.elem.depth-qa.positionData;else if(qa.positionType==="firstBaseline")cd=-gd.elem.depth;else throw new Error("Invalid positionType "+qa.positionType+".")}return{children:qa.children,depth:cd}},makeVList=function(qa,Ja){for(var{children:ed,depth:td}=getVListChildrenAndDepth(qa),rd=0,sd=0;sd<ed.length;sd++){var od=ed[sd];if(od.type==="elem"){var ld=od.elem;rd=Math.max(rd,ld.maxFontSize,ld.height)}}rd+=2;var cd=makeSpan$2(["pstrut"],[]);cd.style.height=makeEm(rd);for(var ud=[],_d=td,yd=td,gd=td,Ed=0;Ed<ed.length;Ed++){var Td=ed[Ed];if(Td.type==="kern")gd+=Td.size;else{var kd=Td.elem,Rd=Td.wrapperClasses||[],Nd=Td.wrapperStyle||{},Id=makeSpan$2(Rd,[cd,kd],void 0,Nd);Id.style.top=makeEm(-rd-gd-kd.depth),Td.marginLeft&&(Id.style.marginLeft=Td.marginLeft),Td.marginRight&&(Id.style.marginRight=Td.marginRight),ud.push(Id),gd+=kd.height+kd.depth}_d=Math.min(_d,gd),yd=Math.max(yd,gd)}var Md=makeSpan$2(["vlist"],ud);Md.style.height=makeEm(yd);var Ld;if(_d<0){var Pd=makeSpan$2([],[]),qd=makeSpan$2(["vlist"],[Pd]);qd.style.height=makeEm(-_d);var Yd=makeSpan$2(["vlist-s"],[new SymbolNode("")]);Ld=[makeSpan$2(["vlist-r"],[Md,Yd]),makeSpan$2(["vlist-r"],[qd])]}else Ld=[makeSpan$2(["vlist-r"],[Md])];var Ud=makeSpan$2(["vlist-t"],Ld);return Ld.length===2&&Ud.classes.push("vlist-t2"),Ud.height=yd,Ud.depth=-_d,Ud},makeGlue=(Ra,qa)=>{var Ja=makeSpan$2(["mspace"],[],qa),ed=calculateSize$1(Ra,qa);return Ja.style.marginRight=makeEm(ed),Ja},retrieveTextFontName=function(qa,Ja,ed){var td="";switch(qa){case"amsrm":td="AMS";break;case"textrm":td="Main";break;case"textsf":td="SansSerif";break;case"texttt":td="Typewriter";break;default:td=qa}var rd;return Ja==="textbf"&&ed==="textit"?rd="BoldItalic":Ja==="textbf"?rd="Bold":Ja==="textit"?rd="Italic":rd="Regular",td+"-"+rd},fontMap={mathbf:{variant:"bold",fontName:"Main-Bold"},mathrm:{variant:"normal",fontName:"Main-Regular"},textit:{variant:"italic",fontName:"Main-Italic"},mathit:{variant:"italic",fontName:"Main-Italic"},mathnormal:{variant:"italic",fontName:"Math-Italic"},mathbb:{variant:"double-struck",fontName:"AMS-Regular"},mathcal:{variant:"script",fontName:"Caligraphic-Regular"},mathfrak:{variant:"fraktur",fontName:"Fraktur-Regular"},mathscr:{variant:"script",fontName:"Script-Regular"},mathsf:{variant:"sans-serif",fontName:"SansSerif-Regular"},mathtt:{variant:"monospace",fontName:"Typewriter-Regular"}},svgData={vec:["vec",.471,.714],oiintSize1:["oiintSize1",.957,.499],oiintSize2:["oiintSize2",1.472,.659],oiiintSize1:["oiiintSize1",1.304,.499],oiiintSize2:["oiiintSize2",1.98,.659]},staticSvg=function(qa,Ja){var[ed,td,rd]=svgData[qa],sd=new PathNode(ed),od=new SvgNode([sd],{width:makeEm(td),height:makeEm(rd),style:"width:"+makeEm(td),viewBox:"0 0 "+1e3*td+" "+1e3*rd,preserveAspectRatio:"xMinYMin"}),ld=makeSvgSpan(["overlay"],[od],Ja);return ld.height=rd,ld.style.height=makeEm(rd),ld.style.width=makeEm(td),ld},buildCommon={fontMap,makeSymbol,mathsym,makeSpan:makeSpan$2,makeSvgSpan,makeLineSpan,makeAnchor,makeFragment,wrapFragment,makeVList,makeOrd,makeGlue,staticSvg,svgData,tryCombineChars},thinspace={number:3,unit:"mu"},mediumspace={number:4,unit:"mu"},thickspace={number:5,unit:"mu"},spacings={mord:{mop:thinspace,mbin:mediumspace,mrel:thickspace,minner:thinspace},mop:{mord:thinspace,mop:thinspace,mrel:thickspace,minner:thinspace},mbin:{mord:mediumspace,mop:mediumspace,mopen:mediumspace,minner:mediumspace},mrel:{mord:thickspace,mop:thickspace,mopen:thickspace,minner:thickspace},mopen:{},mclose:{mop:thinspace,mbin:mediumspace,mrel:thickspace,minner:thinspace},mpunct:{mord:thinspace,mop:thinspace,mrel:thickspace,mopen:thinspace,mclose:thinspace,mpunct:thinspace,minner:thinspace},minner:{mord:thinspace,mop:thinspace,mbin:mediumspace,mrel:thickspace,mopen:thinspace,mpunct:thinspace,minner:thinspace}},tightSpacings={mord:{mop:thinspace},mop:{mord:thinspace,mop:thinspace},mbin:{},mrel:{},mopen:{},mclose:{mop:thinspace},mpunct:{},minner:{mop:thinspace}},_functions={},_htmlGroupBuilders={},_mathmlGroupBuilders={};function defineFunction(Ra){for(var{type:qa,names:Ja,props:ed,handler:td,htmlBuilder:rd,mathmlBuilder:sd}=Ra,od={type:qa,numArgs:ed.numArgs,argTypes:ed.argTypes,allowedInArgument:!!ed.allowedInArgument,allowedInText:!!ed.allowedInText,allowedInMath:ed.allowedInMath===void 0?!0:ed.allowedInMath,numOptionalArgs:ed.numOptionalArgs||0,infix:!!ed.infix,primitive:!!ed.primitive,handler:td},ld=0;ld<Ja.length;++ld)_functions[Ja[ld]]=od;qa&&(rd&&(_htmlGroupBuilders[qa]=rd),sd&&(_mathmlGroupBuilders[qa]=sd))}function defineFunctionBuilders(Ra){var{type:qa,htmlBuilder:Ja,mathmlBuilder:ed}=Ra;defineFunction({type:qa,names:[],props:{numArgs:0},handler(){throw new Error("Should never be called.")},htmlBuilder:Ja,mathmlBuilder:ed})}var normalizeArgument=function(qa){return qa.type==="ordgroup"&&qa.body.length===1?qa.body[0]:qa},ordargument=function(qa){return qa.type==="ordgroup"?qa.body:[qa]},makeSpan$1=buildCommon.makeSpan,binLeftCanceller=["leftmost","mbin","mopen","mrel","mop","mpunct"],binRightCanceller=["rightmost","mrel","mclose","mpunct"],styleMap$1={display:Style$1.DISPLAY,text:Style$1.TEXT,script:Style$1.SCRIPT,scriptscript:Style$1.SCRIPTSCRIPT},DomEnum={mord:"mord",mop:"mop",mbin:"mbin",mrel:"mrel",mopen:"mopen",mclose:"mclose",mpunct:"mpunct",minner:"minner"},buildExpression$1=function(qa,Ja,ed,td){td===void 0&&(td=[null,null]);for(var rd=[],sd=0;sd<qa.length;sd++){var od=buildGroup$1(qa[sd],Ja);if(od instanceof DocumentFragment){var ld=od.children;rd.push(...ld)}else rd.push(od)}if(buildCommon.tryCombineChars(rd),!ed)return rd;var cd=Ja;if(qa.length===1){var ud=qa[0];ud.type==="sizing"?cd=Ja.havingSize(ud.size):ud.type==="styling"&&(cd=Ja.havingStyle(styleMap$1[ud.style]))}var _d=makeSpan$1([td[0]||"leftmost"],[],Ja),yd=makeSpan$1([td[1]||"rightmost"],[],Ja),gd=ed==="root";return traverseNonSpaceNodes(rd,(Ed,Td)=>{var kd=Td.classes[0],Rd=Ed.classes[0];kd==="mbin"&&utils$6.contains(binRightCanceller,Rd)?Td.classes[0]="mord":Rd==="mbin"&&utils$6.contains(binLeftCanceller,kd)&&(Ed.classes[0]="mord")},{node:_d},yd,gd),traverseNonSpaceNodes(rd,(Ed,Td)=>{var kd=getTypeOfDomTree(Td),Rd=getTypeOfDomTree(Ed),Nd=kd&&Rd?Ed.hasClass("mtight")?tightSpacings[kd][Rd]:spacings[kd][Rd]:null;if(Nd)return buildCommon.makeGlue(Nd,cd)},{node:_d},yd,gd),rd},traverseNonSpaceNodes=function Ra(qa,Ja,ed,td,rd){td&&qa.push(td);for(var sd=0;sd<qa.length;sd++){var od=qa[sd],ld=checkPartialGroup(od);if(ld){Ra(ld.children,Ja,ed,null,rd);continue}var cd=!od.hasClass("mspace");if(cd){var ud=Ja(od,ed.node);ud&&(ed.insertAfter?ed.insertAfter(ud):(qa.unshift(ud),sd++))}cd?ed.node=od:rd&&od.hasClass("newline")&&(ed.node=makeSpan$1(["leftmost"])),ed.insertAfter=(_d=>yd=>{qa.splice(_d+1,0,yd),sd++})(sd)}td&&qa.pop()},checkPartialGroup=function(qa){return qa instanceof DocumentFragment||qa instanceof Anchor||qa instanceof Span&&qa.hasClass("enclosing")?qa:null},getOutermostNode=function Ra(qa,Ja){var ed=checkPartialGroup(qa);if(ed){var td=ed.children;if(td.length){if(Ja==="right")return Ra(td[td.length-1],"right");if(Ja==="left")return Ra(td[0],"left")}}return qa},getTypeOfDomTree=function(qa,Ja){return qa?(Ja&&(qa=getOutermostNode(qa,Ja)),DomEnum[qa.classes[0]]||null):null},makeNullDelimiter=function(qa,Ja){var ed=["nulldelimiter"].concat(qa.baseSizingClasses());return makeSpan$1(Ja.concat(ed))},buildGroup$1=function(qa,Ja,ed){if(!qa)return makeSpan$1();if(_htmlGroupBuilders[qa.type]){var td=_htmlGroupBuilders[qa.type](qa,Ja);if(ed&&Ja.size!==ed.size){td=makeSpan$1(Ja.sizingClasses(ed),[td],Ja);var rd=Ja.sizeMultiplier/ed.sizeMultiplier;td.height*=rd,td.depth*=rd}return td}else throw new ParseError("Got group of unknown type: '"+qa.type+"'")};function buildHTMLUnbreakable(Ra,qa){var Ja=makeSpan$1(["base"],Ra,qa),ed=makeSpan$1(["strut"]);return ed.style.height=makeEm(Ja.height+Ja.depth),Ja.depth&&(ed.style.verticalAlign=makeEm(-Ja.depth)),Ja.children.unshift(ed),Ja}function buildHTML(Ra,qa){var Ja=null;Ra.length===1&&Ra[0].type==="tag"&&(Ja=Ra[0].tag,Ra=Ra[0].body);var ed=buildExpression$1(Ra,qa,"root"),td;ed.length===2&&ed[1].hasClass("tag")&&(td=ed.pop());for(var rd=[],sd=[],od=0;od<ed.length;od++)if(sd.push(ed[od]),ed[od].hasClass("mbin")||ed[od].hasClass("mrel")||ed[od].hasClass("allowbreak")){for(var ld=!1;od<ed.length-1&&ed[od+1].hasClass("mspace")&&!ed[od+1].hasClass("newline");)od++,sd.push(ed[od]),ed[od].hasClass("nobreak")&&(ld=!0);ld||(rd.push(buildHTMLUnbreakable(sd,qa)),sd=[])}else ed[od].hasClass("newline")&&(sd.pop(),sd.length>0&&(rd.push(buildHTMLUnbreakable(sd,qa)),sd=[]),rd.push(ed[od]));sd.length>0&&rd.push(buildHTMLUnbreakable(sd,qa));var cd;Ja?(cd=buildHTMLUnbreakable(buildExpression$1(Ja,qa,!0)),cd.classes=["tag"],rd.push(cd)):td&&rd.push(td);var ud=makeSpan$1(["katex-html"],rd);if(ud.setAttribute("aria-hidden","true"),cd){var _d=cd.children[0];_d.style.height=makeEm(ud.height+ud.depth),ud.depth&&(_d.style.verticalAlign=makeEm(-ud.depth))}return ud}function newDocumentFragment(Ra){return new DocumentFragment(Ra)}class MathNode{constructor(qa,Ja,ed){this.type=void 0,this.attributes=void 0,this.children=void 0,this.classes=void 0,this.type=qa,this.attributes={},this.children=Ja||[],this.classes=ed||[]}setAttribute(qa,Ja){this.attributes[qa]=Ja}getAttribute(qa){return this.attributes[qa]}toNode(){var qa=document.createElementNS("http://www.w3.org/1998/Math/MathML",this.type);for(var Ja in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,Ja)&&qa.setAttribute(Ja,this.attributes[Ja]);this.classes.length>0&&(qa.className=createClass(this.classes));for(var ed=0;ed<this.children.length;ed++)qa.appendChild(this.children[ed].toNode());return qa}toMarkup(){var qa="<"+this.type;for(var Ja in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,Ja)&&(qa+=" "+Ja+'="',qa+=utils$6.escape(this.attributes[Ja]),qa+='"');this.classes.length>0&&(qa+=' class ="'+utils$6.escape(createClass(this.classes))+'"'),qa+=">";for(var ed=0;ed<this.children.length;ed++)qa+=this.children[ed].toMarkup();return qa+="</"+this.type+">",qa}toText(){return this.children.map(qa=>qa.toText()).join("")}}class TextNode{constructor(qa){this.text=void 0,this.text=qa}toNode(){return document.createTextNode(this.text)}toMarkup(){return utils$6.escape(this.toText())}toText(){return this.text}}class SpaceNode{constructor(qa){this.width=void 0,this.character=void 0,this.width=qa,qa>=.05555&&qa<=.05556?this.character=" ":qa>=.1666&&qa<=.1667?this.character=" ":qa>=.2222&&qa<=.2223?this.character=" ":qa>=.2777&&qa<=.2778?this.character=" ":qa>=-.05556&&qa<=-.05555?this.character=" ":qa>=-.1667&&qa<=-.1666?this.character=" ":qa>=-.2223&&qa<=-.2222?this.character=" ":qa>=-.2778&&qa<=-.2777?this.character=" ":this.character=null}toNode(){if(this.character)return document.createTextNode(this.character);var qa=document.createElementNS("http://www.w3.org/1998/Math/MathML","mspace");return qa.setAttribute("width",makeEm(this.width)),qa}toMarkup(){return this.character?"<mtext>"+this.character+"</mtext>":'<mspace width="'+makeEm(this.width)+'"/>'}toText(){return this.character?this.character:" "}}var mathMLTree={MathNode,TextNode,SpaceNode,newDocumentFragment},makeText=function(qa,Ja,ed){return symbols[Ja][qa]&&symbols[Ja][qa].replace&&qa.charCodeAt(0)!==55349&&!(ligatures.hasOwnProperty(qa)&&ed&&(ed.fontFamily&&ed.fontFamily.slice(4,6)==="tt"||ed.font&&ed.font.slice(4,6)==="tt"))&&(qa=symbols[Ja][qa].replace),new mathMLTree.TextNode(qa)},makeRow=function(qa){return qa.length===1?qa[0]:new mathMLTree.MathNode("mrow",qa)},getVariant=function(qa,Ja){if(Ja.fontFamily==="texttt")return"monospace";if(Ja.fontFamily==="textsf")return Ja.fontShape==="textit"&&Ja.fontWeight==="textbf"?"sans-serif-bold-italic":Ja.fontShape==="textit"?"sans-serif-italic":Ja.fontWeight==="textbf"?"bold-sans-serif":"sans-serif";if(Ja.fontShape==="textit"&&Ja.fontWeight==="textbf")return"bold-italic";if(Ja.fontShape==="textit")return"italic";if(Ja.fontWeight==="textbf")return"bold";var ed=Ja.font;if(!ed||ed==="mathnormal")return null;var td=qa.mode;if(ed==="mathit")return"italic";if(ed==="boldsymbol")return qa.type==="textord"?"bold":"bold-italic";if(ed==="mathbf")return"bold";if(ed==="mathbb")return"double-struck";if(ed==="mathfrak")return"fraktur";if(ed==="mathscr"||ed==="mathcal")return"script";if(ed==="mathsf")return"sans-serif";if(ed==="mathtt")return"monospace";var rd=qa.text;if(utils$6.contains(["\\imath","\\jmath"],rd))return null;symbols[td][rd]&&symbols[td][rd].replace&&(rd=symbols[td][rd].replace);var sd=buildCommon.fontMap[ed].fontName;return getCharacterMetrics(rd,sd,td)?buildCommon.fontMap[ed].variant:null},buildExpression2=function(qa,Ja,ed){if(qa.length===1){var td=buildGroup2(qa[0],Ja);return ed&&td instanceof MathNode&&td.type==="mo"&&(td.setAttribute("lspace","0em"),td.setAttribute("rspace","0em")),[td]}for(var rd=[],sd,od=0;od<qa.length;od++){var ld=buildGroup2(qa[od],Ja);if(ld instanceof MathNode&&sd instanceof MathNode){if(ld.type==="mtext"&&sd.type==="mtext"&&ld.getAttribute("mathvariant")===sd.getAttribute("mathvariant")){sd.children.push(...ld.children);continue}else if(ld.type==="mn"&&sd.type==="mn"){sd.children.push(...ld.children);continue}else if(ld.type==="mi"&&ld.children.length===1&&sd.type==="mn"){var cd=ld.children[0];if(cd instanceof TextNode&&cd.text==="."){sd.children.push(...ld.children);continue}}else if(sd.type==="mi"&&sd.children.length===1){var ud=sd.children[0];if(ud instanceof TextNode&&ud.text==="̸"&&(ld.type==="mo"||ld.type==="mi"||ld.type==="mn")){var _d=ld.children[0];_d instanceof TextNode&&_d.text.length>0&&(_d.text=_d.text.slice(0,1)+"̸"+_d.text.slice(1),rd.pop())}}}rd.push(ld),sd=ld}return rd},buildExpressionRow=function(qa,Ja,ed){return makeRow(buildExpression2(qa,Ja,ed))},buildGroup2=function(qa,Ja){if(!qa)return new mathMLTree.MathNode("mrow");if(_mathmlGroupBuilders[qa.type]){var ed=_mathmlGroupBuilders[qa.type](qa,Ja);return ed}else throw new ParseError("Got group of unknown type: '"+qa.type+"'")};function buildMathML(Ra,qa,Ja,ed,td){var rd=buildExpression2(Ra,Ja),sd;rd.length===1&&rd[0]instanceof MathNode&&utils$6.contains(["mrow","mtable"],rd[0].type)?sd=rd[0]:sd=new mathMLTree.MathNode("mrow",rd);var od=new mathMLTree.MathNode("annotation",[new mathMLTree.TextNode(qa)]);od.setAttribute("encoding","application/x-tex");var ld=new mathMLTree.MathNode("semantics",[sd,od]),cd=new mathMLTree.MathNode("math",[ld]);cd.setAttribute("xmlns","http://www.w3.org/1998/Math/MathML"),ed&&cd.setAttribute("display","block");var ud=td?"katex":"katex-mathml";return buildCommon.makeSpan([ud],[cd])}var optionsFromSettings=function(qa){return new Options({style:qa.displayMode?Style$1.DISPLAY:Style$1.TEXT,maxSize:qa.maxSize,minRuleThickness:qa.minRuleThickness})},displayWrap=function(qa,Ja){if(Ja.displayMode){var ed=["katex-display"];Ja.leqno&&ed.push("leqno"),Ja.fleqn&&ed.push("fleqn"),qa=buildCommon.makeSpan(ed,[qa])}return qa},buildTree=function(qa,Ja,ed){var td=optionsFromSettings(ed),rd;if(ed.output==="mathml")return buildMathML(qa,Ja,td,ed.displayMode,!0);if(ed.output==="html"){var sd=buildHTML(qa,td);rd=buildCommon.makeSpan(["katex"],[sd])}else{var od=buildMathML(qa,Ja,td,ed.displayMode,!1),ld=buildHTML(qa,td);rd=buildCommon.makeSpan(["katex"],[od,ld])}return displayWrap(rd,ed)},buildHTMLTree=function(qa,Ja,ed){var td=optionsFromSettings(ed),rd=buildHTML(qa,td),sd=buildCommon.makeSpan(["katex"],[rd]);return displayWrap(sd,ed)},stretchyCodePoint={widehat:"^",widecheck:"ˇ",widetilde:"~",utilde:"~",overleftarrow:"←",underleftarrow:"←",xleftarrow:"←",overrightarrow:"→",underrightarrow:"→",xrightarrow:"→",underbrace:"⏟",overbrace:"⏞",overgroup:"⏠",undergroup:"⏡",overleftrightarrow:"↔",underleftrightarrow:"↔",xleftrightarrow:"↔",Overrightarrow:"⇒",xRightarrow:"⇒",overleftharpoon:"↼",xleftharpoonup:"↼",overrightharpoon:"⇀",xrightharpoonup:"⇀",xLeftarrow:"⇐",xLeftrightarrow:"⇔",xhookleftarrow:"↩",xhookrightarrow:"↪",xmapsto:"↦",xrightharpoondown:"⇁",xleftharpoondown:"↽",xrightleftharpoons:"⇌",xleftrightharpoons:"⇋",xtwoheadleftarrow:"↞",xtwoheadrightarrow:"↠",xlongequal:"=",xtofrom:"⇄",xrightleftarrows:"⇄",xrightequilibrium:"⇌",xleftequilibrium:"⇋","\\cdrightarrow":"→","\\cdleftarrow":"←","\\cdlongequal":"="},mathMLnode=function(qa){var Ja=new mathMLTree.MathNode("mo",[new mathMLTree.TextNode(stretchyCodePoint[qa.replace(/^\\/,"")])]);return Ja.setAttribute("stretchy","true"),Ja},katexImagesData={overrightarrow:[["rightarrow"],.888,522,"xMaxYMin"],overleftarrow:[["leftarrow"],.888,522,"xMinYMin"],underrightarrow:[["rightarrow"],.888,522,"xMaxYMin"],underleftarrow:[["leftarrow"],.888,522,"xMinYMin"],xrightarrow:[["rightarrow"],1.469,522,"xMaxYMin"],"\\cdrightarrow":[["rightarrow"],3,522,"xMaxYMin"],xleftarrow:[["leftarrow"],1.469,522,"xMinYMin"],"\\cdleftarrow":[["leftarrow"],3,522,"xMinYMin"],Overrightarrow:[["doublerightarrow"],.888,560,"xMaxYMin"],xRightarrow:[["doublerightarrow"],1.526,560,"xMaxYMin"],xLeftarrow:[["doubleleftarrow"],1.526,560,"xMinYMin"],overleftharpoon:[["leftharpoon"],.888,522,"xMinYMin"],xleftharpoonup:[["leftharpoon"],.888,522,"xMinYMin"],xleftharpoondown:[["leftharpoondown"],.888,522,"xMinYMin"],overrightharpoon:[["rightharpoon"],.888,522,"xMaxYMin"],xrightharpoonup:[["rightharpoon"],.888,522,"xMaxYMin"],xrightharpoondown:[["rightharpoondown"],.888,522,"xMaxYMin"],xlongequal:[["longequal"],.888,334,"xMinYMin"],"\\cdlongequal":[["longequal"],3,334,"xMinYMin"],xtwoheadleftarrow:[["twoheadleftarrow"],.888,334,"xMinYMin"],xtwoheadrightarrow:[["twoheadrightarrow"],.888,334,"xMaxYMin"],overleftrightarrow:[["leftarrow","rightarrow"],.888,522],overbrace:[["leftbrace","midbrace","rightbrace"],1.6,548],underbrace:[["leftbraceunder","midbraceunder","rightbraceunder"],1.6,548],underleftrightarrow:[["leftarrow","rightarrow"],.888,522],xleftrightarrow:[["leftarrow","rightarrow"],1.75,522],xLeftrightarrow:[["doubleleftarrow","doublerightarrow"],1.75,560],xrightleftharpoons:[["leftharpoondownplus","rightharpoonplus"],1.75,716],xleftrightharpoons:[["leftharpoonplus","rightharpoondownplus"],1.75,716],xhookleftarrow:[["leftarrow","righthook"],1.08,522],xhookrightarrow:[["lefthook","rightarrow"],1.08,522],overlinesegment:[["leftlinesegment","rightlinesegment"],.888,522],underlinesegment:[["leftlinesegment","rightlinesegment"],.888,522],overgroup:[["leftgroup","rightgroup"],.888,342],undergroup:[["leftgroupunder","rightgroupunder"],.888,342],xmapsto:[["leftmapsto","rightarrow"],1.5,522],xtofrom:[["leftToFrom","rightToFrom"],1.75,528],xrightleftarrows:[["baraboveleftarrow","rightarrowabovebar"],1.75,901],xrightequilibrium:[["baraboveshortleftharpoon","rightharpoonaboveshortbar"],1.75,716],xleftequilibrium:[["shortbaraboveleftharpoon","shortrightharpoonabovebar"],1.75,716]},groupLength=function(qa){return qa.type==="ordgroup"?qa.body.length:1},svgSpan=function(qa,Ja){function ed(){var od=4e5,ld=qa.label.slice(1);if(utils$6.contains(["widehat","widecheck","widetilde","utilde"],ld)){var cd=qa,ud=groupLength(cd.base),_d,yd,gd;if(ud>5)ld==="widehat"||ld==="widecheck"?(_d=420,od=2364,gd=.42,yd=ld+"4"):(_d=312,od=2340,gd=.34,yd="tilde4");else{var Ed=[1,1,2,2,3,3][ud];ld==="widehat"||ld==="widecheck"?(od=[0,1062,2364,2364,2364][Ed],_d=[0,239,300,360,420][Ed],gd=[0,.24,.3,.3,.36,.42][Ed],yd=ld+Ed):(od=[0,600,1033,2339,2340][Ed],_d=[0,260,286,306,312][Ed],gd=[0,.26,.286,.3,.306,.34][Ed],yd="tilde"+Ed)}var Td=new PathNode(yd),kd=new SvgNode([Td],{width:"100%",height:makeEm(gd),viewBox:"0 0 "+od+" "+_d,preserveAspectRatio:"none"});return{span:buildCommon.makeSvgSpan([],[kd],Ja),minWidth:0,height:gd}}else{var Rd=[],Nd=katexImagesData[ld],[Id,Md,Ld]=Nd,Pd=Ld/1e3,qd=Id.length,Yd,Ud;if(qd===1){var Hd=Nd[3];Yd=["hide-tail"],Ud=[Hd]}else if(qd===2)Yd=["halfarrow-left","halfarrow-right"],Ud=["xMinYMin","xMaxYMin"];else if(qd===3)Yd=["brace-left","brace-center","brace-right"],Ud=["xMinYMin","xMidYMin","xMaxYMin"];else throw new Error(`Correct katexImagesData or update code here to support
|
||
`+qd+" children.");for(var Vd=0;Vd<qd;Vd++){var Jd=new PathNode(Id[Vd]),Zd=new SvgNode([Jd],{width:"400em",height:makeEm(Pd),viewBox:"0 0 "+od+" "+Ld,preserveAspectRatio:Ud[Vd]+" slice"}),pf=buildCommon.makeSvgSpan([Yd[Vd]],[Zd],Ja);if(qd===1)return{span:pf,minWidth:Md,height:Pd};pf.style.height=makeEm(Pd),Rd.push(pf)}return{span:buildCommon.makeSpan(["stretchy"],Rd,Ja),minWidth:Md,height:Pd}}}var{span:td,minWidth:rd,height:sd}=ed();return td.height=sd,td.style.height=makeEm(sd),rd>0&&(td.style.minWidth=makeEm(rd)),td},encloseSpan=function(qa,Ja,ed,td,rd){var sd,od=qa.height+qa.depth+ed+td;if(/fbox|color|angl/.test(Ja)){if(sd=buildCommon.makeSpan(["stretchy",Ja],[],rd),Ja==="fbox"){var ld=rd.color&&rd.getColor();ld&&(sd.style.borderColor=ld)}}else{var cd=[];/^[bx]cancel$/.test(Ja)&&cd.push(new LineNode({x1:"0",y1:"0",x2:"100%",y2:"100%","stroke-width":"0.046em"})),/^x?cancel$/.test(Ja)&&cd.push(new LineNode({x1:"0",y1:"100%",x2:"100%",y2:"0","stroke-width":"0.046em"}));var ud=new SvgNode(cd,{width:"100%",height:makeEm(od)});sd=buildCommon.makeSvgSpan([],[ud],rd)}return sd.height=od,sd.style.height=makeEm(od),sd},stretchy={encloseSpan,mathMLnode,svgSpan};function assertNodeType(Ra,qa){if(!Ra||Ra.type!==qa)throw new Error("Expected node of type "+qa+", but got "+(Ra?"node of type "+Ra.type:String(Ra)));return Ra}function assertSymbolNodeType(Ra){var qa=checkSymbolNodeType(Ra);if(!qa)throw new Error("Expected node of symbol group type, but got "+(Ra?"node of type "+Ra.type:String(Ra)));return qa}function checkSymbolNodeType(Ra){return Ra&&(Ra.type==="atom"||NON_ATOMS.hasOwnProperty(Ra.type))?Ra:null}var htmlBuilder$a=(Ra,qa)=>{var Ja,ed,td;Ra&&Ra.type==="supsub"?(ed=assertNodeType(Ra.base,"accent"),Ja=ed.base,Ra.base=Ja,td=assertSpan(buildGroup$1(Ra,qa)),Ra.base=ed):(ed=assertNodeType(Ra,"accent"),Ja=ed.base);var rd=buildGroup$1(Ja,qa.havingCrampedStyle()),sd=ed.isShifty&&utils$6.isCharacterBox(Ja),od=0;if(sd){var ld=utils$6.getBaseElem(Ja),cd=buildGroup$1(ld,qa.havingCrampedStyle());od=assertSymbolDomNode(cd).skew}var ud=ed.label==="\\c",_d=ud?rd.height+rd.depth:Math.min(rd.height,qa.fontMetrics().xHeight),yd;if(ed.isStretchy)yd=stretchy.svgSpan(ed,qa),yd=buildCommon.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:rd},{type:"elem",elem:yd,wrapperClasses:["svg-align"],wrapperStyle:od>0?{width:"calc(100% - "+makeEm(2*od)+")",marginLeft:makeEm(2*od)}:void 0}]},qa);else{var gd,Ed;ed.label==="\\vec"?(gd=buildCommon.staticSvg("vec",qa),Ed=buildCommon.svgData.vec[1]):(gd=buildCommon.makeOrd({mode:ed.mode,text:ed.label},qa,"textord"),gd=assertSymbolDomNode(gd),gd.italic=0,Ed=gd.width,ud&&(_d+=gd.depth)),yd=buildCommon.makeSpan(["accent-body"],[gd]);var Td=ed.label==="\\textcircled";Td&&(yd.classes.push("accent-full"),_d=rd.height);var kd=od;Td||(kd-=Ed/2),yd.style.left=makeEm(kd),ed.label==="\\textcircled"&&(yd.style.top=".2em"),yd=buildCommon.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:rd},{type:"kern",size:-_d},{type:"elem",elem:yd}]},qa)}var Rd=buildCommon.makeSpan(["mord","accent"],[yd],qa);return td?(td.children[0]=Rd,td.height=Math.max(Rd.height,td.height),td.classes[0]="mord",td):Rd},mathmlBuilder$9=(Ra,qa)=>{var Ja=Ra.isStretchy?stretchy.mathMLnode(Ra.label):new mathMLTree.MathNode("mo",[makeText(Ra.label,Ra.mode)]),ed=new mathMLTree.MathNode("mover",[buildGroup2(Ra.base,qa),Ja]);return ed.setAttribute("accent","true"),ed},NON_STRETCHY_ACCENT_REGEX=new RegExp(["\\acute","\\grave","\\ddot","\\tilde","\\bar","\\breve","\\check","\\hat","\\vec","\\dot","\\mathring"].map(Ra=>"\\"+Ra).join("|"));defineFunction({type:"accent",names:["\\acute","\\grave","\\ddot","\\tilde","\\bar","\\breve","\\check","\\hat","\\vec","\\dot","\\mathring","\\widecheck","\\widehat","\\widetilde","\\overrightarrow","\\overleftarrow","\\Overrightarrow","\\overleftrightarrow","\\overgroup","\\overlinesegment","\\overleftharpoon","\\overrightharpoon"],props:{numArgs:1},handler:(Ra,qa)=>{var Ja=normalizeArgument(qa[0]),ed=!NON_STRETCHY_ACCENT_REGEX.test(Ra.funcName),td=!ed||Ra.funcName==="\\widehat"||Ra.funcName==="\\widetilde"||Ra.funcName==="\\widecheck";return{type:"accent",mode:Ra.parser.mode,label:Ra.funcName,isStretchy:ed,isShifty:td,base:Ja}},htmlBuilder:htmlBuilder$a,mathmlBuilder:mathmlBuilder$9});defineFunction({type:"accent",names:["\\'","\\`","\\^","\\~","\\=","\\u","\\.",'\\"',"\\c","\\r","\\H","\\v","\\textcircled"],props:{numArgs:1,allowedInText:!0,allowedInMath:!0,argTypes:["primitive"]},handler:(Ra,qa)=>{var Ja=qa[0],ed=Ra.parser.mode;return ed==="math"&&(Ra.parser.settings.reportNonstrict("mathVsTextAccents","LaTeX's accent "+Ra.funcName+" works only in text mode"),ed="text"),{type:"accent",mode:ed,label:Ra.funcName,isStretchy:!1,isShifty:!0,base:Ja}},htmlBuilder:htmlBuilder$a,mathmlBuilder:mathmlBuilder$9});defineFunction({type:"accentUnder",names:["\\underleftarrow","\\underrightarrow","\\underleftrightarrow","\\undergroup","\\underlinesegment","\\utilde"],props:{numArgs:1},handler:(Ra,qa)=>{var{parser:Ja,funcName:ed}=Ra,td=qa[0];return{type:"accentUnder",mode:Ja.mode,label:ed,base:td}},htmlBuilder:(Ra,qa)=>{var Ja=buildGroup$1(Ra.base,qa),ed=stretchy.svgSpan(Ra,qa),td=Ra.label==="\\utilde"?.12:0,rd=buildCommon.makeVList({positionType:"top",positionData:Ja.height,children:[{type:"elem",elem:ed,wrapperClasses:["svg-align"]},{type:"kern",size:td},{type:"elem",elem:Ja}]},qa);return buildCommon.makeSpan(["mord","accentunder"],[rd],qa)},mathmlBuilder:(Ra,qa)=>{var Ja=stretchy.mathMLnode(Ra.label),ed=new mathMLTree.MathNode("munder",[buildGroup2(Ra.base,qa),Ja]);return ed.setAttribute("accentunder","true"),ed}});var paddedNode=Ra=>{var qa=new mathMLTree.MathNode("mpadded",Ra?[Ra]:[]);return qa.setAttribute("width","+0.6em"),qa.setAttribute("lspace","0.3em"),qa};defineFunction({type:"xArrow",names:["\\xleftarrow","\\xrightarrow","\\xLeftarrow","\\xRightarrow","\\xleftrightarrow","\\xLeftrightarrow","\\xhookleftarrow","\\xhookrightarrow","\\xmapsto","\\xrightharpoondown","\\xrightharpoonup","\\xleftharpoondown","\\xleftharpoonup","\\xrightleftharpoons","\\xleftrightharpoons","\\xlongequal","\\xtwoheadrightarrow","\\xtwoheadleftarrow","\\xtofrom","\\xrightleftarrows","\\xrightequilibrium","\\xleftequilibrium","\\\\cdrightarrow","\\\\cdleftarrow","\\\\cdlongequal"],props:{numArgs:1,numOptionalArgs:1},handler(Ra,qa,Ja){var{parser:ed,funcName:td}=Ra;return{type:"xArrow",mode:ed.mode,label:td,body:qa[0],below:Ja[0]}},htmlBuilder(Ra,qa){var Ja=qa.style,ed=qa.havingStyle(Ja.sup()),td=buildCommon.wrapFragment(buildGroup$1(Ra.body,ed,qa),qa),rd=Ra.label.slice(0,2)==="\\x"?"x":"cd";td.classes.push(rd+"-arrow-pad");var sd;Ra.below&&(ed=qa.havingStyle(Ja.sub()),sd=buildCommon.wrapFragment(buildGroup$1(Ra.below,ed,qa),qa),sd.classes.push(rd+"-arrow-pad"));var od=stretchy.svgSpan(Ra,qa),ld=-qa.fontMetrics().axisHeight+.5*od.height,cd=-qa.fontMetrics().axisHeight-.5*od.height-.111;(td.depth>.25||Ra.label==="\\xleftequilibrium")&&(cd-=td.depth);var ud;if(sd){var _d=-qa.fontMetrics().axisHeight+sd.height+.5*od.height+.111;ud=buildCommon.makeVList({positionType:"individualShift",children:[{type:"elem",elem:td,shift:cd},{type:"elem",elem:od,shift:ld},{type:"elem",elem:sd,shift:_d}]},qa)}else ud=buildCommon.makeVList({positionType:"individualShift",children:[{type:"elem",elem:td,shift:cd},{type:"elem",elem:od,shift:ld}]},qa);return ud.children[0].children[0].children[1].classes.push("svg-align"),buildCommon.makeSpan(["mrel","x-arrow"],[ud],qa)},mathmlBuilder(Ra,qa){var Ja=stretchy.mathMLnode(Ra.label);Ja.setAttribute("minsize",Ra.label.charAt(0)==="x"?"1.75em":"3.0em");var ed;if(Ra.body){var td=paddedNode(buildGroup2(Ra.body,qa));if(Ra.below){var rd=paddedNode(buildGroup2(Ra.below,qa));ed=new mathMLTree.MathNode("munderover",[Ja,rd,td])}else ed=new mathMLTree.MathNode("mover",[Ja,td])}else if(Ra.below){var sd=paddedNode(buildGroup2(Ra.below,qa));ed=new mathMLTree.MathNode("munder",[Ja,sd])}else ed=paddedNode(),ed=new mathMLTree.MathNode("mover",[Ja,ed]);return ed}});var makeSpan2=buildCommon.makeSpan;function htmlBuilder$9(Ra,qa){var Ja=buildExpression$1(Ra.body,qa,!0);return makeSpan2([Ra.mclass],Ja,qa)}function mathmlBuilder$8(Ra,qa){var Ja,ed=buildExpression2(Ra.body,qa);return Ra.mclass==="minner"?Ja=new mathMLTree.MathNode("mpadded",ed):Ra.mclass==="mord"?Ra.isCharacterBox?(Ja=ed[0],Ja.type="mi"):Ja=new mathMLTree.MathNode("mi",ed):(Ra.isCharacterBox?(Ja=ed[0],Ja.type="mo"):Ja=new mathMLTree.MathNode("mo",ed),Ra.mclass==="mbin"?(Ja.attributes.lspace="0.22em",Ja.attributes.rspace="0.22em"):Ra.mclass==="mpunct"?(Ja.attributes.lspace="0em",Ja.attributes.rspace="0.17em"):Ra.mclass==="mopen"||Ra.mclass==="mclose"?(Ja.attributes.lspace="0em",Ja.attributes.rspace="0em"):Ra.mclass==="minner"&&(Ja.attributes.lspace="0.0556em",Ja.attributes.width="+0.1111em")),Ja}defineFunction({type:"mclass",names:["\\mathord","\\mathbin","\\mathrel","\\mathopen","\\mathclose","\\mathpunct","\\mathinner"],props:{numArgs:1,primitive:!0},handler(Ra,qa){var{parser:Ja,funcName:ed}=Ra,td=qa[0];return{type:"mclass",mode:Ja.mode,mclass:"m"+ed.slice(5),body:ordargument(td),isCharacterBox:utils$6.isCharacterBox(td)}},htmlBuilder:htmlBuilder$9,mathmlBuilder:mathmlBuilder$8});var binrelClass=Ra=>{var qa=Ra.type==="ordgroup"&&Ra.body.length?Ra.body[0]:Ra;return qa.type==="atom"&&(qa.family==="bin"||qa.family==="rel")?"m"+qa.family:"mord"};defineFunction({type:"mclass",names:["\\@binrel"],props:{numArgs:2},handler(Ra,qa){var{parser:Ja}=Ra;return{type:"mclass",mode:Ja.mode,mclass:binrelClass(qa[0]),body:ordargument(qa[1]),isCharacterBox:utils$6.isCharacterBox(qa[1])}}});defineFunction({type:"mclass",names:["\\stackrel","\\overset","\\underset"],props:{numArgs:2},handler(Ra,qa){var{parser:Ja,funcName:ed}=Ra,td=qa[1],rd=qa[0],sd;ed!=="\\stackrel"?sd=binrelClass(td):sd="mrel";var od={type:"op",mode:td.mode,limits:!0,alwaysHandleSupSub:!0,parentIsSupSub:!1,symbol:!1,suppressBaseShift:ed!=="\\stackrel",body:ordargument(td)},ld={type:"supsub",mode:rd.mode,base:od,sup:ed==="\\underset"?null:rd,sub:ed==="\\underset"?rd:null};return{type:"mclass",mode:Ja.mode,mclass:sd,body:[ld],isCharacterBox:utils$6.isCharacterBox(ld)}},htmlBuilder:htmlBuilder$9,mathmlBuilder:mathmlBuilder$8});defineFunction({type:"pmb",names:["\\pmb"],props:{numArgs:1,allowedInText:!0},handler(Ra,qa){var{parser:Ja}=Ra;return{type:"pmb",mode:Ja.mode,mclass:binrelClass(qa[0]),body:ordargument(qa[0])}},htmlBuilder(Ra,qa){var Ja=buildExpression$1(Ra.body,qa,!0),ed=buildCommon.makeSpan([Ra.mclass],Ja,qa);return ed.style.textShadow="0.02em 0.01em 0.04px",ed},mathmlBuilder(Ra,qa){var Ja=buildExpression2(Ra.body,qa),ed=new mathMLTree.MathNode("mstyle",Ja);return ed.setAttribute("style","text-shadow: 0.02em 0.01em 0.04px"),ed}});var cdArrowFunctionName={">":"\\\\cdrightarrow","<":"\\\\cdleftarrow","=":"\\\\cdlongequal",A:"\\uparrow",V:"\\downarrow","|":"\\Vert",".":"no arrow"},newCell=()=>({type:"styling",body:[],mode:"math",style:"display"}),isStartOfArrow=Ra=>Ra.type==="textord"&&Ra.text==="@",isLabelEnd=(Ra,qa)=>(Ra.type==="mathord"||Ra.type==="atom")&&Ra.text===qa;function cdArrow(Ra,qa,Ja){var ed=cdArrowFunctionName[Ra];switch(ed){case"\\\\cdrightarrow":case"\\\\cdleftarrow":return Ja.callFunction(ed,[qa[0]],[qa[1]]);case"\\uparrow":case"\\downarrow":{var td=Ja.callFunction("\\\\cdleft",[qa[0]],[]),rd={type:"atom",text:ed,mode:"math",family:"rel"},sd=Ja.callFunction("\\Big",[rd],[]),od=Ja.callFunction("\\\\cdright",[qa[1]],[]),ld={type:"ordgroup",mode:"math",body:[td,sd,od]};return Ja.callFunction("\\\\cdparent",[ld],[])}case"\\\\cdlongequal":return Ja.callFunction("\\\\cdlongequal",[],[]);case"\\Vert":{var cd={type:"textord",text:"\\Vert",mode:"math"};return Ja.callFunction("\\Big",[cd],[])}default:return{type:"textord",text:" ",mode:"math"}}}function parseCD(Ra){var qa=[];for(Ra.gullet.beginGroup(),Ra.gullet.macros.set("\\cr","\\\\\\relax"),Ra.gullet.beginGroup();;){qa.push(Ra.parseExpression(!1,"\\\\")),Ra.gullet.endGroup(),Ra.gullet.beginGroup();var Ja=Ra.fetch().text;if(Ja==="&"||Ja==="\\\\")Ra.consume();else if(Ja==="\\end"){qa[qa.length-1].length===0&&qa.pop();break}else throw new ParseError("Expected \\\\ or \\cr or \\end",Ra.nextToken)}for(var ed=[],td=[ed],rd=0;rd<qa.length;rd++){for(var sd=qa[rd],od=newCell(),ld=0;ld<sd.length;ld++)if(!isStartOfArrow(sd[ld]))od.body.push(sd[ld]);else{ed.push(od),ld+=1;var cd=assertSymbolNodeType(sd[ld]).text,ud=new Array(2);if(ud[0]={type:"ordgroup",mode:"math",body:[]},ud[1]={type:"ordgroup",mode:"math",body:[]},!("=|.".indexOf(cd)>-1))if("<>AV".indexOf(cd)>-1)for(var _d=0;_d<2;_d++){for(var yd=!0,gd=ld+1;gd<sd.length;gd++){if(isLabelEnd(sd[gd],cd)){yd=!1,ld=gd;break}if(isStartOfArrow(sd[gd]))throw new ParseError("Missing a "+cd+" character to complete a CD arrow.",sd[gd]);ud[_d].body.push(sd[gd])}if(yd)throw new ParseError("Missing a "+cd+" character to complete a CD arrow.",sd[ld])}else throw new ParseError('Expected one of "<>AV=|." after @',sd[ld]);var Ed=cdArrow(cd,ud,Ra),Td={type:"styling",body:[Ed],mode:"math",style:"display"};ed.push(Td),od=newCell()}rd%2===0?ed.push(od):ed.shift(),ed=[],td.push(ed)}Ra.gullet.endGroup(),Ra.gullet.endGroup();var kd=new Array(td[0].length).fill({type:"align",align:"c",pregap:.25,postgap:.25});return{type:"array",mode:"math",body:td,arraystretch:1,addJot:!0,rowGaps:[null],cols:kd,colSeparationType:"CD",hLinesBeforeRow:new Array(td.length+1).fill([])}}defineFunction({type:"cdlabel",names:["\\\\cdleft","\\\\cdright"],props:{numArgs:1},handler(Ra,qa){var{parser:Ja,funcName:ed}=Ra;return{type:"cdlabel",mode:Ja.mode,side:ed.slice(4),label:qa[0]}},htmlBuilder(Ra,qa){var Ja=qa.havingStyle(qa.style.sup()),ed=buildCommon.wrapFragment(buildGroup$1(Ra.label,Ja,qa),qa);return ed.classes.push("cd-label-"+Ra.side),ed.style.bottom=makeEm(.8-ed.depth),ed.height=0,ed.depth=0,ed},mathmlBuilder(Ra,qa){var Ja=new mathMLTree.MathNode("mrow",[buildGroup2(Ra.label,qa)]);return Ja=new mathMLTree.MathNode("mpadded",[Ja]),Ja.setAttribute("width","0"),Ra.side==="left"&&Ja.setAttribute("lspace","-1width"),Ja.setAttribute("voffset","0.7em"),Ja=new mathMLTree.MathNode("mstyle",[Ja]),Ja.setAttribute("displaystyle","false"),Ja.setAttribute("scriptlevel","1"),Ja}});defineFunction({type:"cdlabelparent",names:["\\\\cdparent"],props:{numArgs:1},handler(Ra,qa){var{parser:Ja}=Ra;return{type:"cdlabelparent",mode:Ja.mode,fragment:qa[0]}},htmlBuilder(Ra,qa){var Ja=buildCommon.wrapFragment(buildGroup$1(Ra.fragment,qa),qa);return Ja.classes.push("cd-vert-arrow"),Ja},mathmlBuilder(Ra,qa){return new mathMLTree.MathNode("mrow",[buildGroup2(Ra.fragment,qa)])}});defineFunction({type:"textord",names:["\\@char"],props:{numArgs:1,allowedInText:!0},handler(Ra,qa){for(var{parser:Ja}=Ra,ed=assertNodeType(qa[0],"ordgroup"),td=ed.body,rd="",sd=0;sd<td.length;sd++){var od=assertNodeType(td[sd],"textord");rd+=od.text}var ld=parseInt(rd),cd;if(isNaN(ld))throw new ParseError("\\@char has non-numeric argument "+rd);if(ld<0||ld>=1114111)throw new ParseError("\\@char with invalid code point "+rd);return ld<=65535?cd=String.fromCharCode(ld):(ld-=65536,cd=String.fromCharCode((ld>>10)+55296,(ld&1023)+56320)),{type:"textord",mode:Ja.mode,text:cd}}});var htmlBuilder$8=(Ra,qa)=>{var Ja=buildExpression$1(Ra.body,qa.withColor(Ra.color),!1);return buildCommon.makeFragment(Ja)},mathmlBuilder$7=(Ra,qa)=>{var Ja=buildExpression2(Ra.body,qa.withColor(Ra.color)),ed=new mathMLTree.MathNode("mstyle",Ja);return ed.setAttribute("mathcolor",Ra.color),ed};defineFunction({type:"color",names:["\\textcolor"],props:{numArgs:2,allowedInText:!0,argTypes:["color","original"]},handler(Ra,qa){var{parser:Ja}=Ra,ed=assertNodeType(qa[0],"color-token").color,td=qa[1];return{type:"color",mode:Ja.mode,color:ed,body:ordargument(td)}},htmlBuilder:htmlBuilder$8,mathmlBuilder:mathmlBuilder$7});defineFunction({type:"color",names:["\\color"],props:{numArgs:1,allowedInText:!0,argTypes:["color"]},handler(Ra,qa){var{parser:Ja,breakOnTokenText:ed}=Ra,td=assertNodeType(qa[0],"color-token").color;Ja.gullet.macros.set("\\current@color",td);var rd=Ja.parseExpression(!0,ed);return{type:"color",mode:Ja.mode,color:td,body:rd}},htmlBuilder:htmlBuilder$8,mathmlBuilder:mathmlBuilder$7});defineFunction({type:"cr",names:["\\\\"],props:{numArgs:0,numOptionalArgs:0,allowedInText:!0},handler(Ra,qa,Ja){var{parser:ed}=Ra,td=ed.gullet.future().text==="["?ed.parseSizeGroup(!0):null,rd=!ed.settings.displayMode||!ed.settings.useStrictBehavior("newLineInDisplayMode","In LaTeX, \\\\ or \\newline does nothing in display mode");return{type:"cr",mode:ed.mode,newLine:rd,size:td&&assertNodeType(td,"size").value}},htmlBuilder(Ra,qa){var Ja=buildCommon.makeSpan(["mspace"],[],qa);return Ra.newLine&&(Ja.classes.push("newline"),Ra.size&&(Ja.style.marginTop=makeEm(calculateSize$1(Ra.size,qa)))),Ja},mathmlBuilder(Ra,qa){var Ja=new mathMLTree.MathNode("mspace");return Ra.newLine&&(Ja.setAttribute("linebreak","newline"),Ra.size&&Ja.setAttribute("height",makeEm(calculateSize$1(Ra.size,qa)))),Ja}});var globalMap={"\\global":"\\global","\\long":"\\\\globallong","\\\\globallong":"\\\\globallong","\\def":"\\gdef","\\gdef":"\\gdef","\\edef":"\\xdef","\\xdef":"\\xdef","\\let":"\\\\globallet","\\futurelet":"\\\\globalfuture"},checkControlSequence=Ra=>{var qa=Ra.text;if(/^(?:[\\{}$&#^_]|EOF)$/.test(qa))throw new ParseError("Expected a control sequence",Ra);return qa},getRHS=Ra=>{var qa=Ra.gullet.popToken();return qa.text==="="&&(qa=Ra.gullet.popToken(),qa.text===" "&&(qa=Ra.gullet.popToken())),qa},letCommand=(Ra,qa,Ja,ed)=>{var td=Ra.gullet.macros.get(Ja.text);td==null&&(Ja.noexpand=!0,td={tokens:[Ja],numArgs:0,unexpandable:!Ra.gullet.isExpandable(Ja.text)}),Ra.gullet.macros.set(qa,td,ed)};defineFunction({type:"internal",names:["\\global","\\long","\\\\globallong"],props:{numArgs:0,allowedInText:!0},handler(Ra){var{parser:qa,funcName:Ja}=Ra;qa.consumeSpaces();var ed=qa.fetch();if(globalMap[ed.text])return(Ja==="\\global"||Ja==="\\\\globallong")&&(ed.text=globalMap[ed.text]),assertNodeType(qa.parseFunction(),"internal");throw new ParseError("Invalid token after macro prefix",ed)}});defineFunction({type:"internal",names:["\\def","\\gdef","\\edef","\\xdef"],props:{numArgs:0,allowedInText:!0,primitive:!0},handler(Ra){var{parser:qa,funcName:Ja}=Ra,ed=qa.gullet.popToken(),td=ed.text;if(/^(?:[\\{}$&#^_]|EOF)$/.test(td))throw new ParseError("Expected a control sequence",ed);for(var rd=0,sd,od=[[]];qa.gullet.future().text!=="{";)if(ed=qa.gullet.popToken(),ed.text==="#"){if(qa.gullet.future().text==="{"){sd=qa.gullet.future(),od[rd].push("{");break}if(ed=qa.gullet.popToken(),!/^[1-9]$/.test(ed.text))throw new ParseError('Invalid argument number "'+ed.text+'"');if(parseInt(ed.text)!==rd+1)throw new ParseError('Argument number "'+ed.text+'" out of order');rd++,od.push([])}else{if(ed.text==="EOF")throw new ParseError("Expected a macro definition");od[rd].push(ed.text)}var{tokens:ld}=qa.gullet.consumeArg();return sd&&ld.unshift(sd),(Ja==="\\edef"||Ja==="\\xdef")&&(ld=qa.gullet.expandTokens(ld),ld.reverse()),qa.gullet.macros.set(td,{tokens:ld,numArgs:rd,delimiters:od},Ja===globalMap[Ja]),{type:"internal",mode:qa.mode}}});defineFunction({type:"internal",names:["\\let","\\\\globallet"],props:{numArgs:0,allowedInText:!0,primitive:!0},handler(Ra){var{parser:qa,funcName:Ja}=Ra,ed=checkControlSequence(qa.gullet.popToken());qa.gullet.consumeSpaces();var td=getRHS(qa);return letCommand(qa,ed,td,Ja==="\\\\globallet"),{type:"internal",mode:qa.mode}}});defineFunction({type:"internal",names:["\\futurelet","\\\\globalfuture"],props:{numArgs:0,allowedInText:!0,primitive:!0},handler(Ra){var{parser:qa,funcName:Ja}=Ra,ed=checkControlSequence(qa.gullet.popToken()),td=qa.gullet.popToken(),rd=qa.gullet.popToken();return letCommand(qa,ed,rd,Ja==="\\\\globalfuture"),qa.gullet.pushToken(rd),qa.gullet.pushToken(td),{type:"internal",mode:qa.mode}}});var getMetrics=function(qa,Ja,ed){var td=symbols.math[qa]&&symbols.math[qa].replace,rd=getCharacterMetrics(td||qa,Ja,ed);if(!rd)throw new Error("Unsupported symbol "+qa+" and font size "+Ja+".");return rd},styleWrap=function(qa,Ja,ed,td){var rd=ed.havingBaseStyle(Ja),sd=buildCommon.makeSpan(td.concat(rd.sizingClasses(ed)),[qa],ed),od=rd.sizeMultiplier/ed.sizeMultiplier;return sd.height*=od,sd.depth*=od,sd.maxFontSize=rd.sizeMultiplier,sd},centerSpan=function(qa,Ja,ed){var td=Ja.havingBaseStyle(ed),rd=(1-Ja.sizeMultiplier/td.sizeMultiplier)*Ja.fontMetrics().axisHeight;qa.classes.push("delimcenter"),qa.style.top=makeEm(rd),qa.height-=rd,qa.depth+=rd},makeSmallDelim=function(qa,Ja,ed,td,rd,sd){var od=buildCommon.makeSymbol(qa,"Main-Regular",rd,td),ld=styleWrap(od,Ja,td,sd);return ed&¢erSpan(ld,td,Ja),ld},mathrmSize=function(qa,Ja,ed,td){return buildCommon.makeSymbol(qa,"Size"+Ja+"-Regular",ed,td)},makeLargeDelim=function(qa,Ja,ed,td,rd,sd){var od=mathrmSize(qa,Ja,rd,td),ld=styleWrap(buildCommon.makeSpan(["delimsizing","size"+Ja],[od],td),Style$1.TEXT,td,sd);return ed&¢erSpan(ld,td,Style$1.TEXT),ld},makeGlyphSpan=function(qa,Ja,ed){var td;Ja==="Size1-Regular"?td="delim-size1":td="delim-size4";var rd=buildCommon.makeSpan(["delimsizinginner",td],[buildCommon.makeSpan([],[buildCommon.makeSymbol(qa,Ja,ed)])]);return{type:"elem",elem:rd}},makeInner=function(qa,Ja,ed){var td=fontMetricsData["Size4-Regular"][qa.charCodeAt(0)]?fontMetricsData["Size4-Regular"][qa.charCodeAt(0)][4]:fontMetricsData["Size1-Regular"][qa.charCodeAt(0)][4],rd=new PathNode("inner",innerPath(qa,Math.round(1e3*Ja))),sd=new SvgNode([rd],{width:makeEm(td),height:makeEm(Ja),style:"width:"+makeEm(td),viewBox:"0 0 "+1e3*td+" "+Math.round(1e3*Ja),preserveAspectRatio:"xMinYMin"}),od=buildCommon.makeSvgSpan([],[sd],ed);return od.height=Ja,od.style.height=makeEm(Ja),od.style.width=makeEm(td),{type:"elem",elem:od}},lapInEms=.008,lap$2={type:"kern",size:-1*lapInEms},verts=["|","\\lvert","\\rvert","\\vert"],doubleVerts=["\\|","\\lVert","\\rVert","\\Vert"],makeStackedDelim=function(qa,Ja,ed,td,rd,sd){var od,ld,cd,ud,_d="",yd=0;od=cd=ud=qa,ld=null;var gd="Size1-Regular";qa==="\\uparrow"?cd=ud="⏐":qa==="\\Uparrow"?cd=ud="‖":qa==="\\downarrow"?od=cd="⏐":qa==="\\Downarrow"?od=cd="‖":qa==="\\updownarrow"?(od="\\uparrow",cd="⏐",ud="\\downarrow"):qa==="\\Updownarrow"?(od="\\Uparrow",cd="‖",ud="\\Downarrow"):utils$6.contains(verts,qa)?(cd="∣",_d="vert",yd=333):utils$6.contains(doubleVerts,qa)?(cd="∥",_d="doublevert",yd=556):qa==="["||qa==="\\lbrack"?(od="⎡",cd="⎢",ud="⎣",gd="Size4-Regular",_d="lbrack",yd=667):qa==="]"||qa==="\\rbrack"?(od="⎤",cd="⎥",ud="⎦",gd="Size4-Regular",_d="rbrack",yd=667):qa==="\\lfloor"||qa==="⌊"?(cd=od="⎢",ud="⎣",gd="Size4-Regular",_d="lfloor",yd=667):qa==="\\lceil"||qa==="⌈"?(od="⎡",cd=ud="⎢",gd="Size4-Regular",_d="lceil",yd=667):qa==="\\rfloor"||qa==="⌋"?(cd=od="⎥",ud="⎦",gd="Size4-Regular",_d="rfloor",yd=667):qa==="\\rceil"||qa==="⌉"?(od="⎤",cd=ud="⎥",gd="Size4-Regular",_d="rceil",yd=667):qa==="("||qa==="\\lparen"?(od="⎛",cd="⎜",ud="⎝",gd="Size4-Regular",_d="lparen",yd=875):qa===")"||qa==="\\rparen"?(od="⎞",cd="⎟",ud="⎠",gd="Size4-Regular",_d="rparen",yd=875):qa==="\\{"||qa==="\\lbrace"?(od="⎧",ld="⎨",ud="⎩",cd="⎪",gd="Size4-Regular"):qa==="\\}"||qa==="\\rbrace"?(od="⎫",ld="⎬",ud="⎭",cd="⎪",gd="Size4-Regular"):qa==="\\lgroup"||qa==="⟮"?(od="⎧",ud="⎩",cd="⎪",gd="Size4-Regular"):qa==="\\rgroup"||qa==="⟯"?(od="⎫",ud="⎭",cd="⎪",gd="Size4-Regular"):qa==="\\lmoustache"||qa==="⎰"?(od="⎧",ud="⎭",cd="⎪",gd="Size4-Regular"):(qa==="\\rmoustache"||qa==="⎱")&&(od="⎫",ud="⎩",cd="⎪",gd="Size4-Regular");var Ed=getMetrics(od,gd,rd),Td=Ed.height+Ed.depth,kd=getMetrics(cd,gd,rd),Rd=kd.height+kd.depth,Nd=getMetrics(ud,gd,rd),Id=Nd.height+Nd.depth,Md=0,Ld=1;if(ld!==null){var Pd=getMetrics(ld,gd,rd);Md=Pd.height+Pd.depth,Ld=2}var qd=Td+Id+Md,Yd=Math.max(0,Math.ceil((Ja-qd)/(Ld*Rd))),Ud=qd+Yd*Ld*Rd,Hd=td.fontMetrics().axisHeight;ed&&(Hd*=td.sizeMultiplier);var Vd=Ud/2-Hd,Jd=[];if(_d.length>0){var Zd=Ud-Td-Id,pf=Math.round(Ud*1e3),Xd=tallDelim(_d,Math.round(Zd*1e3)),hf=new PathNode(_d,Xd),_f=(yd/1e3).toFixed(3)+"em",xf=(pf/1e3).toFixed(3)+"em",Lf=new SvgNode([hf],{width:_f,height:xf,viewBox:"0 0 "+yd+" "+pf}),Wf=buildCommon.makeSvgSpan([],[Lf],td);Wf.height=pf/1e3,Wf.style.width=_f,Wf.style.height=xf,Jd.push({type:"elem",elem:Wf})}else{if(Jd.push(makeGlyphSpan(ud,gd,rd)),Jd.push(lap$2),ld===null){var Yf=Ud-Td-Id+2*lapInEms;Jd.push(makeInner(cd,Yf,td))}else{var If=(Ud-Td-Id-Md)/2+2*lapInEms;Jd.push(makeInner(cd,If,td)),Jd.push(lap$2),Jd.push(makeGlyphSpan(ld,gd,rd)),Jd.push(lap$2),Jd.push(makeInner(cd,If,td))}Jd.push(lap$2),Jd.push(makeGlyphSpan(od,gd,rd))}var Sf=td.havingBaseStyle(Style$1.TEXT),wf=buildCommon.makeVList({positionType:"bottom",positionData:Vd,children:Jd},Sf);return styleWrap(buildCommon.makeSpan(["delimsizing","mult"],[wf],Sf),Style$1.TEXT,td,sd)},vbPad=80,emPad=.08,sqrtSvg=function(qa,Ja,ed,td,rd){var sd=sqrtPath(qa,td,ed),od=new PathNode(qa,sd),ld=new SvgNode([od],{width:"400em",height:makeEm(Ja),viewBox:"0 0 400000 "+ed,preserveAspectRatio:"xMinYMin slice"});return buildCommon.makeSvgSpan(["hide-tail"],[ld],rd)},makeSqrtImage=function(qa,Ja){var ed=Ja.havingBaseSizing(),td=traverseSequence("\\surd",qa*ed.sizeMultiplier,stackLargeDelimiterSequence,ed),rd=ed.sizeMultiplier,sd=Math.max(0,Ja.minRuleThickness-Ja.fontMetrics().sqrtRuleThickness),od,ld=0,cd=0,ud=0,_d;return td.type==="small"?(ud=1e3+1e3*sd+vbPad,qa<1?rd=1:qa<1.4&&(rd=.7),ld=(1+sd+emPad)/rd,cd=(1+sd)/rd,od=sqrtSvg("sqrtMain",ld,ud,sd,Ja),od.style.minWidth="0.853em",_d=.833/rd):td.type==="large"?(ud=(1e3+vbPad)*sizeToMaxHeight[td.size],cd=(sizeToMaxHeight[td.size]+sd)/rd,ld=(sizeToMaxHeight[td.size]+sd+emPad)/rd,od=sqrtSvg("sqrtSize"+td.size,ld,ud,sd,Ja),od.style.minWidth="1.02em",_d=1/rd):(ld=qa+sd+emPad,cd=qa+sd,ud=Math.floor(1e3*qa+sd)+vbPad,od=sqrtSvg("sqrtTall",ld,ud,sd,Ja),od.style.minWidth="0.742em",_d=1.056),od.height=cd,od.style.height=makeEm(ld),{span:od,advanceWidth:_d,ruleWidth:(Ja.fontMetrics().sqrtRuleThickness+sd)*rd}},stackLargeDelimiters=["(","\\lparen",")","\\rparen","[","\\lbrack","]","\\rbrack","\\{","\\lbrace","\\}","\\rbrace","\\lfloor","\\rfloor","⌊","⌋","\\lceil","\\rceil","⌈","⌉","\\surd"],stackAlwaysDelimiters=["\\uparrow","\\downarrow","\\updownarrow","\\Uparrow","\\Downarrow","\\Updownarrow","|","\\|","\\vert","\\Vert","\\lvert","\\rvert","\\lVert","\\rVert","\\lgroup","\\rgroup","⟮","⟯","\\lmoustache","\\rmoustache","⎰","⎱"],stackNeverDelimiters=["<",">","\\langle","\\rangle","/","\\backslash","\\lt","\\gt"],sizeToMaxHeight=[0,1.2,1.8,2.4,3],makeSizedDelim=function(qa,Ja,ed,td,rd){if(qa==="<"||qa==="\\lt"||qa==="⟨"?qa="\\langle":(qa===">"||qa==="\\gt"||qa==="⟩")&&(qa="\\rangle"),utils$6.contains(stackLargeDelimiters,qa)||utils$6.contains(stackNeverDelimiters,qa))return makeLargeDelim(qa,Ja,!1,ed,td,rd);if(utils$6.contains(stackAlwaysDelimiters,qa))return makeStackedDelim(qa,sizeToMaxHeight[Ja],!1,ed,td,rd);throw new ParseError("Illegal delimiter: '"+qa+"'")},stackNeverDelimiterSequence=[{type:"small",style:Style$1.SCRIPTSCRIPT},{type:"small",style:Style$1.SCRIPT},{type:"small",style:Style$1.TEXT},{type:"large",size:1},{type:"large",size:2},{type:"large",size:3},{type:"large",size:4}],stackAlwaysDelimiterSequence=[{type:"small",style:Style$1.SCRIPTSCRIPT},{type:"small",style:Style$1.SCRIPT},{type:"small",style:Style$1.TEXT},{type:"stack"}],stackLargeDelimiterSequence=[{type:"small",style:Style$1.SCRIPTSCRIPT},{type:"small",style:Style$1.SCRIPT},{type:"small",style:Style$1.TEXT},{type:"large",size:1},{type:"large",size:2},{type:"large",size:3},{type:"large",size:4},{type:"stack"}],delimTypeToFont=function(qa){if(qa.type==="small")return"Main-Regular";if(qa.type==="large")return"Size"+qa.size+"-Regular";if(qa.type==="stack")return"Size4-Regular";throw new Error("Add support for delim type '"+qa.type+"' here.")},traverseSequence=function(qa,Ja,ed,td){for(var rd=Math.min(2,3-td.style.size),sd=rd;sd<ed.length&&ed[sd].type!=="stack";sd++){var od=getMetrics(qa,delimTypeToFont(ed[sd]),"math"),ld=od.height+od.depth;if(ed[sd].type==="small"){var cd=td.havingBaseStyle(ed[sd].style);ld*=cd.sizeMultiplier}if(ld>Ja)return ed[sd]}return ed[ed.length-1]},makeCustomSizedDelim=function(qa,Ja,ed,td,rd,sd){qa==="<"||qa==="\\lt"||qa==="⟨"?qa="\\langle":(qa===">"||qa==="\\gt"||qa==="⟩")&&(qa="\\rangle");var od;utils$6.contains(stackNeverDelimiters,qa)?od=stackNeverDelimiterSequence:utils$6.contains(stackLargeDelimiters,qa)?od=stackLargeDelimiterSequence:od=stackAlwaysDelimiterSequence;var ld=traverseSequence(qa,Ja,od,td);return ld.type==="small"?makeSmallDelim(qa,ld.style,ed,td,rd,sd):ld.type==="large"?makeLargeDelim(qa,ld.size,ed,td,rd,sd):makeStackedDelim(qa,Ja,ed,td,rd,sd)},makeLeftRightDelim=function(qa,Ja,ed,td,rd,sd){var od=td.fontMetrics().axisHeight*td.sizeMultiplier,ld=901,cd=5/td.fontMetrics().ptPerEm,ud=Math.max(Ja-od,ed+od),_d=Math.max(ud/500*ld,2*ud-cd);return makeCustomSizedDelim(qa,_d,!0,td,rd,sd)},delimiter$1={sqrtImage:makeSqrtImage,sizedDelim:makeSizedDelim,sizeToMaxHeight,customSizedDelim:makeCustomSizedDelim,leftRightDelim:makeLeftRightDelim},delimiterSizes={"\\bigl":{mclass:"mopen",size:1},"\\Bigl":{mclass:"mopen",size:2},"\\biggl":{mclass:"mopen",size:3},"\\Biggl":{mclass:"mopen",size:4},"\\bigr":{mclass:"mclose",size:1},"\\Bigr":{mclass:"mclose",size:2},"\\biggr":{mclass:"mclose",size:3},"\\Biggr":{mclass:"mclose",size:4},"\\bigm":{mclass:"mrel",size:1},"\\Bigm":{mclass:"mrel",size:2},"\\biggm":{mclass:"mrel",size:3},"\\Biggm":{mclass:"mrel",size:4},"\\big":{mclass:"mord",size:1},"\\Big":{mclass:"mord",size:2},"\\bigg":{mclass:"mord",size:3},"\\Bigg":{mclass:"mord",size:4}},delimiters=["(","\\lparen",")","\\rparen","[","\\lbrack","]","\\rbrack","\\{","\\lbrace","\\}","\\rbrace","\\lfloor","\\rfloor","⌊","⌋","\\lceil","\\rceil","⌈","⌉","<",">","\\langle","⟨","\\rangle","⟩","\\lt","\\gt","\\lvert","\\rvert","\\lVert","\\rVert","\\lgroup","\\rgroup","⟮","⟯","\\lmoustache","\\rmoustache","⎰","⎱","/","\\backslash","|","\\vert","\\|","\\Vert","\\uparrow","\\Uparrow","\\downarrow","\\Downarrow","\\updownarrow","\\Updownarrow","."];function checkDelimiter(Ra,qa){var Ja=checkSymbolNodeType(Ra);if(Ja&&utils$6.contains(delimiters,Ja.text))return Ja;throw Ja?new ParseError("Invalid delimiter '"+Ja.text+"' after '"+qa.funcName+"'",Ra):new ParseError("Invalid delimiter type '"+Ra.type+"'",Ra)}defineFunction({type:"delimsizing",names:["\\bigl","\\Bigl","\\biggl","\\Biggl","\\bigr","\\Bigr","\\biggr","\\Biggr","\\bigm","\\Bigm","\\biggm","\\Biggm","\\big","\\Big","\\bigg","\\Bigg"],props:{numArgs:1,argTypes:["primitive"]},handler:(Ra,qa)=>{var Ja=checkDelimiter(qa[0],Ra);return{type:"delimsizing",mode:Ra.parser.mode,size:delimiterSizes[Ra.funcName].size,mclass:delimiterSizes[Ra.funcName].mclass,delim:Ja.text}},htmlBuilder:(Ra,qa)=>Ra.delim==="."?buildCommon.makeSpan([Ra.mclass]):delimiter$1.sizedDelim(Ra.delim,Ra.size,qa,Ra.mode,[Ra.mclass]),mathmlBuilder:Ra=>{var qa=[];Ra.delim!=="."&&qa.push(makeText(Ra.delim,Ra.mode));var Ja=new mathMLTree.MathNode("mo",qa);Ra.mclass==="mopen"||Ra.mclass==="mclose"?Ja.setAttribute("fence","true"):Ja.setAttribute("fence","false"),Ja.setAttribute("stretchy","true");var ed=makeEm(delimiter$1.sizeToMaxHeight[Ra.size]);return Ja.setAttribute("minsize",ed),Ja.setAttribute("maxsize",ed),Ja}});function assertParsed(Ra){if(!Ra.body)throw new Error("Bug: The leftright ParseNode wasn't fully parsed.")}defineFunction({type:"leftright-right",names:["\\right"],props:{numArgs:1,primitive:!0},handler:(Ra,qa)=>{var Ja=Ra.parser.gullet.macros.get("\\current@color");if(Ja&&typeof Ja!="string")throw new ParseError("\\current@color set to non-string in \\right");return{type:"leftright-right",mode:Ra.parser.mode,delim:checkDelimiter(qa[0],Ra).text,color:Ja}}});defineFunction({type:"leftright",names:["\\left"],props:{numArgs:1,primitive:!0},handler:(Ra,qa)=>{var Ja=checkDelimiter(qa[0],Ra),ed=Ra.parser;++ed.leftrightDepth;var td=ed.parseExpression(!1);--ed.leftrightDepth,ed.expect("\\right",!1);var rd=assertNodeType(ed.parseFunction(),"leftright-right");return{type:"leftright",mode:ed.mode,body:td,left:Ja.text,right:rd.delim,rightColor:rd.color}},htmlBuilder:(Ra,qa)=>{assertParsed(Ra);for(var Ja=buildExpression$1(Ra.body,qa,!0,["mopen","mclose"]),ed=0,td=0,rd=!1,sd=0;sd<Ja.length;sd++)Ja[sd].isMiddle?rd=!0:(ed=Math.max(Ja[sd].height,ed),td=Math.max(Ja[sd].depth,td));ed*=qa.sizeMultiplier,td*=qa.sizeMultiplier;var od;if(Ra.left==="."?od=makeNullDelimiter(qa,["mopen"]):od=delimiter$1.leftRightDelim(Ra.left,ed,td,qa,Ra.mode,["mopen"]),Ja.unshift(od),rd)for(var ld=1;ld<Ja.length;ld++){var cd=Ja[ld],ud=cd.isMiddle;ud&&(Ja[ld]=delimiter$1.leftRightDelim(ud.delim,ed,td,ud.options,Ra.mode,[]))}var _d;if(Ra.right===".")_d=makeNullDelimiter(qa,["mclose"]);else{var yd=Ra.rightColor?qa.withColor(Ra.rightColor):qa;_d=delimiter$1.leftRightDelim(Ra.right,ed,td,yd,Ra.mode,["mclose"])}return Ja.push(_d),buildCommon.makeSpan(["minner"],Ja,qa)},mathmlBuilder:(Ra,qa)=>{assertParsed(Ra);var Ja=buildExpression2(Ra.body,qa);if(Ra.left!=="."){var ed=new mathMLTree.MathNode("mo",[makeText(Ra.left,Ra.mode)]);ed.setAttribute("fence","true"),Ja.unshift(ed)}if(Ra.right!=="."){var td=new mathMLTree.MathNode("mo",[makeText(Ra.right,Ra.mode)]);td.setAttribute("fence","true"),Ra.rightColor&&td.setAttribute("mathcolor",Ra.rightColor),Ja.push(td)}return makeRow(Ja)}});defineFunction({type:"middle",names:["\\middle"],props:{numArgs:1,primitive:!0},handler:(Ra,qa)=>{var Ja=checkDelimiter(qa[0],Ra);if(!Ra.parser.leftrightDepth)throw new ParseError("\\middle without preceding \\left",Ja);return{type:"middle",mode:Ra.parser.mode,delim:Ja.text}},htmlBuilder:(Ra,qa)=>{var Ja;if(Ra.delim===".")Ja=makeNullDelimiter(qa,[]);else{Ja=delimiter$1.sizedDelim(Ra.delim,1,qa,Ra.mode,[]);var ed={delim:Ra.delim,options:qa};Ja.isMiddle=ed}return Ja},mathmlBuilder:(Ra,qa)=>{var Ja=Ra.delim==="\\vert"||Ra.delim==="|"?makeText("|","text"):makeText(Ra.delim,Ra.mode),ed=new mathMLTree.MathNode("mo",[Ja]);return ed.setAttribute("fence","true"),ed.setAttribute("lspace","0.05em"),ed.setAttribute("rspace","0.05em"),ed}});var htmlBuilder$7=(Ra,qa)=>{var Ja=buildCommon.wrapFragment(buildGroup$1(Ra.body,qa),qa),ed=Ra.label.slice(1),td=qa.sizeMultiplier,rd,sd=0,od=utils$6.isCharacterBox(Ra.body);if(ed==="sout")rd=buildCommon.makeSpan(["stretchy","sout"]),rd.height=qa.fontMetrics().defaultRuleThickness/td,sd=-.5*qa.fontMetrics().xHeight;else if(ed==="phase"){var ld=calculateSize$1({number:.6,unit:"pt"},qa),cd=calculateSize$1({number:.35,unit:"ex"},qa),ud=qa.havingBaseSizing();td=td/ud.sizeMultiplier;var _d=Ja.height+Ja.depth+ld+cd;Ja.style.paddingLeft=makeEm(_d/2+ld);var yd=Math.floor(1e3*_d*td),gd=phasePath(yd),Ed=new SvgNode([new PathNode("phase",gd)],{width:"400em",height:makeEm(yd/1e3),viewBox:"0 0 400000 "+yd,preserveAspectRatio:"xMinYMin slice"});rd=buildCommon.makeSvgSpan(["hide-tail"],[Ed],qa),rd.style.height=makeEm(_d),sd=Ja.depth+ld+cd}else{/cancel/.test(ed)?od||Ja.classes.push("cancel-pad"):ed==="angl"?Ja.classes.push("anglpad"):Ja.classes.push("boxpad");var Td=0,kd=0,Rd=0;/box/.test(ed)?(Rd=Math.max(qa.fontMetrics().fboxrule,qa.minRuleThickness),Td=qa.fontMetrics().fboxsep+(ed==="colorbox"?0:Rd),kd=Td):ed==="angl"?(Rd=Math.max(qa.fontMetrics().defaultRuleThickness,qa.minRuleThickness),Td=4*Rd,kd=Math.max(0,.25-Ja.depth)):(Td=od?.2:0,kd=Td),rd=stretchy.encloseSpan(Ja,ed,Td,kd,qa),/fbox|boxed|fcolorbox/.test(ed)?(rd.style.borderStyle="solid",rd.style.borderWidth=makeEm(Rd)):ed==="angl"&&Rd!==.049&&(rd.style.borderTopWidth=makeEm(Rd),rd.style.borderRightWidth=makeEm(Rd)),sd=Ja.depth+kd,Ra.backgroundColor&&(rd.style.backgroundColor=Ra.backgroundColor,Ra.borderColor&&(rd.style.borderColor=Ra.borderColor))}var Nd;if(Ra.backgroundColor)Nd=buildCommon.makeVList({positionType:"individualShift",children:[{type:"elem",elem:rd,shift:sd},{type:"elem",elem:Ja,shift:0}]},qa);else{var Id=/cancel|phase/.test(ed)?["svg-align"]:[];Nd=buildCommon.makeVList({positionType:"individualShift",children:[{type:"elem",elem:Ja,shift:0},{type:"elem",elem:rd,shift:sd,wrapperClasses:Id}]},qa)}return/cancel/.test(ed)&&(Nd.height=Ja.height,Nd.depth=Ja.depth),/cancel/.test(ed)&&!od?buildCommon.makeSpan(["mord","cancel-lap"],[Nd],qa):buildCommon.makeSpan(["mord"],[Nd],qa)},mathmlBuilder$6=(Ra,qa)=>{var Ja=0,ed=new mathMLTree.MathNode(Ra.label.indexOf("colorbox")>-1?"mpadded":"menclose",[buildGroup2(Ra.body,qa)]);switch(Ra.label){case"\\cancel":ed.setAttribute("notation","updiagonalstrike");break;case"\\bcancel":ed.setAttribute("notation","downdiagonalstrike");break;case"\\phase":ed.setAttribute("notation","phasorangle");break;case"\\sout":ed.setAttribute("notation","horizontalstrike");break;case"\\fbox":ed.setAttribute("notation","box");break;case"\\angl":ed.setAttribute("notation","actuarial");break;case"\\fcolorbox":case"\\colorbox":if(Ja=qa.fontMetrics().fboxsep*qa.fontMetrics().ptPerEm,ed.setAttribute("width","+"+2*Ja+"pt"),ed.setAttribute("height","+"+2*Ja+"pt"),ed.setAttribute("lspace",Ja+"pt"),ed.setAttribute("voffset",Ja+"pt"),Ra.label==="\\fcolorbox"){var td=Math.max(qa.fontMetrics().fboxrule,qa.minRuleThickness);ed.setAttribute("style","border: "+td+"em solid "+String(Ra.borderColor))}break;case"\\xcancel":ed.setAttribute("notation","updiagonalstrike downdiagonalstrike");break}return Ra.backgroundColor&&ed.setAttribute("mathbackground",Ra.backgroundColor),ed};defineFunction({type:"enclose",names:["\\colorbox"],props:{numArgs:2,allowedInText:!0,argTypes:["color","text"]},handler(Ra,qa,Ja){var{parser:ed,funcName:td}=Ra,rd=assertNodeType(qa[0],"color-token").color,sd=qa[1];return{type:"enclose",mode:ed.mode,label:td,backgroundColor:rd,body:sd}},htmlBuilder:htmlBuilder$7,mathmlBuilder:mathmlBuilder$6});defineFunction({type:"enclose",names:["\\fcolorbox"],props:{numArgs:3,allowedInText:!0,argTypes:["color","color","text"]},handler(Ra,qa,Ja){var{parser:ed,funcName:td}=Ra,rd=assertNodeType(qa[0],"color-token").color,sd=assertNodeType(qa[1],"color-token").color,od=qa[2];return{type:"enclose",mode:ed.mode,label:td,backgroundColor:sd,borderColor:rd,body:od}},htmlBuilder:htmlBuilder$7,mathmlBuilder:mathmlBuilder$6});defineFunction({type:"enclose",names:["\\fbox"],props:{numArgs:1,argTypes:["hbox"],allowedInText:!0},handler(Ra,qa){var{parser:Ja}=Ra;return{type:"enclose",mode:Ja.mode,label:"\\fbox",body:qa[0]}}});defineFunction({type:"enclose",names:["\\cancel","\\bcancel","\\xcancel","\\sout","\\phase"],props:{numArgs:1},handler(Ra,qa){var{parser:Ja,funcName:ed}=Ra,td=qa[0];return{type:"enclose",mode:Ja.mode,label:ed,body:td}},htmlBuilder:htmlBuilder$7,mathmlBuilder:mathmlBuilder$6});defineFunction({type:"enclose",names:["\\angl"],props:{numArgs:1,argTypes:["hbox"],allowedInText:!1},handler(Ra,qa){var{parser:Ja}=Ra;return{type:"enclose",mode:Ja.mode,label:"\\angl",body:qa[0]}}});var _environments={};function defineEnvironment(Ra){for(var{type:qa,names:Ja,props:ed,handler:td,htmlBuilder:rd,mathmlBuilder:sd}=Ra,od={type:qa,numArgs:ed.numArgs||0,allowedInText:!1,numOptionalArgs:0,handler:td},ld=0;ld<Ja.length;++ld)_environments[Ja[ld]]=od;rd&&(_htmlGroupBuilders[qa]=rd),sd&&(_mathmlGroupBuilders[qa]=sd)}var _macros={};function defineMacro(Ra,qa){_macros[Ra]=qa}function getHLines(Ra){var qa=[];Ra.consumeSpaces();var Ja=Ra.fetch().text;for(Ja==="\\relax"&&(Ra.consume(),Ra.consumeSpaces(),Ja=Ra.fetch().text);Ja==="\\hline"||Ja==="\\hdashline";)Ra.consume(),qa.push(Ja==="\\hdashline"),Ra.consumeSpaces(),Ja=Ra.fetch().text;return qa}var validateAmsEnvironmentContext=Ra=>{var qa=Ra.parser.settings;if(!qa.displayMode)throw new ParseError("{"+Ra.envName+"} can be used only in display mode.")};function getAutoTag(Ra){if(Ra.indexOf("ed")===-1)return Ra.indexOf("*")===-1}function parseArray(Ra,qa,Ja){var{hskipBeforeAndAfter:ed,addJot:td,cols:rd,arraystretch:sd,colSeparationType:od,autoTag:ld,singleRow:cd,emptySingleRow:ud,maxNumCols:_d,leqno:yd}=qa;if(Ra.gullet.beginGroup(),cd||Ra.gullet.macros.set("\\cr","\\\\\\relax"),!sd){var gd=Ra.gullet.expandMacroAsText("\\arraystretch");if(gd==null)sd=1;else if(sd=parseFloat(gd),!sd||sd<0)throw new ParseError("Invalid \\arraystretch: "+gd)}Ra.gullet.beginGroup();var Ed=[],Td=[Ed],kd=[],Rd=[],Nd=ld!=null?[]:void 0;function Id(){ld&&Ra.gullet.macros.set("\\@eqnsw","1",!0)}function Md(){Nd&&(Ra.gullet.macros.get("\\df@tag")?(Nd.push(Ra.subparse([new Token("\\df@tag")])),Ra.gullet.macros.set("\\df@tag",void 0,!0)):Nd.push(!!ld&&Ra.gullet.macros.get("\\@eqnsw")==="1"))}for(Id(),Rd.push(getHLines(Ra));;){var Ld=Ra.parseExpression(!1,cd?"\\end":"\\\\");Ra.gullet.endGroup(),Ra.gullet.beginGroup(),Ld={type:"ordgroup",mode:Ra.mode,body:Ld},Ja&&(Ld={type:"styling",mode:Ra.mode,style:Ja,body:[Ld]}),Ed.push(Ld);var Pd=Ra.fetch().text;if(Pd==="&"){if(_d&&Ed.length===_d){if(cd||od)throw new ParseError("Too many tab characters: &",Ra.nextToken);Ra.settings.reportNonstrict("textEnv","Too few columns specified in the {array} column argument.")}Ra.consume()}else if(Pd==="\\end"){Md(),Ed.length===1&&Ld.type==="styling"&&Ld.body[0].body.length===0&&(Td.length>1||!ud)&&Td.pop(),Rd.length<Td.length+1&&Rd.push([]);break}else if(Pd==="\\\\"){Ra.consume();var qd=void 0;Ra.gullet.future().text!==" "&&(qd=Ra.parseSizeGroup(!0)),kd.push(qd?qd.value:null),Md(),Rd.push(getHLines(Ra)),Ed=[],Td.push(Ed),Id()}else throw new ParseError("Expected & or \\\\ or \\cr or \\end",Ra.nextToken)}return Ra.gullet.endGroup(),Ra.gullet.endGroup(),{type:"array",mode:Ra.mode,addJot:td,arraystretch:sd,body:Td,cols:rd,rowGaps:kd,hskipBeforeAndAfter:ed,hLinesBeforeRow:Rd,colSeparationType:od,tags:Nd,leqno:yd}}function dCellStyle(Ra){return Ra.slice(0,1)==="d"?"display":"text"}var htmlBuilder$6=function(qa,Ja){var ed,td,rd=qa.body.length,sd=qa.hLinesBeforeRow,od=0,ld=new Array(rd),cd=[],ud=Math.max(Ja.fontMetrics().arrayRuleWidth,Ja.minRuleThickness),_d=1/Ja.fontMetrics().ptPerEm,yd=5*_d;if(qa.colSeparationType&&qa.colSeparationType==="small"){var gd=Ja.havingStyle(Style$1.SCRIPT).sizeMultiplier;yd=.2778*(gd/Ja.sizeMultiplier)}var Ed=qa.colSeparationType==="CD"?calculateSize$1({number:3,unit:"ex"},Ja):12*_d,Td=3*_d,kd=qa.arraystretch*Ed,Rd=.7*kd,Nd=.3*kd,Id=0;function Md(Af){for(var Pf=0;Pf<Af.length;++Pf)Pf>0&&(Id+=.25),cd.push({pos:Id,isDashed:Af[Pf]})}for(Md(sd[0]),ed=0;ed<qa.body.length;++ed){var Ld=qa.body[ed],Pd=Rd,qd=Nd;od<Ld.length&&(od=Ld.length);var Yd=new Array(Ld.length);for(td=0;td<Ld.length;++td){var Ud=buildGroup$1(Ld[td],Ja);qd<Ud.depth&&(qd=Ud.depth),Pd<Ud.height&&(Pd=Ud.height),Yd[td]=Ud}var Hd=qa.rowGaps[ed],Vd=0;Hd&&(Vd=calculateSize$1(Hd,Ja),Vd>0&&(Vd+=Nd,qd<Vd&&(qd=Vd),Vd=0)),qa.addJot&&(qd+=Td),Yd.height=Pd,Yd.depth=qd,Id+=Pd,Yd.pos=Id,Id+=qd+Vd,ld[ed]=Yd,Md(sd[ed+1])}var Jd=Id/2+Ja.fontMetrics().axisHeight,Zd=qa.cols||[],pf=[],Xd,hf,_f=[];if(qa.tags&&qa.tags.some(Af=>Af))for(ed=0;ed<rd;++ed){var xf=ld[ed],Lf=xf.pos-Jd,Wf=qa.tags[ed],Yf=void 0;Wf===!0?Yf=buildCommon.makeSpan(["eqn-num"],[],Ja):Wf===!1?Yf=buildCommon.makeSpan([],[],Ja):Yf=buildCommon.makeSpan([],buildExpression$1(Wf,Ja,!0),Ja),Yf.depth=xf.depth,Yf.height=xf.height,_f.push({type:"elem",elem:Yf,shift:Lf})}for(td=0,hf=0;td<od||hf<Zd.length;++td,++hf){for(var If=Zd[hf]||{},Sf=!0;If.type==="separator";){if(Sf||(Xd=buildCommon.makeSpan(["arraycolsep"],[]),Xd.style.width=makeEm(Ja.fontMetrics().doubleRuleSep),pf.push(Xd)),If.separator==="|"||If.separator===":"){var wf=If.separator==="|"?"solid":"dashed",Kf=buildCommon.makeSpan(["vertical-separator"],[],Ja);Kf.style.height=makeEm(Id),Kf.style.borderRightWidth=makeEm(ud),Kf.style.borderRightStyle=wf,Kf.style.margin="0 "+makeEm(-ud/2);var Gf=Id-Jd;Gf&&(Kf.style.verticalAlign=makeEm(-Gf)),pf.push(Kf)}else throw new ParseError("Invalid separator type: "+If.separator);hf++,If=Zd[hf]||{},Sf=!1}if(!(td>=od)){var gf=void 0;(td>0||qa.hskipBeforeAndAfter)&&(gf=utils$6.deflt(If.pregap,yd),gf!==0&&(Xd=buildCommon.makeSpan(["arraycolsep"],[]),Xd.style.width=makeEm(gf),pf.push(Xd)));var mf=[];for(ed=0;ed<rd;++ed){var $f=ld[ed],zf=$f[td];if(zf){var hh=$f.pos-Jd;zf.depth=$f.depth,zf.height=$f.height,mf.push({type:"elem",elem:zf,shift:hh})}}mf=buildCommon.makeVList({positionType:"individualShift",children:mf},Ja),mf=buildCommon.makeSpan(["col-align-"+(If.align||"c")],[mf]),pf.push(mf),(td<od-1||qa.hskipBeforeAndAfter)&&(gf=utils$6.deflt(If.postgap,yd),gf!==0&&(Xd=buildCommon.makeSpan(["arraycolsep"],[]),Xd.style.width=makeEm(gf),pf.push(Xd)))}}if(ld=buildCommon.makeSpan(["mtable"],pf),cd.length>0){for(var Vf=buildCommon.makeLineSpan("hline",Ja,ud),kf=buildCommon.makeLineSpan("hdashline",Ja,ud),Jf=[{type:"elem",elem:ld,shift:0}];cd.length>0;){var Ch=cd.pop(),qf=Ch.pos-Jd;Ch.isDashed?Jf.push({type:"elem",elem:kf,shift:qf}):Jf.push({type:"elem",elem:Vf,shift:qf})}ld=buildCommon.makeVList({positionType:"individualShift",children:Jf},Ja)}if(_f.length===0)return buildCommon.makeSpan(["mord"],[ld],Ja);var Tf=buildCommon.makeVList({positionType:"individualShift",children:_f},Ja);return Tf=buildCommon.makeSpan(["tag"],[Tf],Ja),buildCommon.makeFragment([ld,Tf])},alignMap={c:"center ",l:"left ",r:"right "},mathmlBuilder$5=function(qa,Ja){for(var ed=[],td=new mathMLTree.MathNode("mtd",[],["mtr-glue"]),rd=new mathMLTree.MathNode("mtd",[],["mml-eqn-num"]),sd=0;sd<qa.body.length;sd++){for(var od=qa.body[sd],ld=[],cd=0;cd<od.length;cd++)ld.push(new mathMLTree.MathNode("mtd",[buildGroup2(od[cd],Ja)]));qa.tags&&qa.tags[sd]&&(ld.unshift(td),ld.push(td),qa.leqno?ld.unshift(rd):ld.push(rd)),ed.push(new mathMLTree.MathNode("mtr",ld))}var ud=new mathMLTree.MathNode("mtable",ed),_d=qa.arraystretch===.5?.1:.16+qa.arraystretch-1+(qa.addJot?.09:0);ud.setAttribute("rowspacing",makeEm(_d));var yd="",gd="";if(qa.cols&&qa.cols.length>0){var Ed=qa.cols,Td="",kd=!1,Rd=0,Nd=Ed.length;Ed[0].type==="separator"&&(yd+="top ",Rd=1),Ed[Ed.length-1].type==="separator"&&(yd+="bottom ",Nd-=1);for(var Id=Rd;Id<Nd;Id++)Ed[Id].type==="align"?(gd+=alignMap[Ed[Id].align],kd&&(Td+="none "),kd=!0):Ed[Id].type==="separator"&&kd&&(Td+=Ed[Id].separator==="|"?"solid ":"dashed ",kd=!1);ud.setAttribute("columnalign",gd.trim()),/[sd]/.test(Td)&&ud.setAttribute("columnlines",Td.trim())}if(qa.colSeparationType==="align"){for(var Md=qa.cols||[],Ld="",Pd=1;Pd<Md.length;Pd++)Ld+=Pd%2?"0em ":"1em ";ud.setAttribute("columnspacing",Ld.trim())}else qa.colSeparationType==="alignat"||qa.colSeparationType==="gather"?ud.setAttribute("columnspacing","0em"):qa.colSeparationType==="small"?ud.setAttribute("columnspacing","0.2778em"):qa.colSeparationType==="CD"?ud.setAttribute("columnspacing","0.5em"):ud.setAttribute("columnspacing","1em");var qd="",Yd=qa.hLinesBeforeRow;yd+=Yd[0].length>0?"left ":"",yd+=Yd[Yd.length-1].length>0?"right ":"";for(var Ud=1;Ud<Yd.length-1;Ud++)qd+=Yd[Ud].length===0?"none ":Yd[Ud][0]?"dashed ":"solid ";return/[sd]/.test(qd)&&ud.setAttribute("rowlines",qd.trim()),yd!==""&&(ud=new mathMLTree.MathNode("menclose",[ud]),ud.setAttribute("notation",yd.trim())),qa.arraystretch&&qa.arraystretch<1&&(ud=new mathMLTree.MathNode("mstyle",[ud]),ud.setAttribute("scriptlevel","1")),ud},alignedHandler=function(qa,Ja){qa.envName.indexOf("ed")===-1&&validateAmsEnvironmentContext(qa);var ed=[],td=qa.envName.indexOf("at")>-1?"alignat":"align",rd=qa.envName==="split",sd=parseArray(qa.parser,{cols:ed,addJot:!0,autoTag:rd?void 0:getAutoTag(qa.envName),emptySingleRow:!0,colSeparationType:td,maxNumCols:rd?2:void 0,leqno:qa.parser.settings.leqno},"display"),od,ld=0,cd={type:"ordgroup",mode:qa.mode,body:[]};if(Ja[0]&&Ja[0].type==="ordgroup"){for(var ud="",_d=0;_d<Ja[0].body.length;_d++){var yd=assertNodeType(Ja[0].body[_d],"textord");ud+=yd.text}od=Number(ud),ld=od*2}var gd=!ld;sd.body.forEach(function(Rd){for(var Nd=1;Nd<Rd.length;Nd+=2){var Id=assertNodeType(Rd[Nd],"styling"),Md=assertNodeType(Id.body[0],"ordgroup");Md.body.unshift(cd)}if(gd)ld<Rd.length&&(ld=Rd.length);else{var Ld=Rd.length/2;if(od<Ld)throw new ParseError("Too many math in a row: "+("expected "+od+", but got "+Ld),Rd[0])}});for(var Ed=0;Ed<ld;++Ed){var Td="r",kd=0;Ed%2===1?Td="l":Ed>0&&gd&&(kd=1),ed[Ed]={type:"align",align:Td,pregap:kd,postgap:0}}return sd.colSeparationType=gd?"align":"alignat",sd};defineEnvironment({type:"array",names:["array","darray"],props:{numArgs:1},handler(Ra,qa){var Ja=checkSymbolNodeType(qa[0]),ed=Ja?[qa[0]]:assertNodeType(qa[0],"ordgroup").body,td=ed.map(function(sd){var od=assertSymbolNodeType(sd),ld=od.text;if("lcr".indexOf(ld)!==-1)return{type:"align",align:ld};if(ld==="|")return{type:"separator",separator:"|"};if(ld===":")return{type:"separator",separator:":"};throw new ParseError("Unknown column alignment: "+ld,sd)}),rd={cols:td,hskipBeforeAndAfter:!0,maxNumCols:td.length};return parseArray(Ra.parser,rd,dCellStyle(Ra.envName))},htmlBuilder:htmlBuilder$6,mathmlBuilder:mathmlBuilder$5});defineEnvironment({type:"array",names:["matrix","pmatrix","bmatrix","Bmatrix","vmatrix","Vmatrix","matrix*","pmatrix*","bmatrix*","Bmatrix*","vmatrix*","Vmatrix*"],props:{numArgs:0},handler(Ra){var qa={matrix:null,pmatrix:["(",")"],bmatrix:["[","]"],Bmatrix:["\\{","\\}"],vmatrix:["|","|"],Vmatrix:["\\Vert","\\Vert"]}[Ra.envName.replace("*","")],Ja="c",ed={hskipBeforeAndAfter:!1,cols:[{type:"align",align:Ja}]};if(Ra.envName.charAt(Ra.envName.length-1)==="*"){var td=Ra.parser;if(td.consumeSpaces(),td.fetch().text==="["){if(td.consume(),td.consumeSpaces(),Ja=td.fetch().text,"lcr".indexOf(Ja)===-1)throw new ParseError("Expected l or c or r",td.nextToken);td.consume(),td.consumeSpaces(),td.expect("]"),td.consume(),ed.cols=[{type:"align",align:Ja}]}}var rd=parseArray(Ra.parser,ed,dCellStyle(Ra.envName)),sd=Math.max(0,...rd.body.map(od=>od.length));return rd.cols=new Array(sd).fill({type:"align",align:Ja}),qa?{type:"leftright",mode:Ra.mode,body:[rd],left:qa[0],right:qa[1],rightColor:void 0}:rd},htmlBuilder:htmlBuilder$6,mathmlBuilder:mathmlBuilder$5});defineEnvironment({type:"array",names:["smallmatrix"],props:{numArgs:0},handler(Ra){var qa={arraystretch:.5},Ja=parseArray(Ra.parser,qa,"script");return Ja.colSeparationType="small",Ja},htmlBuilder:htmlBuilder$6,mathmlBuilder:mathmlBuilder$5});defineEnvironment({type:"array",names:["subarray"],props:{numArgs:1},handler(Ra,qa){var Ja=checkSymbolNodeType(qa[0]),ed=Ja?[qa[0]]:assertNodeType(qa[0],"ordgroup").body,td=ed.map(function(sd){var od=assertSymbolNodeType(sd),ld=od.text;if("lc".indexOf(ld)!==-1)return{type:"align",align:ld};throw new ParseError("Unknown column alignment: "+ld,sd)});if(td.length>1)throw new ParseError("{subarray} can contain only one column");var rd={cols:td,hskipBeforeAndAfter:!1,arraystretch:.5};if(rd=parseArray(Ra.parser,rd,"script"),rd.body.length>0&&rd.body[0].length>1)throw new ParseError("{subarray} can contain only one column");return rd},htmlBuilder:htmlBuilder$6,mathmlBuilder:mathmlBuilder$5});defineEnvironment({type:"array",names:["cases","dcases","rcases","drcases"],props:{numArgs:0},handler(Ra){var qa={arraystretch:1.2,cols:[{type:"align",align:"l",pregap:0,postgap:1},{type:"align",align:"l",pregap:0,postgap:0}]},Ja=parseArray(Ra.parser,qa,dCellStyle(Ra.envName));return{type:"leftright",mode:Ra.mode,body:[Ja],left:Ra.envName.indexOf("r")>-1?".":"\\{",right:Ra.envName.indexOf("r")>-1?"\\}":".",rightColor:void 0}},htmlBuilder:htmlBuilder$6,mathmlBuilder:mathmlBuilder$5});defineEnvironment({type:"array",names:["align","align*","aligned","split"],props:{numArgs:0},handler:alignedHandler,htmlBuilder:htmlBuilder$6,mathmlBuilder:mathmlBuilder$5});defineEnvironment({type:"array",names:["gathered","gather","gather*"],props:{numArgs:0},handler(Ra){utils$6.contains(["gather","gather*"],Ra.envName)&&validateAmsEnvironmentContext(Ra);var qa={cols:[{type:"align",align:"c"}],addJot:!0,colSeparationType:"gather",autoTag:getAutoTag(Ra.envName),emptySingleRow:!0,leqno:Ra.parser.settings.leqno};return parseArray(Ra.parser,qa,"display")},htmlBuilder:htmlBuilder$6,mathmlBuilder:mathmlBuilder$5});defineEnvironment({type:"array",names:["alignat","alignat*","alignedat"],props:{numArgs:1},handler:alignedHandler,htmlBuilder:htmlBuilder$6,mathmlBuilder:mathmlBuilder$5});defineEnvironment({type:"array",names:["equation","equation*"],props:{numArgs:0},handler(Ra){validateAmsEnvironmentContext(Ra);var qa={autoTag:getAutoTag(Ra.envName),emptySingleRow:!0,singleRow:!0,maxNumCols:1,leqno:Ra.parser.settings.leqno};return parseArray(Ra.parser,qa,"display")},htmlBuilder:htmlBuilder$6,mathmlBuilder:mathmlBuilder$5});defineEnvironment({type:"array",names:["CD"],props:{numArgs:0},handler(Ra){return validateAmsEnvironmentContext(Ra),parseCD(Ra.parser)},htmlBuilder:htmlBuilder$6,mathmlBuilder:mathmlBuilder$5});defineMacro("\\nonumber","\\gdef\\@eqnsw{0}");defineMacro("\\notag","\\nonumber");defineFunction({type:"text",names:["\\hline","\\hdashline"],props:{numArgs:0,allowedInText:!0,allowedInMath:!0},handler(Ra,qa){throw new ParseError(Ra.funcName+" valid only within array environment")}});var environments=_environments;defineFunction({type:"environment",names:["\\begin","\\end"],props:{numArgs:1,argTypes:["text"]},handler(Ra,qa){var{parser:Ja,funcName:ed}=Ra,td=qa[0];if(td.type!=="ordgroup")throw new ParseError("Invalid environment name",td);for(var rd="",sd=0;sd<td.body.length;++sd)rd+=assertNodeType(td.body[sd],"textord").text;if(ed==="\\begin"){if(!environments.hasOwnProperty(rd))throw new ParseError("No such environment: "+rd,td);var od=environments[rd],{args:ld,optArgs:cd}=Ja.parseArguments("\\begin{"+rd+"}",od),ud={mode:Ja.mode,envName:rd,parser:Ja},_d=od.handler(ud,ld,cd);Ja.expect("\\end",!1);var yd=Ja.nextToken,gd=assertNodeType(Ja.parseFunction(),"environment");if(gd.name!==rd)throw new ParseError("Mismatch: \\begin{"+rd+"} matched by \\end{"+gd.name+"}",yd);return _d}return{type:"environment",mode:Ja.mode,name:rd,nameGroup:td}}});var htmlBuilder$5=(Ra,qa)=>{var Ja=Ra.font,ed=qa.withFont(Ja);return buildGroup$1(Ra.body,ed)},mathmlBuilder$4=(Ra,qa)=>{var Ja=Ra.font,ed=qa.withFont(Ja);return buildGroup2(Ra.body,ed)},fontAliases={"\\Bbb":"\\mathbb","\\bold":"\\mathbf","\\frak":"\\mathfrak","\\bm":"\\boldsymbol"};defineFunction({type:"font",names:["\\mathrm","\\mathit","\\mathbf","\\mathnormal","\\mathbb","\\mathcal","\\mathfrak","\\mathscr","\\mathsf","\\mathtt","\\Bbb","\\bold","\\frak"],props:{numArgs:1,allowedInArgument:!0},handler:(Ra,qa)=>{var{parser:Ja,funcName:ed}=Ra,td=normalizeArgument(qa[0]),rd=ed;return rd in fontAliases&&(rd=fontAliases[rd]),{type:"font",mode:Ja.mode,font:rd.slice(1),body:td}},htmlBuilder:htmlBuilder$5,mathmlBuilder:mathmlBuilder$4});defineFunction({type:"mclass",names:["\\boldsymbol","\\bm"],props:{numArgs:1},handler:(Ra,qa)=>{var{parser:Ja}=Ra,ed=qa[0],td=utils$6.isCharacterBox(ed);return{type:"mclass",mode:Ja.mode,mclass:binrelClass(ed),body:[{type:"font",mode:Ja.mode,font:"boldsymbol",body:ed}],isCharacterBox:td}}});defineFunction({type:"font",names:["\\rm","\\sf","\\tt","\\bf","\\it","\\cal"],props:{numArgs:0,allowedInText:!0},handler:(Ra,qa)=>{var{parser:Ja,funcName:ed,breakOnTokenText:td}=Ra,{mode:rd}=Ja,sd=Ja.parseExpression(!0,td),od="math"+ed.slice(1);return{type:"font",mode:rd,font:od,body:{type:"ordgroup",mode:Ja.mode,body:sd}}},htmlBuilder:htmlBuilder$5,mathmlBuilder:mathmlBuilder$4});var adjustStyle=(Ra,qa)=>{var Ja=qa;return Ra==="display"?Ja=Ja.id>=Style$1.SCRIPT.id?Ja.text():Style$1.DISPLAY:Ra==="text"&&Ja.size===Style$1.DISPLAY.size?Ja=Style$1.TEXT:Ra==="script"?Ja=Style$1.SCRIPT:Ra==="scriptscript"&&(Ja=Style$1.SCRIPTSCRIPT),Ja},htmlBuilder$4=(Ra,qa)=>{var Ja=adjustStyle(Ra.size,qa.style),ed=Ja.fracNum(),td=Ja.fracDen(),rd;rd=qa.havingStyle(ed);var sd=buildGroup$1(Ra.numer,rd,qa);if(Ra.continued){var od=8.5/qa.fontMetrics().ptPerEm,ld=3.5/qa.fontMetrics().ptPerEm;sd.height=sd.height<od?od:sd.height,sd.depth=sd.depth<ld?ld:sd.depth}rd=qa.havingStyle(td);var cd=buildGroup$1(Ra.denom,rd,qa),ud,_d,yd;Ra.hasBarLine?(Ra.barSize?(_d=calculateSize$1(Ra.barSize,qa),ud=buildCommon.makeLineSpan("frac-line",qa,_d)):ud=buildCommon.makeLineSpan("frac-line",qa),_d=ud.height,yd=ud.height):(ud=null,_d=0,yd=qa.fontMetrics().defaultRuleThickness);var gd,Ed,Td;Ja.size===Style$1.DISPLAY.size||Ra.size==="display"?(gd=qa.fontMetrics().num1,_d>0?Ed=3*yd:Ed=7*yd,Td=qa.fontMetrics().denom1):(_d>0?(gd=qa.fontMetrics().num2,Ed=yd):(gd=qa.fontMetrics().num3,Ed=3*yd),Td=qa.fontMetrics().denom2);var kd;if(ud){var Nd=qa.fontMetrics().axisHeight;gd-sd.depth-(Nd+.5*_d)<Ed&&(gd+=Ed-(gd-sd.depth-(Nd+.5*_d))),Nd-.5*_d-(cd.height-Td)<Ed&&(Td+=Ed-(Nd-.5*_d-(cd.height-Td)));var Id=-(Nd-.5*_d);kd=buildCommon.makeVList({positionType:"individualShift",children:[{type:"elem",elem:cd,shift:Td},{type:"elem",elem:ud,shift:Id},{type:"elem",elem:sd,shift:-gd}]},qa)}else{var Rd=gd-sd.depth-(cd.height-Td);Rd<Ed&&(gd+=.5*(Ed-Rd),Td+=.5*(Ed-Rd)),kd=buildCommon.makeVList({positionType:"individualShift",children:[{type:"elem",elem:cd,shift:Td},{type:"elem",elem:sd,shift:-gd}]},qa)}rd=qa.havingStyle(Ja),kd.height*=rd.sizeMultiplier/qa.sizeMultiplier,kd.depth*=rd.sizeMultiplier/qa.sizeMultiplier;var Md;Ja.size===Style$1.DISPLAY.size?Md=qa.fontMetrics().delim1:Ja.size===Style$1.SCRIPTSCRIPT.size?Md=qa.havingStyle(Style$1.SCRIPT).fontMetrics().delim2:Md=qa.fontMetrics().delim2;var Ld,Pd;return Ra.leftDelim==null?Ld=makeNullDelimiter(qa,["mopen"]):Ld=delimiter$1.customSizedDelim(Ra.leftDelim,Md,!0,qa.havingStyle(Ja),Ra.mode,["mopen"]),Ra.continued?Pd=buildCommon.makeSpan([]):Ra.rightDelim==null?Pd=makeNullDelimiter(qa,["mclose"]):Pd=delimiter$1.customSizedDelim(Ra.rightDelim,Md,!0,qa.havingStyle(Ja),Ra.mode,["mclose"]),buildCommon.makeSpan(["mord"].concat(rd.sizingClasses(qa)),[Ld,buildCommon.makeSpan(["mfrac"],[kd]),Pd],qa)},mathmlBuilder$3=(Ra,qa)=>{var Ja=new mathMLTree.MathNode("mfrac",[buildGroup2(Ra.numer,qa),buildGroup2(Ra.denom,qa)]);if(!Ra.hasBarLine)Ja.setAttribute("linethickness","0px");else if(Ra.barSize){var ed=calculateSize$1(Ra.barSize,qa);Ja.setAttribute("linethickness",makeEm(ed))}var td=adjustStyle(Ra.size,qa.style);if(td.size!==qa.style.size){Ja=new mathMLTree.MathNode("mstyle",[Ja]);var rd=td.size===Style$1.DISPLAY.size?"true":"false";Ja.setAttribute("displaystyle",rd),Ja.setAttribute("scriptlevel","0")}if(Ra.leftDelim!=null||Ra.rightDelim!=null){var sd=[];if(Ra.leftDelim!=null){var od=new mathMLTree.MathNode("mo",[new mathMLTree.TextNode(Ra.leftDelim.replace("\\",""))]);od.setAttribute("fence","true"),sd.push(od)}if(sd.push(Ja),Ra.rightDelim!=null){var ld=new mathMLTree.MathNode("mo",[new mathMLTree.TextNode(Ra.rightDelim.replace("\\",""))]);ld.setAttribute("fence","true"),sd.push(ld)}return makeRow(sd)}return Ja};defineFunction({type:"genfrac",names:["\\dfrac","\\frac","\\tfrac","\\dbinom","\\binom","\\tbinom","\\\\atopfrac","\\\\bracefrac","\\\\brackfrac"],props:{numArgs:2,allowedInArgument:!0},handler:(Ra,qa)=>{var{parser:Ja,funcName:ed}=Ra,td=qa[0],rd=qa[1],sd,od=null,ld=null,cd="auto";switch(ed){case"\\dfrac":case"\\frac":case"\\tfrac":sd=!0;break;case"\\\\atopfrac":sd=!1;break;case"\\dbinom":case"\\binom":case"\\tbinom":sd=!1,od="(",ld=")";break;case"\\\\bracefrac":sd=!1,od="\\{",ld="\\}";break;case"\\\\brackfrac":sd=!1,od="[",ld="]";break;default:throw new Error("Unrecognized genfrac command")}switch(ed){case"\\dfrac":case"\\dbinom":cd="display";break;case"\\tfrac":case"\\tbinom":cd="text";break}return{type:"genfrac",mode:Ja.mode,continued:!1,numer:td,denom:rd,hasBarLine:sd,leftDelim:od,rightDelim:ld,size:cd,barSize:null}},htmlBuilder:htmlBuilder$4,mathmlBuilder:mathmlBuilder$3});defineFunction({type:"genfrac",names:["\\cfrac"],props:{numArgs:2},handler:(Ra,qa)=>{var{parser:Ja,funcName:ed}=Ra,td=qa[0],rd=qa[1];return{type:"genfrac",mode:Ja.mode,continued:!0,numer:td,denom:rd,hasBarLine:!0,leftDelim:null,rightDelim:null,size:"display",barSize:null}}});defineFunction({type:"infix",names:["\\over","\\choose","\\atop","\\brace","\\brack"],props:{numArgs:0,infix:!0},handler(Ra){var{parser:qa,funcName:Ja,token:ed}=Ra,td;switch(Ja){case"\\over":td="\\frac";break;case"\\choose":td="\\binom";break;case"\\atop":td="\\\\atopfrac";break;case"\\brace":td="\\\\bracefrac";break;case"\\brack":td="\\\\brackfrac";break;default:throw new Error("Unrecognized infix genfrac command")}return{type:"infix",mode:qa.mode,replaceWith:td,token:ed}}});var stylArray=["display","text","script","scriptscript"],delimFromValue=function(qa){var Ja=null;return qa.length>0&&(Ja=qa,Ja=Ja==="."?null:Ja),Ja};defineFunction({type:"genfrac",names:["\\genfrac"],props:{numArgs:6,allowedInArgument:!0,argTypes:["math","math","size","text","math","math"]},handler(Ra,qa){var{parser:Ja}=Ra,ed=qa[4],td=qa[5],rd=normalizeArgument(qa[0]),sd=rd.type==="atom"&&rd.family==="open"?delimFromValue(rd.text):null,od=normalizeArgument(qa[1]),ld=od.type==="atom"&&od.family==="close"?delimFromValue(od.text):null,cd=assertNodeType(qa[2],"size"),ud,_d=null;cd.isBlank?ud=!0:(_d=cd.value,ud=_d.number>0);var yd="auto",gd=qa[3];if(gd.type==="ordgroup"){if(gd.body.length>0){var Ed=assertNodeType(gd.body[0],"textord");yd=stylArray[Number(Ed.text)]}}else gd=assertNodeType(gd,"textord"),yd=stylArray[Number(gd.text)];return{type:"genfrac",mode:Ja.mode,numer:ed,denom:td,continued:!1,hasBarLine:ud,barSize:_d,leftDelim:sd,rightDelim:ld,size:yd}},htmlBuilder:htmlBuilder$4,mathmlBuilder:mathmlBuilder$3});defineFunction({type:"infix",names:["\\above"],props:{numArgs:1,argTypes:["size"],infix:!0},handler(Ra,qa){var{parser:Ja,funcName:ed,token:td}=Ra;return{type:"infix",mode:Ja.mode,replaceWith:"\\\\abovefrac",size:assertNodeType(qa[0],"size").value,token:td}}});defineFunction({type:"genfrac",names:["\\\\abovefrac"],props:{numArgs:3,argTypes:["math","size","math"]},handler:(Ra,qa)=>{var{parser:Ja,funcName:ed}=Ra,td=qa[0],rd=assert(assertNodeType(qa[1],"infix").size),sd=qa[2],od=rd.number>0;return{type:"genfrac",mode:Ja.mode,numer:td,denom:sd,continued:!1,hasBarLine:od,barSize:rd,leftDelim:null,rightDelim:null,size:"auto"}},htmlBuilder:htmlBuilder$4,mathmlBuilder:mathmlBuilder$3});var htmlBuilder$3=(Ra,qa)=>{var Ja=qa.style,ed,td;Ra.type==="supsub"?(ed=Ra.sup?buildGroup$1(Ra.sup,qa.havingStyle(Ja.sup()),qa):buildGroup$1(Ra.sub,qa.havingStyle(Ja.sub()),qa),td=assertNodeType(Ra.base,"horizBrace")):td=assertNodeType(Ra,"horizBrace");var rd=buildGroup$1(td.base,qa.havingBaseStyle(Style$1.DISPLAY)),sd=stretchy.svgSpan(td,qa),od;if(td.isOver?(od=buildCommon.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:rd},{type:"kern",size:.1},{type:"elem",elem:sd}]},qa),od.children[0].children[0].children[1].classes.push("svg-align")):(od=buildCommon.makeVList({positionType:"bottom",positionData:rd.depth+.1+sd.height,children:[{type:"elem",elem:sd},{type:"kern",size:.1},{type:"elem",elem:rd}]},qa),od.children[0].children[0].children[0].classes.push("svg-align")),ed){var ld=buildCommon.makeSpan(["mord",td.isOver?"mover":"munder"],[od],qa);td.isOver?od=buildCommon.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:ld},{type:"kern",size:.2},{type:"elem",elem:ed}]},qa):od=buildCommon.makeVList({positionType:"bottom",positionData:ld.depth+.2+ed.height+ed.depth,children:[{type:"elem",elem:ed},{type:"kern",size:.2},{type:"elem",elem:ld}]},qa)}return buildCommon.makeSpan(["mord",td.isOver?"mover":"munder"],[od],qa)},mathmlBuilder$2=(Ra,qa)=>{var Ja=stretchy.mathMLnode(Ra.label);return new mathMLTree.MathNode(Ra.isOver?"mover":"munder",[buildGroup2(Ra.base,qa),Ja])};defineFunction({type:"horizBrace",names:["\\overbrace","\\underbrace"],props:{numArgs:1},handler(Ra,qa){var{parser:Ja,funcName:ed}=Ra;return{type:"horizBrace",mode:Ja.mode,label:ed,isOver:/^\\over/.test(ed),base:qa[0]}},htmlBuilder:htmlBuilder$3,mathmlBuilder:mathmlBuilder$2});defineFunction({type:"href",names:["\\href"],props:{numArgs:2,argTypes:["url","original"],allowedInText:!0},handler:(Ra,qa)=>{var{parser:Ja}=Ra,ed=qa[1],td=assertNodeType(qa[0],"url").url;return Ja.settings.isTrusted({command:"\\href",url:td})?{type:"href",mode:Ja.mode,href:td,body:ordargument(ed)}:Ja.formatUnsupportedCmd("\\href")},htmlBuilder:(Ra,qa)=>{var Ja=buildExpression$1(Ra.body,qa,!1);return buildCommon.makeAnchor(Ra.href,[],Ja,qa)},mathmlBuilder:(Ra,qa)=>{var Ja=buildExpressionRow(Ra.body,qa);return Ja instanceof MathNode||(Ja=new MathNode("mrow",[Ja])),Ja.setAttribute("href",Ra.href),Ja}});defineFunction({type:"href",names:["\\url"],props:{numArgs:1,argTypes:["url"],allowedInText:!0},handler:(Ra,qa)=>{var{parser:Ja}=Ra,ed=assertNodeType(qa[0],"url").url;if(!Ja.settings.isTrusted({command:"\\url",url:ed}))return Ja.formatUnsupportedCmd("\\url");for(var td=[],rd=0;rd<ed.length;rd++){var sd=ed[rd];sd==="~"&&(sd="\\textasciitilde"),td.push({type:"textord",mode:"text",text:sd})}var od={type:"text",mode:Ja.mode,font:"\\texttt",body:td};return{type:"href",mode:Ja.mode,href:ed,body:ordargument(od)}}});defineFunction({type:"hbox",names:["\\hbox"],props:{numArgs:1,argTypes:["text"],allowedInText:!0,primitive:!0},handler(Ra,qa){var{parser:Ja}=Ra;return{type:"hbox",mode:Ja.mode,body:ordargument(qa[0])}},htmlBuilder(Ra,qa){var Ja=buildExpression$1(Ra.body,qa,!1);return buildCommon.makeFragment(Ja)},mathmlBuilder(Ra,qa){return new mathMLTree.MathNode("mrow",buildExpression2(Ra.body,qa))}});defineFunction({type:"html",names:["\\htmlClass","\\htmlId","\\htmlStyle","\\htmlData"],props:{numArgs:2,argTypes:["raw","original"],allowedInText:!0},handler:(Ra,qa)=>{var{parser:Ja,funcName:ed,token:td}=Ra,rd=assertNodeType(qa[0],"raw").string,sd=qa[1];Ja.settings.strict&&Ja.settings.reportNonstrict("htmlExtension","HTML extension is disabled on strict mode");var od,ld={};switch(ed){case"\\htmlClass":ld.class=rd,od={command:"\\htmlClass",class:rd};break;case"\\htmlId":ld.id=rd,od={command:"\\htmlId",id:rd};break;case"\\htmlStyle":ld.style=rd,od={command:"\\htmlStyle",style:rd};break;case"\\htmlData":{for(var cd=rd.split(","),ud=0;ud<cd.length;ud++){var _d=cd[ud].split("=");if(_d.length!==2)throw new ParseError("Error parsing key-value for \\htmlData");ld["data-"+_d[0].trim()]=_d[1].trim()}od={command:"\\htmlData",attributes:ld};break}default:throw new Error("Unrecognized html command")}return Ja.settings.isTrusted(od)?{type:"html",mode:Ja.mode,attributes:ld,body:ordargument(sd)}:Ja.formatUnsupportedCmd(ed)},htmlBuilder:(Ra,qa)=>{var Ja=buildExpression$1(Ra.body,qa,!1),ed=["enclosing"];Ra.attributes.class&&ed.push(...Ra.attributes.class.trim().split(/\s+/));var td=buildCommon.makeSpan(ed,Ja,qa);for(var rd in Ra.attributes)rd!=="class"&&Ra.attributes.hasOwnProperty(rd)&&td.setAttribute(rd,Ra.attributes[rd]);return td},mathmlBuilder:(Ra,qa)=>buildExpressionRow(Ra.body,qa)});defineFunction({type:"htmlmathml",names:["\\html@mathml"],props:{numArgs:2,allowedInText:!0},handler:(Ra,qa)=>{var{parser:Ja}=Ra;return{type:"htmlmathml",mode:Ja.mode,html:ordargument(qa[0]),mathml:ordargument(qa[1])}},htmlBuilder:(Ra,qa)=>{var Ja=buildExpression$1(Ra.html,qa,!1);return buildCommon.makeFragment(Ja)},mathmlBuilder:(Ra,qa)=>buildExpressionRow(Ra.mathml,qa)});var sizeData=function(qa){if(/^[-+]? *(\d+(\.\d*)?|\.\d+)$/.test(qa))return{number:+qa,unit:"bp"};var Ja=/([-+]?) *(\d+(?:\.\d*)?|\.\d+) *([a-z]{2})/.exec(qa);if(!Ja)throw new ParseError("Invalid size: '"+qa+"' in \\includegraphics");var ed={number:+(Ja[1]+Ja[2]),unit:Ja[3]};if(!validUnit(ed))throw new ParseError("Invalid unit: '"+ed.unit+"' in \\includegraphics.");return ed};defineFunction({type:"includegraphics",names:["\\includegraphics"],props:{numArgs:1,numOptionalArgs:1,argTypes:["raw","url"],allowedInText:!1},handler:(Ra,qa,Ja)=>{var{parser:ed}=Ra,td={number:0,unit:"em"},rd={number:.9,unit:"em"},sd={number:0,unit:"em"},od="";if(Ja[0])for(var ld=assertNodeType(Ja[0],"raw").string,cd=ld.split(","),ud=0;ud<cd.length;ud++){var _d=cd[ud].split("=");if(_d.length===2){var yd=_d[1].trim();switch(_d[0].trim()){case"alt":od=yd;break;case"width":td=sizeData(yd);break;case"height":rd=sizeData(yd);break;case"totalheight":sd=sizeData(yd);break;default:throw new ParseError("Invalid key: '"+_d[0]+"' in \\includegraphics.")}}}var gd=assertNodeType(qa[0],"url").url;return od===""&&(od=gd,od=od.replace(/^.*[\\/]/,""),od=od.substring(0,od.lastIndexOf("."))),ed.settings.isTrusted({command:"\\includegraphics",url:gd})?{type:"includegraphics",mode:ed.mode,alt:od,width:td,height:rd,totalheight:sd,src:gd}:ed.formatUnsupportedCmd("\\includegraphics")},htmlBuilder:(Ra,qa)=>{var Ja=calculateSize$1(Ra.height,qa),ed=0;Ra.totalheight.number>0&&(ed=calculateSize$1(Ra.totalheight,qa)-Ja);var td=0;Ra.width.number>0&&(td=calculateSize$1(Ra.width,qa));var rd={height:makeEm(Ja+ed)};td>0&&(rd.width=makeEm(td)),ed>0&&(rd.verticalAlign=makeEm(-ed));var sd=new Img(Ra.src,Ra.alt,rd);return sd.height=Ja,sd.depth=ed,sd},mathmlBuilder:(Ra,qa)=>{var Ja=new mathMLTree.MathNode("mglyph",[]);Ja.setAttribute("alt",Ra.alt);var ed=calculateSize$1(Ra.height,qa),td=0;if(Ra.totalheight.number>0&&(td=calculateSize$1(Ra.totalheight,qa)-ed,Ja.setAttribute("valign",makeEm(-td))),Ja.setAttribute("height",makeEm(ed+td)),Ra.width.number>0){var rd=calculateSize$1(Ra.width,qa);Ja.setAttribute("width",makeEm(rd))}return Ja.setAttribute("src",Ra.src),Ja}});defineFunction({type:"kern",names:["\\kern","\\mkern","\\hskip","\\mskip"],props:{numArgs:1,argTypes:["size"],primitive:!0,allowedInText:!0},handler(Ra,qa){var{parser:Ja,funcName:ed}=Ra,td=assertNodeType(qa[0],"size");if(Ja.settings.strict){var rd=ed[1]==="m",sd=td.value.unit==="mu";rd?(sd||Ja.settings.reportNonstrict("mathVsTextUnits","LaTeX's "+ed+" supports only mu units, "+("not "+td.value.unit+" units")),Ja.mode!=="math"&&Ja.settings.reportNonstrict("mathVsTextUnits","LaTeX's "+ed+" works only in math mode")):sd&&Ja.settings.reportNonstrict("mathVsTextUnits","LaTeX's "+ed+" doesn't support mu units")}return{type:"kern",mode:Ja.mode,dimension:td.value}},htmlBuilder(Ra,qa){return buildCommon.makeGlue(Ra.dimension,qa)},mathmlBuilder(Ra,qa){var Ja=calculateSize$1(Ra.dimension,qa);return new mathMLTree.SpaceNode(Ja)}});defineFunction({type:"lap",names:["\\mathllap","\\mathrlap","\\mathclap"],props:{numArgs:1,allowedInText:!0},handler:(Ra,qa)=>{var{parser:Ja,funcName:ed}=Ra,td=qa[0];return{type:"lap",mode:Ja.mode,alignment:ed.slice(5),body:td}},htmlBuilder:(Ra,qa)=>{var Ja;Ra.alignment==="clap"?(Ja=buildCommon.makeSpan([],[buildGroup$1(Ra.body,qa)]),Ja=buildCommon.makeSpan(["inner"],[Ja],qa)):Ja=buildCommon.makeSpan(["inner"],[buildGroup$1(Ra.body,qa)]);var ed=buildCommon.makeSpan(["fix"],[]),td=buildCommon.makeSpan([Ra.alignment],[Ja,ed],qa),rd=buildCommon.makeSpan(["strut"]);return rd.style.height=makeEm(td.height+td.depth),td.depth&&(rd.style.verticalAlign=makeEm(-td.depth)),td.children.unshift(rd),td=buildCommon.makeSpan(["thinbox"],[td],qa),buildCommon.makeSpan(["mord","vbox"],[td],qa)},mathmlBuilder:(Ra,qa)=>{var Ja=new mathMLTree.MathNode("mpadded",[buildGroup2(Ra.body,qa)]);if(Ra.alignment!=="rlap"){var ed=Ra.alignment==="llap"?"-1":"-0.5";Ja.setAttribute("lspace",ed+"width")}return Ja.setAttribute("width","0px"),Ja}});defineFunction({type:"styling",names:["\\(","$"],props:{numArgs:0,allowedInText:!0,allowedInMath:!1},handler(Ra,qa){var{funcName:Ja,parser:ed}=Ra,td=ed.mode;ed.switchMode("math");var rd=Ja==="\\("?"\\)":"$",sd=ed.parseExpression(!1,rd);return ed.expect(rd),ed.switchMode(td),{type:"styling",mode:ed.mode,style:"text",body:sd}}});defineFunction({type:"text",names:["\\)","\\]"],props:{numArgs:0,allowedInText:!0,allowedInMath:!1},handler(Ra,qa){throw new ParseError("Mismatched "+Ra.funcName)}});var chooseMathStyle=(Ra,qa)=>{switch(qa.style.size){case Style$1.DISPLAY.size:return Ra.display;case Style$1.TEXT.size:return Ra.text;case Style$1.SCRIPT.size:return Ra.script;case Style$1.SCRIPTSCRIPT.size:return Ra.scriptscript;default:return Ra.text}};defineFunction({type:"mathchoice",names:["\\mathchoice"],props:{numArgs:4,primitive:!0},handler:(Ra,qa)=>{var{parser:Ja}=Ra;return{type:"mathchoice",mode:Ja.mode,display:ordargument(qa[0]),text:ordargument(qa[1]),script:ordargument(qa[2]),scriptscript:ordargument(qa[3])}},htmlBuilder:(Ra,qa)=>{var Ja=chooseMathStyle(Ra,qa),ed=buildExpression$1(Ja,qa,!1);return buildCommon.makeFragment(ed)},mathmlBuilder:(Ra,qa)=>{var Ja=chooseMathStyle(Ra,qa);return buildExpressionRow(Ja,qa)}});var assembleSupSub=(Ra,qa,Ja,ed,td,rd,sd)=>{Ra=buildCommon.makeSpan([],[Ra]);var od=Ja&&utils$6.isCharacterBox(Ja),ld,cd;if(qa){var ud=buildGroup$1(qa,ed.havingStyle(td.sup()),ed);cd={elem:ud,kern:Math.max(ed.fontMetrics().bigOpSpacing1,ed.fontMetrics().bigOpSpacing3-ud.depth)}}if(Ja){var _d=buildGroup$1(Ja,ed.havingStyle(td.sub()),ed);ld={elem:_d,kern:Math.max(ed.fontMetrics().bigOpSpacing2,ed.fontMetrics().bigOpSpacing4-_d.height)}}var yd;if(cd&&ld){var gd=ed.fontMetrics().bigOpSpacing5+ld.elem.height+ld.elem.depth+ld.kern+Ra.depth+sd;yd=buildCommon.makeVList({positionType:"bottom",positionData:gd,children:[{type:"kern",size:ed.fontMetrics().bigOpSpacing5},{type:"elem",elem:ld.elem,marginLeft:makeEm(-rd)},{type:"kern",size:ld.kern},{type:"elem",elem:Ra},{type:"kern",size:cd.kern},{type:"elem",elem:cd.elem,marginLeft:makeEm(rd)},{type:"kern",size:ed.fontMetrics().bigOpSpacing5}]},ed)}else if(ld){var Ed=Ra.height-sd;yd=buildCommon.makeVList({positionType:"top",positionData:Ed,children:[{type:"kern",size:ed.fontMetrics().bigOpSpacing5},{type:"elem",elem:ld.elem,marginLeft:makeEm(-rd)},{type:"kern",size:ld.kern},{type:"elem",elem:Ra}]},ed)}else if(cd){var Td=Ra.depth+sd;yd=buildCommon.makeVList({positionType:"bottom",positionData:Td,children:[{type:"elem",elem:Ra},{type:"kern",size:cd.kern},{type:"elem",elem:cd.elem,marginLeft:makeEm(rd)},{type:"kern",size:ed.fontMetrics().bigOpSpacing5}]},ed)}else return Ra;var kd=[yd];if(ld&&rd!==0&&!od){var Rd=buildCommon.makeSpan(["mspace"],[],ed);Rd.style.marginRight=makeEm(rd),kd.unshift(Rd)}return buildCommon.makeSpan(["mop","op-limits"],kd,ed)},noSuccessor=["\\smallint"],htmlBuilder$2=(Ra,qa)=>{var Ja,ed,td=!1,rd;Ra.type==="supsub"?(Ja=Ra.sup,ed=Ra.sub,rd=assertNodeType(Ra.base,"op"),td=!0):rd=assertNodeType(Ra,"op");var sd=qa.style,od=!1;sd.size===Style$1.DISPLAY.size&&rd.symbol&&!utils$6.contains(noSuccessor,rd.name)&&(od=!0);var ld;if(rd.symbol){var cd=od?"Size2-Regular":"Size1-Regular",ud="";if((rd.name==="\\oiint"||rd.name==="\\oiiint")&&(ud=rd.name.slice(1),rd.name=ud==="oiint"?"\\iint":"\\iiint"),ld=buildCommon.makeSymbol(rd.name,cd,"math",qa,["mop","op-symbol",od?"large-op":"small-op"]),ud.length>0){var _d=ld.italic,yd=buildCommon.staticSvg(ud+"Size"+(od?"2":"1"),qa);ld=buildCommon.makeVList({positionType:"individualShift",children:[{type:"elem",elem:ld,shift:0},{type:"elem",elem:yd,shift:od?.08:0}]},qa),rd.name="\\"+ud,ld.classes.unshift("mop"),ld.italic=_d}}else if(rd.body){var gd=buildExpression$1(rd.body,qa,!0);gd.length===1&&gd[0]instanceof SymbolNode?(ld=gd[0],ld.classes[0]="mop"):ld=buildCommon.makeSpan(["mop"],gd,qa)}else{for(var Ed=[],Td=1;Td<rd.name.length;Td++)Ed.push(buildCommon.mathsym(rd.name[Td],rd.mode,qa));ld=buildCommon.makeSpan(["mop"],Ed,qa)}var kd=0,Rd=0;return(ld instanceof SymbolNode||rd.name==="\\oiint"||rd.name==="\\oiiint")&&!rd.suppressBaseShift&&(kd=(ld.height-ld.depth)/2-qa.fontMetrics().axisHeight,Rd=ld.italic),td?assembleSupSub(ld,Ja,ed,qa,sd,Rd,kd):(kd&&(ld.style.position="relative",ld.style.top=makeEm(kd)),ld)},mathmlBuilder$1=(Ra,qa)=>{var Ja;if(Ra.symbol)Ja=new MathNode("mo",[makeText(Ra.name,Ra.mode)]),utils$6.contains(noSuccessor,Ra.name)&&Ja.setAttribute("largeop","false");else if(Ra.body)Ja=new MathNode("mo",buildExpression2(Ra.body,qa));else{Ja=new MathNode("mi",[new TextNode(Ra.name.slice(1))]);var ed=new MathNode("mo",[makeText("","text")]);Ra.parentIsSupSub?Ja=new MathNode("mrow",[Ja,ed]):Ja=newDocumentFragment([Ja,ed])}return Ja},singleCharBigOps={"∏":"\\prod","∐":"\\coprod","∑":"\\sum","⋀":"\\bigwedge","⋁":"\\bigvee","⋂":"\\bigcap","⋃":"\\bigcup","⨀":"\\bigodot","⨁":"\\bigoplus","⨂":"\\bigotimes","⨄":"\\biguplus","⨆":"\\bigsqcup"};defineFunction({type:"op",names:["\\coprod","\\bigvee","\\bigwedge","\\biguplus","\\bigcap","\\bigcup","\\intop","\\prod","\\sum","\\bigotimes","\\bigoplus","\\bigodot","\\bigsqcup","\\smallint","∏","∐","∑","⋀","⋁","⋂","⋃","⨀","⨁","⨂","⨄","⨆"],props:{numArgs:0},handler:(Ra,qa)=>{var{parser:Ja,funcName:ed}=Ra,td=ed;return td.length===1&&(td=singleCharBigOps[td]),{type:"op",mode:Ja.mode,limits:!0,parentIsSupSub:!1,symbol:!0,name:td}},htmlBuilder:htmlBuilder$2,mathmlBuilder:mathmlBuilder$1});defineFunction({type:"op",names:["\\mathop"],props:{numArgs:1,primitive:!0},handler:(Ra,qa)=>{var{parser:Ja}=Ra,ed=qa[0];return{type:"op",mode:Ja.mode,limits:!1,parentIsSupSub:!1,symbol:!1,body:ordargument(ed)}},htmlBuilder:htmlBuilder$2,mathmlBuilder:mathmlBuilder$1});var singleCharIntegrals={"∫":"\\int","∬":"\\iint","∭":"\\iiint","∮":"\\oint","∯":"\\oiint","∰":"\\oiiint"};defineFunction({type:"op",names:["\\arcsin","\\arccos","\\arctan","\\arctg","\\arcctg","\\arg","\\ch","\\cos","\\cosec","\\cosh","\\cot","\\cotg","\\coth","\\csc","\\ctg","\\cth","\\deg","\\dim","\\exp","\\hom","\\ker","\\lg","\\ln","\\log","\\sec","\\sin","\\sinh","\\sh","\\tan","\\tanh","\\tg","\\th"],props:{numArgs:0},handler(Ra){var{parser:qa,funcName:Ja}=Ra;return{type:"op",mode:qa.mode,limits:!1,parentIsSupSub:!1,symbol:!1,name:Ja}},htmlBuilder:htmlBuilder$2,mathmlBuilder:mathmlBuilder$1});defineFunction({type:"op",names:["\\det","\\gcd","\\inf","\\lim","\\max","\\min","\\Pr","\\sup"],props:{numArgs:0},handler(Ra){var{parser:qa,funcName:Ja}=Ra;return{type:"op",mode:qa.mode,limits:!0,parentIsSupSub:!1,symbol:!1,name:Ja}},htmlBuilder:htmlBuilder$2,mathmlBuilder:mathmlBuilder$1});defineFunction({type:"op",names:["\\int","\\iint","\\iiint","\\oint","\\oiint","\\oiiint","∫","∬","∭","∮","∯","∰"],props:{numArgs:0},handler(Ra){var{parser:qa,funcName:Ja}=Ra,ed=Ja;return ed.length===1&&(ed=singleCharIntegrals[ed]),{type:"op",mode:qa.mode,limits:!1,parentIsSupSub:!1,symbol:!0,name:ed}},htmlBuilder:htmlBuilder$2,mathmlBuilder:mathmlBuilder$1});var htmlBuilder$1=(Ra,qa)=>{var Ja,ed,td=!1,rd;Ra.type==="supsub"?(Ja=Ra.sup,ed=Ra.sub,rd=assertNodeType(Ra.base,"operatorname"),td=!0):rd=assertNodeType(Ra,"operatorname");var sd;if(rd.body.length>0){for(var od=rd.body.map(_d=>{var yd=_d.text;return typeof yd=="string"?{type:"textord",mode:_d.mode,text:yd}:_d}),ld=buildExpression$1(od,qa.withFont("mathrm"),!0),cd=0;cd<ld.length;cd++){var ud=ld[cd];ud instanceof SymbolNode&&(ud.text=ud.text.replace(/\u2212/,"-").replace(/\u2217/,"*"))}sd=buildCommon.makeSpan(["mop"],ld,qa)}else sd=buildCommon.makeSpan(["mop"],[],qa);return td?assembleSupSub(sd,Ja,ed,qa,qa.style,0,0):sd},mathmlBuilder2=(Ra,qa)=>{for(var Ja=buildExpression2(Ra.body,qa.withFont("mathrm")),ed=!0,td=0;td<Ja.length;td++){var rd=Ja[td];if(!(rd instanceof mathMLTree.SpaceNode))if(rd instanceof mathMLTree.MathNode)switch(rd.type){case"mi":case"mn":case"ms":case"mspace":case"mtext":break;case"mo":{var sd=rd.children[0];rd.children.length===1&&sd instanceof mathMLTree.TextNode?sd.text=sd.text.replace(/\u2212/,"-").replace(/\u2217/,"*"):ed=!1;break}default:ed=!1}else ed=!1}if(ed){var od=Ja.map(ud=>ud.toText()).join("");Ja=[new mathMLTree.TextNode(od)]}var ld=new mathMLTree.MathNode("mi",Ja);ld.setAttribute("mathvariant","normal");var cd=new mathMLTree.MathNode("mo",[makeText("","text")]);return Ra.parentIsSupSub?new mathMLTree.MathNode("mrow",[ld,cd]):mathMLTree.newDocumentFragment([ld,cd])};defineFunction({type:"operatorname",names:["\\operatorname@","\\operatornamewithlimits"],props:{numArgs:1},handler:(Ra,qa)=>{var{parser:Ja,funcName:ed}=Ra,td=qa[0];return{type:"operatorname",mode:Ja.mode,body:ordargument(td),alwaysHandleSupSub:ed==="\\operatornamewithlimits",limits:!1,parentIsSupSub:!1}},htmlBuilder:htmlBuilder$1,mathmlBuilder:mathmlBuilder2});defineMacro("\\operatorname","\\@ifstar\\operatornamewithlimits\\operatorname@");defineFunctionBuilders({type:"ordgroup",htmlBuilder(Ra,qa){return Ra.semisimple?buildCommon.makeFragment(buildExpression$1(Ra.body,qa,!1)):buildCommon.makeSpan(["mord"],buildExpression$1(Ra.body,qa,!0),qa)},mathmlBuilder(Ra,qa){return buildExpressionRow(Ra.body,qa,!0)}});defineFunction({type:"overline",names:["\\overline"],props:{numArgs:1},handler(Ra,qa){var{parser:Ja}=Ra,ed=qa[0];return{type:"overline",mode:Ja.mode,body:ed}},htmlBuilder(Ra,qa){var Ja=buildGroup$1(Ra.body,qa.havingCrampedStyle()),ed=buildCommon.makeLineSpan("overline-line",qa),td=qa.fontMetrics().defaultRuleThickness,rd=buildCommon.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:Ja},{type:"kern",size:3*td},{type:"elem",elem:ed},{type:"kern",size:td}]},qa);return buildCommon.makeSpan(["mord","overline"],[rd],qa)},mathmlBuilder(Ra,qa){var Ja=new mathMLTree.MathNode("mo",[new mathMLTree.TextNode("‾")]);Ja.setAttribute("stretchy","true");var ed=new mathMLTree.MathNode("mover",[buildGroup2(Ra.body,qa),Ja]);return ed.setAttribute("accent","true"),ed}});defineFunction({type:"phantom",names:["\\phantom"],props:{numArgs:1,allowedInText:!0},handler:(Ra,qa)=>{var{parser:Ja}=Ra,ed=qa[0];return{type:"phantom",mode:Ja.mode,body:ordargument(ed)}},htmlBuilder:(Ra,qa)=>{var Ja=buildExpression$1(Ra.body,qa.withPhantom(),!1);return buildCommon.makeFragment(Ja)},mathmlBuilder:(Ra,qa)=>{var Ja=buildExpression2(Ra.body,qa);return new mathMLTree.MathNode("mphantom",Ja)}});defineFunction({type:"hphantom",names:["\\hphantom"],props:{numArgs:1,allowedInText:!0},handler:(Ra,qa)=>{var{parser:Ja}=Ra,ed=qa[0];return{type:"hphantom",mode:Ja.mode,body:ed}},htmlBuilder:(Ra,qa)=>{var Ja=buildCommon.makeSpan([],[buildGroup$1(Ra.body,qa.withPhantom())]);if(Ja.height=0,Ja.depth=0,Ja.children)for(var ed=0;ed<Ja.children.length;ed++)Ja.children[ed].height=0,Ja.children[ed].depth=0;return Ja=buildCommon.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:Ja}]},qa),buildCommon.makeSpan(["mord"],[Ja],qa)},mathmlBuilder:(Ra,qa)=>{var Ja=buildExpression2(ordargument(Ra.body),qa),ed=new mathMLTree.MathNode("mphantom",Ja),td=new mathMLTree.MathNode("mpadded",[ed]);return td.setAttribute("height","0px"),td.setAttribute("depth","0px"),td}});defineFunction({type:"vphantom",names:["\\vphantom"],props:{numArgs:1,allowedInText:!0},handler:(Ra,qa)=>{var{parser:Ja}=Ra,ed=qa[0];return{type:"vphantom",mode:Ja.mode,body:ed}},htmlBuilder:(Ra,qa)=>{var Ja=buildCommon.makeSpan(["inner"],[buildGroup$1(Ra.body,qa.withPhantom())]),ed=buildCommon.makeSpan(["fix"],[]);return buildCommon.makeSpan(["mord","rlap"],[Ja,ed],qa)},mathmlBuilder:(Ra,qa)=>{var Ja=buildExpression2(ordargument(Ra.body),qa),ed=new mathMLTree.MathNode("mphantom",Ja),td=new mathMLTree.MathNode("mpadded",[ed]);return td.setAttribute("width","0px"),td}});defineFunction({type:"raisebox",names:["\\raisebox"],props:{numArgs:2,argTypes:["size","hbox"],allowedInText:!0},handler(Ra,qa){var{parser:Ja}=Ra,ed=assertNodeType(qa[0],"size").value,td=qa[1];return{type:"raisebox",mode:Ja.mode,dy:ed,body:td}},htmlBuilder(Ra,qa){var Ja=buildGroup$1(Ra.body,qa),ed=calculateSize$1(Ra.dy,qa);return buildCommon.makeVList({positionType:"shift",positionData:-ed,children:[{type:"elem",elem:Ja}]},qa)},mathmlBuilder(Ra,qa){var Ja=new mathMLTree.MathNode("mpadded",[buildGroup2(Ra.body,qa)]),ed=Ra.dy.number+Ra.dy.unit;return Ja.setAttribute("voffset",ed),Ja}});defineFunction({type:"internal",names:["\\relax"],props:{numArgs:0,allowedInText:!0},handler(Ra){var{parser:qa}=Ra;return{type:"internal",mode:qa.mode}}});defineFunction({type:"rule",names:["\\rule"],props:{numArgs:2,numOptionalArgs:1,argTypes:["size","size","size"]},handler(Ra,qa,Ja){var{parser:ed}=Ra,td=Ja[0],rd=assertNodeType(qa[0],"size"),sd=assertNodeType(qa[1],"size");return{type:"rule",mode:ed.mode,shift:td&&assertNodeType(td,"size").value,width:rd.value,height:sd.value}},htmlBuilder(Ra,qa){var Ja=buildCommon.makeSpan(["mord","rule"],[],qa),ed=calculateSize$1(Ra.width,qa),td=calculateSize$1(Ra.height,qa),rd=Ra.shift?calculateSize$1(Ra.shift,qa):0;return Ja.style.borderRightWidth=makeEm(ed),Ja.style.borderTopWidth=makeEm(td),Ja.style.bottom=makeEm(rd),Ja.width=ed,Ja.height=td+rd,Ja.depth=-rd,Ja.maxFontSize=td*1.125*qa.sizeMultiplier,Ja},mathmlBuilder(Ra,qa){var Ja=calculateSize$1(Ra.width,qa),ed=calculateSize$1(Ra.height,qa),td=Ra.shift?calculateSize$1(Ra.shift,qa):0,rd=qa.color&&qa.getColor()||"black",sd=new mathMLTree.MathNode("mspace");sd.setAttribute("mathbackground",rd),sd.setAttribute("width",makeEm(Ja)),sd.setAttribute("height",makeEm(ed));var od=new mathMLTree.MathNode("mpadded",[sd]);return td>=0?od.setAttribute("height",makeEm(td)):(od.setAttribute("height",makeEm(td)),od.setAttribute("depth",makeEm(-td))),od.setAttribute("voffset",makeEm(td)),od}});function sizingGroup(Ra,qa,Ja){for(var ed=buildExpression$1(Ra,qa,!1),td=qa.sizeMultiplier/Ja.sizeMultiplier,rd=0;rd<ed.length;rd++){var sd=ed[rd].classes.indexOf("sizing");sd<0?Array.prototype.push.apply(ed[rd].classes,qa.sizingClasses(Ja)):ed[rd].classes[sd+1]==="reset-size"+qa.size&&(ed[rd].classes[sd+1]="reset-size"+Ja.size),ed[rd].height*=td,ed[rd].depth*=td}return buildCommon.makeFragment(ed)}var sizeFuncs=["\\tiny","\\sixptsize","\\scriptsize","\\footnotesize","\\small","\\normalsize","\\large","\\Large","\\LARGE","\\huge","\\Huge"],htmlBuilder2=(Ra,qa)=>{var Ja=qa.havingSize(Ra.size);return sizingGroup(Ra.body,Ja,qa)};defineFunction({type:"sizing",names:sizeFuncs,props:{numArgs:0,allowedInText:!0},handler:(Ra,qa)=>{var{breakOnTokenText:Ja,funcName:ed,parser:td}=Ra,rd=td.parseExpression(!1,Ja);return{type:"sizing",mode:td.mode,size:sizeFuncs.indexOf(ed)+1,body:rd}},htmlBuilder:htmlBuilder2,mathmlBuilder:(Ra,qa)=>{var Ja=qa.havingSize(Ra.size),ed=buildExpression2(Ra.body,Ja),td=new mathMLTree.MathNode("mstyle",ed);return td.setAttribute("mathsize",makeEm(Ja.sizeMultiplier)),td}});defineFunction({type:"smash",names:["\\smash"],props:{numArgs:1,numOptionalArgs:1,allowedInText:!0},handler:(Ra,qa,Ja)=>{var{parser:ed}=Ra,td=!1,rd=!1,sd=Ja[0]&&assertNodeType(Ja[0],"ordgroup");if(sd)for(var od="",ld=0;ld<sd.body.length;++ld){var cd=sd.body[ld];if(od=cd.text,od==="t")td=!0;else if(od==="b")rd=!0;else{td=!1,rd=!1;break}}else td=!0,rd=!0;var ud=qa[0];return{type:"smash",mode:ed.mode,body:ud,smashHeight:td,smashDepth:rd}},htmlBuilder:(Ra,qa)=>{var Ja=buildCommon.makeSpan([],[buildGroup$1(Ra.body,qa)]);if(!Ra.smashHeight&&!Ra.smashDepth)return Ja;if(Ra.smashHeight&&(Ja.height=0,Ja.children))for(var ed=0;ed<Ja.children.length;ed++)Ja.children[ed].height=0;if(Ra.smashDepth&&(Ja.depth=0,Ja.children))for(var td=0;td<Ja.children.length;td++)Ja.children[td].depth=0;var rd=buildCommon.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:Ja}]},qa);return buildCommon.makeSpan(["mord"],[rd],qa)},mathmlBuilder:(Ra,qa)=>{var Ja=new mathMLTree.MathNode("mpadded",[buildGroup2(Ra.body,qa)]);return Ra.smashHeight&&Ja.setAttribute("height","0px"),Ra.smashDepth&&Ja.setAttribute("depth","0px"),Ja}});defineFunction({type:"sqrt",names:["\\sqrt"],props:{numArgs:1,numOptionalArgs:1},handler(Ra,qa,Ja){var{parser:ed}=Ra,td=Ja[0],rd=qa[0];return{type:"sqrt",mode:ed.mode,body:rd,index:td}},htmlBuilder(Ra,qa){var Ja=buildGroup$1(Ra.body,qa.havingCrampedStyle());Ja.height===0&&(Ja.height=qa.fontMetrics().xHeight),Ja=buildCommon.wrapFragment(Ja,qa);var ed=qa.fontMetrics(),td=ed.defaultRuleThickness,rd=td;qa.style.id<Style$1.TEXT.id&&(rd=qa.fontMetrics().xHeight);var sd=td+rd/4,od=Ja.height+Ja.depth+sd+td,{span:ld,ruleWidth:cd,advanceWidth:ud}=delimiter$1.sqrtImage(od,qa),_d=ld.height-cd;_d>Ja.height+Ja.depth+sd&&(sd=(sd+_d-Ja.height-Ja.depth)/2);var yd=ld.height-Ja.height-sd-cd;Ja.style.paddingLeft=makeEm(ud);var gd=buildCommon.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:Ja,wrapperClasses:["svg-align"]},{type:"kern",size:-(Ja.height+yd)},{type:"elem",elem:ld},{type:"kern",size:cd}]},qa);if(Ra.index){var Ed=qa.havingStyle(Style$1.SCRIPTSCRIPT),Td=buildGroup$1(Ra.index,Ed,qa),kd=.6*(gd.height-gd.depth),Rd=buildCommon.makeVList({positionType:"shift",positionData:-kd,children:[{type:"elem",elem:Td}]},qa),Nd=buildCommon.makeSpan(["root"],[Rd]);return buildCommon.makeSpan(["mord","sqrt"],[Nd,gd],qa)}else return buildCommon.makeSpan(["mord","sqrt"],[gd],qa)},mathmlBuilder(Ra,qa){var{body:Ja,index:ed}=Ra;return ed?new mathMLTree.MathNode("mroot",[buildGroup2(Ja,qa),buildGroup2(ed,qa)]):new mathMLTree.MathNode("msqrt",[buildGroup2(Ja,qa)])}});var styleMap={display:Style$1.DISPLAY,text:Style$1.TEXT,script:Style$1.SCRIPT,scriptscript:Style$1.SCRIPTSCRIPT};defineFunction({type:"styling",names:["\\displaystyle","\\textstyle","\\scriptstyle","\\scriptscriptstyle"],props:{numArgs:0,allowedInText:!0,primitive:!0},handler(Ra,qa){var{breakOnTokenText:Ja,funcName:ed,parser:td}=Ra,rd=td.parseExpression(!0,Ja),sd=ed.slice(1,ed.length-5);return{type:"styling",mode:td.mode,style:sd,body:rd}},htmlBuilder(Ra,qa){var Ja=styleMap[Ra.style],ed=qa.havingStyle(Ja).withFont("");return sizingGroup(Ra.body,ed,qa)},mathmlBuilder(Ra,qa){var Ja=styleMap[Ra.style],ed=qa.havingStyle(Ja),td=buildExpression2(Ra.body,ed),rd=new mathMLTree.MathNode("mstyle",td),sd={display:["0","true"],text:["0","false"],script:["1","false"],scriptscript:["2","false"]},od=sd[Ra.style];return rd.setAttribute("scriptlevel",od[0]),rd.setAttribute("displaystyle",od[1]),rd}});var htmlBuilderDelegate=function(qa,Ja){var ed=qa.base;if(ed)if(ed.type==="op"){var td=ed.limits&&(Ja.style.size===Style$1.DISPLAY.size||ed.alwaysHandleSupSub);return td?htmlBuilder$2:null}else if(ed.type==="operatorname"){var rd=ed.alwaysHandleSupSub&&(Ja.style.size===Style$1.DISPLAY.size||ed.limits);return rd?htmlBuilder$1:null}else{if(ed.type==="accent")return utils$6.isCharacterBox(ed.base)?htmlBuilder$a:null;if(ed.type==="horizBrace"){var sd=!qa.sub;return sd===ed.isOver?htmlBuilder$3:null}else return null}else return null};defineFunctionBuilders({type:"supsub",htmlBuilder(Ra,qa){var Ja=htmlBuilderDelegate(Ra,qa);if(Ja)return Ja(Ra,qa);var{base:ed,sup:td,sub:rd}=Ra,sd=buildGroup$1(ed,qa),od,ld,cd=qa.fontMetrics(),ud=0,_d=0,yd=ed&&utils$6.isCharacterBox(ed);if(td){var gd=qa.havingStyle(qa.style.sup());od=buildGroup$1(td,gd,qa),yd||(ud=sd.height-gd.fontMetrics().supDrop*gd.sizeMultiplier/qa.sizeMultiplier)}if(rd){var Ed=qa.havingStyle(qa.style.sub());ld=buildGroup$1(rd,Ed,qa),yd||(_d=sd.depth+Ed.fontMetrics().subDrop*Ed.sizeMultiplier/qa.sizeMultiplier)}var Td;qa.style===Style$1.DISPLAY?Td=cd.sup1:qa.style.cramped?Td=cd.sup3:Td=cd.sup2;var kd=qa.sizeMultiplier,Rd=makeEm(.5/cd.ptPerEm/kd),Nd=null;if(ld){var Id=Ra.base&&Ra.base.type==="op"&&Ra.base.name&&(Ra.base.name==="\\oiint"||Ra.base.name==="\\oiiint");(sd instanceof SymbolNode||Id)&&(Nd=makeEm(-sd.italic))}var Md;if(od&&ld){ud=Math.max(ud,Td,od.depth+.25*cd.xHeight),_d=Math.max(_d,cd.sub2);var Ld=cd.defaultRuleThickness,Pd=4*Ld;if(ud-od.depth-(ld.height-_d)<Pd){_d=Pd-(ud-od.depth)+ld.height;var qd=.8*cd.xHeight-(ud-od.depth);qd>0&&(ud+=qd,_d-=qd)}var Yd=[{type:"elem",elem:ld,shift:_d,marginRight:Rd,marginLeft:Nd},{type:"elem",elem:od,shift:-ud,marginRight:Rd}];Md=buildCommon.makeVList({positionType:"individualShift",children:Yd},qa)}else if(ld){_d=Math.max(_d,cd.sub1,ld.height-.8*cd.xHeight);var Ud=[{type:"elem",elem:ld,marginLeft:Nd,marginRight:Rd}];Md=buildCommon.makeVList({positionType:"shift",positionData:_d,children:Ud},qa)}else if(od)ud=Math.max(ud,Td,od.depth+.25*cd.xHeight),Md=buildCommon.makeVList({positionType:"shift",positionData:-ud,children:[{type:"elem",elem:od,marginRight:Rd}]},qa);else throw new Error("supsub must have either sup or sub.");var Hd=getTypeOfDomTree(sd,"right")||"mord";return buildCommon.makeSpan([Hd],[sd,buildCommon.makeSpan(["msupsub"],[Md])],qa)},mathmlBuilder(Ra,qa){var Ja=!1,ed,td;Ra.base&&Ra.base.type==="horizBrace"&&(td=!!Ra.sup,td===Ra.base.isOver&&(Ja=!0,ed=Ra.base.isOver)),Ra.base&&(Ra.base.type==="op"||Ra.base.type==="operatorname")&&(Ra.base.parentIsSupSub=!0);var rd=[buildGroup2(Ra.base,qa)];Ra.sub&&rd.push(buildGroup2(Ra.sub,qa)),Ra.sup&&rd.push(buildGroup2(Ra.sup,qa));var sd;if(Ja)sd=ed?"mover":"munder";else if(Ra.sub)if(Ra.sup){var cd=Ra.base;cd&&cd.type==="op"&&cd.limits&&qa.style===Style$1.DISPLAY||cd&&cd.type==="operatorname"&&cd.alwaysHandleSupSub&&(qa.style===Style$1.DISPLAY||cd.limits)?sd="munderover":sd="msubsup"}else{var ld=Ra.base;ld&&ld.type==="op"&&ld.limits&&(qa.style===Style$1.DISPLAY||ld.alwaysHandleSupSub)||ld&&ld.type==="operatorname"&&ld.alwaysHandleSupSub&&(ld.limits||qa.style===Style$1.DISPLAY)?sd="munder":sd="msub"}else{var od=Ra.base;od&&od.type==="op"&&od.limits&&(qa.style===Style$1.DISPLAY||od.alwaysHandleSupSub)||od&&od.type==="operatorname"&&od.alwaysHandleSupSub&&(od.limits||qa.style===Style$1.DISPLAY)?sd="mover":sd="msup"}return new mathMLTree.MathNode(sd,rd)}});defineFunctionBuilders({type:"atom",htmlBuilder(Ra,qa){return buildCommon.mathsym(Ra.text,Ra.mode,qa,["m"+Ra.family])},mathmlBuilder(Ra,qa){var Ja=new mathMLTree.MathNode("mo",[makeText(Ra.text,Ra.mode)]);if(Ra.family==="bin"){var ed=getVariant(Ra,qa);ed==="bold-italic"&&Ja.setAttribute("mathvariant",ed)}else Ra.family==="punct"?Ja.setAttribute("separator","true"):(Ra.family==="open"||Ra.family==="close")&&Ja.setAttribute("stretchy","false");return Ja}});var defaultVariant={mi:"italic",mn:"normal",mtext:"normal"};defineFunctionBuilders({type:"mathord",htmlBuilder(Ra,qa){return buildCommon.makeOrd(Ra,qa,"mathord")},mathmlBuilder(Ra,qa){var Ja=new mathMLTree.MathNode("mi",[makeText(Ra.text,Ra.mode,qa)]),ed=getVariant(Ra,qa)||"italic";return ed!==defaultVariant[Ja.type]&&Ja.setAttribute("mathvariant",ed),Ja}});defineFunctionBuilders({type:"textord",htmlBuilder(Ra,qa){return buildCommon.makeOrd(Ra,qa,"textord")},mathmlBuilder(Ra,qa){var Ja=makeText(Ra.text,Ra.mode,qa),ed=getVariant(Ra,qa)||"normal",td;return Ra.mode==="text"?td=new mathMLTree.MathNode("mtext",[Ja]):/[0-9]/.test(Ra.text)?td=new mathMLTree.MathNode("mn",[Ja]):Ra.text==="\\prime"?td=new mathMLTree.MathNode("mo",[Ja]):td=new mathMLTree.MathNode("mi",[Ja]),ed!==defaultVariant[td.type]&&td.setAttribute("mathvariant",ed),td}});var cssSpace={"\\nobreak":"nobreak","\\allowbreak":"allowbreak"},regularSpace={" ":{},"\\ ":{},"~":{className:"nobreak"},"\\space":{},"\\nobreakspace":{className:"nobreak"}};defineFunctionBuilders({type:"spacing",htmlBuilder(Ra,qa){if(regularSpace.hasOwnProperty(Ra.text)){var Ja=regularSpace[Ra.text].className||"";if(Ra.mode==="text"){var ed=buildCommon.makeOrd(Ra,qa,"textord");return ed.classes.push(Ja),ed}else return buildCommon.makeSpan(["mspace",Ja],[buildCommon.mathsym(Ra.text,Ra.mode,qa)],qa)}else{if(cssSpace.hasOwnProperty(Ra.text))return buildCommon.makeSpan(["mspace",cssSpace[Ra.text]],[],qa);throw new ParseError('Unknown type of space "'+Ra.text+'"')}},mathmlBuilder(Ra,qa){var Ja;if(regularSpace.hasOwnProperty(Ra.text))Ja=new mathMLTree.MathNode("mtext",[new mathMLTree.TextNode(" ")]);else{if(cssSpace.hasOwnProperty(Ra.text))return new mathMLTree.MathNode("mspace");throw new ParseError('Unknown type of space "'+Ra.text+'"')}return Ja}});var pad=()=>{var Ra=new mathMLTree.MathNode("mtd",[]);return Ra.setAttribute("width","50%"),Ra};defineFunctionBuilders({type:"tag",mathmlBuilder(Ra,qa){var Ja=new mathMLTree.MathNode("mtable",[new mathMLTree.MathNode("mtr",[pad(),new mathMLTree.MathNode("mtd",[buildExpressionRow(Ra.body,qa)]),pad(),new mathMLTree.MathNode("mtd",[buildExpressionRow(Ra.tag,qa)])])]);return Ja.setAttribute("width","100%"),Ja}});var textFontFamilies={"\\text":void 0,"\\textrm":"textrm","\\textsf":"textsf","\\texttt":"texttt","\\textnormal":"textrm"},textFontWeights={"\\textbf":"textbf","\\textmd":"textmd"},textFontShapes={"\\textit":"textit","\\textup":"textup"},optionsWithFont=(Ra,qa)=>{var Ja=Ra.font;if(Ja){if(textFontFamilies[Ja])return qa.withTextFontFamily(textFontFamilies[Ja]);if(textFontWeights[Ja])return qa.withTextFontWeight(textFontWeights[Ja]);if(Ja==="\\emph")return qa.fontShape==="textit"?qa.withTextFontShape("textup"):qa.withTextFontShape("textit")}else return qa;return qa.withTextFontShape(textFontShapes[Ja])};defineFunction({type:"text",names:["\\text","\\textrm","\\textsf","\\texttt","\\textnormal","\\textbf","\\textmd","\\textit","\\textup","\\emph"],props:{numArgs:1,argTypes:["text"],allowedInArgument:!0,allowedInText:!0},handler(Ra,qa){var{parser:Ja,funcName:ed}=Ra,td=qa[0];return{type:"text",mode:Ja.mode,body:ordargument(td),font:ed}},htmlBuilder(Ra,qa){var Ja=optionsWithFont(Ra,qa),ed=buildExpression$1(Ra.body,Ja,!0);return buildCommon.makeSpan(["mord","text"],ed,Ja)},mathmlBuilder(Ra,qa){var Ja=optionsWithFont(Ra,qa);return buildExpressionRow(Ra.body,Ja)}});defineFunction({type:"underline",names:["\\underline"],props:{numArgs:1,allowedInText:!0},handler(Ra,qa){var{parser:Ja}=Ra;return{type:"underline",mode:Ja.mode,body:qa[0]}},htmlBuilder(Ra,qa){var Ja=buildGroup$1(Ra.body,qa),ed=buildCommon.makeLineSpan("underline-line",qa),td=qa.fontMetrics().defaultRuleThickness,rd=buildCommon.makeVList({positionType:"top",positionData:Ja.height,children:[{type:"kern",size:td},{type:"elem",elem:ed},{type:"kern",size:3*td},{type:"elem",elem:Ja}]},qa);return buildCommon.makeSpan(["mord","underline"],[rd],qa)},mathmlBuilder(Ra,qa){var Ja=new mathMLTree.MathNode("mo",[new mathMLTree.TextNode("‾")]);Ja.setAttribute("stretchy","true");var ed=new mathMLTree.MathNode("munder",[buildGroup2(Ra.body,qa),Ja]);return ed.setAttribute("accentunder","true"),ed}});defineFunction({type:"vcenter",names:["\\vcenter"],props:{numArgs:1,argTypes:["original"],allowedInText:!1},handler(Ra,qa){var{parser:Ja}=Ra;return{type:"vcenter",mode:Ja.mode,body:qa[0]}},htmlBuilder(Ra,qa){var Ja=buildGroup$1(Ra.body,qa),ed=qa.fontMetrics().axisHeight,td=.5*(Ja.height-ed-(Ja.depth+ed));return buildCommon.makeVList({positionType:"shift",positionData:td,children:[{type:"elem",elem:Ja}]},qa)},mathmlBuilder(Ra,qa){return new mathMLTree.MathNode("mpadded",[buildGroup2(Ra.body,qa)],["vcenter"])}});defineFunction({type:"verb",names:["\\verb"],props:{numArgs:0,allowedInText:!0},handler(Ra,qa,Ja){throw new ParseError("\\verb ended by end of line instead of matching delimiter")},htmlBuilder(Ra,qa){for(var Ja=makeVerb(Ra),ed=[],td=qa.havingStyle(qa.style.text()),rd=0;rd<Ja.length;rd++){var sd=Ja[rd];sd==="~"&&(sd="\\textasciitilde"),ed.push(buildCommon.makeSymbol(sd,"Typewriter-Regular",Ra.mode,td,["mord","texttt"]))}return buildCommon.makeSpan(["mord","text"].concat(td.sizingClasses(qa)),buildCommon.tryCombineChars(ed),td)},mathmlBuilder(Ra,qa){var Ja=new mathMLTree.TextNode(makeVerb(Ra)),ed=new mathMLTree.MathNode("mtext",[Ja]);return ed.setAttribute("mathvariant","monospace"),ed}});var makeVerb=Ra=>Ra.body.replace(/ /g,Ra.star?"␣":" "),functions=_functions,spaceRegexString=`[ \r
|
||
]`,controlWordRegexString="\\\\[a-zA-Z@]+",controlSymbolRegexString="\\\\[^\uD800-\uDFFF]",controlWordWhitespaceRegexString="("+controlWordRegexString+")"+spaceRegexString+"*",controlSpaceRegexString=`\\\\(
|
||
|[ \r ]+
|
||
?)[ \r ]*`,combiningDiacriticalMarkString="[̀-ͯ]",combiningDiacriticalMarksEndRegex=new RegExp(combiningDiacriticalMarkString+"+$"),tokenRegexString="("+spaceRegexString+"+)|"+(controlSpaceRegexString+"|")+"([!-\\[\\]-‧-豈-]"+(combiningDiacriticalMarkString+"*")+"|[\uD800-\uDBFF][\uDC00-\uDFFF]"+(combiningDiacriticalMarkString+"*")+"|\\\\verb\\*([^]).*?\\4|\\\\verb([^*a-zA-Z]).*?\\5"+("|"+controlWordWhitespaceRegexString)+("|"+controlSymbolRegexString+")");class Lexer{constructor(qa,Ja){this.input=void 0,this.settings=void 0,this.tokenRegex=void 0,this.catcodes=void 0,this.input=qa,this.settings=Ja,this.tokenRegex=new RegExp(tokenRegexString,"g"),this.catcodes={"%":14,"~":13}}setCatcode(qa,Ja){this.catcodes[qa]=Ja}lex(){var qa=this.input,Ja=this.tokenRegex.lastIndex;if(Ja===qa.length)return new Token("EOF",new SourceLocation(this,Ja,Ja));var ed=this.tokenRegex.exec(qa);if(ed===null||ed.index!==Ja)throw new ParseError("Unexpected character: '"+qa[Ja]+"'",new Token(qa[Ja],new SourceLocation(this,Ja,Ja+1)));var td=ed[6]||ed[3]||(ed[2]?"\\ ":" ");if(this.catcodes[td]===14){var rd=qa.indexOf(`
|
||
`,this.tokenRegex.lastIndex);return rd===-1?(this.tokenRegex.lastIndex=qa.length,this.settings.reportNonstrict("commentAtEnd","% comment has no terminating newline; LaTeX would fail because of commenting the end of math mode (e.g. $)")):this.tokenRegex.lastIndex=rd+1,this.lex()}return new Token(td,new SourceLocation(this,Ja,this.tokenRegex.lastIndex))}}class Namespace{constructor(qa,Ja){qa===void 0&&(qa={}),Ja===void 0&&(Ja={}),this.current=void 0,this.builtins=void 0,this.undefStack=void 0,this.current=Ja,this.builtins=qa,this.undefStack=[]}beginGroup(){this.undefStack.push({})}endGroup(){if(this.undefStack.length===0)throw new ParseError("Unbalanced namespace destruction: attempt to pop global namespace; please report this as a bug");var qa=this.undefStack.pop();for(var Ja in qa)qa.hasOwnProperty(Ja)&&(qa[Ja]==null?delete this.current[Ja]:this.current[Ja]=qa[Ja])}endGroups(){for(;this.undefStack.length>0;)this.endGroup()}has(qa){return this.current.hasOwnProperty(qa)||this.builtins.hasOwnProperty(qa)}get(qa){return this.current.hasOwnProperty(qa)?this.current[qa]:this.builtins[qa]}set(qa,Ja,ed){if(ed===void 0&&(ed=!1),ed){for(var td=0;td<this.undefStack.length;td++)delete this.undefStack[td][qa];this.undefStack.length>0&&(this.undefStack[this.undefStack.length-1][qa]=Ja)}else{var rd=this.undefStack[this.undefStack.length-1];rd&&!rd.hasOwnProperty(qa)&&(rd[qa]=this.current[qa])}Ja==null?delete this.current[qa]:this.current[qa]=Ja}}var macros=_macros;defineMacro("\\noexpand",function(Ra){var qa=Ra.popToken();return Ra.isExpandable(qa.text)&&(qa.noexpand=!0,qa.treatAsRelax=!0),{tokens:[qa],numArgs:0}});defineMacro("\\expandafter",function(Ra){var qa=Ra.popToken();return Ra.expandOnce(!0),{tokens:[qa],numArgs:0}});defineMacro("\\@firstoftwo",function(Ra){var qa=Ra.consumeArgs(2);return{tokens:qa[0],numArgs:0}});defineMacro("\\@secondoftwo",function(Ra){var qa=Ra.consumeArgs(2);return{tokens:qa[1],numArgs:0}});defineMacro("\\@ifnextchar",function(Ra){var qa=Ra.consumeArgs(3);Ra.consumeSpaces();var Ja=Ra.future();return qa[0].length===1&&qa[0][0].text===Ja.text?{tokens:qa[1],numArgs:0}:{tokens:qa[2],numArgs:0}});defineMacro("\\@ifstar","\\@ifnextchar *{\\@firstoftwo{#1}}");defineMacro("\\TextOrMath",function(Ra){var qa=Ra.consumeArgs(2);return Ra.mode==="text"?{tokens:qa[0],numArgs:0}:{tokens:qa[1],numArgs:0}});var digitToNumber={0:0,1:1,2:2,3:3,4:4,5:5,6:6,7:7,8:8,9:9,a:10,A:10,b:11,B:11,c:12,C:12,d:13,D:13,e:14,E:14,f:15,F:15};defineMacro("\\char",function(Ra){var qa=Ra.popToken(),Ja,ed="";if(qa.text==="'")Ja=8,qa=Ra.popToken();else if(qa.text==='"')Ja=16,qa=Ra.popToken();else if(qa.text==="`")if(qa=Ra.popToken(),qa.text[0]==="\\")ed=qa.text.charCodeAt(1);else{if(qa.text==="EOF")throw new ParseError("\\char` missing argument");ed=qa.text.charCodeAt(0)}else Ja=10;if(Ja){if(ed=digitToNumber[qa.text],ed==null||ed>=Ja)throw new ParseError("Invalid base-"+Ja+" digit "+qa.text);for(var td;(td=digitToNumber[Ra.future().text])!=null&&td<Ja;)ed*=Ja,ed+=td,Ra.popToken()}return"\\@char{"+ed+"}"});var newcommand=(Ra,qa,Ja)=>{var ed=Ra.consumeArg().tokens;if(ed.length!==1)throw new ParseError("\\newcommand's first argument must be a macro name");var td=ed[0].text,rd=Ra.isDefined(td);if(rd&&!qa)throw new ParseError("\\newcommand{"+td+"} attempting to redefine "+(td+"; use \\renewcommand"));if(!rd&&!Ja)throw new ParseError("\\renewcommand{"+td+"} when command "+td+" does not yet exist; use \\newcommand");var sd=0;if(ed=Ra.consumeArg().tokens,ed.length===1&&ed[0].text==="["){for(var od="",ld=Ra.expandNextToken();ld.text!=="]"&&ld.text!=="EOF";)od+=ld.text,ld=Ra.expandNextToken();if(!od.match(/^\s*[0-9]+\s*$/))throw new ParseError("Invalid number of arguments: "+od);sd=parseInt(od),ed=Ra.consumeArg().tokens}return Ra.macros.set(td,{tokens:ed,numArgs:sd}),""};defineMacro("\\newcommand",Ra=>newcommand(Ra,!1,!0));defineMacro("\\renewcommand",Ra=>newcommand(Ra,!0,!1));defineMacro("\\providecommand",Ra=>newcommand(Ra,!0,!0));defineMacro("\\message",Ra=>{var qa=Ra.consumeArgs(1)[0];return console.log(qa.reverse().map(Ja=>Ja.text).join("")),""});defineMacro("\\errmessage",Ra=>{var qa=Ra.consumeArgs(1)[0];return console.error(qa.reverse().map(Ja=>Ja.text).join("")),""});defineMacro("\\show",Ra=>{var qa=Ra.popToken(),Ja=qa.text;return console.log(qa,Ra.macros.get(Ja),functions[Ja],symbols.math[Ja],symbols.text[Ja]),""});defineMacro("\\bgroup","{");defineMacro("\\egroup","}");defineMacro("~","\\nobreakspace");defineMacro("\\lq","`");defineMacro("\\rq","'");defineMacro("\\aa","\\r a");defineMacro("\\AA","\\r A");defineMacro("\\textcopyright","\\html@mathml{\\textcircled{c}}{\\char`©}");defineMacro("\\copyright","\\TextOrMath{\\textcopyright}{\\text{\\textcopyright}}");defineMacro("\\textregistered","\\html@mathml{\\textcircled{\\scriptsize R}}{\\char`®}");defineMacro("ℬ","\\mathscr{B}");defineMacro("ℰ","\\mathscr{E}");defineMacro("ℱ","\\mathscr{F}");defineMacro("ℋ","\\mathscr{H}");defineMacro("ℐ","\\mathscr{I}");defineMacro("ℒ","\\mathscr{L}");defineMacro("ℳ","\\mathscr{M}");defineMacro("ℛ","\\mathscr{R}");defineMacro("ℭ","\\mathfrak{C}");defineMacro("ℌ","\\mathfrak{H}");defineMacro("ℨ","\\mathfrak{Z}");defineMacro("\\Bbbk","\\Bbb{k}");defineMacro("·","\\cdotp");defineMacro("\\llap","\\mathllap{\\textrm{#1}}");defineMacro("\\rlap","\\mathrlap{\\textrm{#1}}");defineMacro("\\clap","\\mathclap{\\textrm{#1}}");defineMacro("\\mathstrut","\\vphantom{(}");defineMacro("\\underbar","\\underline{\\text{#1}}");defineMacro("\\not",'\\html@mathml{\\mathrel{\\mathrlap\\@not}}{\\char"338}');defineMacro("\\neq","\\html@mathml{\\mathrel{\\not=}}{\\mathrel{\\char`≠}}");defineMacro("\\ne","\\neq");defineMacro("≠","\\neq");defineMacro("\\notin","\\html@mathml{\\mathrel{{\\in}\\mathllap{/\\mskip1mu}}}{\\mathrel{\\char`∉}}");defineMacro("∉","\\notin");defineMacro("≘","\\html@mathml{\\mathrel{=\\kern{-1em}\\raisebox{0.4em}{$\\scriptsize\\frown$}}}{\\mathrel{\\char`≘}}");defineMacro("≙","\\html@mathml{\\stackrel{\\tiny\\wedge}{=}}{\\mathrel{\\char`≘}}");defineMacro("≚","\\html@mathml{\\stackrel{\\tiny\\vee}{=}}{\\mathrel{\\char`≚}}");defineMacro("≛","\\html@mathml{\\stackrel{\\scriptsize\\star}{=}}{\\mathrel{\\char`≛}}");defineMacro("≝","\\html@mathml{\\stackrel{\\tiny\\mathrm{def}}{=}}{\\mathrel{\\char`≝}}");defineMacro("≞","\\html@mathml{\\stackrel{\\tiny\\mathrm{m}}{=}}{\\mathrel{\\char`≞}}");defineMacro("≟","\\html@mathml{\\stackrel{\\tiny?}{=}}{\\mathrel{\\char`≟}}");defineMacro("⟂","\\perp");defineMacro("‼","\\mathclose{!\\mkern-0.8mu!}");defineMacro("∌","\\notni");defineMacro("⌜","\\ulcorner");defineMacro("⌝","\\urcorner");defineMacro("⌞","\\llcorner");defineMacro("⌟","\\lrcorner");defineMacro("©","\\copyright");defineMacro("®","\\textregistered");defineMacro("️","\\textregistered");defineMacro("\\ulcorner",'\\html@mathml{\\@ulcorner}{\\mathop{\\char"231c}}');defineMacro("\\urcorner",'\\html@mathml{\\@urcorner}{\\mathop{\\char"231d}}');defineMacro("\\llcorner",'\\html@mathml{\\@llcorner}{\\mathop{\\char"231e}}');defineMacro("\\lrcorner",'\\html@mathml{\\@lrcorner}{\\mathop{\\char"231f}}');defineMacro("\\vdots","\\mathord{\\varvdots\\rule{0pt}{15pt}}");defineMacro("⋮","\\vdots");defineMacro("\\varGamma","\\mathit{\\Gamma}");defineMacro("\\varDelta","\\mathit{\\Delta}");defineMacro("\\varTheta","\\mathit{\\Theta}");defineMacro("\\varLambda","\\mathit{\\Lambda}");defineMacro("\\varXi","\\mathit{\\Xi}");defineMacro("\\varPi","\\mathit{\\Pi}");defineMacro("\\varSigma","\\mathit{\\Sigma}");defineMacro("\\varUpsilon","\\mathit{\\Upsilon}");defineMacro("\\varPhi","\\mathit{\\Phi}");defineMacro("\\varPsi","\\mathit{\\Psi}");defineMacro("\\varOmega","\\mathit{\\Omega}");defineMacro("\\substack","\\begin{subarray}{c}#1\\end{subarray}");defineMacro("\\colon","\\nobreak\\mskip2mu\\mathpunct{}\\mathchoice{\\mkern-3mu}{\\mkern-3mu}{}{}{:}\\mskip6mu\\relax");defineMacro("\\boxed","\\fbox{$\\displaystyle{#1}$}");defineMacro("\\iff","\\DOTSB\\;\\Longleftrightarrow\\;");defineMacro("\\implies","\\DOTSB\\;\\Longrightarrow\\;");defineMacro("\\impliedby","\\DOTSB\\;\\Longleftarrow\\;");var dotsByToken={",":"\\dotsc","\\not":"\\dotsb","+":"\\dotsb","=":"\\dotsb","<":"\\dotsb",">":"\\dotsb","-":"\\dotsb","*":"\\dotsb",":":"\\dotsb","\\DOTSB":"\\dotsb","\\coprod":"\\dotsb","\\bigvee":"\\dotsb","\\bigwedge":"\\dotsb","\\biguplus":"\\dotsb","\\bigcap":"\\dotsb","\\bigcup":"\\dotsb","\\prod":"\\dotsb","\\sum":"\\dotsb","\\bigotimes":"\\dotsb","\\bigoplus":"\\dotsb","\\bigodot":"\\dotsb","\\bigsqcup":"\\dotsb","\\And":"\\dotsb","\\longrightarrow":"\\dotsb","\\Longrightarrow":"\\dotsb","\\longleftarrow":"\\dotsb","\\Longleftarrow":"\\dotsb","\\longleftrightarrow":"\\dotsb","\\Longleftrightarrow":"\\dotsb","\\mapsto":"\\dotsb","\\longmapsto":"\\dotsb","\\hookrightarrow":"\\dotsb","\\doteq":"\\dotsb","\\mathbin":"\\dotsb","\\mathrel":"\\dotsb","\\relbar":"\\dotsb","\\Relbar":"\\dotsb","\\xrightarrow":"\\dotsb","\\xleftarrow":"\\dotsb","\\DOTSI":"\\dotsi","\\int":"\\dotsi","\\oint":"\\dotsi","\\iint":"\\dotsi","\\iiint":"\\dotsi","\\iiiint":"\\dotsi","\\idotsint":"\\dotsi","\\DOTSX":"\\dotsx"};defineMacro("\\dots",function(Ra){var qa="\\dotso",Ja=Ra.expandAfterFuture().text;return Ja in dotsByToken?qa=dotsByToken[Ja]:(Ja.slice(0,4)==="\\not"||Ja in symbols.math&&utils$6.contains(["bin","rel"],symbols.math[Ja].group))&&(qa="\\dotsb"),qa});var spaceAfterDots={")":!0,"]":!0,"\\rbrack":!0,"\\}":!0,"\\rbrace":!0,"\\rangle":!0,"\\rceil":!0,"\\rfloor":!0,"\\rgroup":!0,"\\rmoustache":!0,"\\right":!0,"\\bigr":!0,"\\biggr":!0,"\\Bigr":!0,"\\Biggr":!0,$:!0,";":!0,".":!0,",":!0};defineMacro("\\dotso",function(Ra){var qa=Ra.future().text;return qa in spaceAfterDots?"\\ldots\\,":"\\ldots"});defineMacro("\\dotsc",function(Ra){var qa=Ra.future().text;return qa in spaceAfterDots&&qa!==","?"\\ldots\\,":"\\ldots"});defineMacro("\\cdots",function(Ra){var qa=Ra.future().text;return qa in spaceAfterDots?"\\@cdots\\,":"\\@cdots"});defineMacro("\\dotsb","\\cdots");defineMacro("\\dotsm","\\cdots");defineMacro("\\dotsi","\\!\\cdots");defineMacro("\\dotsx","\\ldots\\,");defineMacro("\\DOTSI","\\relax");defineMacro("\\DOTSB","\\relax");defineMacro("\\DOTSX","\\relax");defineMacro("\\tmspace","\\TextOrMath{\\kern#1#3}{\\mskip#1#2}\\relax");defineMacro("\\,","\\tmspace+{3mu}{.1667em}");defineMacro("\\thinspace","\\,");defineMacro("\\>","\\mskip{4mu}");defineMacro("\\:","\\tmspace+{4mu}{.2222em}");defineMacro("\\medspace","\\:");defineMacro("\\;","\\tmspace+{5mu}{.2777em}");defineMacro("\\thickspace","\\;");defineMacro("\\!","\\tmspace-{3mu}{.1667em}");defineMacro("\\negthinspace","\\!");defineMacro("\\negmedspace","\\tmspace-{4mu}{.2222em}");defineMacro("\\negthickspace","\\tmspace-{5mu}{.277em}");defineMacro("\\enspace","\\kern.5em ");defineMacro("\\enskip","\\hskip.5em\\relax");defineMacro("\\quad","\\hskip1em\\relax");defineMacro("\\qquad","\\hskip2em\\relax");defineMacro("\\tag","\\@ifstar\\tag@literal\\tag@paren");defineMacro("\\tag@paren","\\tag@literal{({#1})}");defineMacro("\\tag@literal",Ra=>{if(Ra.macros.get("\\df@tag"))throw new ParseError("Multiple \\tag");return"\\gdef\\df@tag{\\text{#1}}"});defineMacro("\\bmod","\\mathchoice{\\mskip1mu}{\\mskip1mu}{\\mskip5mu}{\\mskip5mu}\\mathbin{\\rm mod}\\mathchoice{\\mskip1mu}{\\mskip1mu}{\\mskip5mu}{\\mskip5mu}");defineMacro("\\pod","\\allowbreak\\mathchoice{\\mkern18mu}{\\mkern8mu}{\\mkern8mu}{\\mkern8mu}(#1)");defineMacro("\\pmod","\\pod{{\\rm mod}\\mkern6mu#1}");defineMacro("\\mod","\\allowbreak\\mathchoice{\\mkern18mu}{\\mkern12mu}{\\mkern12mu}{\\mkern12mu}{\\rm mod}\\,\\,#1");defineMacro("\\newline","\\\\\\relax");defineMacro("\\TeX","\\textrm{\\html@mathml{T\\kern-.1667em\\raisebox{-.5ex}{E}\\kern-.125emX}{TeX}}");var latexRaiseA=makeEm(fontMetricsData["Main-Regular"][84][1]-.7*fontMetricsData["Main-Regular"][65][1]);defineMacro("\\LaTeX","\\textrm{\\html@mathml{"+("L\\kern-.36em\\raisebox{"+latexRaiseA+"}{\\scriptstyle A}")+"\\kern-.15em\\TeX}{LaTeX}}");defineMacro("\\KaTeX","\\textrm{\\html@mathml{"+("K\\kern-.17em\\raisebox{"+latexRaiseA+"}{\\scriptstyle A}")+"\\kern-.15em\\TeX}{KaTeX}}");defineMacro("\\hspace","\\@ifstar\\@hspacer\\@hspace");defineMacro("\\@hspace","\\hskip #1\\relax");defineMacro("\\@hspacer","\\rule{0pt}{0pt}\\hskip #1\\relax");defineMacro("\\ordinarycolon",":");defineMacro("\\vcentcolon","\\mathrel{\\mathop\\ordinarycolon}");defineMacro("\\dblcolon",'\\html@mathml{\\mathrel{\\vcentcolon\\mathrel{\\mkern-.9mu}\\vcentcolon}}{\\mathop{\\char"2237}}');defineMacro("\\coloneqq",'\\html@mathml{\\mathrel{\\vcentcolon\\mathrel{\\mkern-1.2mu}=}}{\\mathop{\\char"2254}}');defineMacro("\\Coloneqq",'\\html@mathml{\\mathrel{\\dblcolon\\mathrel{\\mkern-1.2mu}=}}{\\mathop{\\char"2237\\char"3d}}');defineMacro("\\coloneq",'\\html@mathml{\\mathrel{\\vcentcolon\\mathrel{\\mkern-1.2mu}\\mathrel{-}}}{\\mathop{\\char"3a\\char"2212}}');defineMacro("\\Coloneq",'\\html@mathml{\\mathrel{\\dblcolon\\mathrel{\\mkern-1.2mu}\\mathrel{-}}}{\\mathop{\\char"2237\\char"2212}}');defineMacro("\\eqqcolon",'\\html@mathml{\\mathrel{=\\mathrel{\\mkern-1.2mu}\\vcentcolon}}{\\mathop{\\char"2255}}');defineMacro("\\Eqqcolon",'\\html@mathml{\\mathrel{=\\mathrel{\\mkern-1.2mu}\\dblcolon}}{\\mathop{\\char"3d\\char"2237}}');defineMacro("\\eqcolon",'\\html@mathml{\\mathrel{\\mathrel{-}\\mathrel{\\mkern-1.2mu}\\vcentcolon}}{\\mathop{\\char"2239}}');defineMacro("\\Eqcolon",'\\html@mathml{\\mathrel{\\mathrel{-}\\mathrel{\\mkern-1.2mu}\\dblcolon}}{\\mathop{\\char"2212\\char"2237}}');defineMacro("\\colonapprox",'\\html@mathml{\\mathrel{\\vcentcolon\\mathrel{\\mkern-1.2mu}\\approx}}{\\mathop{\\char"3a\\char"2248}}');defineMacro("\\Colonapprox",'\\html@mathml{\\mathrel{\\dblcolon\\mathrel{\\mkern-1.2mu}\\approx}}{\\mathop{\\char"2237\\char"2248}}');defineMacro("\\colonsim",'\\html@mathml{\\mathrel{\\vcentcolon\\mathrel{\\mkern-1.2mu}\\sim}}{\\mathop{\\char"3a\\char"223c}}');defineMacro("\\Colonsim",'\\html@mathml{\\mathrel{\\dblcolon\\mathrel{\\mkern-1.2mu}\\sim}}{\\mathop{\\char"2237\\char"223c}}');defineMacro("∷","\\dblcolon");defineMacro("∹","\\eqcolon");defineMacro("≔","\\coloneqq");defineMacro("≕","\\eqqcolon");defineMacro("⩴","\\Coloneqq");defineMacro("\\ratio","\\vcentcolon");defineMacro("\\coloncolon","\\dblcolon");defineMacro("\\colonequals","\\coloneqq");defineMacro("\\coloncolonequals","\\Coloneqq");defineMacro("\\equalscolon","\\eqqcolon");defineMacro("\\equalscoloncolon","\\Eqqcolon");defineMacro("\\colonminus","\\coloneq");defineMacro("\\coloncolonminus","\\Coloneq");defineMacro("\\minuscolon","\\eqcolon");defineMacro("\\minuscoloncolon","\\Eqcolon");defineMacro("\\coloncolonapprox","\\Colonapprox");defineMacro("\\coloncolonsim","\\Colonsim");defineMacro("\\simcolon","\\mathrel{\\sim\\mathrel{\\mkern-1.2mu}\\vcentcolon}");defineMacro("\\simcoloncolon","\\mathrel{\\sim\\mathrel{\\mkern-1.2mu}\\dblcolon}");defineMacro("\\approxcolon","\\mathrel{\\approx\\mathrel{\\mkern-1.2mu}\\vcentcolon}");defineMacro("\\approxcoloncolon","\\mathrel{\\approx\\mathrel{\\mkern-1.2mu}\\dblcolon}");defineMacro("\\notni","\\html@mathml{\\not\\ni}{\\mathrel{\\char`∌}}");defineMacro("\\limsup","\\DOTSB\\operatorname*{lim\\,sup}");defineMacro("\\liminf","\\DOTSB\\operatorname*{lim\\,inf}");defineMacro("\\injlim","\\DOTSB\\operatorname*{inj\\,lim}");defineMacro("\\projlim","\\DOTSB\\operatorname*{proj\\,lim}");defineMacro("\\varlimsup","\\DOTSB\\operatorname*{\\overline{lim}}");defineMacro("\\varliminf","\\DOTSB\\operatorname*{\\underline{lim}}");defineMacro("\\varinjlim","\\DOTSB\\operatorname*{\\underrightarrow{lim}}");defineMacro("\\varprojlim","\\DOTSB\\operatorname*{\\underleftarrow{lim}}");defineMacro("\\gvertneqq","\\html@mathml{\\@gvertneqq}{≩}");defineMacro("\\lvertneqq","\\html@mathml{\\@lvertneqq}{≨}");defineMacro("\\ngeqq","\\html@mathml{\\@ngeqq}{≱}");defineMacro("\\ngeqslant","\\html@mathml{\\@ngeqslant}{≱}");defineMacro("\\nleqq","\\html@mathml{\\@nleqq}{≰}");defineMacro("\\nleqslant","\\html@mathml{\\@nleqslant}{≰}");defineMacro("\\nshortmid","\\html@mathml{\\@nshortmid}{∤}");defineMacro("\\nshortparallel","\\html@mathml{\\@nshortparallel}{∦}");defineMacro("\\nsubseteqq","\\html@mathml{\\@nsubseteqq}{⊈}");defineMacro("\\nsupseteqq","\\html@mathml{\\@nsupseteqq}{⊉}");defineMacro("\\varsubsetneq","\\html@mathml{\\@varsubsetneq}{⊊}");defineMacro("\\varsubsetneqq","\\html@mathml{\\@varsubsetneqq}{⫋}");defineMacro("\\varsupsetneq","\\html@mathml{\\@varsupsetneq}{⊋}");defineMacro("\\varsupsetneqq","\\html@mathml{\\@varsupsetneqq}{⫌}");defineMacro("\\imath","\\html@mathml{\\@imath}{ı}");defineMacro("\\jmath","\\html@mathml{\\@jmath}{ȷ}");defineMacro("\\llbracket","\\html@mathml{\\mathopen{[\\mkern-3.2mu[}}{\\mathopen{\\char`⟦}}");defineMacro("\\rrbracket","\\html@mathml{\\mathclose{]\\mkern-3.2mu]}}{\\mathclose{\\char`⟧}}");defineMacro("⟦","\\llbracket");defineMacro("⟧","\\rrbracket");defineMacro("\\lBrace","\\html@mathml{\\mathopen{\\{\\mkern-3.2mu[}}{\\mathopen{\\char`⦃}}");defineMacro("\\rBrace","\\html@mathml{\\mathclose{]\\mkern-3.2mu\\}}}{\\mathclose{\\char`⦄}}");defineMacro("⦃","\\lBrace");defineMacro("⦄","\\rBrace");defineMacro("\\minuso","\\mathbin{\\html@mathml{{\\mathrlap{\\mathchoice{\\kern{0.145em}}{\\kern{0.145em}}{\\kern{0.1015em}}{\\kern{0.0725em}}\\circ}{-}}}{\\char`⦵}}");defineMacro("⦵","\\minuso");defineMacro("\\darr","\\downarrow");defineMacro("\\dArr","\\Downarrow");defineMacro("\\Darr","\\Downarrow");defineMacro("\\lang","\\langle");defineMacro("\\rang","\\rangle");defineMacro("\\uarr","\\uparrow");defineMacro("\\uArr","\\Uparrow");defineMacro("\\Uarr","\\Uparrow");defineMacro("\\N","\\mathbb{N}");defineMacro("\\R","\\mathbb{R}");defineMacro("\\Z","\\mathbb{Z}");defineMacro("\\alef","\\aleph");defineMacro("\\alefsym","\\aleph");defineMacro("\\Alpha","\\mathrm{A}");defineMacro("\\Beta","\\mathrm{B}");defineMacro("\\bull","\\bullet");defineMacro("\\Chi","\\mathrm{X}");defineMacro("\\clubs","\\clubsuit");defineMacro("\\cnums","\\mathbb{C}");defineMacro("\\Complex","\\mathbb{C}");defineMacro("\\Dagger","\\ddagger");defineMacro("\\diamonds","\\diamondsuit");defineMacro("\\empty","\\emptyset");defineMacro("\\Epsilon","\\mathrm{E}");defineMacro("\\Eta","\\mathrm{H}");defineMacro("\\exist","\\exists");defineMacro("\\harr","\\leftrightarrow");defineMacro("\\hArr","\\Leftrightarrow");defineMacro("\\Harr","\\Leftrightarrow");defineMacro("\\hearts","\\heartsuit");defineMacro("\\image","\\Im");defineMacro("\\infin","\\infty");defineMacro("\\Iota","\\mathrm{I}");defineMacro("\\isin","\\in");defineMacro("\\Kappa","\\mathrm{K}");defineMacro("\\larr","\\leftarrow");defineMacro("\\lArr","\\Leftarrow");defineMacro("\\Larr","\\Leftarrow");defineMacro("\\lrarr","\\leftrightarrow");defineMacro("\\lrArr","\\Leftrightarrow");defineMacro("\\Lrarr","\\Leftrightarrow");defineMacro("\\Mu","\\mathrm{M}");defineMacro("\\natnums","\\mathbb{N}");defineMacro("\\Nu","\\mathrm{N}");defineMacro("\\Omicron","\\mathrm{O}");defineMacro("\\plusmn","\\pm");defineMacro("\\rarr","\\rightarrow");defineMacro("\\rArr","\\Rightarrow");defineMacro("\\Rarr","\\Rightarrow");defineMacro("\\real","\\Re");defineMacro("\\reals","\\mathbb{R}");defineMacro("\\Reals","\\mathbb{R}");defineMacro("\\Rho","\\mathrm{P}");defineMacro("\\sdot","\\cdot");defineMacro("\\sect","\\S");defineMacro("\\spades","\\spadesuit");defineMacro("\\sub","\\subset");defineMacro("\\sube","\\subseteq");defineMacro("\\supe","\\supseteq");defineMacro("\\Tau","\\mathrm{T}");defineMacro("\\thetasym","\\vartheta");defineMacro("\\weierp","\\wp");defineMacro("\\Zeta","\\mathrm{Z}");defineMacro("\\argmin","\\DOTSB\\operatorname*{arg\\,min}");defineMacro("\\argmax","\\DOTSB\\operatorname*{arg\\,max}");defineMacro("\\plim","\\DOTSB\\mathop{\\operatorname{plim}}\\limits");defineMacro("\\bra","\\mathinner{\\langle{#1}|}");defineMacro("\\ket","\\mathinner{|{#1}\\rangle}");defineMacro("\\braket","\\mathinner{\\langle{#1}\\rangle}");defineMacro("\\Bra","\\left\\langle#1\\right|");defineMacro("\\Ket","\\left|#1\\right\\rangle");var braketHelper=Ra=>qa=>{var Ja=qa.consumeArg().tokens,ed=qa.consumeArg().tokens,td=qa.consumeArg().tokens,rd=qa.consumeArg().tokens,sd=qa.macros.get("|"),od=qa.macros.get("\\|");qa.macros.beginGroup();var ld=_d=>yd=>{Ra&&(yd.macros.set("|",sd),td.length&&yd.macros.set("\\|",od));var gd=_d;if(!_d&&td.length){var Ed=yd.future();Ed.text==="|"&&(yd.popToken(),gd=!0)}return{tokens:gd?td:ed,numArgs:0}};qa.macros.set("|",ld(!1)),td.length&&qa.macros.set("\\|",ld(!0));var cd=qa.consumeArg().tokens,ud=qa.expandTokens([...rd,...cd,...Ja]);return qa.macros.endGroup(),{tokens:ud.reverse(),numArgs:0}};defineMacro("\\bra@ket",braketHelper(!1));defineMacro("\\bra@set",braketHelper(!0));defineMacro("\\Braket","\\bra@ket{\\left\\langle}{\\,\\middle\\vert\\,}{\\,\\middle\\vert\\,}{\\right\\rangle}");defineMacro("\\Set","\\bra@set{\\left\\{\\:}{\\;\\middle\\vert\\;}{\\;\\middle\\Vert\\;}{\\:\\right\\}}");defineMacro("\\set","\\bra@set{\\{\\,}{\\mid}{}{\\,\\}}");defineMacro("\\angln","{\\angl n}");defineMacro("\\blue","\\textcolor{##6495ed}{#1}");defineMacro("\\orange","\\textcolor{##ffa500}{#1}");defineMacro("\\pink","\\textcolor{##ff00af}{#1}");defineMacro("\\red","\\textcolor{##df0030}{#1}");defineMacro("\\green","\\textcolor{##28ae7b}{#1}");defineMacro("\\gray","\\textcolor{gray}{#1}");defineMacro("\\purple","\\textcolor{##9d38bd}{#1}");defineMacro("\\blueA","\\textcolor{##ccfaff}{#1}");defineMacro("\\blueB","\\textcolor{##80f6ff}{#1}");defineMacro("\\blueC","\\textcolor{##63d9ea}{#1}");defineMacro("\\blueD","\\textcolor{##11accd}{#1}");defineMacro("\\blueE","\\textcolor{##0c7f99}{#1}");defineMacro("\\tealA","\\textcolor{##94fff5}{#1}");defineMacro("\\tealB","\\textcolor{##26edd5}{#1}");defineMacro("\\tealC","\\textcolor{##01d1c1}{#1}");defineMacro("\\tealD","\\textcolor{##01a995}{#1}");defineMacro("\\tealE","\\textcolor{##208170}{#1}");defineMacro("\\greenA","\\textcolor{##b6ffb0}{#1}");defineMacro("\\greenB","\\textcolor{##8af281}{#1}");defineMacro("\\greenC","\\textcolor{##74cf70}{#1}");defineMacro("\\greenD","\\textcolor{##1fab54}{#1}");defineMacro("\\greenE","\\textcolor{##0d923f}{#1}");defineMacro("\\goldA","\\textcolor{##ffd0a9}{#1}");defineMacro("\\goldB","\\textcolor{##ffbb71}{#1}");defineMacro("\\goldC","\\textcolor{##ff9c39}{#1}");defineMacro("\\goldD","\\textcolor{##e07d10}{#1}");defineMacro("\\goldE","\\textcolor{##a75a05}{#1}");defineMacro("\\redA","\\textcolor{##fca9a9}{#1}");defineMacro("\\redB","\\textcolor{##ff8482}{#1}");defineMacro("\\redC","\\textcolor{##f9685d}{#1}");defineMacro("\\redD","\\textcolor{##e84d39}{#1}");defineMacro("\\redE","\\textcolor{##bc2612}{#1}");defineMacro("\\maroonA","\\textcolor{##ffbde0}{#1}");defineMacro("\\maroonB","\\textcolor{##ff92c6}{#1}");defineMacro("\\maroonC","\\textcolor{##ed5fa6}{#1}");defineMacro("\\maroonD","\\textcolor{##ca337c}{#1}");defineMacro("\\maroonE","\\textcolor{##9e034e}{#1}");defineMacro("\\purpleA","\\textcolor{##ddd7ff}{#1}");defineMacro("\\purpleB","\\textcolor{##c6b9fc}{#1}");defineMacro("\\purpleC","\\textcolor{##aa87ff}{#1}");defineMacro("\\purpleD","\\textcolor{##7854ab}{#1}");defineMacro("\\purpleE","\\textcolor{##543b78}{#1}");defineMacro("\\mintA","\\textcolor{##f5f9e8}{#1}");defineMacro("\\mintB","\\textcolor{##edf2df}{#1}");defineMacro("\\mintC","\\textcolor{##e0e5cc}{#1}");defineMacro("\\grayA","\\textcolor{##f6f7f7}{#1}");defineMacro("\\grayB","\\textcolor{##f0f1f2}{#1}");defineMacro("\\grayC","\\textcolor{##e3e5e6}{#1}");defineMacro("\\grayD","\\textcolor{##d6d8da}{#1}");defineMacro("\\grayE","\\textcolor{##babec2}{#1}");defineMacro("\\grayF","\\textcolor{##888d93}{#1}");defineMacro("\\grayG","\\textcolor{##626569}{#1}");defineMacro("\\grayH","\\textcolor{##3b3e40}{#1}");defineMacro("\\grayI","\\textcolor{##21242c}{#1}");defineMacro("\\kaBlue","\\textcolor{##314453}{#1}");defineMacro("\\kaGreen","\\textcolor{##71B307}{#1}");var implicitCommands={"^":!0,_:!0,"\\limits":!0,"\\nolimits":!0};class MacroExpander{constructor(qa,Ja,ed){this.settings=void 0,this.expansionCount=void 0,this.lexer=void 0,this.macros=void 0,this.stack=void 0,this.mode=void 0,this.settings=Ja,this.expansionCount=0,this.feed(qa),this.macros=new Namespace(macros,Ja.macros),this.mode=ed,this.stack=[]}feed(qa){this.lexer=new Lexer(qa,this.settings)}switchMode(qa){this.mode=qa}beginGroup(){this.macros.beginGroup()}endGroup(){this.macros.endGroup()}endGroups(){this.macros.endGroups()}future(){return this.stack.length===0&&this.pushToken(this.lexer.lex()),this.stack[this.stack.length-1]}popToken(){return this.future(),this.stack.pop()}pushToken(qa){this.stack.push(qa)}pushTokens(qa){this.stack.push(...qa)}scanArgument(qa){var Ja,ed,td;if(qa){if(this.consumeSpaces(),this.future().text!=="[")return null;Ja=this.popToken(),{tokens:td,end:ed}=this.consumeArg(["]"])}else({tokens:td,start:Ja,end:ed}=this.consumeArg());return this.pushToken(new Token("EOF",ed.loc)),this.pushTokens(td),Ja.range(ed,"")}consumeSpaces(){for(;;){var qa=this.future();if(qa.text===" ")this.stack.pop();else break}}consumeArg(qa){var Ja=[],ed=qa&&qa.length>0;ed||this.consumeSpaces();var td=this.future(),rd,sd=0,od=0;do{if(rd=this.popToken(),Ja.push(rd),rd.text==="{")++sd;else if(rd.text==="}"){if(--sd,sd===-1)throw new ParseError("Extra }",rd)}else if(rd.text==="EOF")throw new ParseError("Unexpected end of input in a macro argument, expected '"+(qa&&ed?qa[od]:"}")+"'",rd);if(qa&&ed)if((sd===0||sd===1&&qa[od]==="{")&&rd.text===qa[od]){if(++od,od===qa.length){Ja.splice(-od,od);break}}else od=0}while(sd!==0||ed);return td.text==="{"&&Ja[Ja.length-1].text==="}"&&(Ja.pop(),Ja.shift()),Ja.reverse(),{tokens:Ja,start:td,end:rd}}consumeArgs(qa,Ja){if(Ja){if(Ja.length!==qa+1)throw new ParseError("The length of delimiters doesn't match the number of args!");for(var ed=Ja[0],td=0;td<ed.length;td++){var rd=this.popToken();if(ed[td]!==rd.text)throw new ParseError("Use of the macro doesn't match its definition",rd)}}for(var sd=[],od=0;od<qa;od++)sd.push(this.consumeArg(Ja&&Ja[od+1]).tokens);return sd}countExpansion(qa){if(this.expansionCount+=qa,this.expansionCount>this.settings.maxExpand)throw new ParseError("Too many expansions: infinite loop or need to increase maxExpand setting")}expandOnce(qa){var Ja=this.popToken(),ed=Ja.text,td=Ja.noexpand?null:this._getExpansion(ed);if(td==null||qa&&td.unexpandable){if(qa&&td==null&&ed[0]==="\\"&&!this.isDefined(ed))throw new ParseError("Undefined control sequence: "+ed);return this.pushToken(Ja),!1}this.countExpansion(1);var rd=td.tokens,sd=this.consumeArgs(td.numArgs,td.delimiters);if(td.numArgs){rd=rd.slice();for(var od=rd.length-1;od>=0;--od){var ld=rd[od];if(ld.text==="#"){if(od===0)throw new ParseError("Incomplete placeholder at end of macro body",ld);if(ld=rd[--od],ld.text==="#")rd.splice(od+1,1);else if(/^[1-9]$/.test(ld.text))rd.splice(od,2,...sd[+ld.text-1]);else throw new ParseError("Not a valid argument number",ld)}}}return this.pushTokens(rd),rd.length}expandAfterFuture(){return this.expandOnce(),this.future()}expandNextToken(){for(;;)if(this.expandOnce()===!1){var qa=this.stack.pop();return qa.treatAsRelax&&(qa.text="\\relax"),qa}throw new Error}expandMacro(qa){return this.macros.has(qa)?this.expandTokens([new Token(qa)]):void 0}expandTokens(qa){var Ja=[],ed=this.stack.length;for(this.pushTokens(qa);this.stack.length>ed;)if(this.expandOnce(!0)===!1){var td=this.stack.pop();td.treatAsRelax&&(td.noexpand=!1,td.treatAsRelax=!1),Ja.push(td)}return this.countExpansion(Ja.length),Ja}expandMacroAsText(qa){var Ja=this.expandMacro(qa);return Ja&&Ja.map(ed=>ed.text).join("")}_getExpansion(qa){var Ja=this.macros.get(qa);if(Ja==null)return Ja;if(qa.length===1){var ed=this.lexer.catcodes[qa];if(ed!=null&&ed!==13)return}var td=typeof Ja=="function"?Ja(this):Ja;if(typeof td=="string"){var rd=0;if(td.indexOf("#")!==-1)for(var sd=td.replace(/##/g,"");sd.indexOf("#"+(rd+1))!==-1;)++rd;for(var od=new Lexer(td,this.settings),ld=[],cd=od.lex();cd.text!=="EOF";)ld.push(cd),cd=od.lex();ld.reverse();var ud={tokens:ld,numArgs:rd};return ud}return td}isDefined(qa){return this.macros.has(qa)||functions.hasOwnProperty(qa)||symbols.math.hasOwnProperty(qa)||symbols.text.hasOwnProperty(qa)||implicitCommands.hasOwnProperty(qa)}isExpandable(qa){var Ja=this.macros.get(qa);return Ja!=null?typeof Ja=="string"||typeof Ja=="function"||!Ja.unexpandable:functions.hasOwnProperty(qa)&&!functions[qa].primitive}}var unicodeSubRegEx=/^[₊₋₌₍₎₀₁₂₃₄₅₆₇₈₉ₐₑₕᵢⱼₖₗₘₙₒₚᵣₛₜᵤᵥₓᵦᵧᵨᵩᵪ]/,uSubsAndSups=Object.freeze({"₊":"+","₋":"-","₌":"=","₍":"(","₎":")","₀":"0","₁":"1","₂":"2","₃":"3","₄":"4","₅":"5","₆":"6","₇":"7","₈":"8","₉":"9","ₐ":"a","ₑ":"e","ₕ":"h","ᵢ":"i","ⱼ":"j","ₖ":"k","ₗ":"l","ₘ":"m","ₙ":"n","ₒ":"o","ₚ":"p","ᵣ":"r","ₛ":"s","ₜ":"t","ᵤ":"u","ᵥ":"v","ₓ":"x","ᵦ":"β","ᵧ":"γ","ᵨ":"ρ","ᵩ":"ϕ","ᵪ":"χ","⁺":"+","⁻":"-","⁼":"=","⁽":"(","⁾":")","⁰":"0","¹":"1","²":"2","³":"3","⁴":"4","⁵":"5","⁶":"6","⁷":"7","⁸":"8","⁹":"9","ᴬ":"A","ᴮ":"B","ᴰ":"D","ᴱ":"E","ᴳ":"G","ᴴ":"H","ᴵ":"I","ᴶ":"J","ᴷ":"K","ᴸ":"L","ᴹ":"M","ᴺ":"N","ᴼ":"O","ᴾ":"P","ᴿ":"R","ᵀ":"T","ᵁ":"U","ⱽ":"V","ᵂ":"W","ᵃ":"a","ᵇ":"b","ᶜ":"c","ᵈ":"d","ᵉ":"e","ᶠ":"f","ᵍ":"g",ʰ:"h","ⁱ":"i",ʲ:"j","ᵏ":"k",ˡ:"l","ᵐ":"m",ⁿ:"n","ᵒ":"o","ᵖ":"p",ʳ:"r",ˢ:"s","ᵗ":"t","ᵘ":"u","ᵛ":"v",ʷ:"w",ˣ:"x",ʸ:"y","ᶻ":"z","ᵝ":"β","ᵞ":"γ","ᵟ":"δ","ᵠ":"ϕ","ᵡ":"χ","ᶿ":"θ"}),unicodeAccents={"́":{text:"\\'",math:"\\acute"},"̀":{text:"\\`",math:"\\grave"},"̈":{text:'\\"',math:"\\ddot"},"̃":{text:"\\~",math:"\\tilde"},"̄":{text:"\\=",math:"\\bar"},"̆":{text:"\\u",math:"\\breve"},"̌":{text:"\\v",math:"\\check"},"̂":{text:"\\^",math:"\\hat"},"̇":{text:"\\.",math:"\\dot"},"̊":{text:"\\r",math:"\\mathring"},"̋":{text:"\\H"},"̧":{text:"\\c"}},unicodeSymbols={á:"á",à:"à",ä:"ä",ǟ:"ǟ",ã:"ã",ā:"ā",ă:"ă",ắ:"ắ",ằ:"ằ",ẵ:"ẵ",ǎ:"ǎ",â:"â",ấ:"ấ",ầ:"ầ",ẫ:"ẫ",ȧ:"ȧ",ǡ:"ǡ",å:"å",ǻ:"ǻ",ḃ:"ḃ",ć:"ć",ḉ:"ḉ",č:"č",ĉ:"ĉ",ċ:"ċ",ç:"ç",ď:"ď",ḋ:"ḋ",ḑ:"ḑ",é:"é",è:"è",ë:"ë",ẽ:"ẽ",ē:"ē",ḗ:"ḗ",ḕ:"ḕ",ĕ:"ĕ",ḝ:"ḝ",ě:"ě",ê:"ê",ế:"ế",ề:"ề",ễ:"ễ",ė:"ė",ȩ:"ȩ",ḟ:"ḟ",ǵ:"ǵ",ḡ:"ḡ",ğ:"ğ",ǧ:"ǧ",ĝ:"ĝ",ġ:"ġ",ģ:"ģ",ḧ:"ḧ",ȟ:"ȟ",ĥ:"ĥ",ḣ:"ḣ",ḩ:"ḩ",í:"í",ì:"ì",ï:"ï",ḯ:"ḯ",ĩ:"ĩ",ī:"ī",ĭ:"ĭ",ǐ:"ǐ",î:"î",ǰ:"ǰ",ĵ:"ĵ",ḱ:"ḱ",ǩ:"ǩ",ķ:"ķ",ĺ:"ĺ",ľ:"ľ",ļ:"ļ",ḿ:"ḿ",ṁ:"ṁ",ń:"ń",ǹ:"ǹ",ñ:"ñ",ň:"ň",ṅ:"ṅ",ņ:"ņ",ó:"ó",ò:"ò",ö:"ö",ȫ:"ȫ",õ:"õ",ṍ:"ṍ",ṏ:"ṏ",ȭ:"ȭ",ō:"ō",ṓ:"ṓ",ṑ:"ṑ",ŏ:"ŏ",ǒ:"ǒ",ô:"ô",ố:"ố",ồ:"ồ",ỗ:"ỗ",ȯ:"ȯ",ȱ:"ȱ",ő:"ő",ṕ:"ṕ",ṗ:"ṗ",ŕ:"ŕ",ř:"ř",ṙ:"ṙ",ŗ:"ŗ",ś:"ś",ṥ:"ṥ",š:"š",ṧ:"ṧ",ŝ:"ŝ",ṡ:"ṡ",ş:"ş",ẗ:"ẗ",ť:"ť",ṫ:"ṫ",ţ:"ţ",ú:"ú",ù:"ù",ü:"ü",ǘ:"ǘ",ǜ:"ǜ",ǖ:"ǖ",ǚ:"ǚ",ũ:"ũ",ṹ:"ṹ",ū:"ū",ṻ:"ṻ",ŭ:"ŭ",ǔ:"ǔ",û:"û",ů:"ů",ű:"ű",ṽ:"ṽ",ẃ:"ẃ",ẁ:"ẁ",ẅ:"ẅ",ŵ:"ŵ",ẇ:"ẇ",ẘ:"ẘ",ẍ:"ẍ",ẋ:"ẋ",ý:"ý",ỳ:"ỳ",ÿ:"ÿ",ỹ:"ỹ",ȳ:"ȳ",ŷ:"ŷ",ẏ:"ẏ",ẙ:"ẙ",ź:"ź",ž:"ž",ẑ:"ẑ",ż:"ż",Á:"Á",À:"À",Ä:"Ä",Ǟ:"Ǟ",Ã:"Ã",Ā:"Ā",Ă:"Ă",Ắ:"Ắ",Ằ:"Ằ",Ẵ:"Ẵ",Ǎ:"Ǎ",Â:"Â",Ấ:"Ấ",Ầ:"Ầ",Ẫ:"Ẫ",Ȧ:"Ȧ",Ǡ:"Ǡ",Å:"Å",Ǻ:"Ǻ",Ḃ:"Ḃ",Ć:"Ć",Ḉ:"Ḉ",Č:"Č",Ĉ:"Ĉ",Ċ:"Ċ",Ç:"Ç",Ď:"Ď",Ḋ:"Ḋ",Ḑ:"Ḑ",É:"É",È:"È",Ë:"Ë",Ẽ:"Ẽ",Ē:"Ē",Ḗ:"Ḗ",Ḕ:"Ḕ",Ĕ:"Ĕ",Ḝ:"Ḝ",Ě:"Ě",Ê:"Ê",Ế:"Ế",Ề:"Ề",Ễ:"Ễ",Ė:"Ė",Ȩ:"Ȩ",Ḟ:"Ḟ",Ǵ:"Ǵ",Ḡ:"Ḡ",Ğ:"Ğ",Ǧ:"Ǧ",Ĝ:"Ĝ",Ġ:"Ġ",Ģ:"Ģ",Ḧ:"Ḧ",Ȟ:"Ȟ",Ĥ:"Ĥ",Ḣ:"Ḣ",Ḩ:"Ḩ",Í:"Í",Ì:"Ì",Ï:"Ï",Ḯ:"Ḯ",Ĩ:"Ĩ",Ī:"Ī",Ĭ:"Ĭ",Ǐ:"Ǐ",Î:"Î",İ:"İ",Ĵ:"Ĵ",Ḱ:"Ḱ",Ǩ:"Ǩ",Ķ:"Ķ",Ĺ:"Ĺ",Ľ:"Ľ",Ļ:"Ļ",Ḿ:"Ḿ",Ṁ:"Ṁ",Ń:"Ń",Ǹ:"Ǹ",Ñ:"Ñ",Ň:"Ň",Ṅ:"Ṅ",Ņ:"Ņ",Ó:"Ó",Ò:"Ò",Ö:"Ö",Ȫ:"Ȫ",Õ:"Õ",Ṍ:"Ṍ",Ṏ:"Ṏ",Ȭ:"Ȭ",Ō:"Ō",Ṓ:"Ṓ",Ṑ:"Ṑ",Ŏ:"Ŏ",Ǒ:"Ǒ",Ô:"Ô",Ố:"Ố",Ồ:"Ồ",Ỗ:"Ỗ",Ȯ:"Ȯ",Ȱ:"Ȱ",Ő:"Ő",Ṕ:"Ṕ",Ṗ:"Ṗ",Ŕ:"Ŕ",Ř:"Ř",Ṙ:"Ṙ",Ŗ:"Ŗ",Ś:"Ś",Ṥ:"Ṥ",Š:"Š",Ṧ:"Ṧ",Ŝ:"Ŝ",Ṡ:"Ṡ",Ş:"Ş",Ť:"Ť",Ṫ:"Ṫ",Ţ:"Ţ",Ú:"Ú",Ù:"Ù",Ü:"Ü",Ǘ:"Ǘ",Ǜ:"Ǜ",Ǖ:"Ǖ",Ǚ:"Ǚ",Ũ:"Ũ",Ṹ:"Ṹ",Ū:"Ū",Ṻ:"Ṻ",Ŭ:"Ŭ",Ǔ:"Ǔ",Û:"Û",Ů:"Ů",Ű:"Ű",Ṽ:"Ṽ",Ẃ:"Ẃ",Ẁ:"Ẁ",Ẅ:"Ẅ",Ŵ:"Ŵ",Ẇ:"Ẇ",Ẍ:"Ẍ",Ẋ:"Ẋ",Ý:"Ý",Ỳ:"Ỳ",Ÿ:"Ÿ",Ỹ:"Ỹ",Ȳ:"Ȳ",Ŷ:"Ŷ",Ẏ:"Ẏ",Ź:"Ź",Ž:"Ž",Ẑ:"Ẑ",Ż:"Ż",ά:"ά",ὰ:"ὰ",ᾱ:"ᾱ",ᾰ:"ᾰ",έ:"έ",ὲ:"ὲ",ή:"ή",ὴ:"ὴ",ί:"ί",ὶ:"ὶ",ϊ:"ϊ",ΐ:"ΐ",ῒ:"ῒ",ῑ:"ῑ",ῐ:"ῐ",ό:"ό",ὸ:"ὸ",ύ:"ύ",ὺ:"ὺ",ϋ:"ϋ",ΰ:"ΰ",ῢ:"ῢ",ῡ:"ῡ",ῠ:"ῠ",ώ:"ώ",ὼ:"ὼ",Ύ:"Ύ",Ὺ:"Ὺ",Ϋ:"Ϋ",Ῡ:"Ῡ",Ῠ:"Ῠ",Ώ:"Ώ",Ὼ:"Ὼ"};let Parser$1=class QT{constructor(qa,Ja){this.mode=void 0,this.gullet=void 0,this.settings=void 0,this.leftrightDepth=void 0,this.nextToken=void 0,this.mode="math",this.gullet=new MacroExpander(qa,Ja,this.mode),this.settings=Ja,this.leftrightDepth=0}expect(qa,Ja){if(Ja===void 0&&(Ja=!0),this.fetch().text!==qa)throw new ParseError("Expected '"+qa+"', got '"+this.fetch().text+"'",this.fetch());Ja&&this.consume()}consume(){this.nextToken=null}fetch(){return this.nextToken==null&&(this.nextToken=this.gullet.expandNextToken()),this.nextToken}switchMode(qa){this.mode=qa,this.gullet.switchMode(qa)}parse(){this.settings.globalGroup||this.gullet.beginGroup(),this.settings.colorIsTextColor&&this.gullet.macros.set("\\color","\\textcolor");try{var qa=this.parseExpression(!1);return this.expect("EOF"),this.settings.globalGroup||this.gullet.endGroup(),qa}finally{this.gullet.endGroups()}}subparse(qa){var Ja=this.nextToken;this.consume(),this.gullet.pushToken(new Token("}")),this.gullet.pushTokens(qa);var ed=this.parseExpression(!1);return this.expect("}"),this.nextToken=Ja,ed}parseExpression(qa,Ja){for(var ed=[];;){this.mode==="math"&&this.consumeSpaces();var td=this.fetch();if(QT.endOfExpression.indexOf(td.text)!==-1||Ja&&td.text===Ja||qa&&functions[td.text]&&functions[td.text].infix)break;var rd=this.parseAtom(Ja);if(rd){if(rd.type==="internal")continue}else break;ed.push(rd)}return this.mode==="text"&&this.formLigatures(ed),this.handleInfixNodes(ed)}handleInfixNodes(qa){for(var Ja=-1,ed,td=0;td<qa.length;td++)if(qa[td].type==="infix"){if(Ja!==-1)throw new ParseError("only one infix operator per group",qa[td].token);Ja=td,ed=qa[td].replaceWith}if(Ja!==-1&&ed){var rd,sd,od=qa.slice(0,Ja),ld=qa.slice(Ja+1);od.length===1&&od[0].type==="ordgroup"?rd=od[0]:rd={type:"ordgroup",mode:this.mode,body:od},ld.length===1&&ld[0].type==="ordgroup"?sd=ld[0]:sd={type:"ordgroup",mode:this.mode,body:ld};var cd;return ed==="\\\\abovefrac"?cd=this.callFunction(ed,[rd,qa[Ja],sd],[]):cd=this.callFunction(ed,[rd,sd],[]),[cd]}else return qa}handleSupSubscript(qa){var Ja=this.fetch(),ed=Ja.text;this.consume(),this.consumeSpaces();var td=this.parseGroup(qa);if(!td)throw new ParseError("Expected group after '"+ed+"'",Ja);return td}formatUnsupportedCmd(qa){for(var Ja=[],ed=0;ed<qa.length;ed++)Ja.push({type:"textord",mode:"text",text:qa[ed]});var td={type:"text",mode:this.mode,body:Ja},rd={type:"color",mode:this.mode,color:this.settings.errorColor,body:[td]};return rd}parseAtom(qa){var Ja=this.parseGroup("atom",qa);if(this.mode==="text")return Ja;for(var ed,td;;){this.consumeSpaces();var rd=this.fetch();if(rd.text==="\\limits"||rd.text==="\\nolimits"){if(Ja&&Ja.type==="op"){var sd=rd.text==="\\limits";Ja.limits=sd,Ja.alwaysHandleSupSub=!0}else if(Ja&&Ja.type==="operatorname")Ja.alwaysHandleSupSub&&(Ja.limits=rd.text==="\\limits");else throw new ParseError("Limit controls must follow a math operator",rd);this.consume()}else if(rd.text==="^"){if(ed)throw new ParseError("Double superscript",rd);ed=this.handleSupSubscript("superscript")}else if(rd.text==="_"){if(td)throw new ParseError("Double subscript",rd);td=this.handleSupSubscript("subscript")}else if(rd.text==="'"){if(ed)throw new ParseError("Double superscript",rd);var od={type:"textord",mode:this.mode,text:"\\prime"},ld=[od];for(this.consume();this.fetch().text==="'";)ld.push(od),this.consume();this.fetch().text==="^"&&ld.push(this.handleSupSubscript("superscript")),ed={type:"ordgroup",mode:this.mode,body:ld}}else if(uSubsAndSups[rd.text]){var cd=unicodeSubRegEx.test(rd.text),ud=[];for(ud.push(new Token(uSubsAndSups[rd.text])),this.consume();;){var _d=this.fetch().text;if(!uSubsAndSups[_d]||unicodeSubRegEx.test(_d)!==cd)break;ud.unshift(new Token(uSubsAndSups[_d])),this.consume()}var yd=this.subparse(ud);cd?td={type:"ordgroup",mode:"math",body:yd}:ed={type:"ordgroup",mode:"math",body:yd}}else break}return ed||td?{type:"supsub",mode:this.mode,base:Ja,sup:ed,sub:td}:Ja}parseFunction(qa,Ja){var ed=this.fetch(),td=ed.text,rd=functions[td];if(!rd)return null;if(this.consume(),Ja&&Ja!=="atom"&&!rd.allowedInArgument)throw new ParseError("Got function '"+td+"' with no arguments"+(Ja?" as "+Ja:""),ed);if(this.mode==="text"&&!rd.allowedInText)throw new ParseError("Can't use function '"+td+"' in text mode",ed);if(this.mode==="math"&&rd.allowedInMath===!1)throw new ParseError("Can't use function '"+td+"' in math mode",ed);var{args:sd,optArgs:od}=this.parseArguments(td,rd);return this.callFunction(td,sd,od,ed,qa)}callFunction(qa,Ja,ed,td,rd){var sd={funcName:qa,parser:this,token:td,breakOnTokenText:rd},od=functions[qa];if(od&&od.handler)return od.handler(sd,Ja,ed);throw new ParseError("No function handler for "+qa)}parseArguments(qa,Ja){var ed=Ja.numArgs+Ja.numOptionalArgs;if(ed===0)return{args:[],optArgs:[]};for(var td=[],rd=[],sd=0;sd<ed;sd++){var od=Ja.argTypes&&Ja.argTypes[sd],ld=sd<Ja.numOptionalArgs;(Ja.primitive&&od==null||Ja.type==="sqrt"&&sd===1&&rd[0]==null)&&(od="primitive");var cd=this.parseGroupOfType("argument to '"+qa+"'",od,ld);if(ld)rd.push(cd);else if(cd!=null)td.push(cd);else throw new ParseError("Null argument, please report this as a bug")}return{args:td,optArgs:rd}}parseGroupOfType(qa,Ja,ed){switch(Ja){case"color":return this.parseColorGroup(ed);case"size":return this.parseSizeGroup(ed);case"url":return this.parseUrlGroup(ed);case"math":case"text":return this.parseArgumentGroup(ed,Ja);case"hbox":{var td=this.parseArgumentGroup(ed,"text");return td!=null?{type:"styling",mode:td.mode,body:[td],style:"text"}:null}case"raw":{var rd=this.parseStringGroup("raw",ed);return rd!=null?{type:"raw",mode:"text",string:rd.text}:null}case"primitive":{if(ed)throw new ParseError("A primitive argument cannot be optional");var sd=this.parseGroup(qa);if(sd==null)throw new ParseError("Expected group as "+qa,this.fetch());return sd}case"original":case null:case void 0:return this.parseArgumentGroup(ed);default:throw new ParseError("Unknown group type as "+qa,this.fetch())}}consumeSpaces(){for(;this.fetch().text===" ";)this.consume()}parseStringGroup(qa,Ja){var ed=this.gullet.scanArgument(Ja);if(ed==null)return null;for(var td="",rd;(rd=this.fetch()).text!=="EOF";)td+=rd.text,this.consume();return this.consume(),ed.text=td,ed}parseRegexGroup(qa,Ja){for(var ed=this.fetch(),td=ed,rd="",sd;(sd=this.fetch()).text!=="EOF"&&qa.test(rd+sd.text);)td=sd,rd+=td.text,this.consume();if(rd==="")throw new ParseError("Invalid "+Ja+": '"+ed.text+"'",ed);return ed.range(td,rd)}parseColorGroup(qa){var Ja=this.parseStringGroup("color",qa);if(Ja==null)return null;var ed=/^(#[a-f0-9]{3}|#?[a-f0-9]{6}|[a-z]+)$/i.exec(Ja.text);if(!ed)throw new ParseError("Invalid color: '"+Ja.text+"'",Ja);var td=ed[0];return/^[0-9a-f]{6}$/i.test(td)&&(td="#"+td),{type:"color-token",mode:this.mode,color:td}}parseSizeGroup(qa){var Ja,ed=!1;if(this.gullet.consumeSpaces(),!qa&&this.gullet.future().text!=="{"?Ja=this.parseRegexGroup(/^[-+]? *(?:$|\d+|\d+\.\d*|\.\d*) *[a-z]{0,2} *$/,"size"):Ja=this.parseStringGroup("size",qa),!Ja)return null;!qa&&Ja.text.length===0&&(Ja.text="0pt",ed=!0);var td=/([-+]?) *(\d+(?:\.\d*)?|\.\d+) *([a-z]{2})/.exec(Ja.text);if(!td)throw new ParseError("Invalid size: '"+Ja.text+"'",Ja);var rd={number:+(td[1]+td[2]),unit:td[3]};if(!validUnit(rd))throw new ParseError("Invalid unit: '"+rd.unit+"'",Ja);return{type:"size",mode:this.mode,value:rd,isBlank:ed}}parseUrlGroup(qa){this.gullet.lexer.setCatcode("%",13),this.gullet.lexer.setCatcode("~",12);var Ja=this.parseStringGroup("url",qa);if(this.gullet.lexer.setCatcode("%",14),this.gullet.lexer.setCatcode("~",13),Ja==null)return null;var ed=Ja.text.replace(/\\([#$%&~_^{}])/g,"$1");return{type:"url",mode:this.mode,url:ed}}parseArgumentGroup(qa,Ja){var ed=this.gullet.scanArgument(qa);if(ed==null)return null;var td=this.mode;Ja&&this.switchMode(Ja),this.gullet.beginGroup();var rd=this.parseExpression(!1,"EOF");this.expect("EOF"),this.gullet.endGroup();var sd={type:"ordgroup",mode:this.mode,loc:ed.loc,body:rd};return Ja&&this.switchMode(td),sd}parseGroup(qa,Ja){var ed=this.fetch(),td=ed.text,rd;if(td==="{"||td==="\\begingroup"){this.consume();var sd=td==="{"?"}":"\\endgroup";this.gullet.beginGroup();var od=this.parseExpression(!1,sd),ld=this.fetch();this.expect(sd),this.gullet.endGroup(),rd={type:"ordgroup",mode:this.mode,loc:SourceLocation.range(ed,ld),body:od,semisimple:td==="\\begingroup"||void 0}}else if(rd=this.parseFunction(Ja,qa)||this.parseSymbol(),rd==null&&td[0]==="\\"&&!implicitCommands.hasOwnProperty(td)){if(this.settings.throwOnError)throw new ParseError("Undefined control sequence: "+td,ed);rd=this.formatUnsupportedCmd(td),this.consume()}return rd}formLigatures(qa){for(var Ja=qa.length-1,ed=0;ed<Ja;++ed){var td=qa[ed],rd=td.text;rd==="-"&&qa[ed+1].text==="-"&&(ed+1<Ja&&qa[ed+2].text==="-"?(qa.splice(ed,3,{type:"textord",mode:"text",loc:SourceLocation.range(td,qa[ed+2]),text:"---"}),Ja-=2):(qa.splice(ed,2,{type:"textord",mode:"text",loc:SourceLocation.range(td,qa[ed+1]),text:"--"}),Ja-=1)),(rd==="'"||rd==="`")&&qa[ed+1].text===rd&&(qa.splice(ed,2,{type:"textord",mode:"text",loc:SourceLocation.range(td,qa[ed+1]),text:rd+rd}),Ja-=1)}}parseSymbol(){var qa=this.fetch(),Ja=qa.text;if(/^\\verb[^a-zA-Z]/.test(Ja)){this.consume();var ed=Ja.slice(5),td=ed.charAt(0)==="*";if(td&&(ed=ed.slice(1)),ed.length<2||ed.charAt(0)!==ed.slice(-1))throw new ParseError(`\\verb assertion failed --
|
||
please report what input caused this bug`);return ed=ed.slice(1,-1),{type:"verb",mode:"text",body:ed,star:td}}unicodeSymbols.hasOwnProperty(Ja[0])&&!symbols[this.mode][Ja[0]]&&(this.settings.strict&&this.mode==="math"&&this.settings.reportNonstrict("unicodeTextInMathMode",'Accented Unicode text character "'+Ja[0]+'" used in math mode',qa),Ja=unicodeSymbols[Ja[0]]+Ja.slice(1));var rd=combiningDiacriticalMarksEndRegex.exec(Ja);rd&&(Ja=Ja.substring(0,rd.index),Ja==="i"?Ja="ı":Ja==="j"&&(Ja="ȷ"));var sd;if(symbols[this.mode][Ja]){this.settings.strict&&this.mode==="math"&&extraLatin.indexOf(Ja)>=0&&this.settings.reportNonstrict("unicodeTextInMathMode",'Latin-1/Unicode text character "'+Ja[0]+'" used in math mode',qa);var od=symbols[this.mode][Ja].group,ld=SourceLocation.range(qa),cd;if(ATOMS.hasOwnProperty(od)){var ud=od;cd={type:"atom",mode:this.mode,family:ud,loc:ld,text:Ja}}else cd={type:od,mode:this.mode,loc:ld,text:Ja};sd=cd}else if(Ja.charCodeAt(0)>=128)this.settings.strict&&(supportedCodepoint(Ja.charCodeAt(0))?this.mode==="math"&&this.settings.reportNonstrict("unicodeTextInMathMode",'Unicode text character "'+Ja[0]+'" used in math mode',qa):this.settings.reportNonstrict("unknownSymbol",'Unrecognized Unicode character "'+Ja[0]+'"'+(" ("+Ja.charCodeAt(0)+")"),qa)),sd={type:"textord",mode:"text",loc:SourceLocation.range(qa),text:Ja};else return null;if(this.consume(),rd)for(var _d=0;_d<rd[0].length;_d++){var yd=rd[0][_d];if(!unicodeAccents[yd])throw new ParseError("Unknown accent ' "+yd+"'",qa);var gd=unicodeAccents[yd][this.mode]||unicodeAccents[yd].text;if(!gd)throw new ParseError("Accent "+yd+" unsupported in "+this.mode+" mode",qa);sd={type:"accent",mode:this.mode,loc:SourceLocation.range(qa),label:gd,isStretchy:!1,isShifty:!0,base:sd}}return sd}};Parser$1.endOfExpression=["}","\\endgroup","\\end","\\right","&"];var parseTree=function(qa,Ja){if(!(typeof qa=="string"||qa instanceof String))throw new TypeError("KaTeX can only parse string typed expression");var ed=new Parser$1(qa,Ja);delete ed.gullet.macros.current["\\df@tag"];var td=ed.parse();if(delete ed.gullet.macros.current["\\current@color"],delete ed.gullet.macros.current["\\color"],ed.gullet.macros.get("\\df@tag")){if(!Ja.displayMode)throw new ParseError("\\tag works only in display equations");td=[{type:"tag",mode:"text",body:td,tag:ed.subparse([new Token("\\df@tag")])}]}return td},render$2=function(qa,Ja,ed){Ja.textContent="";var td=renderToDomTree(qa,ed).toNode();Ja.appendChild(td)};typeof document<"u"&&document.compatMode!=="CSS1Compat"&&(typeof console<"u"&&console.warn("Warning: KaTeX doesn't work in quirks mode. Make sure your website has a suitable doctype."),render$2=function(){throw new ParseError("KaTeX doesn't work in quirks mode.")});var renderToString=function(qa,Ja){var ed=renderToDomTree(qa,Ja).toMarkup();return ed},generateParseTree=function(qa,Ja){var ed=new Settings(Ja);return parseTree(qa,ed)},renderError=function(qa,Ja,ed){if(ed.throwOnError||!(qa instanceof ParseError))throw qa;var td=buildCommon.makeSpan(["katex-error"],[new SymbolNode(Ja)]);return td.setAttribute("title",qa.toString()),td.setAttribute("style","color:"+ed.errorColor),td},renderToDomTree=function(qa,Ja){var ed=new Settings(Ja);try{var td=parseTree(qa,ed);return buildTree(td,qa,ed)}catch(rd){return renderError(rd,qa,ed)}},renderToHTMLTree=function(qa,Ja){var ed=new Settings(Ja);try{var td=parseTree(qa,ed);return buildHTMLTree(td,qa,ed)}catch(rd){return renderError(rd,qa,ed)}},katex={version:"0.16.11",render:render$2,renderToString,ParseError,SETTINGS_SCHEMA,__parse:generateParseTree,__renderToDomTree:renderToDomTree,__renderToHTMLTree:renderToHTMLTree,__setFontMetrics:setFontMetrics,__defineSymbol:defineSymbol,__defineFunction:defineFunction,__defineMacro:defineMacro,__domTree:{Span,Anchor,SymbolNode,SvgNode,PathNode,LineNode}};const katex$1=Object.freeze(Object.defineProperty({__proto__:null,default:katex},Symbol.toStringTag,{value:"Module"})),inlineRule=/^(\${1,2})(?!\$)((?:\\.|[^\\\n])*?(?:\\.|[^\\\n\$]))\1(?=[\s?!\.,:?!。,:]|$)/,inlineRuleNonStandard=/^(\${1,2})(?!\$)((?:\\.|[^\\\n])*?(?:\\.|[^\\\n\$]))\1/,blockRule=/^(\${1,2})\n((?:\\[^]|[^\\])+?)\n\1(?:\n|$)/;function markedKatex(Ra={}){return{extensions:[inlineKatex(Ra,createRenderer(Ra,!1)),blockKatex(Ra,createRenderer(Ra,!0))]}}function createRenderer(Ra,qa){return Ja=>katex.renderToString(Ja.text,{...Ra,displayMode:Ja.displayMode})+(qa?`
|
||
`:"")}function inlineKatex(Ra,qa){const Ja=Ra&&Ra.nonStandard,ed=Ja?inlineRuleNonStandard:inlineRule;return{name:"inlineKatex",level:"inline",start(td){let rd,sd=td;for(;sd;){if(rd=sd.indexOf("$"),rd===-1)return;if((Ja?rd>-1:rd===0||sd.charAt(rd-1)===" ")&&sd.substring(rd).match(ed))return rd;sd=sd.substring(rd+1).replace(/^\$+/,"")}},tokenizer(td,rd){const sd=td.match(ed);if(sd)return{type:"inlineKatex",raw:sd[0],text:sd[2].trim(),displayMode:sd[1].length===2}},renderer:qa}}function blockKatex(Ra,qa){return{name:"blockKatex",level:"block",tokenizer(Ja,ed){const td=Ja.match(blockRule);if(td)return{type:"blockKatex",raw:td[0],text:td[2].trim(),displayMode:td[1].length===2}},renderer:qa}}const scriptRel="modulepreload",assetsURL=function(Ra){return"/md/"+Ra},seen={},__vitePreload=function(qa,Ja,ed){let td=Promise.resolve();if(Ja&&Ja.length>0){document.getElementsByTagName("link");const rd=document.querySelector("meta[property=csp-nonce]"),sd=(rd==null?void 0:rd.nonce)||(rd==null?void 0:rd.getAttribute("nonce"));td=Promise.all(Ja.map(od=>{if(od=assetsURL(od),od in seen)return;seen[od]=!0;const ld=od.endsWith(".css"),cd=ld?'[rel="stylesheet"]':"";if(document.querySelector(`link[href="${od}"]${cd}`))return;const ud=document.createElement("link");if(ud.rel=ld?"stylesheet":scriptRel,ld||(ud.as="script",ud.crossOrigin=""),ud.href=od,sd&&ud.setAttribute("nonce",sd),document.head.appendChild(ud),ld)return new Promise((_d,yd)=>{ud.addEventListener("load",_d),ud.addEventListener("error",()=>yd(new Error(`Unable to preload CSS for ${od}`)))})}))}return td.then(()=>qa()).catch(rd=>{const sd=new Event("vite:preloadError",{cancelable:!0});if(sd.payload=rd,window.dispatchEvent(sd),!sd.defaultPrevented)throw rd})},Channel={min:{r:0,g:0,b:0,s:0,l:0,a:0},max:{r:255,g:255,b:255,h:360,s:100,l:100,a:1},clamp:{r:Ra=>Ra>=255?255:Ra<0?0:Ra,g:Ra=>Ra>=255?255:Ra<0?0:Ra,b:Ra=>Ra>=255?255:Ra<0?0:Ra,h:Ra=>Ra%360,s:Ra=>Ra>=100?100:Ra<0?0:Ra,l:Ra=>Ra>=100?100:Ra<0?0:Ra,a:Ra=>Ra>=1?1:Ra<0?0:Ra},toLinear:Ra=>{const qa=Ra/255;return Ra>.03928?Math.pow((qa+.055)/1.055,2.4):qa/12.92},hue2rgb:(Ra,qa,Ja)=>(Ja<0&&(Ja+=1),Ja>1&&(Ja-=1),Ja<1/6?Ra+(qa-Ra)*6*Ja:Ja<1/2?qa:Ja<2/3?Ra+(qa-Ra)*(2/3-Ja)*6:Ra),hsl2rgb:({h:Ra,s:qa,l:Ja},ed)=>{if(!qa)return Ja*2.55;Ra/=360,qa/=100,Ja/=100;const td=Ja<.5?Ja*(1+qa):Ja+qa-Ja*qa,rd=2*Ja-td;switch(ed){case"r":return Channel.hue2rgb(rd,td,Ra+1/3)*255;case"g":return Channel.hue2rgb(rd,td,Ra)*255;case"b":return Channel.hue2rgb(rd,td,Ra-1/3)*255}},rgb2hsl:({r:Ra,g:qa,b:Ja},ed)=>{Ra/=255,qa/=255,Ja/=255;const td=Math.max(Ra,qa,Ja),rd=Math.min(Ra,qa,Ja),sd=(td+rd)/2;if(ed==="l")return sd*100;if(td===rd)return 0;const od=td-rd,ld=sd>.5?od/(2-td-rd):od/(td+rd);if(ed==="s")return ld*100;switch(td){case Ra:return((qa-Ja)/od+(qa<Ja?6:0))*60;case qa:return((Ja-Ra)/od+2)*60;case Ja:return((Ra-qa)/od+4)*60;default:return-1}}},Lang$2={clamp:(Ra,qa,Ja)=>qa>Ja?Math.min(qa,Math.max(Ja,Ra)):Math.min(Ja,Math.max(qa,Ra)),round:Ra=>Math.round(Ra*1e10)/1e10},Unit={dec2hex:Ra=>{const qa=Math.round(Ra).toString(16);return qa.length>1?qa:`0${qa}`}},Utils={channel:Channel,lang:Lang$2,unit:Unit},DEC2HEX={};for(let Ra=0;Ra<=255;Ra++)DEC2HEX[Ra]=Utils.unit.dec2hex(Ra);const TYPE={ALL:0,RGB:1,HSL:2};class Type{constructor(){this.type=TYPE.ALL}get(){return this.type}set(qa){if(this.type&&this.type!==qa)throw new Error("Cannot change both RGB and HSL channels at the same time");this.type=qa}reset(){this.type=TYPE.ALL}is(qa){return this.type===qa}}class Channels{constructor(qa,Ja){this.color=Ja,this.changed=!1,this.data=qa,this.type=new Type}set(qa,Ja){return this.color=Ja,this.changed=!1,this.data=qa,this.type.type=TYPE.ALL,this}_ensureHSL(){const qa=this.data,{h:Ja,s:ed,l:td}=qa;Ja===void 0&&(qa.h=Utils.channel.rgb2hsl(qa,"h")),ed===void 0&&(qa.s=Utils.channel.rgb2hsl(qa,"s")),td===void 0&&(qa.l=Utils.channel.rgb2hsl(qa,"l"))}_ensureRGB(){const qa=this.data,{r:Ja,g:ed,b:td}=qa;Ja===void 0&&(qa.r=Utils.channel.hsl2rgb(qa,"r")),ed===void 0&&(qa.g=Utils.channel.hsl2rgb(qa,"g")),td===void 0&&(qa.b=Utils.channel.hsl2rgb(qa,"b"))}get r(){const qa=this.data,Ja=qa.r;return!this.type.is(TYPE.HSL)&&Ja!==void 0?Ja:(this._ensureHSL(),Utils.channel.hsl2rgb(qa,"r"))}get g(){const qa=this.data,Ja=qa.g;return!this.type.is(TYPE.HSL)&&Ja!==void 0?Ja:(this._ensureHSL(),Utils.channel.hsl2rgb(qa,"g"))}get b(){const qa=this.data,Ja=qa.b;return!this.type.is(TYPE.HSL)&&Ja!==void 0?Ja:(this._ensureHSL(),Utils.channel.hsl2rgb(qa,"b"))}get h(){const qa=this.data,Ja=qa.h;return!this.type.is(TYPE.RGB)&&Ja!==void 0?Ja:(this._ensureRGB(),Utils.channel.rgb2hsl(qa,"h"))}get s(){const qa=this.data,Ja=qa.s;return!this.type.is(TYPE.RGB)&&Ja!==void 0?Ja:(this._ensureRGB(),Utils.channel.rgb2hsl(qa,"s"))}get l(){const qa=this.data,Ja=qa.l;return!this.type.is(TYPE.RGB)&&Ja!==void 0?Ja:(this._ensureRGB(),Utils.channel.rgb2hsl(qa,"l"))}get a(){return this.data.a}set r(qa){this.type.set(TYPE.RGB),this.changed=!0,this.data.r=qa}set g(qa){this.type.set(TYPE.RGB),this.changed=!0,this.data.g=qa}set b(qa){this.type.set(TYPE.RGB),this.changed=!0,this.data.b=qa}set h(qa){this.type.set(TYPE.HSL),this.changed=!0,this.data.h=qa}set s(qa){this.type.set(TYPE.HSL),this.changed=!0,this.data.s=qa}set l(qa){this.type.set(TYPE.HSL),this.changed=!0,this.data.l=qa}set a(qa){this.changed=!0,this.data.a=qa}}const channels=new Channels({r:0,g:0,b:0,a:0},"transparent"),Hex={re:/^#((?:[a-f0-9]{2}){2,4}|[a-f0-9]{3})$/i,parse:Ra=>{if(Ra.charCodeAt(0)!==35)return;const qa=Ra.match(Hex.re);if(!qa)return;const Ja=qa[1],ed=parseInt(Ja,16),td=Ja.length,rd=td%4===0,sd=td>4,od=sd?1:17,ld=sd?8:4,cd=rd?0:-1,ud=sd?255:15;return channels.set({r:(ed>>ld*(cd+3)&ud)*od,g:(ed>>ld*(cd+2)&ud)*od,b:(ed>>ld*(cd+1)&ud)*od,a:rd?(ed&ud)*od/255:1},Ra)},stringify:Ra=>{const{r:qa,g:Ja,b:ed,a:td}=Ra;return td<1?`#${DEC2HEX[Math.round(qa)]}${DEC2HEX[Math.round(Ja)]}${DEC2HEX[Math.round(ed)]}${DEC2HEX[Math.round(td*255)]}`:`#${DEC2HEX[Math.round(qa)]}${DEC2HEX[Math.round(Ja)]}${DEC2HEX[Math.round(ed)]}`}},HSL={re:/^hsla?\(\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e-?\d+)?(?:deg|grad|rad|turn)?)\s*?(?:,|\s)\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e-?\d+)?%)\s*?(?:,|\s)\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e-?\d+)?%)(?:\s*?(?:,|\/)\s*?\+?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e-?\d+)?(%)?))?\s*?\)$/i,hueRe:/^(.+?)(deg|grad|rad|turn)$/i,_hue2deg:Ra=>{const qa=Ra.match(HSL.hueRe);if(qa){const[,Ja,ed]=qa;switch(ed){case"grad":return Utils.channel.clamp.h(parseFloat(Ja)*.9);case"rad":return Utils.channel.clamp.h(parseFloat(Ja)*180/Math.PI);case"turn":return Utils.channel.clamp.h(parseFloat(Ja)*360)}}return Utils.channel.clamp.h(parseFloat(Ra))},parse:Ra=>{const qa=Ra.charCodeAt(0);if(qa!==104&&qa!==72)return;const Ja=Ra.match(HSL.re);if(!Ja)return;const[,ed,td,rd,sd,od]=Ja;return channels.set({h:HSL._hue2deg(ed),s:Utils.channel.clamp.s(parseFloat(td)),l:Utils.channel.clamp.l(parseFloat(rd)),a:sd?Utils.channel.clamp.a(od?parseFloat(sd)/100:parseFloat(sd)):1},Ra)},stringify:Ra=>{const{h:qa,s:Ja,l:ed,a:td}=Ra;return td<1?`hsla(${Utils.lang.round(qa)}, ${Utils.lang.round(Ja)}%, ${Utils.lang.round(ed)}%, ${td})`:`hsl(${Utils.lang.round(qa)}, ${Utils.lang.round(Ja)}%, ${Utils.lang.round(ed)}%)`}},Keyword={colors:{aliceblue:"#f0f8ff",antiquewhite:"#faebd7",aqua:"#00ffff",aquamarine:"#7fffd4",azure:"#f0ffff",beige:"#f5f5dc",bisque:"#ffe4c4",black:"#000000",blanchedalmond:"#ffebcd",blue:"#0000ff",blueviolet:"#8a2be2",brown:"#a52a2a",burlywood:"#deb887",cadetblue:"#5f9ea0",chartreuse:"#7fff00",chocolate:"#d2691e",coral:"#ff7f50",cornflowerblue:"#6495ed",cornsilk:"#fff8dc",crimson:"#dc143c",cyanaqua:"#00ffff",darkblue:"#00008b",darkcyan:"#008b8b",darkgoldenrod:"#b8860b",darkgray:"#a9a9a9",darkgreen:"#006400",darkgrey:"#a9a9a9",darkkhaki:"#bdb76b",darkmagenta:"#8b008b",darkolivegreen:"#556b2f",darkorange:"#ff8c00",darkorchid:"#9932cc",darkred:"#8b0000",darksalmon:"#e9967a",darkseagreen:"#8fbc8f",darkslateblue:"#483d8b",darkslategray:"#2f4f4f",darkslategrey:"#2f4f4f",darkturquoise:"#00ced1",darkviolet:"#9400d3",deeppink:"#ff1493",deepskyblue:"#00bfff",dimgray:"#696969",dimgrey:"#696969",dodgerblue:"#1e90ff",firebrick:"#b22222",floralwhite:"#fffaf0",forestgreen:"#228b22",fuchsia:"#ff00ff",gainsboro:"#dcdcdc",ghostwhite:"#f8f8ff",gold:"#ffd700",goldenrod:"#daa520",gray:"#808080",green:"#008000",greenyellow:"#adff2f",grey:"#808080",honeydew:"#f0fff0",hotpink:"#ff69b4",indianred:"#cd5c5c",indigo:"#4b0082",ivory:"#fffff0",khaki:"#f0e68c",lavender:"#e6e6fa",lavenderblush:"#fff0f5",lawngreen:"#7cfc00",lemonchiffon:"#fffacd",lightblue:"#add8e6",lightcoral:"#f08080",lightcyan:"#e0ffff",lightgoldenrodyellow:"#fafad2",lightgray:"#d3d3d3",lightgreen:"#90ee90",lightgrey:"#d3d3d3",lightpink:"#ffb6c1",lightsalmon:"#ffa07a",lightseagreen:"#20b2aa",lightskyblue:"#87cefa",lightslategray:"#778899",lightslategrey:"#778899",lightsteelblue:"#b0c4de",lightyellow:"#ffffe0",lime:"#00ff00",limegreen:"#32cd32",linen:"#faf0e6",magenta:"#ff00ff",maroon:"#800000",mediumaquamarine:"#66cdaa",mediumblue:"#0000cd",mediumorchid:"#ba55d3",mediumpurple:"#9370db",mediumseagreen:"#3cb371",mediumslateblue:"#7b68ee",mediumspringgreen:"#00fa9a",mediumturquoise:"#48d1cc",mediumvioletred:"#c71585",midnightblue:"#191970",mintcream:"#f5fffa",mistyrose:"#ffe4e1",moccasin:"#ffe4b5",navajowhite:"#ffdead",navy:"#000080",oldlace:"#fdf5e6",olive:"#808000",olivedrab:"#6b8e23",orange:"#ffa500",orangered:"#ff4500",orchid:"#da70d6",palegoldenrod:"#eee8aa",palegreen:"#98fb98",paleturquoise:"#afeeee",palevioletred:"#db7093",papayawhip:"#ffefd5",peachpuff:"#ffdab9",peru:"#cd853f",pink:"#ffc0cb",plum:"#dda0dd",powderblue:"#b0e0e6",purple:"#800080",rebeccapurple:"#663399",red:"#ff0000",rosybrown:"#bc8f8f",royalblue:"#4169e1",saddlebrown:"#8b4513",salmon:"#fa8072",sandybrown:"#f4a460",seagreen:"#2e8b57",seashell:"#fff5ee",sienna:"#a0522d",silver:"#c0c0c0",skyblue:"#87ceeb",slateblue:"#6a5acd",slategray:"#708090",slategrey:"#708090",snow:"#fffafa",springgreen:"#00ff7f",tan:"#d2b48c",teal:"#008080",thistle:"#d8bfd8",transparent:"#00000000",turquoise:"#40e0d0",violet:"#ee82ee",wheat:"#f5deb3",white:"#ffffff",whitesmoke:"#f5f5f5",yellow:"#ffff00",yellowgreen:"#9acd32"},parse:Ra=>{Ra=Ra.toLowerCase();const qa=Keyword.colors[Ra];if(qa)return Hex.parse(qa)},stringify:Ra=>{const qa=Hex.stringify(Ra);for(const Ja in Keyword.colors)if(Keyword.colors[Ja]===qa)return Ja}},RGB={re:/^rgba?\(\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e\d+)?(%?))\s*?(?:,|\s)\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e\d+)?(%?))\s*?(?:,|\s)\s*?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e\d+)?(%?))(?:\s*?(?:,|\/)\s*?\+?(-?(?:\d+(?:\.\d+)?|(?:\.\d+))(?:e\d+)?(%?)))?\s*?\)$/i,parse:Ra=>{const qa=Ra.charCodeAt(0);if(qa!==114&&qa!==82)return;const Ja=Ra.match(RGB.re);if(!Ja)return;const[,ed,td,rd,sd,od,ld,cd,ud]=Ja;return channels.set({r:Utils.channel.clamp.r(td?parseFloat(ed)*2.55:parseFloat(ed)),g:Utils.channel.clamp.g(sd?parseFloat(rd)*2.55:parseFloat(rd)),b:Utils.channel.clamp.b(ld?parseFloat(od)*2.55:parseFloat(od)),a:cd?Utils.channel.clamp.a(ud?parseFloat(cd)/100:parseFloat(cd)):1},Ra)},stringify:Ra=>{const{r:qa,g:Ja,b:ed,a:td}=Ra;return td<1?`rgba(${Utils.lang.round(qa)}, ${Utils.lang.round(Ja)}, ${Utils.lang.round(ed)}, ${Utils.lang.round(td)})`:`rgb(${Utils.lang.round(qa)}, ${Utils.lang.round(Ja)}, ${Utils.lang.round(ed)})`}},Color$1={format:{keyword:Keyword,hex:Hex,rgb:RGB,rgba:RGB,hsl:HSL,hsla:HSL},parse:Ra=>{if(typeof Ra!="string")return Ra;const qa=Hex.parse(Ra)||RGB.parse(Ra)||HSL.parse(Ra)||Keyword.parse(Ra);if(qa)return qa;throw new Error(`Unsupported color format: "${Ra}"`)},stringify:Ra=>!Ra.changed&&Ra.color?Ra.color:Ra.type.is(TYPE.HSL)||Ra.data.r===void 0?HSL.stringify(Ra):Ra.a<1||!Number.isInteger(Ra.r)||!Number.isInteger(Ra.g)||!Number.isInteger(Ra.b)?RGB.stringify(Ra):Hex.stringify(Ra)},change=(Ra,qa)=>{const Ja=Color$1.parse(Ra);for(const ed in qa)Ja[ed]=Utils.channel.clamp[ed](qa[ed]);return Color$1.stringify(Ja)},rgba$1=(Ra,qa,Ja=0,ed=1)=>{if(typeof Ra!="number")return change(Ra,{a:qa});const td=channels.set({r:Utils.channel.clamp.r(Ra),g:Utils.channel.clamp.g(qa),b:Utils.channel.clamp.b(Ja),a:Utils.channel.clamp.a(ed)});return Color$1.stringify(td)},luminance=Ra=>{const{r:qa,g:Ja,b:ed}=Color$1.parse(Ra),td=.2126*Utils.channel.toLinear(qa)+.7152*Utils.channel.toLinear(Ja)+.0722*Utils.channel.toLinear(ed);return Utils.lang.round(td)},isLight=Ra=>luminance(Ra)>=.5,isDark=Ra=>!isLight(Ra),adjustChannel=(Ra,qa,Ja)=>{const ed=Color$1.parse(Ra),td=ed[qa],rd=Utils.channel.clamp[qa](td+Ja);return td!==rd&&(ed[qa]=rd),Color$1.stringify(ed)},lighten=(Ra,qa)=>adjustChannel(Ra,"l",qa),darken=(Ra,qa)=>adjustChannel(Ra,"l",-qa),adjust=(Ra,qa)=>{const Ja=Color$1.parse(Ra),ed={};for(const td in qa)qa[td]&&(ed[td]=Ja[td]+qa[td]);return change(Ra,ed)},mix=(Ra,qa,Ja=50)=>{const{r:ed,g:td,b:rd,a:sd}=Color$1.parse(Ra),{r:od,g:ld,b:cd,a:ud}=Color$1.parse(qa),_d=Ja/100,yd=_d*2-1,gd=sd-ud,Td=((yd*gd===-1?yd:(yd+gd)/(1+yd*gd))+1)/2,kd=1-Td,Rd=ed*Td+od*kd,Nd=td*Td+ld*kd,Id=rd*Td+cd*kd,Md=sd*_d+ud*(1-_d);return rgba$1(Rd,Nd,Id,Md)},invert=(Ra,qa=100)=>{const Ja=Color$1.parse(Ra);return Ja.r=255-Ja.r,Ja.g=255-Ja.g,Ja.b=255-Ja.b,mix(Ja,Ra,qa)};/*! @license DOMPurify 3.1.6 | (c) Cure53 and other contributors | Released under the Apache license 2.0 and Mozilla Public License 2.0 | github.com/cure53/DOMPurify/blob/3.1.6/LICENSE */const{entries,setPrototypeOf,isFrozen,getPrototypeOf:getPrototypeOf$2,getOwnPropertyDescriptor}=Object;let{freeze,seal,create:create$1}=Object,{apply:apply$1,construct}=typeof Reflect<"u"&&Reflect;freeze||(freeze=function(qa){return qa});seal||(seal=function(qa){return qa});apply$1||(apply$1=function(qa,Ja,ed){return qa.apply(Ja,ed)});construct||(construct=function(qa,Ja){return new qa(...Ja)});const arrayForEach=unapply(Array.prototype.forEach),arrayPop=unapply(Array.prototype.pop),arrayPush=unapply(Array.prototype.push),stringToLowerCase=unapply(String.prototype.toLowerCase),stringToString=unapply(String.prototype.toString),stringMatch=unapply(String.prototype.match),stringReplace=unapply(String.prototype.replace),stringIndexOf=unapply(String.prototype.indexOf),stringTrim=unapply(String.prototype.trim),objectHasOwnProperty=unapply(Object.prototype.hasOwnProperty),regExpTest=unapply(RegExp.prototype.test),typeErrorCreate=unconstruct(TypeError);function unapply(Ra){return function(qa){for(var Ja=arguments.length,ed=new Array(Ja>1?Ja-1:0),td=1;td<Ja;td++)ed[td-1]=arguments[td];return apply$1(Ra,qa,ed)}}function unconstruct(Ra){return function(){for(var qa=arguments.length,Ja=new Array(qa),ed=0;ed<qa;ed++)Ja[ed]=arguments[ed];return construct(Ra,Ja)}}function addToSet(Ra,qa){let Ja=arguments.length>2&&arguments[2]!==void 0?arguments[2]:stringToLowerCase;setPrototypeOf&&setPrototypeOf(Ra,null);let ed=qa.length;for(;ed--;){let td=qa[ed];if(typeof td=="string"){const rd=Ja(td);rd!==td&&(isFrozen(qa)||(qa[ed]=rd),td=rd)}Ra[td]=!0}return Ra}function cleanArray(Ra){for(let qa=0;qa<Ra.length;qa++)objectHasOwnProperty(Ra,qa)||(Ra[qa]=null);return Ra}function clone(Ra){const qa=create$1(null);for(const[Ja,ed]of entries(Ra))objectHasOwnProperty(Ra,Ja)&&(Array.isArray(ed)?qa[Ja]=cleanArray(ed):ed&&typeof ed=="object"&&ed.constructor===Object?qa[Ja]=clone(ed):qa[Ja]=ed);return qa}function lookupGetter(Ra,qa){for(;Ra!==null;){const ed=getOwnPropertyDescriptor(Ra,qa);if(ed){if(ed.get)return unapply(ed.get);if(typeof ed.value=="function")return unapply(ed.value)}Ra=getPrototypeOf$2(Ra)}function Ja(){return null}return Ja}const html$1$1=freeze(["a","abbr","acronym","address","area","article","aside","audio","b","bdi","bdo","big","blink","blockquote","body","br","button","canvas","caption","center","cite","code","col","colgroup","content","data","datalist","dd","decorator","del","details","dfn","dialog","dir","div","dl","dt","element","em","fieldset","figcaption","figure","font","footer","form","h1","h2","h3","h4","h5","h6","head","header","hgroup","hr","html","i","img","input","ins","kbd","label","legend","li","main","map","mark","marquee","menu","menuitem","meter","nav","nobr","ol","optgroup","option","output","p","picture","pre","progress","q","rp","rt","ruby","s","samp","section","select","shadow","small","source","spacer","span","strike","strong","style","sub","summary","sup","table","tbody","td","template","textarea","tfoot","th","thead","time","tr","track","tt","u","ul","var","video","wbr"]),svg$1=freeze(["svg","a","altglyph","altglyphdef","altglyphitem","animatecolor","animatemotion","animatetransform","circle","clippath","defs","desc","ellipse","filter","font","g","glyph","glyphref","hkern","image","line","lineargradient","marker","mask","metadata","mpath","path","pattern","polygon","polyline","radialgradient","rect","stop","style","switch","symbol","text","textpath","title","tref","tspan","view","vkern"]),svgFilters=freeze(["feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feDistantLight","feDropShadow","feFlood","feFuncA","feFuncB","feFuncG","feFuncR","feGaussianBlur","feImage","feMerge","feMergeNode","feMorphology","feOffset","fePointLight","feSpecularLighting","feSpotLight","feTile","feTurbulence"]),svgDisallowed=freeze(["animate","color-profile","cursor","discard","font-face","font-face-format","font-face-name","font-face-src","font-face-uri","foreignobject","hatch","hatchpath","mesh","meshgradient","meshpatch","meshrow","missing-glyph","script","set","solidcolor","unknown","use"]),mathMl$1=freeze(["math","menclose","merror","mfenced","mfrac","mglyph","mi","mlabeledtr","mmultiscripts","mn","mo","mover","mpadded","mphantom","mroot","mrow","ms","mspace","msqrt","mstyle","msub","msup","msubsup","mtable","mtd","mtext","mtr","munder","munderover","mprescripts"]),mathMlDisallowed=freeze(["maction","maligngroup","malignmark","mlongdiv","mscarries","mscarry","msgroup","mstack","msline","msrow","semantics","annotation","annotation-xml","mprescripts","none"]),text=freeze(["#text"]),html$2=freeze(["accept","action","align","alt","autocapitalize","autocomplete","autopictureinpicture","autoplay","background","bgcolor","border","capture","cellpadding","cellspacing","checked","cite","class","clear","color","cols","colspan","controls","controlslist","coords","crossorigin","datetime","decoding","default","dir","disabled","disablepictureinpicture","disableremoteplayback","download","draggable","enctype","enterkeyhint","face","for","headers","height","hidden","high","href","hreflang","id","inputmode","integrity","ismap","kind","label","lang","list","loading","loop","low","max","maxlength","media","method","min","minlength","multiple","muted","name","nonce","noshade","novalidate","nowrap","open","optimum","pattern","placeholder","playsinline","popover","popovertarget","popovertargetaction","poster","preload","pubdate","radiogroup","readonly","rel","required","rev","reversed","role","rows","rowspan","spellcheck","scope","selected","shape","size","sizes","span","srclang","start","src","srcset","step","style","summary","tabindex","title","translate","type","usemap","valign","value","width","wrap","xmlns","slot"]),svg=freeze(["accent-height","accumulate","additive","alignment-baseline","ascent","attributename","attributetype","azimuth","basefrequency","baseline-shift","begin","bias","by","class","clip","clippathunits","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","cx","cy","d","dx","dy","diffuseconstant","direction","display","divisor","dur","edgemode","elevation","end","fill","fill-opacity","fill-rule","filter","filterunits","flood-color","flood-opacity","font-family","font-size","font-size-adjust","font-stretch","font-style","font-variant","font-weight","fx","fy","g1","g2","glyph-name","glyphref","gradientunits","gradienttransform","height","href","id","image-rendering","in","in2","k","k1","k2","k3","k4","kerning","keypoints","keysplines","keytimes","lang","lengthadjust","letter-spacing","kernelmatrix","kernelunitlength","lighting-color","local","marker-end","marker-mid","marker-start","markerheight","markerunits","markerwidth","maskcontentunits","maskunits","max","mask","media","method","mode","min","name","numoctaves","offset","operator","opacity","order","orient","orientation","origin","overflow","paint-order","path","pathlength","patterncontentunits","patterntransform","patternunits","points","preservealpha","preserveaspectratio","primitiveunits","r","rx","ry","radius","refx","refy","repeatcount","repeatdur","restart","result","rotate","scale","seed","shape-rendering","specularconstant","specularexponent","spreadmethod","startoffset","stddeviation","stitchtiles","stop-color","stop-opacity","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke","stroke-width","style","surfacescale","systemlanguage","tabindex","targetx","targety","transform","transform-origin","text-anchor","text-decoration","text-rendering","textlength","type","u1","u2","unicode","values","viewbox","visibility","version","vert-adv-y","vert-origin-x","vert-origin-y","width","word-spacing","wrap","writing-mode","xchannelselector","ychannelselector","x","x1","x2","xmlns","y","y1","y2","z","zoomandpan"]),mathMl=freeze(["accent","accentunder","align","bevelled","close","columnsalign","columnlines","columnspan","denomalign","depth","dir","display","displaystyle","encoding","fence","frame","height","href","id","largeop","length","linethickness","lspace","lquote","mathbackground","mathcolor","mathsize","mathvariant","maxsize","minsize","movablelimits","notation","numalign","open","rowalign","rowlines","rowspacing","rowspan","rspace","rquote","scriptlevel","scriptminsize","scriptsizemultiplier","selection","separator","separators","stretchy","subscriptshift","supscriptshift","symmetric","voffset","width","xmlns"]),xml$3=freeze(["xlink:href","xml:id","xlink:title","xml:space","xmlns:xlink"]),MUSTACHE_EXPR=seal(/\{\{[\w\W]*|[\w\W]*\}\}/gm),ERB_EXPR=seal(/<%[\w\W]*|[\w\W]*%>/gm),TMPLIT_EXPR=seal(/\${[\w\W]*}/gm),DATA_ATTR=seal(/^data-[\-\w.\u00B7-\uFFFF]/),ARIA_ATTR=seal(/^aria-[\-\w]+$/),IS_ALLOWED_URI=seal(/^(?:(?:(?:f|ht)tps?|mailto|tel|callto|sms|cid|xmpp):|[^a-z]|[a-z+.\-]+(?:[^a-z+.\-:]|$))/i),IS_SCRIPT_OR_DATA=seal(/^(?:\w+script|data):/i),ATTR_WHITESPACE=seal(/[\u0000-\u0020\u00A0\u1680\u180E\u2000-\u2029\u205F\u3000]/g),DOCTYPE_NAME=seal(/^html$/i),CUSTOM_ELEMENT=seal(/^[a-z][.\w]*(-[.\w]+)+$/i);var EXPRESSIONS=Object.freeze({__proto__:null,MUSTACHE_EXPR,ERB_EXPR,TMPLIT_EXPR,DATA_ATTR,ARIA_ATTR,IS_ALLOWED_URI,IS_SCRIPT_OR_DATA,ATTR_WHITESPACE,DOCTYPE_NAME,CUSTOM_ELEMENT});const NODE_TYPE={element:1,attribute:2,text:3,cdataSection:4,entityReference:5,entityNode:6,progressingInstruction:7,comment:8,document:9,documentType:10,documentFragment:11,notation:12},getGlobal=function(){return typeof window>"u"?null:window},_createTrustedTypesPolicy=function(qa,Ja){if(typeof qa!="object"||typeof qa.createPolicy!="function")return null;let ed=null;const td="data-tt-policy-suffix";Ja&&Ja.hasAttribute(td)&&(ed=Ja.getAttribute(td));const rd="dompurify"+(ed?"#"+ed:"");try{return qa.createPolicy(rd,{createHTML(sd){return sd},createScriptURL(sd){return sd}})}catch{return console.warn("TrustedTypes policy "+rd+" could not be created."),null}};function createDOMPurify(){let Ra=arguments.length>0&&arguments[0]!==void 0?arguments[0]:getGlobal();const qa=Fh=>createDOMPurify(Fh);if(qa.version="3.1.6",qa.removed=[],!Ra||!Ra.document||Ra.document.nodeType!==NODE_TYPE.document)return qa.isSupported=!1,qa;let{document:Ja}=Ra;const ed=Ja,td=ed.currentScript,{DocumentFragment:rd,HTMLTemplateElement:sd,Node:od,Element:ld,NodeFilter:cd,NamedNodeMap:ud=Ra.NamedNodeMap||Ra.MozNamedAttrMap,HTMLFormElement:_d,DOMParser:yd,trustedTypes:gd}=Ra,Ed=ld.prototype,Td=lookupGetter(Ed,"cloneNode"),kd=lookupGetter(Ed,"remove"),Rd=lookupGetter(Ed,"nextSibling"),Nd=lookupGetter(Ed,"childNodes"),Id=lookupGetter(Ed,"parentNode");if(typeof sd=="function"){const Fh=Ja.createElement("template");Fh.content&&Fh.content.ownerDocument&&(Ja=Fh.content.ownerDocument)}let Md,Ld="";const{implementation:Pd,createNodeIterator:qd,createDocumentFragment:Yd,getElementsByTagName:Ud}=Ja,{importNode:Hd}=ed;let Vd={};qa.isSupported=typeof entries=="function"&&typeof Id=="function"&&Pd&&Pd.createHTMLDocument!==void 0;const{MUSTACHE_EXPR:Jd,ERB_EXPR:Zd,TMPLIT_EXPR:pf,DATA_ATTR:Xd,ARIA_ATTR:hf,IS_SCRIPT_OR_DATA:_f,ATTR_WHITESPACE:xf,CUSTOM_ELEMENT:Lf}=EXPRESSIONS;let{IS_ALLOWED_URI:Wf}=EXPRESSIONS,Yf=null;const If=addToSet({},[...html$1$1,...svg$1,...svgFilters,...mathMl$1,...text]);let Sf=null;const wf=addToSet({},[...html$2,...svg,...mathMl,...xml$3]);let Kf=Object.seal(create$1(null,{tagNameCheck:{writable:!0,configurable:!1,enumerable:!0,value:null},attributeNameCheck:{writable:!0,configurable:!1,enumerable:!0,value:null},allowCustomizedBuiltInElements:{writable:!0,configurable:!1,enumerable:!0,value:!1}})),Gf=null,gf=null,mf=!0,$f=!0,zf=!1,hh=!0,Vf=!1,kf=!0,Jf=!1,Ch=!1,qf=!1,Tf=!1,Af=!1,Pf=!1,gh=!0,Nh=!1;const dh="user-content-";let $h=!0,Rh=!1,jh={},Zh=null;const Wh=addToSet({},["annotation-xml","audio","colgroup","desc","foreignobject","head","iframe","math","mi","mn","mo","ms","mtext","noembed","noframes","noscript","plaintext","script","style","svg","template","thead","title","video","xmp"]);let sm=null;const fm=addToSet({},["audio","video","img","source","image","track"]);let ih=null;const Rf=addToSet({},["alt","class","for","id","label","name","pattern","placeholder","role","summary","title","value","style","xmlns"]),Df="http://www.w3.org/1998/Math/MathML",Zf="http://www.w3.org/2000/svg",bh="http://www.w3.org/1999/xhtml";let Lh=bh,Hh=!1,Xh=null;const gm=addToSet({},[Df,Zf,bh],stringToString);let om=null;const Gm=["application/xhtml+xml","text/html"],Fm="text/html";let Om=null,Jm=null;const N1=Ja.createElement("form"),R1=function(Ah){return Ah instanceof RegExp||Ah instanceof Function},x1=function(){let Ah=arguments.length>0&&arguments[0]!==void 0?arguments[0]:{};if(!(Jm&&Jm===Ah)){if((!Ah||typeof Ah!="object")&&(Ah={}),Ah=clone(Ah),om=Gm.indexOf(Ah.PARSER_MEDIA_TYPE)===-1?Fm:Ah.PARSER_MEDIA_TYPE,Om=om==="application/xhtml+xml"?stringToString:stringToLowerCase,Yf=objectHasOwnProperty(Ah,"ALLOWED_TAGS")?addToSet({},Ah.ALLOWED_TAGS,Om):If,Sf=objectHasOwnProperty(Ah,"ALLOWED_ATTR")?addToSet({},Ah.ALLOWED_ATTR,Om):wf,Xh=objectHasOwnProperty(Ah,"ALLOWED_NAMESPACES")?addToSet({},Ah.ALLOWED_NAMESPACES,stringToString):gm,ih=objectHasOwnProperty(Ah,"ADD_URI_SAFE_ATTR")?addToSet(clone(Rf),Ah.ADD_URI_SAFE_ATTR,Om):Rf,sm=objectHasOwnProperty(Ah,"ADD_DATA_URI_TAGS")?addToSet(clone(fm),Ah.ADD_DATA_URI_TAGS,Om):fm,Zh=objectHasOwnProperty(Ah,"FORBID_CONTENTS")?addToSet({},Ah.FORBID_CONTENTS,Om):Wh,Gf=objectHasOwnProperty(Ah,"FORBID_TAGS")?addToSet({},Ah.FORBID_TAGS,Om):{},gf=objectHasOwnProperty(Ah,"FORBID_ATTR")?addToSet({},Ah.FORBID_ATTR,Om):{},jh=objectHasOwnProperty(Ah,"USE_PROFILES")?Ah.USE_PROFILES:!1,mf=Ah.ALLOW_ARIA_ATTR!==!1,$f=Ah.ALLOW_DATA_ATTR!==!1,zf=Ah.ALLOW_UNKNOWN_PROTOCOLS||!1,hh=Ah.ALLOW_SELF_CLOSE_IN_ATTR!==!1,Vf=Ah.SAFE_FOR_TEMPLATES||!1,kf=Ah.SAFE_FOR_XML!==!1,Jf=Ah.WHOLE_DOCUMENT||!1,Tf=Ah.RETURN_DOM||!1,Af=Ah.RETURN_DOM_FRAGMENT||!1,Pf=Ah.RETURN_TRUSTED_TYPE||!1,qf=Ah.FORCE_BODY||!1,gh=Ah.SANITIZE_DOM!==!1,Nh=Ah.SANITIZE_NAMED_PROPS||!1,$h=Ah.KEEP_CONTENT!==!1,Rh=Ah.IN_PLACE||!1,Wf=Ah.ALLOWED_URI_REGEXP||IS_ALLOWED_URI,Lh=Ah.NAMESPACE||bh,Kf=Ah.CUSTOM_ELEMENT_HANDLING||{},Ah.CUSTOM_ELEMENT_HANDLING&&R1(Ah.CUSTOM_ELEMENT_HANDLING.tagNameCheck)&&(Kf.tagNameCheck=Ah.CUSTOM_ELEMENT_HANDLING.tagNameCheck),Ah.CUSTOM_ELEMENT_HANDLING&&R1(Ah.CUSTOM_ELEMENT_HANDLING.attributeNameCheck)&&(Kf.attributeNameCheck=Ah.CUSTOM_ELEMENT_HANDLING.attributeNameCheck),Ah.CUSTOM_ELEMENT_HANDLING&&typeof Ah.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements=="boolean"&&(Kf.allowCustomizedBuiltInElements=Ah.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements),Vf&&($f=!1),Af&&(Tf=!0),jh&&(Yf=addToSet({},text),Sf=[],jh.html===!0&&(addToSet(Yf,html$1$1),addToSet(Sf,html$2)),jh.svg===!0&&(addToSet(Yf,svg$1),addToSet(Sf,svg),addToSet(Sf,xml$3)),jh.svgFilters===!0&&(addToSet(Yf,svgFilters),addToSet(Sf,svg),addToSet(Sf,xml$3)),jh.mathMl===!0&&(addToSet(Yf,mathMl$1),addToSet(Sf,mathMl),addToSet(Sf,xml$3))),Ah.ADD_TAGS&&(Yf===If&&(Yf=clone(Yf)),addToSet(Yf,Ah.ADD_TAGS,Om)),Ah.ADD_ATTR&&(Sf===wf&&(Sf=clone(Sf)),addToSet(Sf,Ah.ADD_ATTR,Om)),Ah.ADD_URI_SAFE_ATTR&&addToSet(ih,Ah.ADD_URI_SAFE_ATTR,Om),Ah.FORBID_CONTENTS&&(Zh===Wh&&(Zh=clone(Zh)),addToSet(Zh,Ah.FORBID_CONTENTS,Om)),$h&&(Yf["#text"]=!0),Jf&&addToSet(Yf,["html","head","body"]),Yf.table&&(addToSet(Yf,["tbody"]),delete Gf.tbody),Ah.TRUSTED_TYPES_POLICY){if(typeof Ah.TRUSTED_TYPES_POLICY.createHTML!="function")throw typeErrorCreate('TRUSTED_TYPES_POLICY configuration option must provide a "createHTML" hook.');if(typeof Ah.TRUSTED_TYPES_POLICY.createScriptURL!="function")throw typeErrorCreate('TRUSTED_TYPES_POLICY configuration option must provide a "createScriptURL" hook.');Md=Ah.TRUSTED_TYPES_POLICY,Ld=Md.createHTML("")}else Md===void 0&&(Md=_createTrustedTypesPolicy(gd,td)),Md!==null&&typeof Ld=="string"&&(Ld=Md.createHTML(""));freeze&&freeze(Ah),Jm=Ah}},Xm=addToSet({},["mi","mo","mn","ms","mtext"]),F1=addToSet({},["foreignobject","annotation-xml"]),y1=addToSet({},["title","style","font","a","script"]),V1=addToSet({},[...svg$1,...svgFilters,...svgDisallowed]),Z1=addToSet({},[...mathMl$1,...mathMlDisallowed]),I1=function(Ah){let cm=Id(Ah);(!cm||!cm.tagName)&&(cm={namespaceURI:Lh,tagName:"template"});const um=stringToLowerCase(Ah.tagName),_m=stringToLowerCase(cm.tagName);return Xh[Ah.namespaceURI]?Ah.namespaceURI===Zf?cm.namespaceURI===bh?um==="svg":cm.namespaceURI===Df?um==="svg"&&(_m==="annotation-xml"||Xm[_m]):!!V1[um]:Ah.namespaceURI===Df?cm.namespaceURI===bh?um==="math":cm.namespaceURI===Zf?um==="math"&&F1[_m]:!!Z1[um]:Ah.namespaceURI===bh?cm.namespaceURI===Zf&&!F1[_m]||cm.namespaceURI===Df&&!Xm[_m]?!1:!Z1[um]&&(y1[um]||!V1[um]):!!(om==="application/xhtml+xml"&&Xh[Ah.namespaceURI]):!1},O1=function(Ah){arrayPush(qa.removed,{element:Ah});try{Id(Ah).removeChild(Ah)}catch{kd(Ah)}},jm=function(Ah,cm){try{arrayPush(qa.removed,{attribute:cm.getAttributeNode(Ah),from:cm})}catch{arrayPush(qa.removed,{attribute:null,from:cm})}if(cm.removeAttribute(Ah),Ah==="is"&&!Sf[Ah])if(Tf||Af)try{O1(cm)}catch{}else try{cm.setAttribute(Ah,"")}catch{}},g1=function(Ah){let cm=null,um=null;if(qf)Ah="<remove></remove>"+Ah;else{const $m=stringMatch(Ah,/^[\r\n\t ]+/);um=$m&&$m[0]}om==="application/xhtml+xml"&&Lh===bh&&(Ah='<html xmlns="http://www.w3.org/1999/xhtml"><head></head><body>'+Ah+"</body></html>");const _m=Md?Md.createHTML(Ah):Ah;if(Lh===bh)try{cm=new yd().parseFromString(_m,om)}catch{}if(!cm||!cm.documentElement){cm=Pd.createDocument(Lh,"template",null);try{cm.documentElement.innerHTML=Hh?Ld:_m}catch{}}const Ff=cm.body||cm.documentElement;return Ah&&um&&Ff.insertBefore(Ja.createTextNode(um),Ff.childNodes[0]||null),Lh===bh?Ud.call(cm,Jf?"html":"body")[0]:Jf?cm.documentElement:Ff},l0=function(Ah){return qd.call(Ah.ownerDocument||Ah,Ah,cd.SHOW_ELEMENT|cd.SHOW_COMMENT|cd.SHOW_TEXT|cd.SHOW_PROCESSING_INSTRUCTION|cd.SHOW_CDATA_SECTION,null)},B1=function(Ah){return Ah instanceof _d&&(typeof Ah.nodeName!="string"||typeof Ah.textContent!="string"||typeof Ah.removeChild!="function"||!(Ah.attributes instanceof ud)||typeof Ah.removeAttribute!="function"||typeof Ah.setAttribute!="function"||typeof Ah.namespaceURI!="string"||typeof Ah.insertBefore!="function"||typeof Ah.hasChildNodes!="function")},yf=function(Ah){return typeof od=="function"&&Ah instanceof od},ph=function(Ah,cm,um){Vd[Ah]&&arrayForEach(Vd[Ah],_m=>{_m.call(qa,cm,um,Jm)})},sh=function(Ah){let cm=null;if(ph("beforeSanitizeElements",Ah,null),B1(Ah))return O1(Ah),!0;const um=Om(Ah.nodeName);if(ph("uponSanitizeElement",Ah,{tagName:um,allowedTags:Yf}),Ah.hasChildNodes()&&!yf(Ah.firstElementChild)&®ExpTest(/<[/\w]/g,Ah.innerHTML)&®ExpTest(/<[/\w]/g,Ah.textContent)||Ah.nodeType===NODE_TYPE.progressingInstruction||kf&&Ah.nodeType===NODE_TYPE.comment&®ExpTest(/<[/\w]/g,Ah.data))return O1(Ah),!0;if(!Yf[um]||Gf[um]){if(!Gf[um]&&Bh(um)&&(Kf.tagNameCheck instanceof RegExp&®ExpTest(Kf.tagNameCheck,um)||Kf.tagNameCheck instanceof Function&&Kf.tagNameCheck(um)))return!1;if($h&&!Zh[um]){const _m=Id(Ah)||Ah.parentNode,Ff=Nd(Ah)||Ah.childNodes;if(Ff&&_m){const $m=Ff.length;for(let m1=$m-1;m1>=0;--m1){const f1=Td(Ff[m1],!0);f1.__removalCount=(Ah.__removalCount||0)+1,_m.insertBefore(f1,Rd(Ah))}}}return O1(Ah),!0}return Ah instanceof ld&&!I1(Ah)||(um==="noscript"||um==="noembed"||um==="noframes")&®ExpTest(/<\/no(script|embed|frames)/i,Ah.innerHTML)?(O1(Ah),!0):(Vf&&Ah.nodeType===NODE_TYPE.text&&(cm=Ah.textContent,arrayForEach([Jd,Zd,pf],_m=>{cm=stringReplace(cm,_m," ")}),Ah.textContent!==cm&&(arrayPush(qa.removed,{element:Ah.cloneNode()}),Ah.textContent=cm)),ph("afterSanitizeElements",Ah,null),!1)},yh=function(Ah,cm,um){if(gh&&(cm==="id"||cm==="name")&&(um in Ja||um in N1))return!1;if(!($f&&!gf[cm]&®ExpTest(Xd,cm))){if(!(mf&®ExpTest(hf,cm))){if(!Sf[cm]||gf[cm]){if(!(Bh(Ah)&&(Kf.tagNameCheck instanceof RegExp&®ExpTest(Kf.tagNameCheck,Ah)||Kf.tagNameCheck instanceof Function&&Kf.tagNameCheck(Ah))&&(Kf.attributeNameCheck instanceof RegExp&®ExpTest(Kf.attributeNameCheck,cm)||Kf.attributeNameCheck instanceof Function&&Kf.attributeNameCheck(cm))||cm==="is"&&Kf.allowCustomizedBuiltInElements&&(Kf.tagNameCheck instanceof RegExp&®ExpTest(Kf.tagNameCheck,um)||Kf.tagNameCheck instanceof Function&&Kf.tagNameCheck(um))))return!1}else if(!ih[cm]){if(!regExpTest(Wf,stringReplace(um,xf,""))){if(!((cm==="src"||cm==="xlink:href"||cm==="href")&&Ah!=="script"&&stringIndexOf(um,"data:")===0&&sm[Ah])){if(!(zf&&!regExpTest(_f,stringReplace(um,xf,"")))){if(um)return!1}}}}}}return!0},Bh=function(Ah){return Ah!=="annotation-xml"&&stringMatch(Ah,Lf)},Mh=function(Ah){ph("beforeSanitizeAttributes",Ah,null);const{attributes:cm}=Ah;if(!cm)return;const um={attrName:"",attrValue:"",keepAttr:!0,allowedAttributes:Sf};let _m=cm.length;for(;_m--;){const Ff=cm[_m],{name:$m,namespaceURI:m1,value:f1}=Ff,a1=Om($m);let Dm=$m==="value"?f1:stringTrim(f1);if(um.attrName=a1,um.attrValue=Dm,um.keepAttr=!0,um.forceKeepAttr=void 0,ph("uponSanitizeAttribute",Ah,um),Dm=um.attrValue,kf&®ExpTest(/((--!?|])>)|<\/(style|title)/i,Dm)){jm($m,Ah);continue}if(um.forceKeepAttr||(jm($m,Ah),!um.keepAttr))continue;if(!hh&®ExpTest(/\/>/i,Dm)){jm($m,Ah);continue}Vf&&arrayForEach([Jd,Zd,pf],u1=>{Dm=stringReplace(Dm,u1," ")});const v1=Om(Ah.nodeName);if(yh(v1,a1,Dm)){if(Nh&&(a1==="id"||a1==="name")&&(jm($m,Ah),Dm=dh+Dm),Md&&typeof gd=="object"&&typeof gd.getAttributeType=="function"&&!m1)switch(gd.getAttributeType(v1,a1)){case"TrustedHTML":{Dm=Md.createHTML(Dm);break}case"TrustedScriptURL":{Dm=Md.createScriptURL(Dm);break}}try{m1?Ah.setAttributeNS(m1,$m,Dm):Ah.setAttribute($m,Dm),B1(Ah)?O1(Ah):arrayPop(qa.removed)}catch{}}}ph("afterSanitizeAttributes",Ah,null)},Gh=function Fh(Ah){let cm=null;const um=l0(Ah);for(ph("beforeSanitizeShadowDOM",Ah,null);cm=um.nextNode();)ph("uponSanitizeShadowNode",cm,null),!sh(cm)&&(cm.content instanceof rd&&Fh(cm.content),Mh(cm));ph("afterSanitizeShadowDOM",Ah,null)};return qa.sanitize=function(Fh){let Ah=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{},cm=null,um=null,_m=null,Ff=null;if(Hh=!Fh,Hh&&(Fh="<!-->"),typeof Fh!="string"&&!yf(Fh))if(typeof Fh.toString=="function"){if(Fh=Fh.toString(),typeof Fh!="string")throw typeErrorCreate("dirty is not a string, aborting")}else throw typeErrorCreate("toString is not a function");if(!qa.isSupported)return Fh;if(Ch||x1(Ah),qa.removed=[],typeof Fh=="string"&&(Rh=!1),Rh){if(Fh.nodeName){const f1=Om(Fh.nodeName);if(!Yf[f1]||Gf[f1])throw typeErrorCreate("root node is forbidden and cannot be sanitized in-place")}}else if(Fh instanceof od)cm=g1("<!---->"),um=cm.ownerDocument.importNode(Fh,!0),um.nodeType===NODE_TYPE.element&&um.nodeName==="BODY"||um.nodeName==="HTML"?cm=um:cm.appendChild(um);else{if(!Tf&&!Vf&&!Jf&&Fh.indexOf("<")===-1)return Md&&Pf?Md.createHTML(Fh):Fh;if(cm=g1(Fh),!cm)return Tf?null:Pf?Ld:""}cm&&qf&&O1(cm.firstChild);const $m=l0(Rh?Fh:cm);for(;_m=$m.nextNode();)sh(_m)||(_m.content instanceof rd&&Gh(_m.content),Mh(_m));if(Rh)return Fh;if(Tf){if(Af)for(Ff=Yd.call(cm.ownerDocument);cm.firstChild;)Ff.appendChild(cm.firstChild);else Ff=cm;return(Sf.shadowroot||Sf.shadowrootmode)&&(Ff=Hd.call(ed,Ff,!0)),Ff}let m1=Jf?cm.outerHTML:cm.innerHTML;return Jf&&Yf["!doctype"]&&cm.ownerDocument&&cm.ownerDocument.doctype&&cm.ownerDocument.doctype.name&®ExpTest(DOCTYPE_NAME,cm.ownerDocument.doctype.name)&&(m1="<!DOCTYPE "+cm.ownerDocument.doctype.name+`>
|
||
`+m1),Vf&&arrayForEach([Jd,Zd,pf],f1=>{m1=stringReplace(m1,f1," ")}),Md&&Pf?Md.createHTML(m1):m1},qa.setConfig=function(){let Fh=arguments.length>0&&arguments[0]!==void 0?arguments[0]:{};x1(Fh),Ch=!0},qa.clearConfig=function(){Jm=null,Ch=!1},qa.isValidAttribute=function(Fh,Ah,cm){Jm||x1({});const um=Om(Fh),_m=Om(Ah);return yh(um,_m,cm)},qa.addHook=function(Fh,Ah){typeof Ah=="function"&&(Vd[Fh]=Vd[Fh]||[],arrayPush(Vd[Fh],Ah))},qa.removeHook=function(Fh){if(Vd[Fh])return arrayPop(Vd[Fh])},qa.removeHooks=function(Fh){Vd[Fh]&&(Vd[Fh]=[])},qa.removeAllHooks=function(){Vd={}},qa}var purify=createDOMPurify(),__defProp=Object.defineProperty,__name=(Ra,qa)=>__defProp(Ra,"name",{value:qa,configurable:!0}),__export=(Ra,qa)=>{for(var Ja in qa)__defProp(Ra,Ja,{get:qa[Ja],enumerable:!0})},LEVELS={trace:0,debug:1,info:2,warn:3,error:4,fatal:5},log$1={trace:__name((...Ra)=>{},"trace"),debug:__name((...Ra)=>{},"debug"),info:__name((...Ra)=>{},"info"),warn:__name((...Ra)=>{},"warn"),error:__name((...Ra)=>{},"error"),fatal:__name((...Ra)=>{},"fatal")},setLogLevel=__name(function(Ra="fatal"){let qa=LEVELS.fatal;typeof Ra=="string"?Ra.toLowerCase()in LEVELS&&(qa=LEVELS[Ra]):typeof Ra=="number"&&(qa=Ra),log$1.trace=()=>{},log$1.debug=()=>{},log$1.info=()=>{},log$1.warn=()=>{},log$1.error=()=>{},log$1.fatal=()=>{},qa<=LEVELS.fatal&&(log$1.fatal=console.error?console.error.bind(console,format$1("FATAL"),"color: orange"):console.log.bind(console,"\x1B[35m",format$1("FATAL"))),qa<=LEVELS.error&&(log$1.error=console.error?console.error.bind(console,format$1("ERROR"),"color: orange"):console.log.bind(console,"\x1B[31m",format$1("ERROR"))),qa<=LEVELS.warn&&(log$1.warn=console.warn?console.warn.bind(console,format$1("WARN"),"color: orange"):console.log.bind(console,"\x1B[33m",format$1("WARN"))),qa<=LEVELS.info&&(log$1.info=console.info?console.info.bind(console,format$1("INFO"),"color: lightblue"):console.log.bind(console,"\x1B[34m",format$1("INFO"))),qa<=LEVELS.debug&&(log$1.debug=console.debug?console.debug.bind(console,format$1("DEBUG"),"color: lightgreen"):console.log.bind(console,"\x1B[32m",format$1("DEBUG"))),qa<=LEVELS.trace&&(log$1.trace=console.debug?console.debug.bind(console,format$1("TRACE"),"color: lightgreen"):console.log.bind(console,"\x1B[32m",format$1("TRACE")))},"setLogLevel"),format$1=__name(Ra=>`%c${dayjs2().format("ss.SSS")} : ${Ra} : `,"format"),frontMatterRegex=/^-{3}\s*[\n\r](.*?)[\n\r]-{3}\s*[\n\r]+/s,directiveRegex=/%{2}{\s*(?:(\w+)\s*:|(\w+))\s*(?:(\w+)|((?:(?!}%{2}).|\r?\n)*))?\s*(?:}%{2})?/gi,anyCommentRegex=/\s*%%.*\n/gm,oy,UnknownDiagramError=(oy=class extends Error{constructor(qa){super(qa),this.name="UnknownDiagramError"}},__name(oy,"UnknownDiagramError"),oy),detectors={},detectType=__name(function(Ra,qa){Ra=Ra.replace(frontMatterRegex,"").replace(directiveRegex,"").replace(anyCommentRegex,`
|
||
`);for(const[Ja,{detector:ed}]of Object.entries(detectors))if(ed(Ra,qa))return Ja;throw new UnknownDiagramError(`No diagram type detected matching given configuration for text: ${Ra}`)},"detectType"),registerLazyLoadedDiagrams=__name((...Ra)=>{for(const{id:qa,detector:Ja,loader:ed}of Ra)addDetector(qa,Ja,ed)},"registerLazyLoadedDiagrams"),addDetector=__name((Ra,qa,Ja)=>{detectors[Ra]&&log$1.warn(`Detector with key ${Ra} already exists. Overwriting.`),detectors[Ra]={detector:qa,loader:Ja},log$1.debug(`Detector with key ${Ra} added${Ja?" with loader":""}`)},"addDetector"),getDiagramLoader=__name(Ra=>detectors[Ra].loader,"getDiagramLoader"),assignWithDepth=__name((Ra,qa,{depth:Ja=2,clobber:ed=!1}={})=>{const td={depth:Ja,clobber:ed};return Array.isArray(qa)&&!Array.isArray(Ra)?(qa.forEach(rd=>assignWithDepth(Ra,rd,td)),Ra):Array.isArray(qa)&&Array.isArray(Ra)?(qa.forEach(rd=>{Ra.includes(rd)||Ra.push(rd)}),Ra):Ra===void 0||Ja<=0?Ra!=null&&typeof Ra=="object"&&typeof qa=="object"?Object.assign(Ra,qa):qa:(qa!==void 0&&typeof Ra=="object"&&typeof qa=="object"&&Object.keys(qa).forEach(rd=>{typeof qa[rd]=="object"&&(Ra[rd]===void 0||typeof Ra[rd]=="object")?(Ra[rd]===void 0&&(Ra[rd]=Array.isArray(qa[rd])?[]:{}),Ra[rd]=assignWithDepth(Ra[rd],qa[rd],{depth:Ja-1,clobber:ed})):(ed||typeof Ra[rd]!="object"&&typeof qa[rd]!="object")&&(Ra[rd]=qa[rd])}),Ra)},"assignWithDepth"),assignWithDepth_default=assignWithDepth,oldAttributeBackgroundColorOdd="#ffffff",oldAttributeBackgroundColorEven="#f2f2f2",mkBorder=__name((Ra,qa)=>qa?adjust(Ra,{s:-40,l:10}):adjust(Ra,{s:-40,l:-10}),"mkBorder"),uy,Theme=(uy=class{constructor(){this.background="#f4f4f4",this.primaryColor="#fff4dd",this.noteBkgColor="#fff5ad",this.noteTextColor="#333",this.THEME_COLOR_LIMIT=12,this.fontFamily='"trebuchet ms", verdana, arial, sans-serif',this.fontSize="16px"}updateColors(){var Ja,ed,td,rd,sd,od,ld,cd,ud,_d,yd;if(this.primaryTextColor=this.primaryTextColor||(this.darkMode?"#eee":"#333"),this.secondaryColor=this.secondaryColor||adjust(this.primaryColor,{h:-120}),this.tertiaryColor=this.tertiaryColor||adjust(this.primaryColor,{h:180,l:5}),this.primaryBorderColor=this.primaryBorderColor||mkBorder(this.primaryColor,this.darkMode),this.secondaryBorderColor=this.secondaryBorderColor||mkBorder(this.secondaryColor,this.darkMode),this.tertiaryBorderColor=this.tertiaryBorderColor||mkBorder(this.tertiaryColor,this.darkMode),this.noteBorderColor=this.noteBorderColor||mkBorder(this.noteBkgColor,this.darkMode),this.noteBkgColor=this.noteBkgColor||"#fff5ad",this.noteTextColor=this.noteTextColor||"#333",this.secondaryTextColor=this.secondaryTextColor||invert(this.secondaryColor),this.tertiaryTextColor=this.tertiaryTextColor||invert(this.tertiaryColor),this.lineColor=this.lineColor||invert(this.background),this.arrowheadColor=this.arrowheadColor||invert(this.background),this.textColor=this.textColor||this.primaryTextColor,this.border2=this.border2||this.tertiaryBorderColor,this.nodeBkg=this.nodeBkg||this.primaryColor,this.mainBkg=this.mainBkg||this.primaryColor,this.nodeBorder=this.nodeBorder||this.primaryBorderColor,this.clusterBkg=this.clusterBkg||this.tertiaryColor,this.clusterBorder=this.clusterBorder||this.tertiaryBorderColor,this.defaultLinkColor=this.defaultLinkColor||this.lineColor,this.titleColor=this.titleColor||this.tertiaryTextColor,this.edgeLabelBackground=this.edgeLabelBackground||(this.darkMode?darken(this.secondaryColor,30):this.secondaryColor),this.nodeTextColor=this.nodeTextColor||this.primaryTextColor,this.actorBorder=this.actorBorder||this.primaryBorderColor,this.actorBkg=this.actorBkg||this.mainBkg,this.actorTextColor=this.actorTextColor||this.primaryTextColor,this.actorLineColor=this.actorLineColor||this.actorBorder,this.labelBoxBkgColor=this.labelBoxBkgColor||this.actorBkg,this.signalColor=this.signalColor||this.textColor,this.signalTextColor=this.signalTextColor||this.textColor,this.labelBoxBorderColor=this.labelBoxBorderColor||this.actorBorder,this.labelTextColor=this.labelTextColor||this.actorTextColor,this.loopTextColor=this.loopTextColor||this.actorTextColor,this.activationBorderColor=this.activationBorderColor||darken(this.secondaryColor,10),this.activationBkgColor=this.activationBkgColor||this.secondaryColor,this.sequenceNumberColor=this.sequenceNumberColor||invert(this.lineColor),this.sectionBkgColor=this.sectionBkgColor||this.tertiaryColor,this.altSectionBkgColor=this.altSectionBkgColor||"white",this.sectionBkgColor=this.sectionBkgColor||this.secondaryColor,this.sectionBkgColor2=this.sectionBkgColor2||this.primaryColor,this.excludeBkgColor=this.excludeBkgColor||"#eeeeee",this.taskBorderColor=this.taskBorderColor||this.primaryBorderColor,this.taskBkgColor=this.taskBkgColor||this.primaryColor,this.activeTaskBorderColor=this.activeTaskBorderColor||this.primaryColor,this.activeTaskBkgColor=this.activeTaskBkgColor||lighten(this.primaryColor,23),this.gridColor=this.gridColor||"lightgrey",this.doneTaskBkgColor=this.doneTaskBkgColor||"lightgrey",this.doneTaskBorderColor=this.doneTaskBorderColor||"grey",this.critBorderColor=this.critBorderColor||"#ff8888",this.critBkgColor=this.critBkgColor||"red",this.todayLineColor=this.todayLineColor||"red",this.taskTextColor=this.taskTextColor||this.textColor,this.taskTextOutsideColor=this.taskTextOutsideColor||this.textColor,this.taskTextLightColor=this.taskTextLightColor||this.textColor,this.taskTextColor=this.taskTextColor||this.primaryTextColor,this.taskTextDarkColor=this.taskTextDarkColor||this.textColor,this.taskTextClickableColor=this.taskTextClickableColor||"#003163",this.personBorder=this.personBorder||this.primaryBorderColor,this.personBkg=this.personBkg||this.mainBkg,this.transitionColor=this.transitionColor||this.lineColor,this.transitionLabelColor=this.transitionLabelColor||this.textColor,this.stateLabelColor=this.stateLabelColor||this.stateBkg||this.primaryTextColor,this.stateBkg=this.stateBkg||this.mainBkg,this.labelBackgroundColor=this.labelBackgroundColor||this.stateBkg,this.compositeBackground=this.compositeBackground||this.background||this.tertiaryColor,this.altBackground=this.altBackground||this.tertiaryColor,this.compositeTitleBackground=this.compositeTitleBackground||this.mainBkg,this.compositeBorder=this.compositeBorder||this.nodeBorder,this.innerEndBackground=this.nodeBorder,this.errorBkgColor=this.errorBkgColor||this.tertiaryColor,this.errorTextColor=this.errorTextColor||this.tertiaryTextColor,this.transitionColor=this.transitionColor||this.lineColor,this.specialStateColor=this.lineColor,this.cScale0=this.cScale0||this.primaryColor,this.cScale1=this.cScale1||this.secondaryColor,this.cScale2=this.cScale2||this.tertiaryColor,this.cScale3=this.cScale3||adjust(this.primaryColor,{h:30}),this.cScale4=this.cScale4||adjust(this.primaryColor,{h:60}),this.cScale5=this.cScale5||adjust(this.primaryColor,{h:90}),this.cScale6=this.cScale6||adjust(this.primaryColor,{h:120}),this.cScale7=this.cScale7||adjust(this.primaryColor,{h:150}),this.cScale8=this.cScale8||adjust(this.primaryColor,{h:210,l:150}),this.cScale9=this.cScale9||adjust(this.primaryColor,{h:270}),this.cScale10=this.cScale10||adjust(this.primaryColor,{h:300}),this.cScale11=this.cScale11||adjust(this.primaryColor,{h:330}),this.darkMode)for(let gd=0;gd<this.THEME_COLOR_LIMIT;gd++)this["cScale"+gd]=darken(this["cScale"+gd],75);else for(let gd=0;gd<this.THEME_COLOR_LIMIT;gd++)this["cScale"+gd]=darken(this["cScale"+gd],25);for(let gd=0;gd<this.THEME_COLOR_LIMIT;gd++)this["cScaleInv"+gd]=this["cScaleInv"+gd]||invert(this["cScale"+gd]);for(let gd=0;gd<this.THEME_COLOR_LIMIT;gd++)this.darkMode?this["cScalePeer"+gd]=this["cScalePeer"+gd]||lighten(this["cScale"+gd],10):this["cScalePeer"+gd]=this["cScalePeer"+gd]||darken(this["cScale"+gd],10);this.scaleLabelColor=this.scaleLabelColor||this.labelTextColor;for(let gd=0;gd<this.THEME_COLOR_LIMIT;gd++)this["cScaleLabel"+gd]=this["cScaleLabel"+gd]||this.scaleLabelColor;const qa=this.darkMode?-4:-1;for(let gd=0;gd<5;gd++)this["surface"+gd]=this["surface"+gd]||adjust(this.mainBkg,{h:180,s:-15,l:qa*(5+gd*3)}),this["surfacePeer"+gd]=this["surfacePeer"+gd]||adjust(this.mainBkg,{h:180,s:-15,l:qa*(8+gd*3)});this.classText=this.classText||this.textColor,this.fillType0=this.fillType0||this.primaryColor,this.fillType1=this.fillType1||this.secondaryColor,this.fillType2=this.fillType2||adjust(this.primaryColor,{h:64}),this.fillType3=this.fillType3||adjust(this.secondaryColor,{h:64}),this.fillType4=this.fillType4||adjust(this.primaryColor,{h:-64}),this.fillType5=this.fillType5||adjust(this.secondaryColor,{h:-64}),this.fillType6=this.fillType6||adjust(this.primaryColor,{h:128}),this.fillType7=this.fillType7||adjust(this.secondaryColor,{h:128}),this.pie1=this.pie1||this.primaryColor,this.pie2=this.pie2||this.secondaryColor,this.pie3=this.pie3||this.tertiaryColor,this.pie4=this.pie4||adjust(this.primaryColor,{l:-10}),this.pie5=this.pie5||adjust(this.secondaryColor,{l:-10}),this.pie6=this.pie6||adjust(this.tertiaryColor,{l:-10}),this.pie7=this.pie7||adjust(this.primaryColor,{h:60,l:-10}),this.pie8=this.pie8||adjust(this.primaryColor,{h:-60,l:-10}),this.pie9=this.pie9||adjust(this.primaryColor,{h:120,l:0}),this.pie10=this.pie10||adjust(this.primaryColor,{h:60,l:-20}),this.pie11=this.pie11||adjust(this.primaryColor,{h:-60,l:-20}),this.pie12=this.pie12||adjust(this.primaryColor,{h:120,l:-10}),this.pieTitleTextSize=this.pieTitleTextSize||"25px",this.pieTitleTextColor=this.pieTitleTextColor||this.taskTextDarkColor,this.pieSectionTextSize=this.pieSectionTextSize||"17px",this.pieSectionTextColor=this.pieSectionTextColor||this.textColor,this.pieLegendTextSize=this.pieLegendTextSize||"17px",this.pieLegendTextColor=this.pieLegendTextColor||this.taskTextDarkColor,this.pieStrokeColor=this.pieStrokeColor||"black",this.pieStrokeWidth=this.pieStrokeWidth||"2px",this.pieOuterStrokeWidth=this.pieOuterStrokeWidth||"2px",this.pieOuterStrokeColor=this.pieOuterStrokeColor||"black",this.pieOpacity=this.pieOpacity||"0.7",this.archEdgeColor=this.archEdgeColor||"#777",this.archEdgeArrowColor=this.archEdgeArrowColor||"#777",this.archEdgeWidth=this.archEdgeWidth||"3",this.archGroupBorderColor=this.archGroupBorderColor||"#000",this.archGroupBorderWidth=this.archGroupBorderWidth||"2px",this.quadrant1Fill=this.quadrant1Fill||this.primaryColor,this.quadrant2Fill=this.quadrant2Fill||adjust(this.primaryColor,{r:5,g:5,b:5}),this.quadrant3Fill=this.quadrant3Fill||adjust(this.primaryColor,{r:10,g:10,b:10}),this.quadrant4Fill=this.quadrant4Fill||adjust(this.primaryColor,{r:15,g:15,b:15}),this.quadrant1TextFill=this.quadrant1TextFill||this.primaryTextColor,this.quadrant2TextFill=this.quadrant2TextFill||adjust(this.primaryTextColor,{r:-5,g:-5,b:-5}),this.quadrant3TextFill=this.quadrant3TextFill||adjust(this.primaryTextColor,{r:-10,g:-10,b:-10}),this.quadrant4TextFill=this.quadrant4TextFill||adjust(this.primaryTextColor,{r:-15,g:-15,b:-15}),this.quadrantPointFill=this.quadrantPointFill||isDark(this.quadrant1Fill)?lighten(this.quadrant1Fill):darken(this.quadrant1Fill),this.quadrantPointTextFill=this.quadrantPointTextFill||this.primaryTextColor,this.quadrantXAxisTextFill=this.quadrantXAxisTextFill||this.primaryTextColor,this.quadrantYAxisTextFill=this.quadrantYAxisTextFill||this.primaryTextColor,this.quadrantInternalBorderStrokeFill=this.quadrantInternalBorderStrokeFill||this.primaryBorderColor,this.quadrantExternalBorderStrokeFill=this.quadrantExternalBorderStrokeFill||this.primaryBorderColor,this.quadrantTitleFill=this.quadrantTitleFill||this.primaryTextColor,this.xyChart={backgroundColor:((Ja=this.xyChart)==null?void 0:Ja.backgroundColor)||this.background,titleColor:((ed=this.xyChart)==null?void 0:ed.titleColor)||this.primaryTextColor,xAxisTitleColor:((td=this.xyChart)==null?void 0:td.xAxisTitleColor)||this.primaryTextColor,xAxisLabelColor:((rd=this.xyChart)==null?void 0:rd.xAxisLabelColor)||this.primaryTextColor,xAxisTickColor:((sd=this.xyChart)==null?void 0:sd.xAxisTickColor)||this.primaryTextColor,xAxisLineColor:((od=this.xyChart)==null?void 0:od.xAxisLineColor)||this.primaryTextColor,yAxisTitleColor:((ld=this.xyChart)==null?void 0:ld.yAxisTitleColor)||this.primaryTextColor,yAxisLabelColor:((cd=this.xyChart)==null?void 0:cd.yAxisLabelColor)||this.primaryTextColor,yAxisTickColor:((ud=this.xyChart)==null?void 0:ud.yAxisTickColor)||this.primaryTextColor,yAxisLineColor:((_d=this.xyChart)==null?void 0:_d.yAxisLineColor)||this.primaryTextColor,plotColorPalette:((yd=this.xyChart)==null?void 0:yd.plotColorPalette)||"#FFF4DD,#FFD8B1,#FFA07A,#ECEFF1,#D6DBDF,#C3E0A8,#FFB6A4,#FFD74D,#738FA7,#FFFFF0"},this.requirementBackground=this.requirementBackground||this.primaryColor,this.requirementBorderColor=this.requirementBorderColor||this.primaryBorderColor,this.requirementBorderSize=this.requirementBorderSize||"1",this.requirementTextColor=this.requirementTextColor||this.primaryTextColor,this.relationColor=this.relationColor||this.lineColor,this.relationLabelBackground=this.relationLabelBackground||(this.darkMode?darken(this.secondaryColor,30):this.secondaryColor),this.relationLabelColor=this.relationLabelColor||this.actorTextColor,this.git0=this.git0||this.primaryColor,this.git1=this.git1||this.secondaryColor,this.git2=this.git2||this.tertiaryColor,this.git3=this.git3||adjust(this.primaryColor,{h:-30}),this.git4=this.git4||adjust(this.primaryColor,{h:-60}),this.git5=this.git5||adjust(this.primaryColor,{h:-90}),this.git6=this.git6||adjust(this.primaryColor,{h:60}),this.git7=this.git7||adjust(this.primaryColor,{h:120}),this.darkMode?(this.git0=lighten(this.git0,25),this.git1=lighten(this.git1,25),this.git2=lighten(this.git2,25),this.git3=lighten(this.git3,25),this.git4=lighten(this.git4,25),this.git5=lighten(this.git5,25),this.git6=lighten(this.git6,25),this.git7=lighten(this.git7,25)):(this.git0=darken(this.git0,25),this.git1=darken(this.git1,25),this.git2=darken(this.git2,25),this.git3=darken(this.git3,25),this.git4=darken(this.git4,25),this.git5=darken(this.git5,25),this.git6=darken(this.git6,25),this.git7=darken(this.git7,25)),this.gitInv0=this.gitInv0||invert(this.git0),this.gitInv1=this.gitInv1||invert(this.git1),this.gitInv2=this.gitInv2||invert(this.git2),this.gitInv3=this.gitInv3||invert(this.git3),this.gitInv4=this.gitInv4||invert(this.git4),this.gitInv5=this.gitInv5||invert(this.git5),this.gitInv6=this.gitInv6||invert(this.git6),this.gitInv7=this.gitInv7||invert(this.git7),this.branchLabelColor=this.branchLabelColor||(this.darkMode?"black":this.labelTextColor),this.gitBranchLabel0=this.gitBranchLabel0||this.branchLabelColor,this.gitBranchLabel1=this.gitBranchLabel1||this.branchLabelColor,this.gitBranchLabel2=this.gitBranchLabel2||this.branchLabelColor,this.gitBranchLabel3=this.gitBranchLabel3||this.branchLabelColor,this.gitBranchLabel4=this.gitBranchLabel4||this.branchLabelColor,this.gitBranchLabel5=this.gitBranchLabel5||this.branchLabelColor,this.gitBranchLabel6=this.gitBranchLabel6||this.branchLabelColor,this.gitBranchLabel7=this.gitBranchLabel7||this.branchLabelColor,this.tagLabelColor=this.tagLabelColor||this.primaryTextColor,this.tagLabelBackground=this.tagLabelBackground||this.primaryColor,this.tagLabelBorder=this.tagBorder||this.primaryBorderColor,this.tagLabelFontSize=this.tagLabelFontSize||"10px",this.commitLabelColor=this.commitLabelColor||this.secondaryTextColor,this.commitLabelBackground=this.commitLabelBackground||this.secondaryColor,this.commitLabelFontSize=this.commitLabelFontSize||"10px",this.attributeBackgroundColorOdd=this.attributeBackgroundColorOdd||oldAttributeBackgroundColorOdd,this.attributeBackgroundColorEven=this.attributeBackgroundColorEven||oldAttributeBackgroundColorEven}calculate(qa){if(typeof qa!="object"){this.updateColors();return}const Ja=Object.keys(qa);Ja.forEach(ed=>{this[ed]=qa[ed]}),this.updateColors(),Ja.forEach(ed=>{this[ed]=qa[ed]})}},__name(uy,"Theme"),uy),getThemeVariables=__name(Ra=>{const qa=new Theme;return qa.calculate(Ra),qa},"getThemeVariables"),Ty,Theme2=(Ty=class{constructor(){this.background="#333",this.primaryColor="#1f2020",this.secondaryColor=lighten(this.primaryColor,16),this.tertiaryColor=adjust(this.primaryColor,{h:-160}),this.primaryBorderColor=invert(this.background),this.secondaryBorderColor=mkBorder(this.secondaryColor,this.darkMode),this.tertiaryBorderColor=mkBorder(this.tertiaryColor,this.darkMode),this.primaryTextColor=invert(this.primaryColor),this.secondaryTextColor=invert(this.secondaryColor),this.tertiaryTextColor=invert(this.tertiaryColor),this.lineColor=invert(this.background),this.textColor=invert(this.background),this.mainBkg="#1f2020",this.secondBkg="calculated",this.mainContrastColor="lightgrey",this.darkTextColor=lighten(invert("#323D47"),10),this.lineColor="calculated",this.border1="#ccc",this.border2=rgba$1(255,255,255,.25),this.arrowheadColor="calculated",this.fontFamily='"trebuchet ms", verdana, arial, sans-serif',this.fontSize="16px",this.labelBackground="#181818",this.textColor="#ccc",this.THEME_COLOR_LIMIT=12,this.nodeBkg="calculated",this.nodeBorder="calculated",this.clusterBkg="calculated",this.clusterBorder="calculated",this.defaultLinkColor="calculated",this.titleColor="#F9FFFE",this.edgeLabelBackground="calculated",this.actorBorder="calculated",this.actorBkg="calculated",this.actorTextColor="calculated",this.actorLineColor="calculated",this.signalColor="calculated",this.signalTextColor="calculated",this.labelBoxBkgColor="calculated",this.labelBoxBorderColor="calculated",this.labelTextColor="calculated",this.loopTextColor="calculated",this.noteBorderColor="calculated",this.noteBkgColor="#fff5ad",this.noteTextColor="calculated",this.activationBorderColor="calculated",this.activationBkgColor="calculated",this.sequenceNumberColor="black",this.sectionBkgColor=darken("#EAE8D9",30),this.altSectionBkgColor="calculated",this.sectionBkgColor2="#EAE8D9",this.excludeBkgColor=darken(this.sectionBkgColor,10),this.taskBorderColor=rgba$1(255,255,255,70),this.taskBkgColor="calculated",this.taskTextColor="calculated",this.taskTextLightColor="calculated",this.taskTextOutsideColor="calculated",this.taskTextClickableColor="#003163",this.activeTaskBorderColor=rgba$1(255,255,255,50),this.activeTaskBkgColor="#81B1DB",this.gridColor="calculated",this.doneTaskBkgColor="calculated",this.doneTaskBorderColor="grey",this.critBorderColor="#E83737",this.critBkgColor="#E83737",this.taskTextDarkColor="calculated",this.todayLineColor="#DB5757",this.personBorder=this.primaryBorderColor,this.personBkg=this.mainBkg,this.archEdgeColor="calculated",this.archEdgeArrowColor="calculated",this.archEdgeWidth="3",this.archGroupBorderColor=this.primaryBorderColor,this.archGroupBorderWidth="2px",this.labelColor="calculated",this.errorBkgColor="#a44141",this.errorTextColor="#ddd"}updateColors(){var qa,Ja,ed,td,rd,sd,od,ld,cd,ud,_d;this.secondBkg=lighten(this.mainBkg,16),this.lineColor=this.mainContrastColor,this.arrowheadColor=this.mainContrastColor,this.nodeBkg=this.mainBkg,this.nodeBorder=this.border1,this.clusterBkg=this.secondBkg,this.clusterBorder=this.border2,this.defaultLinkColor=this.lineColor,this.edgeLabelBackground=lighten(this.labelBackground,25),this.actorBorder=this.border1,this.actorBkg=this.mainBkg,this.actorTextColor=this.mainContrastColor,this.actorLineColor=this.actorBorder,this.signalColor=this.mainContrastColor,this.signalTextColor=this.mainContrastColor,this.labelBoxBkgColor=this.actorBkg,this.labelBoxBorderColor=this.actorBorder,this.labelTextColor=this.mainContrastColor,this.loopTextColor=this.mainContrastColor,this.noteBorderColor=this.secondaryBorderColor,this.noteBkgColor=this.secondBkg,this.noteTextColor=this.secondaryTextColor,this.activationBorderColor=this.border1,this.activationBkgColor=this.secondBkg,this.altSectionBkgColor=this.background,this.taskBkgColor=lighten(this.mainBkg,23),this.taskTextColor=this.darkTextColor,this.taskTextLightColor=this.mainContrastColor,this.taskTextOutsideColor=this.taskTextLightColor,this.gridColor=this.mainContrastColor,this.doneTaskBkgColor=this.mainContrastColor,this.taskTextDarkColor=this.darkTextColor,this.archEdgeColor=this.lineColor,this.archEdgeArrowColor=this.lineColor,this.transitionColor=this.transitionColor||this.lineColor,this.transitionLabelColor=this.transitionLabelColor||this.textColor,this.stateLabelColor=this.stateLabelColor||this.stateBkg||this.primaryTextColor,this.stateBkg=this.stateBkg||this.mainBkg,this.labelBackgroundColor=this.labelBackgroundColor||this.stateBkg,this.compositeBackground=this.compositeBackground||this.background||this.tertiaryColor,this.altBackground=this.altBackground||"#555",this.compositeTitleBackground=this.compositeTitleBackground||this.mainBkg,this.compositeBorder=this.compositeBorder||this.nodeBorder,this.innerEndBackground=this.primaryBorderColor,this.specialStateColor="#f4f4f4",this.errorBkgColor=this.errorBkgColor||this.tertiaryColor,this.errorTextColor=this.errorTextColor||this.tertiaryTextColor,this.fillType0=this.primaryColor,this.fillType1=this.secondaryColor,this.fillType2=adjust(this.primaryColor,{h:64}),this.fillType3=adjust(this.secondaryColor,{h:64}),this.fillType4=adjust(this.primaryColor,{h:-64}),this.fillType5=adjust(this.secondaryColor,{h:-64}),this.fillType6=adjust(this.primaryColor,{h:128}),this.fillType7=adjust(this.secondaryColor,{h:128}),this.cScale1=this.cScale1||"#0b0000",this.cScale2=this.cScale2||"#4d1037",this.cScale3=this.cScale3||"#3f5258",this.cScale4=this.cScale4||"#4f2f1b",this.cScale5=this.cScale5||"#6e0a0a",this.cScale6=this.cScale6||"#3b0048",this.cScale7=this.cScale7||"#995a01",this.cScale8=this.cScale8||"#154706",this.cScale9=this.cScale9||"#161722",this.cScale10=this.cScale10||"#00296f",this.cScale11=this.cScale11||"#01629c",this.cScale12=this.cScale12||"#010029",this.cScale0=this.cScale0||this.primaryColor,this.cScale1=this.cScale1||this.secondaryColor,this.cScale2=this.cScale2||this.tertiaryColor,this.cScale3=this.cScale3||adjust(this.primaryColor,{h:30}),this.cScale4=this.cScale4||adjust(this.primaryColor,{h:60}),this.cScale5=this.cScale5||adjust(this.primaryColor,{h:90}),this.cScale6=this.cScale6||adjust(this.primaryColor,{h:120}),this.cScale7=this.cScale7||adjust(this.primaryColor,{h:150}),this.cScale8=this.cScale8||adjust(this.primaryColor,{h:210}),this.cScale9=this.cScale9||adjust(this.primaryColor,{h:270}),this.cScale10=this.cScale10||adjust(this.primaryColor,{h:300}),this.cScale11=this.cScale11||adjust(this.primaryColor,{h:330});for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScaleInv"+yd]=this["cScaleInv"+yd]||invert(this["cScale"+yd]);for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScalePeer"+yd]=this["cScalePeer"+yd]||lighten(this["cScale"+yd],10);for(let yd=0;yd<5;yd++)this["surface"+yd]=this["surface"+yd]||adjust(this.mainBkg,{h:30,s:-30,l:-(-10+yd*4)}),this["surfacePeer"+yd]=this["surfacePeer"+yd]||adjust(this.mainBkg,{h:30,s:-30,l:-(-7+yd*4)});this.scaleLabelColor=this.scaleLabelColor||(this.darkMode?"black":this.labelTextColor);for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScaleLabel"+yd]=this["cScaleLabel"+yd]||this.scaleLabelColor;for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["pie"+yd]=this["cScale"+yd];this.pieTitleTextSize=this.pieTitleTextSize||"25px",this.pieTitleTextColor=this.pieTitleTextColor||this.taskTextDarkColor,this.pieSectionTextSize=this.pieSectionTextSize||"17px",this.pieSectionTextColor=this.pieSectionTextColor||this.textColor,this.pieLegendTextSize=this.pieLegendTextSize||"17px",this.pieLegendTextColor=this.pieLegendTextColor||this.taskTextDarkColor,this.pieStrokeColor=this.pieStrokeColor||"black",this.pieStrokeWidth=this.pieStrokeWidth||"2px",this.pieOuterStrokeWidth=this.pieOuterStrokeWidth||"2px",this.pieOuterStrokeColor=this.pieOuterStrokeColor||"black",this.pieOpacity=this.pieOpacity||"0.7",this.quadrant1Fill=this.quadrant1Fill||this.primaryColor,this.quadrant2Fill=this.quadrant2Fill||adjust(this.primaryColor,{r:5,g:5,b:5}),this.quadrant3Fill=this.quadrant3Fill||adjust(this.primaryColor,{r:10,g:10,b:10}),this.quadrant4Fill=this.quadrant4Fill||adjust(this.primaryColor,{r:15,g:15,b:15}),this.quadrant1TextFill=this.quadrant1TextFill||this.primaryTextColor,this.quadrant2TextFill=this.quadrant2TextFill||adjust(this.primaryTextColor,{r:-5,g:-5,b:-5}),this.quadrant3TextFill=this.quadrant3TextFill||adjust(this.primaryTextColor,{r:-10,g:-10,b:-10}),this.quadrant4TextFill=this.quadrant4TextFill||adjust(this.primaryTextColor,{r:-15,g:-15,b:-15}),this.quadrantPointFill=this.quadrantPointFill||isDark(this.quadrant1Fill)?lighten(this.quadrant1Fill):darken(this.quadrant1Fill),this.quadrantPointTextFill=this.quadrantPointTextFill||this.primaryTextColor,this.quadrantXAxisTextFill=this.quadrantXAxisTextFill||this.primaryTextColor,this.quadrantYAxisTextFill=this.quadrantYAxisTextFill||this.primaryTextColor,this.quadrantInternalBorderStrokeFill=this.quadrantInternalBorderStrokeFill||this.primaryBorderColor,this.quadrantExternalBorderStrokeFill=this.quadrantExternalBorderStrokeFill||this.primaryBorderColor,this.quadrantTitleFill=this.quadrantTitleFill||this.primaryTextColor,this.xyChart={backgroundColor:((qa=this.xyChart)==null?void 0:qa.backgroundColor)||this.background,titleColor:((Ja=this.xyChart)==null?void 0:Ja.titleColor)||this.primaryTextColor,xAxisTitleColor:((ed=this.xyChart)==null?void 0:ed.xAxisTitleColor)||this.primaryTextColor,xAxisLabelColor:((td=this.xyChart)==null?void 0:td.xAxisLabelColor)||this.primaryTextColor,xAxisTickColor:((rd=this.xyChart)==null?void 0:rd.xAxisTickColor)||this.primaryTextColor,xAxisLineColor:((sd=this.xyChart)==null?void 0:sd.xAxisLineColor)||this.primaryTextColor,yAxisTitleColor:((od=this.xyChart)==null?void 0:od.yAxisTitleColor)||this.primaryTextColor,yAxisLabelColor:((ld=this.xyChart)==null?void 0:ld.yAxisLabelColor)||this.primaryTextColor,yAxisTickColor:((cd=this.xyChart)==null?void 0:cd.yAxisTickColor)||this.primaryTextColor,yAxisLineColor:((ud=this.xyChart)==null?void 0:ud.yAxisLineColor)||this.primaryTextColor,plotColorPalette:((_d=this.xyChart)==null?void 0:_d.plotColorPalette)||"#3498db,#2ecc71,#e74c3c,#f1c40f,#bdc3c7,#ffffff,#34495e,#9b59b6,#1abc9c,#e67e22"},this.packet={startByteColor:this.primaryTextColor,endByteColor:this.primaryTextColor,labelColor:this.primaryTextColor,titleColor:this.primaryTextColor,blockStrokeColor:this.primaryTextColor,blockFillColor:this.background},this.classText=this.primaryTextColor,this.requirementBackground=this.requirementBackground||this.primaryColor,this.requirementBorderColor=this.requirementBorderColor||this.primaryBorderColor,this.requirementBorderSize=this.requirementBorderSize||"1",this.requirementTextColor=this.requirementTextColor||this.primaryTextColor,this.relationColor=this.relationColor||this.lineColor,this.relationLabelBackground=this.relationLabelBackground||(this.darkMode?darken(this.secondaryColor,30):this.secondaryColor),this.relationLabelColor=this.relationLabelColor||this.actorTextColor,this.git0=lighten(this.secondaryColor,20),this.git1=lighten(this.pie2||this.secondaryColor,20),this.git2=lighten(this.pie3||this.tertiaryColor,20),this.git3=lighten(this.pie4||adjust(this.primaryColor,{h:-30}),20),this.git4=lighten(this.pie5||adjust(this.primaryColor,{h:-60}),20),this.git5=lighten(this.pie6||adjust(this.primaryColor,{h:-90}),10),this.git6=lighten(this.pie7||adjust(this.primaryColor,{h:60}),10),this.git7=lighten(this.pie8||adjust(this.primaryColor,{h:120}),20),this.gitInv0=this.gitInv0||invert(this.git0),this.gitInv1=this.gitInv1||invert(this.git1),this.gitInv2=this.gitInv2||invert(this.git2),this.gitInv3=this.gitInv3||invert(this.git3),this.gitInv4=this.gitInv4||invert(this.git4),this.gitInv5=this.gitInv5||invert(this.git5),this.gitInv6=this.gitInv6||invert(this.git6),this.gitInv7=this.gitInv7||invert(this.git7),this.gitBranchLabel0=this.gitBranchLabel0||invert(this.labelTextColor),this.gitBranchLabel1=this.gitBranchLabel1||this.labelTextColor,this.gitBranchLabel2=this.gitBranchLabel2||this.labelTextColor,this.gitBranchLabel3=this.gitBranchLabel3||invert(this.labelTextColor),this.gitBranchLabel4=this.gitBranchLabel4||this.labelTextColor,this.gitBranchLabel5=this.gitBranchLabel5||this.labelTextColor,this.gitBranchLabel6=this.gitBranchLabel6||this.labelTextColor,this.gitBranchLabel7=this.gitBranchLabel7||this.labelTextColor,this.tagLabelColor=this.tagLabelColor||this.primaryTextColor,this.tagLabelBackground=this.tagLabelBackground||this.primaryColor,this.tagLabelBorder=this.tagBorder||this.primaryBorderColor,this.tagLabelFontSize=this.tagLabelFontSize||"10px",this.commitLabelColor=this.commitLabelColor||this.secondaryTextColor,this.commitLabelBackground=this.commitLabelBackground||this.secondaryColor,this.commitLabelFontSize=this.commitLabelFontSize||"10px",this.attributeBackgroundColorOdd=this.attributeBackgroundColorOdd||lighten(this.background,12),this.attributeBackgroundColorEven=this.attributeBackgroundColorEven||lighten(this.background,2),this.nodeBorder=this.nodeBorder||"#999"}calculate(qa){if(typeof qa!="object"){this.updateColors();return}const Ja=Object.keys(qa);Ja.forEach(ed=>{this[ed]=qa[ed]}),this.updateColors(),Ja.forEach(ed=>{this[ed]=qa[ed]})}},__name(Ty,"Theme"),Ty),getThemeVariables2=__name(Ra=>{const qa=new Theme2;return qa.calculate(Ra),qa},"getThemeVariables"),Sy,Theme3=(Sy=class{constructor(){this.background="#f4f4f4",this.primaryColor="#ECECFF",this.secondaryColor=adjust(this.primaryColor,{h:120}),this.secondaryColor="#ffffde",this.tertiaryColor=adjust(this.primaryColor,{h:-160}),this.primaryBorderColor=mkBorder(this.primaryColor,this.darkMode),this.secondaryBorderColor=mkBorder(this.secondaryColor,this.darkMode),this.tertiaryBorderColor=mkBorder(this.tertiaryColor,this.darkMode),this.primaryTextColor=invert(this.primaryColor),this.secondaryTextColor=invert(this.secondaryColor),this.tertiaryTextColor=invert(this.tertiaryColor),this.lineColor=invert(this.background),this.textColor=invert(this.background),this.background="white",this.mainBkg="#ECECFF",this.secondBkg="#ffffde",this.lineColor="#333333",this.border1="#9370DB",this.border2="#aaaa33",this.arrowheadColor="#333333",this.fontFamily='"trebuchet ms", verdana, arial, sans-serif',this.fontSize="16px",this.labelBackground="rgba(232,232,232, 0.8)",this.textColor="#333",this.THEME_COLOR_LIMIT=12,this.nodeBkg="calculated",this.nodeBorder="calculated",this.clusterBkg="calculated",this.clusterBorder="calculated",this.defaultLinkColor="calculated",this.titleColor="calculated",this.edgeLabelBackground="calculated",this.actorBorder="calculated",this.actorBkg="calculated",this.actorTextColor="black",this.actorLineColor="calculated",this.signalColor="calculated",this.signalTextColor="calculated",this.labelBoxBkgColor="calculated",this.labelBoxBorderColor="calculated",this.labelTextColor="calculated",this.loopTextColor="calculated",this.noteBorderColor="calculated",this.noteBkgColor="#fff5ad",this.noteTextColor="calculated",this.activationBorderColor="#666",this.activationBkgColor="#f4f4f4",this.sequenceNumberColor="white",this.sectionBkgColor="calculated",this.altSectionBkgColor="calculated",this.sectionBkgColor2="calculated",this.excludeBkgColor="#eeeeee",this.taskBorderColor="calculated",this.taskBkgColor="calculated",this.taskTextLightColor="calculated",this.taskTextColor=this.taskTextLightColor,this.taskTextDarkColor="calculated",this.taskTextOutsideColor=this.taskTextDarkColor,this.taskTextClickableColor="calculated",this.activeTaskBorderColor="calculated",this.activeTaskBkgColor="calculated",this.gridColor="calculated",this.doneTaskBkgColor="calculated",this.doneTaskBorderColor="calculated",this.critBorderColor="calculated",this.critBkgColor="calculated",this.todayLineColor="calculated",this.sectionBkgColor=rgba$1(102,102,255,.49),this.altSectionBkgColor="white",this.sectionBkgColor2="#fff400",this.taskBorderColor="#534fbc",this.taskBkgColor="#8a90dd",this.taskTextLightColor="white",this.taskTextColor="calculated",this.taskTextDarkColor="black",this.taskTextOutsideColor="calculated",this.taskTextClickableColor="#003163",this.activeTaskBorderColor="#534fbc",this.activeTaskBkgColor="#bfc7ff",this.gridColor="lightgrey",this.doneTaskBkgColor="lightgrey",this.doneTaskBorderColor="grey",this.critBorderColor="#ff8888",this.critBkgColor="red",this.todayLineColor="red",this.personBorder=this.primaryBorderColor,this.personBkg=this.mainBkg,this.archEdgeColor="calculated",this.archEdgeArrowColor="calculated",this.archEdgeWidth="3",this.archGroupBorderColor=this.primaryBorderColor,this.archGroupBorderWidth="2px",this.labelColor="black",this.errorBkgColor="#552222",this.errorTextColor="#552222",this.updateColors()}updateColors(){var qa,Ja,ed,td,rd,sd,od,ld,cd,ud,_d;this.cScale0=this.cScale0||this.primaryColor,this.cScale1=this.cScale1||this.secondaryColor,this.cScale2=this.cScale2||this.tertiaryColor,this.cScale3=this.cScale3||adjust(this.primaryColor,{h:30}),this.cScale4=this.cScale4||adjust(this.primaryColor,{h:60}),this.cScale5=this.cScale5||adjust(this.primaryColor,{h:90}),this.cScale6=this.cScale6||adjust(this.primaryColor,{h:120}),this.cScale7=this.cScale7||adjust(this.primaryColor,{h:150}),this.cScale8=this.cScale8||adjust(this.primaryColor,{h:210}),this.cScale9=this.cScale9||adjust(this.primaryColor,{h:270}),this.cScale10=this.cScale10||adjust(this.primaryColor,{h:300}),this.cScale11=this.cScale11||adjust(this.primaryColor,{h:330}),this.cScalePeer1=this.cScalePeer1||darken(this.secondaryColor,45),this.cScalePeer2=this.cScalePeer2||darken(this.tertiaryColor,40);for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScale"+yd]=darken(this["cScale"+yd],10),this["cScalePeer"+yd]=this["cScalePeer"+yd]||darken(this["cScale"+yd],25);for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScaleInv"+yd]=this["cScaleInv"+yd]||adjust(this["cScale"+yd],{h:180});for(let yd=0;yd<5;yd++)this["surface"+yd]=this["surface"+yd]||adjust(this.mainBkg,{h:30,l:-(5+yd*5)}),this["surfacePeer"+yd]=this["surfacePeer"+yd]||adjust(this.mainBkg,{h:30,l:-(7+yd*5)});if(this.scaleLabelColor=this.scaleLabelColor!=="calculated"&&this.scaleLabelColor?this.scaleLabelColor:this.labelTextColor,this.labelTextColor!=="calculated"){this.cScaleLabel0=this.cScaleLabel0||invert(this.labelTextColor),this.cScaleLabel3=this.cScaleLabel3||invert(this.labelTextColor);for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScaleLabel"+yd]=this["cScaleLabel"+yd]||this.labelTextColor}this.nodeBkg=this.mainBkg,this.nodeBorder=this.border1,this.clusterBkg=this.secondBkg,this.clusterBorder=this.border2,this.defaultLinkColor=this.lineColor,this.titleColor=this.textColor,this.edgeLabelBackground=this.labelBackground,this.actorBorder=lighten(this.border1,23),this.actorBkg=this.mainBkg,this.labelBoxBkgColor=this.actorBkg,this.signalColor=this.textColor,this.signalTextColor=this.textColor,this.labelBoxBorderColor=this.actorBorder,this.labelTextColor=this.actorTextColor,this.loopTextColor=this.actorTextColor,this.noteBorderColor=this.border2,this.noteTextColor=this.actorTextColor,this.actorLineColor=this.actorBorder,this.taskTextColor=this.taskTextLightColor,this.taskTextOutsideColor=this.taskTextDarkColor,this.archEdgeColor=this.lineColor,this.archEdgeArrowColor=this.lineColor,this.transitionColor=this.transitionColor||this.lineColor,this.transitionLabelColor=this.transitionLabelColor||this.textColor,this.stateLabelColor=this.stateLabelColor||this.stateBkg||this.primaryTextColor,this.stateBkg=this.stateBkg||this.mainBkg,this.labelBackgroundColor=this.labelBackgroundColor||this.stateBkg,this.compositeBackground=this.compositeBackground||this.background||this.tertiaryColor,this.altBackground=this.altBackground||"#f0f0f0",this.compositeTitleBackground=this.compositeTitleBackground||this.mainBkg,this.compositeBorder=this.compositeBorder||this.nodeBorder,this.innerEndBackground=this.nodeBorder,this.specialStateColor=this.lineColor,this.errorBkgColor=this.errorBkgColor||this.tertiaryColor,this.errorTextColor=this.errorTextColor||this.tertiaryTextColor,this.transitionColor=this.transitionColor||this.lineColor,this.classText=this.primaryTextColor,this.fillType0=this.primaryColor,this.fillType1=this.secondaryColor,this.fillType2=adjust(this.primaryColor,{h:64}),this.fillType3=adjust(this.secondaryColor,{h:64}),this.fillType4=adjust(this.primaryColor,{h:-64}),this.fillType5=adjust(this.secondaryColor,{h:-64}),this.fillType6=adjust(this.primaryColor,{h:128}),this.fillType7=adjust(this.secondaryColor,{h:128}),this.pie1=this.pie1||this.primaryColor,this.pie2=this.pie2||this.secondaryColor,this.pie3=this.pie3||adjust(this.tertiaryColor,{l:-40}),this.pie4=this.pie4||adjust(this.primaryColor,{l:-10}),this.pie5=this.pie5||adjust(this.secondaryColor,{l:-30}),this.pie6=this.pie6||adjust(this.tertiaryColor,{l:-20}),this.pie7=this.pie7||adjust(this.primaryColor,{h:60,l:-20}),this.pie8=this.pie8||adjust(this.primaryColor,{h:-60,l:-40}),this.pie9=this.pie9||adjust(this.primaryColor,{h:120,l:-40}),this.pie10=this.pie10||adjust(this.primaryColor,{h:60,l:-40}),this.pie11=this.pie11||adjust(this.primaryColor,{h:-90,l:-40}),this.pie12=this.pie12||adjust(this.primaryColor,{h:120,l:-30}),this.pieTitleTextSize=this.pieTitleTextSize||"25px",this.pieTitleTextColor=this.pieTitleTextColor||this.taskTextDarkColor,this.pieSectionTextSize=this.pieSectionTextSize||"17px",this.pieSectionTextColor=this.pieSectionTextColor||this.textColor,this.pieLegendTextSize=this.pieLegendTextSize||"17px",this.pieLegendTextColor=this.pieLegendTextColor||this.taskTextDarkColor,this.pieStrokeColor=this.pieStrokeColor||"black",this.pieStrokeWidth=this.pieStrokeWidth||"2px",this.pieOuterStrokeWidth=this.pieOuterStrokeWidth||"2px",this.pieOuterStrokeColor=this.pieOuterStrokeColor||"black",this.pieOpacity=this.pieOpacity||"0.7",this.quadrant1Fill=this.quadrant1Fill||this.primaryColor,this.quadrant2Fill=this.quadrant2Fill||adjust(this.primaryColor,{r:5,g:5,b:5}),this.quadrant3Fill=this.quadrant3Fill||adjust(this.primaryColor,{r:10,g:10,b:10}),this.quadrant4Fill=this.quadrant4Fill||adjust(this.primaryColor,{r:15,g:15,b:15}),this.quadrant1TextFill=this.quadrant1TextFill||this.primaryTextColor,this.quadrant2TextFill=this.quadrant2TextFill||adjust(this.primaryTextColor,{r:-5,g:-5,b:-5}),this.quadrant3TextFill=this.quadrant3TextFill||adjust(this.primaryTextColor,{r:-10,g:-10,b:-10}),this.quadrant4TextFill=this.quadrant4TextFill||adjust(this.primaryTextColor,{r:-15,g:-15,b:-15}),this.quadrantPointFill=this.quadrantPointFill||isDark(this.quadrant1Fill)?lighten(this.quadrant1Fill):darken(this.quadrant1Fill),this.quadrantPointTextFill=this.quadrantPointTextFill||this.primaryTextColor,this.quadrantXAxisTextFill=this.quadrantXAxisTextFill||this.primaryTextColor,this.quadrantYAxisTextFill=this.quadrantYAxisTextFill||this.primaryTextColor,this.quadrantInternalBorderStrokeFill=this.quadrantInternalBorderStrokeFill||this.primaryBorderColor,this.quadrantExternalBorderStrokeFill=this.quadrantExternalBorderStrokeFill||this.primaryBorderColor,this.quadrantTitleFill=this.quadrantTitleFill||this.primaryTextColor,this.xyChart={backgroundColor:((qa=this.xyChart)==null?void 0:qa.backgroundColor)||this.background,titleColor:((Ja=this.xyChart)==null?void 0:Ja.titleColor)||this.primaryTextColor,xAxisTitleColor:((ed=this.xyChart)==null?void 0:ed.xAxisTitleColor)||this.primaryTextColor,xAxisLabelColor:((td=this.xyChart)==null?void 0:td.xAxisLabelColor)||this.primaryTextColor,xAxisTickColor:((rd=this.xyChart)==null?void 0:rd.xAxisTickColor)||this.primaryTextColor,xAxisLineColor:((sd=this.xyChart)==null?void 0:sd.xAxisLineColor)||this.primaryTextColor,yAxisTitleColor:((od=this.xyChart)==null?void 0:od.yAxisTitleColor)||this.primaryTextColor,yAxisLabelColor:((ld=this.xyChart)==null?void 0:ld.yAxisLabelColor)||this.primaryTextColor,yAxisTickColor:((cd=this.xyChart)==null?void 0:cd.yAxisTickColor)||this.primaryTextColor,yAxisLineColor:((ud=this.xyChart)==null?void 0:ud.yAxisLineColor)||this.primaryTextColor,plotColorPalette:((_d=this.xyChart)==null?void 0:_d.plotColorPalette)||"#ECECFF,#8493A6,#FFC3A0,#DCDDE1,#B8E994,#D1A36F,#C3CDE6,#FFB6C1,#496078,#F8F3E3"},this.requirementBackground=this.requirementBackground||this.primaryColor,this.requirementBorderColor=this.requirementBorderColor||this.primaryBorderColor,this.requirementBorderSize=this.requirementBorderSize||"1",this.requirementTextColor=this.requirementTextColor||this.primaryTextColor,this.relationColor=this.relationColor||this.lineColor,this.relationLabelBackground=this.relationLabelBackground||this.labelBackground,this.relationLabelColor=this.relationLabelColor||this.actorTextColor,this.git0=this.git0||this.primaryColor,this.git1=this.git1||this.secondaryColor,this.git2=this.git2||this.tertiaryColor,this.git3=this.git3||adjust(this.primaryColor,{h:-30}),this.git4=this.git4||adjust(this.primaryColor,{h:-60}),this.git5=this.git5||adjust(this.primaryColor,{h:-90}),this.git6=this.git6||adjust(this.primaryColor,{h:60}),this.git7=this.git7||adjust(this.primaryColor,{h:120}),this.darkMode?(this.git0=lighten(this.git0,25),this.git1=lighten(this.git1,25),this.git2=lighten(this.git2,25),this.git3=lighten(this.git3,25),this.git4=lighten(this.git4,25),this.git5=lighten(this.git5,25),this.git6=lighten(this.git6,25),this.git7=lighten(this.git7,25)):(this.git0=darken(this.git0,25),this.git1=darken(this.git1,25),this.git2=darken(this.git2,25),this.git3=darken(this.git3,25),this.git4=darken(this.git4,25),this.git5=darken(this.git5,25),this.git6=darken(this.git6,25),this.git7=darken(this.git7,25)),this.gitInv0=this.gitInv0||darken(invert(this.git0),25),this.gitInv1=this.gitInv1||invert(this.git1),this.gitInv2=this.gitInv2||invert(this.git2),this.gitInv3=this.gitInv3||invert(this.git3),this.gitInv4=this.gitInv4||invert(this.git4),this.gitInv5=this.gitInv5||invert(this.git5),this.gitInv6=this.gitInv6||invert(this.git6),this.gitInv7=this.gitInv7||invert(this.git7),this.gitBranchLabel0=this.gitBranchLabel0||invert(this.labelTextColor),this.gitBranchLabel1=this.gitBranchLabel1||this.labelTextColor,this.gitBranchLabel2=this.gitBranchLabel2||this.labelTextColor,this.gitBranchLabel3=this.gitBranchLabel3||invert(this.labelTextColor),this.gitBranchLabel4=this.gitBranchLabel4||this.labelTextColor,this.gitBranchLabel5=this.gitBranchLabel5||this.labelTextColor,this.gitBranchLabel6=this.gitBranchLabel6||this.labelTextColor,this.gitBranchLabel7=this.gitBranchLabel7||this.labelTextColor,this.tagLabelColor=this.tagLabelColor||this.primaryTextColor,this.tagLabelBackground=this.tagLabelBackground||this.primaryColor,this.tagLabelBorder=this.tagBorder||this.primaryBorderColor,this.tagLabelFontSize=this.tagLabelFontSize||"10px",this.commitLabelColor=this.commitLabelColor||this.secondaryTextColor,this.commitLabelBackground=this.commitLabelBackground||this.secondaryColor,this.commitLabelFontSize=this.commitLabelFontSize||"10px",this.attributeBackgroundColorOdd=this.attributeBackgroundColorOdd||oldAttributeBackgroundColorOdd,this.attributeBackgroundColorEven=this.attributeBackgroundColorEven||oldAttributeBackgroundColorEven}calculate(qa){if(typeof qa!="object"){this.updateColors();return}const Ja=Object.keys(qa);Ja.forEach(ed=>{this[ed]=qa[ed]}),this.updateColors(),Ja.forEach(ed=>{this[ed]=qa[ed]})}},__name(Sy,"Theme"),Sy),getThemeVariables3=__name(Ra=>{const qa=new Theme3;return qa.calculate(Ra),qa},"getThemeVariables"),Cy,Theme4=(Cy=class{constructor(){this.background="#f4f4f4",this.primaryColor="#cde498",this.secondaryColor="#cdffb2",this.background="white",this.mainBkg="#cde498",this.secondBkg="#cdffb2",this.lineColor="green",this.border1="#13540c",this.border2="#6eaa49",this.arrowheadColor="green",this.fontFamily='"trebuchet ms", verdana, arial, sans-serif',this.fontSize="16px",this.tertiaryColor=lighten("#cde498",10),this.primaryBorderColor=mkBorder(this.primaryColor,this.darkMode),this.secondaryBorderColor=mkBorder(this.secondaryColor,this.darkMode),this.tertiaryBorderColor=mkBorder(this.tertiaryColor,this.darkMode),this.primaryTextColor=invert(this.primaryColor),this.secondaryTextColor=invert(this.secondaryColor),this.tertiaryTextColor=invert(this.primaryColor),this.lineColor=invert(this.background),this.textColor=invert(this.background),this.THEME_COLOR_LIMIT=12,this.nodeBkg="calculated",this.nodeBorder="calculated",this.clusterBkg="calculated",this.clusterBorder="calculated",this.defaultLinkColor="calculated",this.titleColor="#333",this.edgeLabelBackground="#e8e8e8",this.actorBorder="calculated",this.actorBkg="calculated",this.actorTextColor="black",this.actorLineColor="calculated",this.signalColor="#333",this.signalTextColor="#333",this.labelBoxBkgColor="calculated",this.labelBoxBorderColor="#326932",this.labelTextColor="calculated",this.loopTextColor="calculated",this.noteBorderColor="calculated",this.noteBkgColor="#fff5ad",this.noteTextColor="calculated",this.activationBorderColor="#666",this.activationBkgColor="#f4f4f4",this.sequenceNumberColor="white",this.sectionBkgColor="#6eaa49",this.altSectionBkgColor="white",this.sectionBkgColor2="#6eaa49",this.excludeBkgColor="#eeeeee",this.taskBorderColor="calculated",this.taskBkgColor="#487e3a",this.taskTextLightColor="white",this.taskTextColor="calculated",this.taskTextDarkColor="black",this.taskTextOutsideColor="calculated",this.taskTextClickableColor="#003163",this.activeTaskBorderColor="calculated",this.activeTaskBkgColor="calculated",this.gridColor="lightgrey",this.doneTaskBkgColor="lightgrey",this.doneTaskBorderColor="grey",this.critBorderColor="#ff8888",this.critBkgColor="red",this.todayLineColor="red",this.personBorder=this.primaryBorderColor,this.personBkg=this.mainBkg,this.archEdgeColor="calculated",this.archEdgeArrowColor="calculated",this.archEdgeWidth="3",this.archGroupBorderColor=this.primaryBorderColor,this.archGroupBorderWidth="2px",this.labelColor="black",this.errorBkgColor="#552222",this.errorTextColor="#552222"}updateColors(){var qa,Ja,ed,td,rd,sd,od,ld,cd,ud,_d;this.actorBorder=darken(this.mainBkg,20),this.actorBkg=this.mainBkg,this.labelBoxBkgColor=this.actorBkg,this.labelTextColor=this.actorTextColor,this.loopTextColor=this.actorTextColor,this.noteBorderColor=this.border2,this.noteTextColor=this.actorTextColor,this.actorLineColor=this.actorBorder,this.cScale0=this.cScale0||this.primaryColor,this.cScale1=this.cScale1||this.secondaryColor,this.cScale2=this.cScale2||this.tertiaryColor,this.cScale3=this.cScale3||adjust(this.primaryColor,{h:30}),this.cScale4=this.cScale4||adjust(this.primaryColor,{h:60}),this.cScale5=this.cScale5||adjust(this.primaryColor,{h:90}),this.cScale6=this.cScale6||adjust(this.primaryColor,{h:120}),this.cScale7=this.cScale7||adjust(this.primaryColor,{h:150}),this.cScale8=this.cScale8||adjust(this.primaryColor,{h:210}),this.cScale9=this.cScale9||adjust(this.primaryColor,{h:270}),this.cScale10=this.cScale10||adjust(this.primaryColor,{h:300}),this.cScale11=this.cScale11||adjust(this.primaryColor,{h:330}),this.cScalePeer1=this.cScalePeer1||darken(this.secondaryColor,45),this.cScalePeer2=this.cScalePeer2||darken(this.tertiaryColor,40);for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScale"+yd]=darken(this["cScale"+yd],10),this["cScalePeer"+yd]=this["cScalePeer"+yd]||darken(this["cScale"+yd],25);for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScaleInv"+yd]=this["cScaleInv"+yd]||adjust(this["cScale"+yd],{h:180});this.scaleLabelColor=this.scaleLabelColor!=="calculated"&&this.scaleLabelColor?this.scaleLabelColor:this.labelTextColor;for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScaleLabel"+yd]=this["cScaleLabel"+yd]||this.scaleLabelColor;for(let yd=0;yd<5;yd++)this["surface"+yd]=this["surface"+yd]||adjust(this.mainBkg,{h:30,s:-30,l:-(5+yd*5)}),this["surfacePeer"+yd]=this["surfacePeer"+yd]||adjust(this.mainBkg,{h:30,s:-30,l:-(8+yd*5)});this.nodeBkg=this.mainBkg,this.nodeBorder=this.border1,this.clusterBkg=this.secondBkg,this.clusterBorder=this.border2,this.defaultLinkColor=this.lineColor,this.taskBorderColor=this.border1,this.taskTextColor=this.taskTextLightColor,this.taskTextOutsideColor=this.taskTextDarkColor,this.activeTaskBorderColor=this.taskBorderColor,this.activeTaskBkgColor=this.mainBkg,this.archEdgeColor=this.lineColor,this.archEdgeArrowColor=this.lineColor,this.transitionColor=this.transitionColor||this.lineColor,this.transitionLabelColor=this.transitionLabelColor||this.textColor,this.stateLabelColor=this.stateLabelColor||this.stateBkg||this.primaryTextColor,this.stateBkg=this.stateBkg||this.mainBkg,this.labelBackgroundColor=this.labelBackgroundColor||this.stateBkg,this.compositeBackground=this.compositeBackground||this.background||this.tertiaryColor,this.altBackground=this.altBackground||"#f0f0f0",this.compositeTitleBackground=this.compositeTitleBackground||this.mainBkg,this.compositeBorder=this.compositeBorder||this.nodeBorder,this.innerEndBackground=this.primaryBorderColor,this.specialStateColor=this.lineColor,this.errorBkgColor=this.errorBkgColor||this.tertiaryColor,this.errorTextColor=this.errorTextColor||this.tertiaryTextColor,this.transitionColor=this.transitionColor||this.lineColor,this.classText=this.primaryTextColor,this.fillType0=this.primaryColor,this.fillType1=this.secondaryColor,this.fillType2=adjust(this.primaryColor,{h:64}),this.fillType3=adjust(this.secondaryColor,{h:64}),this.fillType4=adjust(this.primaryColor,{h:-64}),this.fillType5=adjust(this.secondaryColor,{h:-64}),this.fillType6=adjust(this.primaryColor,{h:128}),this.fillType7=adjust(this.secondaryColor,{h:128}),this.pie1=this.pie1||this.primaryColor,this.pie2=this.pie2||this.secondaryColor,this.pie3=this.pie3||this.tertiaryColor,this.pie4=this.pie4||adjust(this.primaryColor,{l:-30}),this.pie5=this.pie5||adjust(this.secondaryColor,{l:-30}),this.pie6=this.pie6||adjust(this.tertiaryColor,{h:40,l:-40}),this.pie7=this.pie7||adjust(this.primaryColor,{h:60,l:-10}),this.pie8=this.pie8||adjust(this.primaryColor,{h:-60,l:-10}),this.pie9=this.pie9||adjust(this.primaryColor,{h:120,l:0}),this.pie10=this.pie10||adjust(this.primaryColor,{h:60,l:-50}),this.pie11=this.pie11||adjust(this.primaryColor,{h:-60,l:-50}),this.pie12=this.pie12||adjust(this.primaryColor,{h:120,l:-50}),this.pieTitleTextSize=this.pieTitleTextSize||"25px",this.pieTitleTextColor=this.pieTitleTextColor||this.taskTextDarkColor,this.pieSectionTextSize=this.pieSectionTextSize||"17px",this.pieSectionTextColor=this.pieSectionTextColor||this.textColor,this.pieLegendTextSize=this.pieLegendTextSize||"17px",this.pieLegendTextColor=this.pieLegendTextColor||this.taskTextDarkColor,this.pieStrokeColor=this.pieStrokeColor||"black",this.pieStrokeWidth=this.pieStrokeWidth||"2px",this.pieOuterStrokeWidth=this.pieOuterStrokeWidth||"2px",this.pieOuterStrokeColor=this.pieOuterStrokeColor||"black",this.pieOpacity=this.pieOpacity||"0.7",this.quadrant1Fill=this.quadrant1Fill||this.primaryColor,this.quadrant2Fill=this.quadrant2Fill||adjust(this.primaryColor,{r:5,g:5,b:5}),this.quadrant3Fill=this.quadrant3Fill||adjust(this.primaryColor,{r:10,g:10,b:10}),this.quadrant4Fill=this.quadrant4Fill||adjust(this.primaryColor,{r:15,g:15,b:15}),this.quadrant1TextFill=this.quadrant1TextFill||this.primaryTextColor,this.quadrant2TextFill=this.quadrant2TextFill||adjust(this.primaryTextColor,{r:-5,g:-5,b:-5}),this.quadrant3TextFill=this.quadrant3TextFill||adjust(this.primaryTextColor,{r:-10,g:-10,b:-10}),this.quadrant4TextFill=this.quadrant4TextFill||adjust(this.primaryTextColor,{r:-15,g:-15,b:-15}),this.quadrantPointFill=this.quadrantPointFill||isDark(this.quadrant1Fill)?lighten(this.quadrant1Fill):darken(this.quadrant1Fill),this.quadrantPointTextFill=this.quadrantPointTextFill||this.primaryTextColor,this.quadrantXAxisTextFill=this.quadrantXAxisTextFill||this.primaryTextColor,this.quadrantYAxisTextFill=this.quadrantYAxisTextFill||this.primaryTextColor,this.quadrantInternalBorderStrokeFill=this.quadrantInternalBorderStrokeFill||this.primaryBorderColor,this.quadrantExternalBorderStrokeFill=this.quadrantExternalBorderStrokeFill||this.primaryBorderColor,this.quadrantTitleFill=this.quadrantTitleFill||this.primaryTextColor,this.packet={startByteColor:this.primaryTextColor,endByteColor:this.primaryTextColor,labelColor:this.primaryTextColor,titleColor:this.primaryTextColor,blockStrokeColor:this.primaryTextColor,blockFillColor:this.mainBkg},this.xyChart={backgroundColor:((qa=this.xyChart)==null?void 0:qa.backgroundColor)||this.background,titleColor:((Ja=this.xyChart)==null?void 0:Ja.titleColor)||this.primaryTextColor,xAxisTitleColor:((ed=this.xyChart)==null?void 0:ed.xAxisTitleColor)||this.primaryTextColor,xAxisLabelColor:((td=this.xyChart)==null?void 0:td.xAxisLabelColor)||this.primaryTextColor,xAxisTickColor:((rd=this.xyChart)==null?void 0:rd.xAxisTickColor)||this.primaryTextColor,xAxisLineColor:((sd=this.xyChart)==null?void 0:sd.xAxisLineColor)||this.primaryTextColor,yAxisTitleColor:((od=this.xyChart)==null?void 0:od.yAxisTitleColor)||this.primaryTextColor,yAxisLabelColor:((ld=this.xyChart)==null?void 0:ld.yAxisLabelColor)||this.primaryTextColor,yAxisTickColor:((cd=this.xyChart)==null?void 0:cd.yAxisTickColor)||this.primaryTextColor,yAxisLineColor:((ud=this.xyChart)==null?void 0:ud.yAxisLineColor)||this.primaryTextColor,plotColorPalette:((_d=this.xyChart)==null?void 0:_d.plotColorPalette)||"#CDE498,#FF6B6B,#A0D2DB,#D7BDE2,#F0F0F0,#FFC3A0,#7FD8BE,#FF9A8B,#FAF3E0,#FFF176"},this.requirementBackground=this.requirementBackground||this.primaryColor,this.requirementBorderColor=this.requirementBorderColor||this.primaryBorderColor,this.requirementBorderSize=this.requirementBorderSize||"1",this.requirementTextColor=this.requirementTextColor||this.primaryTextColor,this.relationColor=this.relationColor||this.lineColor,this.relationLabelBackground=this.relationLabelBackground||this.edgeLabelBackground,this.relationLabelColor=this.relationLabelColor||this.actorTextColor,this.git0=this.git0||this.primaryColor,this.git1=this.git1||this.secondaryColor,this.git2=this.git2||this.tertiaryColor,this.git3=this.git3||adjust(this.primaryColor,{h:-30}),this.git4=this.git4||adjust(this.primaryColor,{h:-60}),this.git5=this.git5||adjust(this.primaryColor,{h:-90}),this.git6=this.git6||adjust(this.primaryColor,{h:60}),this.git7=this.git7||adjust(this.primaryColor,{h:120}),this.darkMode?(this.git0=lighten(this.git0,25),this.git1=lighten(this.git1,25),this.git2=lighten(this.git2,25),this.git3=lighten(this.git3,25),this.git4=lighten(this.git4,25),this.git5=lighten(this.git5,25),this.git6=lighten(this.git6,25),this.git7=lighten(this.git7,25)):(this.git0=darken(this.git0,25),this.git1=darken(this.git1,25),this.git2=darken(this.git2,25),this.git3=darken(this.git3,25),this.git4=darken(this.git4,25),this.git5=darken(this.git5,25),this.git6=darken(this.git6,25),this.git7=darken(this.git7,25)),this.gitInv0=this.gitInv0||invert(this.git0),this.gitInv1=this.gitInv1||invert(this.git1),this.gitInv2=this.gitInv2||invert(this.git2),this.gitInv3=this.gitInv3||invert(this.git3),this.gitInv4=this.gitInv4||invert(this.git4),this.gitInv5=this.gitInv5||invert(this.git5),this.gitInv6=this.gitInv6||invert(this.git6),this.gitInv7=this.gitInv7||invert(this.git7),this.gitBranchLabel0=this.gitBranchLabel0||invert(this.labelTextColor),this.gitBranchLabel1=this.gitBranchLabel1||this.labelTextColor,this.gitBranchLabel2=this.gitBranchLabel2||this.labelTextColor,this.gitBranchLabel3=this.gitBranchLabel3||invert(this.labelTextColor),this.gitBranchLabel4=this.gitBranchLabel4||this.labelTextColor,this.gitBranchLabel5=this.gitBranchLabel5||this.labelTextColor,this.gitBranchLabel6=this.gitBranchLabel6||this.labelTextColor,this.gitBranchLabel7=this.gitBranchLabel7||this.labelTextColor,this.tagLabelColor=this.tagLabelColor||this.primaryTextColor,this.tagLabelBackground=this.tagLabelBackground||this.primaryColor,this.tagLabelBorder=this.tagBorder||this.primaryBorderColor,this.tagLabelFontSize=this.tagLabelFontSize||"10px",this.commitLabelColor=this.commitLabelColor||this.secondaryTextColor,this.commitLabelBackground=this.commitLabelBackground||this.secondaryColor,this.commitLabelFontSize=this.commitLabelFontSize||"10px",this.attributeBackgroundColorOdd=this.attributeBackgroundColorOdd||oldAttributeBackgroundColorOdd,this.attributeBackgroundColorEven=this.attributeBackgroundColorEven||oldAttributeBackgroundColorEven}calculate(qa){if(typeof qa!="object"){this.updateColors();return}const Ja=Object.keys(qa);Ja.forEach(ed=>{this[ed]=qa[ed]}),this.updateColors(),Ja.forEach(ed=>{this[ed]=qa[ed]})}},__name(Cy,"Theme"),Cy),getThemeVariables4=__name(Ra=>{const qa=new Theme4;return qa.calculate(Ra),qa},"getThemeVariables"),Ay,Theme5=(Ay=class{constructor(){this.primaryColor="#eee",this.contrast="#707070",this.secondaryColor=lighten(this.contrast,55),this.background="#ffffff",this.tertiaryColor=adjust(this.primaryColor,{h:-160}),this.primaryBorderColor=mkBorder(this.primaryColor,this.darkMode),this.secondaryBorderColor=mkBorder(this.secondaryColor,this.darkMode),this.tertiaryBorderColor=mkBorder(this.tertiaryColor,this.darkMode),this.primaryTextColor=invert(this.primaryColor),this.secondaryTextColor=invert(this.secondaryColor),this.tertiaryTextColor=invert(this.tertiaryColor),this.lineColor=invert(this.background),this.textColor=invert(this.background),this.mainBkg="#eee",this.secondBkg="calculated",this.lineColor="#666",this.border1="#999",this.border2="calculated",this.note="#ffa",this.text="#333",this.critical="#d42",this.done="#bbb",this.arrowheadColor="#333333",this.fontFamily='"trebuchet ms", verdana, arial, sans-serif',this.fontSize="16px",this.THEME_COLOR_LIMIT=12,this.nodeBkg="calculated",this.nodeBorder="calculated",this.clusterBkg="calculated",this.clusterBorder="calculated",this.defaultLinkColor="calculated",this.titleColor="calculated",this.edgeLabelBackground="white",this.actorBorder="calculated",this.actorBkg="calculated",this.actorTextColor="calculated",this.actorLineColor=this.actorBorder,this.signalColor="calculated",this.signalTextColor="calculated",this.labelBoxBkgColor="calculated",this.labelBoxBorderColor="calculated",this.labelTextColor="calculated",this.loopTextColor="calculated",this.noteBorderColor="calculated",this.noteBkgColor="calculated",this.noteTextColor="calculated",this.activationBorderColor="#666",this.activationBkgColor="#f4f4f4",this.sequenceNumberColor="white",this.sectionBkgColor="calculated",this.altSectionBkgColor="white",this.sectionBkgColor2="calculated",this.excludeBkgColor="#eeeeee",this.taskBorderColor="calculated",this.taskBkgColor="calculated",this.taskTextLightColor="white",this.taskTextColor="calculated",this.taskTextDarkColor="calculated",this.taskTextOutsideColor="calculated",this.taskTextClickableColor="#003163",this.activeTaskBorderColor="calculated",this.activeTaskBkgColor="calculated",this.gridColor="calculated",this.doneTaskBkgColor="calculated",this.doneTaskBorderColor="calculated",this.critBkgColor="calculated",this.critBorderColor="calculated",this.todayLineColor="calculated",this.personBorder=this.primaryBorderColor,this.personBkg=this.mainBkg,this.archEdgeColor="calculated",this.archEdgeArrowColor="calculated",this.archEdgeWidth="3",this.archGroupBorderColor=this.primaryBorderColor,this.archGroupBorderWidth="2px",this.labelColor="black",this.errorBkgColor="#552222",this.errorTextColor="#552222"}updateColors(){var qa,Ja,ed,td,rd,sd,od,ld,cd,ud,_d;this.secondBkg=lighten(this.contrast,55),this.border2=this.contrast,this.actorBorder=lighten(this.border1,23),this.actorBkg=this.mainBkg,this.actorTextColor=this.text,this.actorLineColor=this.actorBorder,this.signalColor=this.text,this.signalTextColor=this.text,this.labelBoxBkgColor=this.actorBkg,this.labelBoxBorderColor=this.actorBorder,this.labelTextColor=this.text,this.loopTextColor=this.text,this.noteBorderColor="#999",this.noteBkgColor="#666",this.noteTextColor="#fff",this.cScale0=this.cScale0||"#555",this.cScale1=this.cScale1||"#F4F4F4",this.cScale2=this.cScale2||"#555",this.cScale3=this.cScale3||"#BBB",this.cScale4=this.cScale4||"#777",this.cScale5=this.cScale5||"#999",this.cScale6=this.cScale6||"#DDD",this.cScale7=this.cScale7||"#FFF",this.cScale8=this.cScale8||"#DDD",this.cScale9=this.cScale9||"#BBB",this.cScale10=this.cScale10||"#999",this.cScale11=this.cScale11||"#777";for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScaleInv"+yd]=this["cScaleInv"+yd]||invert(this["cScale"+yd]);for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this.darkMode?this["cScalePeer"+yd]=this["cScalePeer"+yd]||lighten(this["cScale"+yd],10):this["cScalePeer"+yd]=this["cScalePeer"+yd]||darken(this["cScale"+yd],10);this.scaleLabelColor=this.scaleLabelColor||(this.darkMode?"black":this.labelTextColor),this.cScaleLabel0=this.cScaleLabel0||this.cScale1,this.cScaleLabel2=this.cScaleLabel2||this.cScale1;for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["cScaleLabel"+yd]=this["cScaleLabel"+yd]||this.scaleLabelColor;for(let yd=0;yd<5;yd++)this["surface"+yd]=this["surface"+yd]||adjust(this.mainBkg,{l:-(5+yd*5)}),this["surfacePeer"+yd]=this["surfacePeer"+yd]||adjust(this.mainBkg,{l:-(8+yd*5)});this.nodeBkg=this.mainBkg,this.nodeBorder=this.border1,this.clusterBkg=this.secondBkg,this.clusterBorder=this.border2,this.defaultLinkColor=this.lineColor,this.titleColor=this.text,this.sectionBkgColor=lighten(this.contrast,30),this.sectionBkgColor2=lighten(this.contrast,30),this.taskBorderColor=darken(this.contrast,10),this.taskBkgColor=this.contrast,this.taskTextColor=this.taskTextLightColor,this.taskTextDarkColor=this.text,this.taskTextOutsideColor=this.taskTextDarkColor,this.activeTaskBorderColor=this.taskBorderColor,this.activeTaskBkgColor=this.mainBkg,this.gridColor=lighten(this.border1,30),this.doneTaskBkgColor=this.done,this.doneTaskBorderColor=this.lineColor,this.critBkgColor=this.critical,this.critBorderColor=darken(this.critBkgColor,10),this.todayLineColor=this.critBkgColor,this.archEdgeColor=this.lineColor,this.archEdgeArrowColor=this.lineColor,this.transitionColor=this.transitionColor||"#000",this.transitionLabelColor=this.transitionLabelColor||this.textColor,this.stateLabelColor=this.stateLabelColor||this.stateBkg||this.primaryTextColor,this.stateBkg=this.stateBkg||this.mainBkg,this.labelBackgroundColor=this.labelBackgroundColor||this.stateBkg,this.compositeBackground=this.compositeBackground||this.background||this.tertiaryColor,this.altBackground=this.altBackground||"#f4f4f4",this.compositeTitleBackground=this.compositeTitleBackground||this.mainBkg,this.stateBorder=this.stateBorder||"#000",this.innerEndBackground=this.primaryBorderColor,this.specialStateColor="#222",this.errorBkgColor=this.errorBkgColor||this.tertiaryColor,this.errorTextColor=this.errorTextColor||this.tertiaryTextColor,this.classText=this.primaryTextColor,this.fillType0=this.primaryColor,this.fillType1=this.secondaryColor,this.fillType2=adjust(this.primaryColor,{h:64}),this.fillType3=adjust(this.secondaryColor,{h:64}),this.fillType4=adjust(this.primaryColor,{h:-64}),this.fillType5=adjust(this.secondaryColor,{h:-64}),this.fillType6=adjust(this.primaryColor,{h:128}),this.fillType7=adjust(this.secondaryColor,{h:128});for(let yd=0;yd<this.THEME_COLOR_LIMIT;yd++)this["pie"+yd]=this["cScale"+yd];this.pie12=this.pie0,this.pieTitleTextSize=this.pieTitleTextSize||"25px",this.pieTitleTextColor=this.pieTitleTextColor||this.taskTextDarkColor,this.pieSectionTextSize=this.pieSectionTextSize||"17px",this.pieSectionTextColor=this.pieSectionTextColor||this.textColor,this.pieLegendTextSize=this.pieLegendTextSize||"17px",this.pieLegendTextColor=this.pieLegendTextColor||this.taskTextDarkColor,this.pieStrokeColor=this.pieStrokeColor||"black",this.pieStrokeWidth=this.pieStrokeWidth||"2px",this.pieOuterStrokeWidth=this.pieOuterStrokeWidth||"2px",this.pieOuterStrokeColor=this.pieOuterStrokeColor||"black",this.pieOpacity=this.pieOpacity||"0.7",this.quadrant1Fill=this.quadrant1Fill||this.primaryColor,this.quadrant2Fill=this.quadrant2Fill||adjust(this.primaryColor,{r:5,g:5,b:5}),this.quadrant3Fill=this.quadrant3Fill||adjust(this.primaryColor,{r:10,g:10,b:10}),this.quadrant4Fill=this.quadrant4Fill||adjust(this.primaryColor,{r:15,g:15,b:15}),this.quadrant1TextFill=this.quadrant1TextFill||this.primaryTextColor,this.quadrant2TextFill=this.quadrant2TextFill||adjust(this.primaryTextColor,{r:-5,g:-5,b:-5}),this.quadrant3TextFill=this.quadrant3TextFill||adjust(this.primaryTextColor,{r:-10,g:-10,b:-10}),this.quadrant4TextFill=this.quadrant4TextFill||adjust(this.primaryTextColor,{r:-15,g:-15,b:-15}),this.quadrantPointFill=this.quadrantPointFill||isDark(this.quadrant1Fill)?lighten(this.quadrant1Fill):darken(this.quadrant1Fill),this.quadrantPointTextFill=this.quadrantPointTextFill||this.primaryTextColor,this.quadrantXAxisTextFill=this.quadrantXAxisTextFill||this.primaryTextColor,this.quadrantYAxisTextFill=this.quadrantYAxisTextFill||this.primaryTextColor,this.quadrantInternalBorderStrokeFill=this.quadrantInternalBorderStrokeFill||this.primaryBorderColor,this.quadrantExternalBorderStrokeFill=this.quadrantExternalBorderStrokeFill||this.primaryBorderColor,this.quadrantTitleFill=this.quadrantTitleFill||this.primaryTextColor,this.xyChart={backgroundColor:((qa=this.xyChart)==null?void 0:qa.backgroundColor)||this.background,titleColor:((Ja=this.xyChart)==null?void 0:Ja.titleColor)||this.primaryTextColor,xAxisTitleColor:((ed=this.xyChart)==null?void 0:ed.xAxisTitleColor)||this.primaryTextColor,xAxisLabelColor:((td=this.xyChart)==null?void 0:td.xAxisLabelColor)||this.primaryTextColor,xAxisTickColor:((rd=this.xyChart)==null?void 0:rd.xAxisTickColor)||this.primaryTextColor,xAxisLineColor:((sd=this.xyChart)==null?void 0:sd.xAxisLineColor)||this.primaryTextColor,yAxisTitleColor:((od=this.xyChart)==null?void 0:od.yAxisTitleColor)||this.primaryTextColor,yAxisLabelColor:((ld=this.xyChart)==null?void 0:ld.yAxisLabelColor)||this.primaryTextColor,yAxisTickColor:((cd=this.xyChart)==null?void 0:cd.yAxisTickColor)||this.primaryTextColor,yAxisLineColor:((ud=this.xyChart)==null?void 0:ud.yAxisLineColor)||this.primaryTextColor,plotColorPalette:((_d=this.xyChart)==null?void 0:_d.plotColorPalette)||"#EEE,#6BB8E4,#8ACB88,#C7ACD6,#E8DCC2,#FFB2A8,#FFF380,#7E8D91,#FFD8B1,#FAF3E0"},this.requirementBackground=this.requirementBackground||this.primaryColor,this.requirementBorderColor=this.requirementBorderColor||this.primaryBorderColor,this.requirementBorderSize=this.requirementBorderSize||"1",this.requirementTextColor=this.requirementTextColor||this.primaryTextColor,this.relationColor=this.relationColor||this.lineColor,this.relationLabelBackground=this.relationLabelBackground||this.edgeLabelBackground,this.relationLabelColor=this.relationLabelColor||this.actorTextColor,this.git0=darken(this.pie1,25)||this.primaryColor,this.git1=this.pie2||this.secondaryColor,this.git2=this.pie3||this.tertiaryColor,this.git3=this.pie4||adjust(this.primaryColor,{h:-30}),this.git4=this.pie5||adjust(this.primaryColor,{h:-60}),this.git5=this.pie6||adjust(this.primaryColor,{h:-90}),this.git6=this.pie7||adjust(this.primaryColor,{h:60}),this.git7=this.pie8||adjust(this.primaryColor,{h:120}),this.gitInv0=this.gitInv0||invert(this.git0),this.gitInv1=this.gitInv1||invert(this.git1),this.gitInv2=this.gitInv2||invert(this.git2),this.gitInv3=this.gitInv3||invert(this.git3),this.gitInv4=this.gitInv4||invert(this.git4),this.gitInv5=this.gitInv5||invert(this.git5),this.gitInv6=this.gitInv6||invert(this.git6),this.gitInv7=this.gitInv7||invert(this.git7),this.branchLabelColor=this.branchLabelColor||this.labelTextColor,this.gitBranchLabel0=this.branchLabelColor,this.gitBranchLabel1="white",this.gitBranchLabel2=this.branchLabelColor,this.gitBranchLabel3="white",this.gitBranchLabel4=this.branchLabelColor,this.gitBranchLabel5=this.branchLabelColor,this.gitBranchLabel6=this.branchLabelColor,this.gitBranchLabel7=this.branchLabelColor,this.tagLabelColor=this.tagLabelColor||this.primaryTextColor,this.tagLabelBackground=this.tagLabelBackground||this.primaryColor,this.tagLabelBorder=this.tagBorder||this.primaryBorderColor,this.tagLabelFontSize=this.tagLabelFontSize||"10px",this.commitLabelColor=this.commitLabelColor||this.secondaryTextColor,this.commitLabelBackground=this.commitLabelBackground||this.secondaryColor,this.commitLabelFontSize=this.commitLabelFontSize||"10px",this.attributeBackgroundColorOdd=this.attributeBackgroundColorOdd||oldAttributeBackgroundColorOdd,this.attributeBackgroundColorEven=this.attributeBackgroundColorEven||oldAttributeBackgroundColorEven}calculate(qa){if(typeof qa!="object"){this.updateColors();return}const Ja=Object.keys(qa);Ja.forEach(ed=>{this[ed]=qa[ed]}),this.updateColors(),Ja.forEach(ed=>{this[ed]=qa[ed]})}},__name(Ay,"Theme"),Ay),getThemeVariables5=__name(Ra=>{const qa=new Theme5;return qa.calculate(Ra),qa},"getThemeVariables"),themes_default={base:{getThemeVariables},dark:{getThemeVariables:getThemeVariables2},default:{getThemeVariables:getThemeVariables3},forest:{getThemeVariables:getThemeVariables4},neutral:{getThemeVariables:getThemeVariables5}},config_schema_default={flowchart:{useMaxWidth:!0,titleTopMargin:25,subGraphTitleMargin:{top:0,bottom:0},diagramPadding:8,htmlLabels:!0,nodeSpacing:50,rankSpacing:50,curve:"basis",padding:15,defaultRenderer:"dagre-wrapper",wrappingWidth:200},sequence:{useMaxWidth:!0,hideUnusedParticipants:!1,activationWidth:10,diagramMarginX:50,diagramMarginY:10,actorMargin:50,width:150,height:65,boxMargin:10,boxTextMargin:5,noteMargin:10,messageMargin:35,messageAlign:"center",mirrorActors:!0,forceMenus:!1,bottomMarginAdj:1,rightAngles:!1,showSequenceNumbers:!1,actorFontSize:14,actorFontFamily:'"Open Sans", sans-serif',actorFontWeight:400,noteFontSize:14,noteFontFamily:'"trebuchet ms", verdana, arial, sans-serif',noteFontWeight:400,noteAlign:"center",messageFontSize:16,messageFontFamily:'"trebuchet ms", verdana, arial, sans-serif',messageFontWeight:400,wrap:!1,wrapPadding:10,labelBoxWidth:50,labelBoxHeight:20},gantt:{useMaxWidth:!0,titleTopMargin:25,barHeight:20,barGap:4,topPadding:50,rightPadding:75,leftPadding:75,gridLineStartPadding:35,fontSize:11,sectionFontSize:11,numberSectionStyles:4,axisFormat:"%Y-%m-%d",topAxis:!1,displayMode:"",weekday:"sunday"},journey:{useMaxWidth:!0,diagramMarginX:50,diagramMarginY:10,leftMargin:150,width:150,height:50,boxMargin:10,boxTextMargin:5,noteMargin:10,messageMargin:35,messageAlign:"center",bottomMarginAdj:1,rightAngles:!1,taskFontSize:14,taskFontFamily:'"Open Sans", sans-serif',taskMargin:50,activationWidth:10,textPlacement:"fo",actorColours:["#8FBC8F","#7CFC00","#00FFFF","#20B2AA","#B0E0E6","#FFFFE0"],sectionFills:["#191970","#8B008B","#4B0082","#2F4F4F","#800000","#8B4513","#00008B"],sectionColours:["#fff"]},class:{useMaxWidth:!0,titleTopMargin:25,arrowMarkerAbsolute:!1,dividerMargin:10,padding:5,textHeight:10,defaultRenderer:"dagre-wrapper",htmlLabels:!1},state:{useMaxWidth:!0,titleTopMargin:25,dividerMargin:10,sizeUnit:5,padding:8,textHeight:10,titleShift:-15,noteMargin:10,forkWidth:70,forkHeight:7,miniPadding:2,fontSizeFactor:5.02,fontSize:24,labelHeight:16,edgeLengthFactor:"20",compositTitleSize:35,radius:5,defaultRenderer:"dagre-wrapper"},er:{useMaxWidth:!0,titleTopMargin:25,diagramPadding:20,layoutDirection:"TB",minEntityWidth:100,minEntityHeight:75,entityPadding:15,stroke:"gray",fill:"honeydew",fontSize:12},pie:{useMaxWidth:!0,textPosition:.75},quadrantChart:{useMaxWidth:!0,chartWidth:500,chartHeight:500,titleFontSize:20,titlePadding:10,quadrantPadding:5,xAxisLabelPadding:5,yAxisLabelPadding:5,xAxisLabelFontSize:16,yAxisLabelFontSize:16,quadrantLabelFontSize:16,quadrantTextTopPadding:5,pointTextPadding:5,pointLabelFontSize:12,pointRadius:5,xAxisPosition:"top",yAxisPosition:"left",quadrantInternalBorderStrokeWidth:1,quadrantExternalBorderStrokeWidth:2},xyChart:{useMaxWidth:!0,width:700,height:500,titleFontSize:20,titlePadding:10,showTitle:!0,xAxis:{$ref:"#/$defs/XYChartAxisConfig",showLabel:!0,labelFontSize:14,labelPadding:5,showTitle:!0,titleFontSize:16,titlePadding:5,showTick:!0,tickLength:5,tickWidth:2,showAxisLine:!0,axisLineWidth:2},yAxis:{$ref:"#/$defs/XYChartAxisConfig",showLabel:!0,labelFontSize:14,labelPadding:5,showTitle:!0,titleFontSize:16,titlePadding:5,showTick:!0,tickLength:5,tickWidth:2,showAxisLine:!0,axisLineWidth:2},chartOrientation:"vertical",plotReservedSpacePercent:50},requirement:{useMaxWidth:!0,rect_fill:"#f9f9f9",text_color:"#333",rect_border_size:"0.5px",rect_border_color:"#bbb",rect_min_width:200,rect_min_height:200,fontSize:14,rect_padding:10,line_height:20},mindmap:{useMaxWidth:!0,padding:10,maxNodeWidth:200},timeline:{useMaxWidth:!0,diagramMarginX:50,diagramMarginY:10,leftMargin:150,width:150,height:50,boxMargin:10,boxTextMargin:5,noteMargin:10,messageMargin:35,messageAlign:"center",bottomMarginAdj:1,rightAngles:!1,taskFontSize:14,taskFontFamily:'"Open Sans", sans-serif',taskMargin:50,activationWidth:10,textPlacement:"fo",actorColours:["#8FBC8F","#7CFC00","#00FFFF","#20B2AA","#B0E0E6","#FFFFE0"],sectionFills:["#191970","#8B008B","#4B0082","#2F4F4F","#800000","#8B4513","#00008B"],sectionColours:["#fff"],disableMulticolor:!1},gitGraph:{useMaxWidth:!0,titleTopMargin:25,diagramPadding:8,nodeLabel:{width:75,height:100,x:-25,y:0},mainBranchName:"main",mainBranchOrder:0,showCommitLabel:!0,showBranches:!0,rotateCommitLabel:!0,parallelCommits:!1,arrowMarkerAbsolute:!1},c4:{useMaxWidth:!0,diagramMarginX:50,diagramMarginY:10,c4ShapeMargin:50,c4ShapePadding:20,width:216,height:60,boxMargin:10,c4ShapeInRow:4,nextLinePaddingX:0,c4BoundaryInRow:2,personFontSize:14,personFontFamily:'"Open Sans", sans-serif',personFontWeight:"normal",external_personFontSize:14,external_personFontFamily:'"Open Sans", sans-serif',external_personFontWeight:"normal",systemFontSize:14,systemFontFamily:'"Open Sans", sans-serif',systemFontWeight:"normal",external_systemFontSize:14,external_systemFontFamily:'"Open Sans", sans-serif',external_systemFontWeight:"normal",system_dbFontSize:14,system_dbFontFamily:'"Open Sans", sans-serif',system_dbFontWeight:"normal",external_system_dbFontSize:14,external_system_dbFontFamily:'"Open Sans", sans-serif',external_system_dbFontWeight:"normal",system_queueFontSize:14,system_queueFontFamily:'"Open Sans", sans-serif',system_queueFontWeight:"normal",external_system_queueFontSize:14,external_system_queueFontFamily:'"Open Sans", sans-serif',external_system_queueFontWeight:"normal",boundaryFontSize:14,boundaryFontFamily:'"Open Sans", sans-serif',boundaryFontWeight:"normal",messageFontSize:12,messageFontFamily:'"Open Sans", sans-serif',messageFontWeight:"normal",containerFontSize:14,containerFontFamily:'"Open Sans", sans-serif',containerFontWeight:"normal",external_containerFontSize:14,external_containerFontFamily:'"Open Sans", sans-serif',external_containerFontWeight:"normal",container_dbFontSize:14,container_dbFontFamily:'"Open Sans", sans-serif',container_dbFontWeight:"normal",external_container_dbFontSize:14,external_container_dbFontFamily:'"Open Sans", sans-serif',external_container_dbFontWeight:"normal",container_queueFontSize:14,container_queueFontFamily:'"Open Sans", sans-serif',container_queueFontWeight:"normal",external_container_queueFontSize:14,external_container_queueFontFamily:'"Open Sans", sans-serif',external_container_queueFontWeight:"normal",componentFontSize:14,componentFontFamily:'"Open Sans", sans-serif',componentFontWeight:"normal",external_componentFontSize:14,external_componentFontFamily:'"Open Sans", sans-serif',external_componentFontWeight:"normal",component_dbFontSize:14,component_dbFontFamily:'"Open Sans", sans-serif',component_dbFontWeight:"normal",external_component_dbFontSize:14,external_component_dbFontFamily:'"Open Sans", sans-serif',external_component_dbFontWeight:"normal",component_queueFontSize:14,component_queueFontFamily:'"Open Sans", sans-serif',component_queueFontWeight:"normal",external_component_queueFontSize:14,external_component_queueFontFamily:'"Open Sans", sans-serif',external_component_queueFontWeight:"normal",wrap:!0,wrapPadding:10,person_bg_color:"#08427B",person_border_color:"#073B6F",external_person_bg_color:"#686868",external_person_border_color:"#8A8A8A",system_bg_color:"#1168BD",system_border_color:"#3C7FC0",system_db_bg_color:"#1168BD",system_db_border_color:"#3C7FC0",system_queue_bg_color:"#1168BD",system_queue_border_color:"#3C7FC0",external_system_bg_color:"#999999",external_system_border_color:"#8A8A8A",external_system_db_bg_color:"#999999",external_system_db_border_color:"#8A8A8A",external_system_queue_bg_color:"#999999",external_system_queue_border_color:"#8A8A8A",container_bg_color:"#438DD5",container_border_color:"#3C7FC0",container_db_bg_color:"#438DD5",container_db_border_color:"#3C7FC0",container_queue_bg_color:"#438DD5",container_queue_border_color:"#3C7FC0",external_container_bg_color:"#B3B3B3",external_container_border_color:"#A6A6A6",external_container_db_bg_color:"#B3B3B3",external_container_db_border_color:"#A6A6A6",external_container_queue_bg_color:"#B3B3B3",external_container_queue_border_color:"#A6A6A6",component_bg_color:"#85BBF0",component_border_color:"#78A8D8",component_db_bg_color:"#85BBF0",component_db_border_color:"#78A8D8",component_queue_bg_color:"#85BBF0",component_queue_border_color:"#78A8D8",external_component_bg_color:"#CCCCCC",external_component_border_color:"#BFBFBF",external_component_db_bg_color:"#CCCCCC",external_component_db_border_color:"#BFBFBF",external_component_queue_bg_color:"#CCCCCC",external_component_queue_border_color:"#BFBFBF"},sankey:{useMaxWidth:!0,width:600,height:400,linkColor:"gradient",nodeAlignment:"justify",showValues:!0,prefix:"",suffix:""},block:{useMaxWidth:!0,padding:8},packet:{useMaxWidth:!0,rowHeight:32,bitWidth:32,bitsPerRow:32,showBits:!0,paddingX:5,paddingY:5},architecture:{useMaxWidth:!0,padding:40,iconSize:80,fontSize:16},theme:"default",look:"classic",handDrawnSeed:0,layout:"dagre",maxTextSize:5e4,maxEdges:500,darkMode:!1,fontFamily:'"trebuchet ms", verdana, arial, sans-serif;',logLevel:5,securityLevel:"strict",startOnLoad:!0,arrowMarkerAbsolute:!1,secure:["secure","securityLevel","startOnLoad","maxTextSize","suppressErrorRendering","maxEdges"],legacyMathML:!1,forceLegacyMathML:!1,deterministicIds:!1,fontSize:16,markdownAutoWrap:!0,suppressErrorRendering:!1},config$1={...config_schema_default,deterministicIDSeed:void 0,elk:{mergeEdges:!1,nodePlacementStrategy:"SIMPLE"},themeCSS:void 0,themeVariables:themes_default.default.getThemeVariables(),sequence:{...config_schema_default.sequence,messageFont:__name(function(){return{fontFamily:this.messageFontFamily,fontSize:this.messageFontSize,fontWeight:this.messageFontWeight}},"messageFont"),noteFont:__name(function(){return{fontFamily:this.noteFontFamily,fontSize:this.noteFontSize,fontWeight:this.noteFontWeight}},"noteFont"),actorFont:__name(function(){return{fontFamily:this.actorFontFamily,fontSize:this.actorFontSize,fontWeight:this.actorFontWeight}},"actorFont")},gantt:{...config_schema_default.gantt,tickInterval:void 0,useWidth:void 0},c4:{...config_schema_default.c4,useWidth:void 0,personFont:__name(function(){return{fontFamily:this.personFontFamily,fontSize:this.personFontSize,fontWeight:this.personFontWeight}},"personFont"),external_personFont:__name(function(){return{fontFamily:this.external_personFontFamily,fontSize:this.external_personFontSize,fontWeight:this.external_personFontWeight}},"external_personFont"),systemFont:__name(function(){return{fontFamily:this.systemFontFamily,fontSize:this.systemFontSize,fontWeight:this.systemFontWeight}},"systemFont"),external_systemFont:__name(function(){return{fontFamily:this.external_systemFontFamily,fontSize:this.external_systemFontSize,fontWeight:this.external_systemFontWeight}},"external_systemFont"),system_dbFont:__name(function(){return{fontFamily:this.system_dbFontFamily,fontSize:this.system_dbFontSize,fontWeight:this.system_dbFontWeight}},"system_dbFont"),external_system_dbFont:__name(function(){return{fontFamily:this.external_system_dbFontFamily,fontSize:this.external_system_dbFontSize,fontWeight:this.external_system_dbFontWeight}},"external_system_dbFont"),system_queueFont:__name(function(){return{fontFamily:this.system_queueFontFamily,fontSize:this.system_queueFontSize,fontWeight:this.system_queueFontWeight}},"system_queueFont"),external_system_queueFont:__name(function(){return{fontFamily:this.external_system_queueFontFamily,fontSize:this.external_system_queueFontSize,fontWeight:this.external_system_queueFontWeight}},"external_system_queueFont"),containerFont:__name(function(){return{fontFamily:this.containerFontFamily,fontSize:this.containerFontSize,fontWeight:this.containerFontWeight}},"containerFont"),external_containerFont:__name(function(){return{fontFamily:this.external_containerFontFamily,fontSize:this.external_containerFontSize,fontWeight:this.external_containerFontWeight}},"external_containerFont"),container_dbFont:__name(function(){return{fontFamily:this.container_dbFontFamily,fontSize:this.container_dbFontSize,fontWeight:this.container_dbFontWeight}},"container_dbFont"),external_container_dbFont:__name(function(){return{fontFamily:this.external_container_dbFontFamily,fontSize:this.external_container_dbFontSize,fontWeight:this.external_container_dbFontWeight}},"external_container_dbFont"),container_queueFont:__name(function(){return{fontFamily:this.container_queueFontFamily,fontSize:this.container_queueFontSize,fontWeight:this.container_queueFontWeight}},"container_queueFont"),external_container_queueFont:__name(function(){return{fontFamily:this.external_container_queueFontFamily,fontSize:this.external_container_queueFontSize,fontWeight:this.external_container_queueFontWeight}},"external_container_queueFont"),componentFont:__name(function(){return{fontFamily:this.componentFontFamily,fontSize:this.componentFontSize,fontWeight:this.componentFontWeight}},"componentFont"),external_componentFont:__name(function(){return{fontFamily:this.external_componentFontFamily,fontSize:this.external_componentFontSize,fontWeight:this.external_componentFontWeight}},"external_componentFont"),component_dbFont:__name(function(){return{fontFamily:this.component_dbFontFamily,fontSize:this.component_dbFontSize,fontWeight:this.component_dbFontWeight}},"component_dbFont"),external_component_dbFont:__name(function(){return{fontFamily:this.external_component_dbFontFamily,fontSize:this.external_component_dbFontSize,fontWeight:this.external_component_dbFontWeight}},"external_component_dbFont"),component_queueFont:__name(function(){return{fontFamily:this.component_queueFontFamily,fontSize:this.component_queueFontSize,fontWeight:this.component_queueFontWeight}},"component_queueFont"),external_component_queueFont:__name(function(){return{fontFamily:this.external_component_queueFontFamily,fontSize:this.external_component_queueFontSize,fontWeight:this.external_component_queueFontWeight}},"external_component_queueFont"),boundaryFont:__name(function(){return{fontFamily:this.boundaryFontFamily,fontSize:this.boundaryFontSize,fontWeight:this.boundaryFontWeight}},"boundaryFont"),messageFont:__name(function(){return{fontFamily:this.messageFontFamily,fontSize:this.messageFontSize,fontWeight:this.messageFontWeight}},"messageFont")},pie:{...config_schema_default.pie,useWidth:984},xyChart:{...config_schema_default.xyChart,useWidth:void 0},requirement:{...config_schema_default.requirement,useWidth:void 0},packet:{...config_schema_default.packet}},keyify=__name((Ra,qa="")=>Object.keys(Ra).reduce((Ja,ed)=>Array.isArray(Ra[ed])?Ja:typeof Ra[ed]=="object"&&Ra[ed]!==null?[...Ja,qa+ed,...keyify(Ra[ed],"")]:[...Ja,qa+ed],[]),"keyify"),configKeys=new Set(keyify(config$1,"")),defaultConfig_default=config$1,sanitizeDirective=__name(Ra=>{if(log$1.debug("sanitizeDirective called with",Ra),!(typeof Ra!="object"||Ra==null)){if(Array.isArray(Ra)){Ra.forEach(qa=>sanitizeDirective(qa));return}for(const qa of Object.keys(Ra)){if(log$1.debug("Checking key",qa),qa.startsWith("__")||qa.includes("proto")||qa.includes("constr")||!configKeys.has(qa)||Ra[qa]==null){log$1.debug("sanitize deleting key: ",qa),delete Ra[qa];continue}if(typeof Ra[qa]=="object"){log$1.debug("sanitizing object",qa),sanitizeDirective(Ra[qa]);continue}const Ja=["themeCSS","fontFamily","altFontFamily"];for(const ed of Ja)qa.includes(ed)&&(log$1.debug("sanitizing css option",qa),Ra[qa]=sanitizeCss(Ra[qa]))}if(Ra.themeVariables)for(const qa of Object.keys(Ra.themeVariables)){const Ja=Ra.themeVariables[qa];Ja!=null&&Ja.match&&!Ja.match(/^[\d "#%(),.;A-Za-z]+$/)&&(Ra.themeVariables[qa]="")}log$1.debug("After sanitization",Ra)}},"sanitizeDirective"),sanitizeCss=__name(Ra=>{let qa=0,Ja=0;for(const ed of Ra){if(qa<Ja)return"{ /* ERROR: Unbalanced CSS */ }";ed==="{"?qa++:ed==="}"&&Ja++}return qa!==Ja?"{ /* ERROR: Unbalanced CSS */ }":Ra},"sanitizeCss"),defaultConfig=Object.freeze(defaultConfig_default),siteConfig=assignWithDepth_default({},defaultConfig),configFromInitialize,directives=[],currentConfig=assignWithDepth_default({},defaultConfig),updateCurrentConfig=__name((Ra,qa)=>{let Ja=assignWithDepth_default({},Ra),ed={};for(const td of qa)sanitize(td),ed=assignWithDepth_default(ed,td);if(Ja=assignWithDepth_default(Ja,ed),ed.theme&&ed.theme in themes_default){const td=assignWithDepth_default({},configFromInitialize),rd=assignWithDepth_default(td.themeVariables||{},ed.themeVariables);Ja.theme&&Ja.theme in themes_default&&(Ja.themeVariables=themes_default[Ja.theme].getThemeVariables(rd))}return currentConfig=Ja,checkConfig(currentConfig),currentConfig},"updateCurrentConfig"),setSiteConfig=__name(Ra=>(siteConfig=assignWithDepth_default({},defaultConfig),siteConfig=assignWithDepth_default(siteConfig,Ra),Ra.theme&&themes_default[Ra.theme]&&(siteConfig.themeVariables=themes_default[Ra.theme].getThemeVariables(Ra.themeVariables)),updateCurrentConfig(siteConfig,directives),siteConfig),"setSiteConfig"),saveConfigFromInitialize=__name(Ra=>{configFromInitialize=assignWithDepth_default({},Ra)},"saveConfigFromInitialize"),updateSiteConfig=__name(Ra=>(siteConfig=assignWithDepth_default(siteConfig,Ra),updateCurrentConfig(siteConfig,directives),siteConfig),"updateSiteConfig"),getSiteConfig=__name(()=>assignWithDepth_default({},siteConfig),"getSiteConfig"),setConfig=__name(Ra=>(checkConfig(Ra),assignWithDepth_default(currentConfig,Ra),getConfig$1()),"setConfig"),getConfig$1=__name(()=>assignWithDepth_default({},currentConfig),"getConfig"),sanitize=__name(Ra=>{Ra&&(["secure",...siteConfig.secure??[]].forEach(qa=>{Object.hasOwn(Ra,qa)&&(log$1.debug(`Denied attempt to modify a secure key ${qa}`,Ra[qa]),delete Ra[qa])}),Object.keys(Ra).forEach(qa=>{qa.startsWith("__")&&delete Ra[qa]}),Object.keys(Ra).forEach(qa=>{typeof Ra[qa]=="string"&&(Ra[qa].includes("<")||Ra[qa].includes(">")||Ra[qa].includes("url(data:"))&&delete Ra[qa],typeof Ra[qa]=="object"&&sanitize(Ra[qa])}))},"sanitize"),addDirective=__name(Ra=>{var qa;sanitizeDirective(Ra),Ra.fontFamily&&!((qa=Ra.themeVariables)!=null&&qa.fontFamily)&&(Ra.themeVariables={...Ra.themeVariables,fontFamily:Ra.fontFamily}),directives.push(Ra),updateCurrentConfig(siteConfig,directives)},"addDirective"),reset=__name((Ra=siteConfig)=>{directives=[],updateCurrentConfig(Ra,directives)},"reset"),ConfigWarning={LAZY_LOAD_DEPRECATED:"The configuration options lazyLoadedDiagrams and loadExternalDiagramsAtStartup are deprecated. Please use registerExternalDiagrams instead."},issuedWarnings={},issueWarning=__name(Ra=>{issuedWarnings[Ra]||(log$1.warn(ConfigWarning[Ra]),issuedWarnings[Ra]=!0)},"issueWarning"),checkConfig=__name(Ra=>{Ra&&(Ra.lazyLoadedDiagrams||Ra.loadExternalDiagramsAtStartup)&&issueWarning("LAZY_LOAD_DEPRECATED")},"checkConfig"),lineBreakRegex=/<br\s*\/?>/gi,getRows=__name(Ra=>Ra?breakToPlaceholder(Ra).replace(/\\n/g,"#br#").split("#br#"):[""],"getRows"),setupDompurifyHooksIfNotSetup=(()=>{let Ra=!1;return()=>{Ra||(setupDompurifyHooks(),Ra=!0)}})();function setupDompurifyHooks(){const Ra="data-temp-href-target";purify.addHook("beforeSanitizeAttributes",qa=>{qa.tagName==="A"&&qa.hasAttribute("target")&&qa.setAttribute(Ra,qa.getAttribute("target")??"")}),purify.addHook("afterSanitizeAttributes",qa=>{qa.tagName==="A"&&qa.hasAttribute(Ra)&&(qa.setAttribute("target",qa.getAttribute(Ra)??""),qa.removeAttribute(Ra),qa.getAttribute("target")==="_blank"&&qa.setAttribute("rel","noopener"))})}__name(setupDompurifyHooks,"setupDompurifyHooks");var removeScript=__name(Ra=>(setupDompurifyHooksIfNotSetup(),purify.sanitize(Ra)),"removeScript"),sanitizeMore=__name((Ra,qa)=>{var Ja;if(((Ja=qa.flowchart)==null?void 0:Ja.htmlLabels)!==!1){const ed=qa.securityLevel;ed==="antiscript"||ed==="strict"?Ra=removeScript(Ra):ed!=="loose"&&(Ra=breakToPlaceholder(Ra),Ra=Ra.replace(/</g,"<").replace(/>/g,">"),Ra=Ra.replace(/=/g,"="),Ra=placeholderToBreak(Ra))}return Ra},"sanitizeMore"),sanitizeText=__name((Ra,qa)=>Ra&&(qa.dompurifyConfig?Ra=purify.sanitize(sanitizeMore(Ra,qa),qa.dompurifyConfig).toString():Ra=purify.sanitize(sanitizeMore(Ra,qa),{FORBID_TAGS:["style"]}).toString(),Ra),"sanitizeText"),sanitizeTextOrArray=__name((Ra,qa)=>typeof Ra=="string"?sanitizeText(Ra,qa):Ra.flat().map(Ja=>sanitizeText(Ja,qa)),"sanitizeTextOrArray"),hasBreaks=__name(Ra=>lineBreakRegex.test(Ra),"hasBreaks"),splitBreaks=__name(Ra=>Ra.split(lineBreakRegex),"splitBreaks"),placeholderToBreak=__name(Ra=>Ra.replace(/#br#/g,"<br/>"),"placeholderToBreak"),breakToPlaceholder=__name(Ra=>Ra.replace(lineBreakRegex,"#br#"),"breakToPlaceholder"),getUrl=__name(Ra=>{let qa="";return Ra&&(qa=window.location.protocol+"//"+window.location.host+window.location.pathname+window.location.search,qa=qa.replaceAll(/\(/g,"\\("),qa=qa.replaceAll(/\)/g,"\\)")),qa},"getUrl"),evaluate=__name(Ra=>!(Ra===!1||["false","null","0"].includes(String(Ra).trim().toLowerCase())),"evaluate"),getMax=__name(function(...Ra){const qa=Ra.filter(Ja=>!isNaN(Ja));return Math.max(...qa)},"getMax"),getMin=__name(function(...Ra){const qa=Ra.filter(Ja=>!isNaN(Ja));return Math.min(...qa)},"getMin"),parseGenericTypes=__name(function(Ra){const qa=Ra.split(/(,)/),Ja=[];for(let ed=0;ed<qa.length;ed++){let td=qa[ed];if(td===","&&ed>0&&ed+1<qa.length){const rd=qa[ed-1],sd=qa[ed+1];shouldCombineSets(rd,sd)&&(td=rd+","+sd,ed++,Ja.pop())}Ja.push(processSet(td))}return Ja.join("")},"parseGenericTypes"),countOccurrence=__name((Ra,qa)=>Math.max(0,Ra.split(qa).length-1),"countOccurrence"),shouldCombineSets=__name((Ra,qa)=>{const Ja=countOccurrence(Ra,"~"),ed=countOccurrence(qa,"~");return Ja===1&&ed===1},"shouldCombineSets"),processSet=__name(Ra=>{const qa=countOccurrence(Ra,"~");let Ja=!1;if(qa<=1)return Ra;qa%2!==0&&Ra.startsWith("~")&&(Ra=Ra.substring(1),Ja=!0);const ed=[...Ra];let td=ed.indexOf("~"),rd=ed.lastIndexOf("~");for(;td!==-1&&rd!==-1&&td!==rd;)ed[td]="<",ed[rd]=">",td=ed.indexOf("~"),rd=ed.lastIndexOf("~");return Ja&&ed.unshift("~"),ed.join("")},"processSet"),isMathMLSupported=__name(()=>window.MathMLElement!==void 0,"isMathMLSupported"),katexRegex=/\$\$(.*)\$\$/g,hasKatex=__name(Ra=>{var qa;return(((qa=Ra.match(katexRegex))==null?void 0:qa.length)??0)>0},"hasKatex"),calculateMathMLDimensions=__name(async(Ra,qa)=>{Ra=await renderKatex(Ra,qa);const Ja=document.createElement("div");Ja.innerHTML=Ra,Ja.id="katex-temp",Ja.style.visibility="hidden",Ja.style.position="absolute",Ja.style.top="0";const ed=document.querySelector("body");ed==null||ed.insertAdjacentElement("beforeend",Ja);const td={width:Ja.clientWidth,height:Ja.clientHeight};return Ja.remove(),td},"calculateMathMLDimensions"),renderKatex=__name(async(Ra,qa)=>{if(!hasKatex(Ra))return Ra;if(!(isMathMLSupported()||qa.legacyMathML||qa.forceLegacyMathML))return Ra.replace(katexRegex,"MathML is unsupported in this environment.");const{default:Ja}=await __vitePreload(async()=>{const{default:td}=await Promise.resolve().then(()=>katex$1);return{default:td}},void 0),ed=qa.forceLegacyMathML||!isMathMLSupported()&&qa.legacyMathML?"htmlAndMathml":"mathml";return Ra.split(lineBreakRegex).map(td=>hasKatex(td)?`<div style="display: flex; align-items: center; justify-content: center; white-space: nowrap;">${td}</div>`:`<div>${td}</div>`).join("").replace(katexRegex,(td,rd)=>Ja.renderToString(rd,{throwOnError:!0,displayMode:!0,output:ed}).replace(/\n/g," ").replace(/<annotation.*<\/annotation>/g,""))},"renderKatex"),common_default={getRows,sanitizeText,sanitizeTextOrArray,hasBreaks,splitBreaks,lineBreakRegex,removeScript,getUrl,evaluate,getMax,getMin},d3Attrs=__name(function(Ra,qa){for(let Ja of qa)Ra.attr(Ja[0],Ja[1])},"d3Attrs"),calculateSvgSizeAttrs=__name(function(Ra,qa,Ja){let ed=new Map;return Ja?(ed.set("width","100%"),ed.set("style",`max-width: ${qa}px;`)):(ed.set("height",Ra),ed.set("width",qa)),ed},"calculateSvgSizeAttrs"),configureSvgSize=__name(function(Ra,qa,Ja,ed){const td=calculateSvgSizeAttrs(qa,Ja,ed);d3Attrs(Ra,td)},"configureSvgSize"),setupGraphViewbox=__name(function(Ra,qa,Ja,ed){const td=qa.node().getBBox(),rd=td.width,sd=td.height;log$1.info(`SVG bounds: ${rd}x${sd}`,td);let od=0,ld=0;log$1.info(`Graph bounds: ${od}x${ld}`,Ra),od=rd+Ja*2,ld=sd+Ja*2,log$1.info(`Calculated bounds: ${od}x${ld}`),configureSvgSize(qa,ld,od,ed);const cd=`${td.x-Ja} ${td.y-Ja} ${td.width+2*Ja} ${td.height+2*Ja}`;qa.attr("viewBox",cd)},"setupGraphViewbox"),themes={},getStyles$1=__name((Ra,qa,Ja)=>{let ed="";return Ra in themes&&themes[Ra]?ed=themes[Ra](Ja):log$1.warn(`No theme found for ${Ra}`),` & {
|
||
font-family: ${Ja.fontFamily};
|
||
font-size: ${Ja.fontSize};
|
||
fill: ${Ja.textColor}
|
||
}
|
||
|
||
/* Classes common for multiple diagrams */
|
||
|
||
& .error-icon {
|
||
fill: ${Ja.errorBkgColor};
|
||
}
|
||
& .error-text {
|
||
fill: ${Ja.errorTextColor};
|
||
stroke: ${Ja.errorTextColor};
|
||
}
|
||
|
||
& .edge-thickness-normal {
|
||
stroke-width: 1px;
|
||
}
|
||
& .edge-thickness-thick {
|
||
stroke-width: 3.5px
|
||
}
|
||
& .edge-pattern-solid {
|
||
stroke-dasharray: 0;
|
||
}
|
||
& .edge-thickness-invisible {
|
||
stroke-width: 0;
|
||
fill: none;
|
||
}
|
||
& .edge-pattern-dashed{
|
||
stroke-dasharray: 3;
|
||
}
|
||
.edge-pattern-dotted {
|
||
stroke-dasharray: 2;
|
||
}
|
||
|
||
& .marker {
|
||
fill: ${Ja.lineColor};
|
||
stroke: ${Ja.lineColor};
|
||
}
|
||
& .marker.cross {
|
||
stroke: ${Ja.lineColor};
|
||
}
|
||
|
||
& svg {
|
||
font-family: ${Ja.fontFamily};
|
||
font-size: ${Ja.fontSize};
|
||
}
|
||
& p {
|
||
margin: 0
|
||
}
|
||
|
||
${ed}
|
||
|
||
${qa}
|
||
`},"getStyles"),addStylesForDiagram=__name((Ra,qa)=>{qa!==void 0&&(themes[Ra]=qa)},"addStylesForDiagram"),styles_default=getStyles$1,commonDb_exports={};__export(commonDb_exports,{clear:()=>clear$1,getAccDescription:()=>getAccDescription,getAccTitle:()=>getAccTitle,getDiagramTitle:()=>getDiagramTitle,setAccDescription:()=>setAccDescription,setAccTitle:()=>setAccTitle,setDiagramTitle:()=>setDiagramTitle});var accTitle="",diagramTitle="",accDescription="",sanitizeText2=__name(Ra=>sanitizeText(Ra,getConfig$1()),"sanitizeText"),clear$1=__name(()=>{accTitle="",accDescription="",diagramTitle=""},"clear"),setAccTitle=__name(Ra=>{accTitle=sanitizeText2(Ra).replace(/^\s+/g,"")},"setAccTitle"),getAccTitle=__name(()=>accTitle,"getAccTitle"),setAccDescription=__name(Ra=>{accDescription=sanitizeText2(Ra).replace(/\n\s+/g,`
|
||
`)},"setAccDescription"),getAccDescription=__name(()=>accDescription,"getAccDescription"),setDiagramTitle=__name(Ra=>{diagramTitle=sanitizeText2(Ra)},"setDiagramTitle"),getDiagramTitle=__name(()=>diagramTitle,"getDiagramTitle"),log2=log$1,setLogLevel2=setLogLevel,getConfig2=getConfig$1,setConfig2=setConfig,defaultConfig2=defaultConfig,sanitizeText3=__name(Ra=>sanitizeText(Ra,getConfig2()),"sanitizeText"),setupGraphViewbox2=setupGraphViewbox,getCommonDb=__name(()=>commonDb_exports,"getCommonDb"),diagrams={},registerDiagram=__name((Ra,qa,Ja)=>{var ed;diagrams[Ra]&&log2.warn(`Diagram with id ${Ra} already registered. Overwriting.`),diagrams[Ra]=qa,Ja&&addDetector(Ra,Ja),addStylesForDiagram(Ra,qa.styles),(ed=qa.injectUtils)==null||ed.call(qa,log2,setLogLevel2,getConfig2,sanitizeText3,setupGraphViewbox2,getCommonDb(),()=>{})},"registerDiagram"),getDiagram=__name(Ra=>{if(Ra in diagrams)return diagrams[Ra];throw new DiagramNotFoundError(Ra)},"getDiagram"),Ny,DiagramNotFoundError=(Ny=class extends Error{constructor(qa){super(`Diagram ${qa} not found.`)}},__name(Ny,"DiagramNotFoundError"),Ny);const defaultIconDimensions=Object.freeze({left:0,top:0,width:16,height:16}),defaultIconTransformations=Object.freeze({rotate:0,vFlip:!1,hFlip:!1}),defaultIconProps=Object.freeze({...defaultIconDimensions,...defaultIconTransformations}),defaultExtendedIconProps=Object.freeze({...defaultIconProps,body:"",hidden:!1}),defaultIconSizeCustomisations=Object.freeze({width:null,height:null}),defaultIconCustomisations=Object.freeze({...defaultIconSizeCustomisations,...defaultIconTransformations}),matchIconName=/^[a-z0-9]+(-[a-z0-9]+)*$/,stringToIcon=(Ra,qa,Ja,ed="")=>{const td=Ra.split(":");if(Ra.slice(0,1)==="@"){if(td.length<2||td.length>3)return null;ed=td.shift().slice(1)}if(td.length>3||!td.length)return null;if(td.length>1){const od=td.pop(),ld=td.pop(),cd={provider:td.length>0?td[0]:ed,prefix:ld,name:od};return validateIconName(cd)?cd:null}const rd=td[0],sd=rd.split("-");if(sd.length>1){const od={provider:ed,prefix:sd.shift(),name:sd.join("-")};return validateIconName(od)?od:null}if(Ja&&ed===""){const od={provider:ed,prefix:"",name:rd};return validateIconName(od,Ja)?od:null}return null},validateIconName=(Ra,qa)=>Ra?!!((Ra.provider===""||Ra.provider.match(matchIconName))&&(qa&&Ra.prefix===""||Ra.prefix.match(matchIconName))&&Ra.name.match(matchIconName)):!1;function mergeIconTransformations(Ra,qa){const Ja={};!Ra.hFlip!=!qa.hFlip&&(Ja.hFlip=!0),!Ra.vFlip!=!qa.vFlip&&(Ja.vFlip=!0);const ed=((Ra.rotate||0)+(qa.rotate||0))%4;return ed&&(Ja.rotate=ed),Ja}function mergeIconData(Ra,qa){const Ja=mergeIconTransformations(Ra,qa);for(const ed in defaultExtendedIconProps)ed in defaultIconTransformations?ed in Ra&&!(ed in Ja)&&(Ja[ed]=defaultIconTransformations[ed]):ed in qa?Ja[ed]=qa[ed]:ed in Ra&&(Ja[ed]=Ra[ed]);return Ja}function getIconsTree(Ra,qa){const Ja=Ra.icons,ed=Ra.aliases||Object.create(null),td=Object.create(null);function rd(sd){if(Ja[sd])return td[sd]=[];if(!(sd in td)){td[sd]=null;const od=ed[sd]&&ed[sd].parent,ld=od&&rd(od);ld&&(td[sd]=[od].concat(ld))}return td[sd]}return(qa||Object.keys(Ja).concat(Object.keys(ed))).forEach(rd),td}function internalGetIconData(Ra,qa,Ja){const ed=Ra.icons,td=Ra.aliases||Object.create(null);let rd={};function sd(od){rd=mergeIconData(ed[od]||td[od],rd)}return sd(qa),Ja.forEach(sd),mergeIconData(Ra,rd)}function getIconData(Ra,qa){if(Ra.icons[qa])return internalGetIconData(Ra,qa,[]);const Ja=getIconsTree(Ra,[qa])[qa];return Ja?internalGetIconData(Ra,qa,Ja):null}const unitsSplit=/(-?[0-9.]*[0-9]+[0-9.]*)/g,unitsTest=/^-?[0-9.]*[0-9]+[0-9.]*$/g;function calculateSize(Ra,qa,Ja){if(qa===1)return Ra;if(Ja=Ja||100,typeof Ra=="number")return Math.ceil(Ra*qa*Ja)/Ja;if(typeof Ra!="string")return Ra;const ed=Ra.split(unitsSplit);if(ed===null||!ed.length)return Ra;const td=[];let rd=ed.shift(),sd=unitsTest.test(rd);for(;;){if(sd){const od=parseFloat(rd);isNaN(od)?td.push(rd):td.push(Math.ceil(od*qa*Ja)/Ja)}else td.push(rd);if(rd=ed.shift(),rd===void 0)return td.join("");sd=!sd}}function splitSVGDefs(Ra,qa="defs"){let Ja="";const ed=Ra.indexOf("<"+qa);for(;ed>=0;){const td=Ra.indexOf(">",ed),rd=Ra.indexOf("</"+qa);if(td===-1||rd===-1)break;const sd=Ra.indexOf(">",rd);if(sd===-1)break;Ja+=Ra.slice(td+1,rd).trim(),Ra=Ra.slice(0,ed).trim()+Ra.slice(sd+1)}return{defs:Ja,content:Ra}}function mergeDefsAndContent(Ra,qa){return Ra?"<defs>"+Ra+"</defs>"+qa:qa}function wrapSVGContent(Ra,qa,Ja){const ed=splitSVGDefs(Ra);return mergeDefsAndContent(ed.defs,qa+ed.content+Ja)}const isUnsetKeyword=Ra=>Ra==="unset"||Ra==="undefined"||Ra==="none";function iconToSVG(Ra,qa){const Ja={...defaultIconProps,...Ra},ed={...defaultIconCustomisations,...qa},td={left:Ja.left,top:Ja.top,width:Ja.width,height:Ja.height};let rd=Ja.body;[Ja,ed].forEach(Td=>{const kd=[],Rd=Td.hFlip,Nd=Td.vFlip;let Id=Td.rotate;Rd?Nd?Id+=2:(kd.push("translate("+(td.width+td.left).toString()+" "+(0-td.top).toString()+")"),kd.push("scale(-1 1)"),td.top=td.left=0):Nd&&(kd.push("translate("+(0-td.left).toString()+" "+(td.height+td.top).toString()+")"),kd.push("scale(1 -1)"),td.top=td.left=0);let Md;switch(Id<0&&(Id-=Math.floor(Id/4)*4),Id=Id%4,Id){case 1:Md=td.height/2+td.top,kd.unshift("rotate(90 "+Md.toString()+" "+Md.toString()+")");break;case 2:kd.unshift("rotate(180 "+(td.width/2+td.left).toString()+" "+(td.height/2+td.top).toString()+")");break;case 3:Md=td.width/2+td.left,kd.unshift("rotate(-90 "+Md.toString()+" "+Md.toString()+")");break}Id%2===1&&(td.left!==td.top&&(Md=td.left,td.left=td.top,td.top=Md),td.width!==td.height&&(Md=td.width,td.width=td.height,td.height=Md)),kd.length&&(rd=wrapSVGContent(rd,'<g transform="'+kd.join(" ")+'">',"</g>"))});const sd=ed.width,od=ed.height,ld=td.width,cd=td.height;let ud,_d;sd===null?(_d=od===null?"1em":od==="auto"?cd:od,ud=calculateSize(_d,ld/cd)):(ud=sd==="auto"?ld:sd,_d=od===null?calculateSize(ud,cd/ld):od==="auto"?cd:od);const yd={},gd=(Td,kd)=>{isUnsetKeyword(kd)||(yd[Td]=kd.toString())};gd("width",ud),gd("height",_d);const Ed=[td.left,td.top,ld,cd];return yd.viewBox=Ed.join(" "),{attributes:yd,viewBox:Ed,body:rd}}const regex=/\sid="(\S+)"/g,randomPrefix="IconifyId"+Date.now().toString(16)+(Math.random()*16777216|0).toString(16);let counter=0;function replaceIDs(Ra,qa=randomPrefix){const Ja=[];let ed;for(;ed=regex.exec(Ra);)Ja.push(ed[1]);if(!Ja.length)return Ra;const td="suffix"+(Math.random()*16777216|Date.now()).toString(16);return Ja.forEach(rd=>{const sd=typeof qa=="function"?qa(rd):qa+(counter++).toString(),od=rd.replace(/[.*+?^${}()|[\]\\]/g,"\\$&");Ra=Ra.replace(new RegExp('([#;"])('+od+')([")]|\\.[a-z])',"g"),"$1"+sd+td+"$3")}),Ra=Ra.replace(new RegExp(td,"g"),""),Ra}function iconToHTML(Ra,qa){let Ja=Ra.indexOf("xlink:")===-1?"":' xmlns:xlink="http://www.w3.org/1999/xlink"';for(const ed in qa)Ja+=" "+ed+'="'+qa[ed]+'"';return'<svg xmlns="http://www.w3.org/2000/svg"'+Ja+">"+Ra+"</svg>"}var unknownIcon={body:'<g><rect width="80" height="80" style="fill: #087ebf; stroke-width: 0px;"/><text transform="translate(21.16 64.67)" style="fill: #fff; font-family: ArialMT, Arial; font-size: 67.75px;"><tspan x="0" y="0">?</tspan></text></g>',height:80,width:80},iconsStore=new Map,loaderStore=new Map,registerIconPacks=__name(Ra=>{for(const qa of Ra){if(!qa.name)throw new Error('Invalid icon loader. Must have a "name" property with non-empty string value.');if(log$1.debug("Registering icon pack:",qa.name),"loader"in qa)loaderStore.set(qa.name,qa.loader);else if("icons"in qa)iconsStore.set(qa.name,qa.icons);else throw log$1.error("Invalid icon loader:",qa),new Error('Invalid icon loader. Must have either "icons" or "loader" property.')}},"registerIconPacks"),getRegisteredIconData=__name(async(Ra,qa)=>{const Ja=stringToIcon(Ra,!0,qa!==void 0);if(!Ja)throw new Error(`Invalid icon name: ${Ra}`);const ed=Ja.prefix||qa;if(!ed)throw new Error(`Icon name must contain a prefix: ${Ra}`);let td=iconsStore.get(ed);if(!td){const sd=loaderStore.get(ed);if(!sd)throw new Error(`Icon set not found: ${Ja.prefix}`);try{td={...await sd(),prefix:ed},iconsStore.set(ed,td)}catch(od){throw log$1.error(od),new Error(`Failed to load icon set: ${Ja.prefix}`)}}const rd=getIconData(td,Ja.name);if(!rd)throw new Error(`Icon not found: ${Ra}`);return rd},"getRegisteredIconData"),getIconSVG=__name(async(Ra,qa)=>{let Ja;try{Ja=await getRegisteredIconData(Ra,qa==null?void 0:qa.fallbackPrefix)}catch(rd){log$1.error(rd),Ja=unknownIcon}const ed=iconToSVG(Ja,qa);return iconToHTML(replaceIDs(ed.body),ed.attributes)},"getIconSVG"),getSubGraphTitleMargins=__name(({flowchart:Ra})=>{var td,rd;const qa=((td=Ra==null?void 0:Ra.subGraphTitleMargin)==null?void 0:td.top)??0,Ja=((rd=Ra==null?void 0:Ra.subGraphTitleMargin)==null?void 0:rd.bottom)??0,ed=qa+Ja;return{subGraphTitleTopMargin:qa,subGraphTitleBottomMargin:Ja,subGraphTitleTotalMargin:ed}},"getSubGraphTitleMargins"),markerOffsets={aggregation:18,extension:18,composition:18,dependency:6,lollipop:13.5,arrow_point:4};function calculateDeltaAndAngle(Ra,qa){if(Ra===void 0||qa===void 0)return{angle:0,deltaX:0,deltaY:0};Ra=pointTransformer(Ra),qa=pointTransformer(qa);const[Ja,ed]=[Ra.x,Ra.y],[td,rd]=[qa.x,qa.y],sd=td-Ja,od=rd-ed;return{angle:Math.atan(od/sd),deltaX:sd,deltaY:od}}__name(calculateDeltaAndAngle,"calculateDeltaAndAngle");var pointTransformer=__name(Ra=>Array.isArray(Ra)?{x:Ra[0],y:Ra[1]}:Ra,"pointTransformer"),getLineFunctionsWithOffset=__name(Ra=>({x:__name(function(qa,Ja,ed){let td=0;if(Ja===0&&Object.hasOwn(markerOffsets,Ra.arrowTypeStart)){const{angle:rd,deltaX:sd}=calculateDeltaAndAngle(ed[0],ed[1]);td=markerOffsets[Ra.arrowTypeStart]*Math.cos(rd)*(sd>=0?1:-1)}else if(Ja===ed.length-1&&Object.hasOwn(markerOffsets,Ra.arrowTypeEnd)){const{angle:rd,deltaX:sd}=calculateDeltaAndAngle(ed[ed.length-1],ed[ed.length-2]);td=markerOffsets[Ra.arrowTypeEnd]*Math.cos(rd)*(sd>=0?1:-1)}return pointTransformer(qa).x+td},"x"),y:__name(function(qa,Ja,ed){let td=0;if(Ja===0&&Object.hasOwn(markerOffsets,Ra.arrowTypeStart)){const{angle:rd,deltaY:sd}=calculateDeltaAndAngle(ed[0],ed[1]);td=markerOffsets[Ra.arrowTypeStart]*Math.abs(Math.sin(rd))*(sd>=0?1:-1)}else if(Ja===ed.length-1&&Object.hasOwn(markerOffsets,Ra.arrowTypeEnd)){const{angle:rd,deltaY:sd}=calculateDeltaAndAngle(ed[ed.length-1],ed[ed.length-2]);td=markerOffsets[Ra.arrowTypeEnd]*Math.abs(Math.sin(rd))*(sd>=0?1:-1)}return pointTransformer(qa).y+td},"y")}),"getLineFunctionsWithOffset"),dist={},constants={};Object.defineProperty(constants,"__esModule",{value:!0});constants.BLANK_URL=constants.relativeFirstCharacters=constants.whitespaceEscapeCharsRegex=constants.urlSchemeRegex=constants.ctrlCharactersRegex=constants.htmlCtrlEntityRegex=constants.htmlEntitiesRegex=constants.invalidProtocolRegex=void 0;constants.invalidProtocolRegex=/^([^\w]*)(javascript|data|vbscript)/im;constants.htmlEntitiesRegex=/&#(\w+)(^\w|;)?/g;constants.htmlCtrlEntityRegex=/&(newline|tab);/gi;constants.ctrlCharactersRegex=/[\u0000-\u001F\u007F-\u009F\u2000-\u200D\uFEFF]/gim;constants.urlSchemeRegex=/^.+(:|:)/gim;constants.whitespaceEscapeCharsRegex=/(\\|%5[cC])((%(6[eE]|72|74))|[nrt])/g;constants.relativeFirstCharacters=[".","/"];constants.BLANK_URL="about:blank";Object.defineProperty(dist,"__esModule",{value:!0});var sanitizeUrl_1=dist.sanitizeUrl=void 0,constants_1=constants;function isRelativeUrlWithoutProtocol(Ra){return constants_1.relativeFirstCharacters.indexOf(Ra[0])>-1}function decodeHtmlCharacters(Ra){var qa=Ra.replace(constants_1.ctrlCharactersRegex,"");return qa.replace(constants_1.htmlEntitiesRegex,function(Ja,ed){return String.fromCharCode(ed)})}function isValidUrl(Ra){return URL.canParse(Ra)}function decodeURI$1(Ra){try{return decodeURIComponent(Ra)}catch{return Ra}}function sanitizeUrl(Ra){if(!Ra)return constants_1.BLANK_URL;var qa,Ja=decodeURI$1(Ra.trim());do Ja=decodeHtmlCharacters(Ja).replace(constants_1.htmlCtrlEntityRegex,"").replace(constants_1.ctrlCharactersRegex,"").replace(constants_1.whitespaceEscapeCharsRegex,"").trim(),Ja=decodeURI$1(Ja),qa=Ja.match(constants_1.ctrlCharactersRegex)||Ja.match(constants_1.htmlEntitiesRegex)||Ja.match(constants_1.htmlCtrlEntityRegex)||Ja.match(constants_1.whitespaceEscapeCharsRegex);while(qa&&qa.length>0);var ed=Ja;if(!ed)return constants_1.BLANK_URL;if(isRelativeUrlWithoutProtocol(ed))return ed;var td=ed.trimStart(),rd=td.match(constants_1.urlSchemeRegex);if(!rd)return ed;var sd=rd[0].toLowerCase().trim();if(constants_1.invalidProtocolRegex.test(sd))return constants_1.BLANK_URL;var od=td.replace(/\\/g,"/");if(sd==="mailto:"||sd.includes("://"))return od;if(sd==="http:"||sd==="https:"){if(!isValidUrl(od))return constants_1.BLANK_URL;var ld=new URL(od);return ld.protocol=ld.protocol.toLowerCase(),ld.hostname=ld.hostname.toLowerCase(),ld.toString()}return od}sanitizeUrl_1=dist.sanitizeUrl=sanitizeUrl;var noop$4={value:()=>{}};function dispatch(){for(var Ra=0,qa=arguments.length,Ja={},ed;Ra<qa;++Ra){if(!(ed=arguments[Ra]+"")||ed in Ja||/[\s.]/.test(ed))throw new Error("illegal type: "+ed);Ja[ed]=[]}return new Dispatch(Ja)}function Dispatch(Ra){this._=Ra}function parseTypenames$1(Ra,qa){return Ra.trim().split(/^|\s+/).map(function(Ja){var ed="",td=Ja.indexOf(".");if(td>=0&&(ed=Ja.slice(td+1),Ja=Ja.slice(0,td)),Ja&&!qa.hasOwnProperty(Ja))throw new Error("unknown type: "+Ja);return{type:Ja,name:ed}})}Dispatch.prototype=dispatch.prototype={constructor:Dispatch,on:function(Ra,qa){var Ja=this._,ed=parseTypenames$1(Ra+"",Ja),td,rd=-1,sd=ed.length;if(arguments.length<2){for(;++rd<sd;)if((td=(Ra=ed[rd]).type)&&(td=get$1(Ja[td],Ra.name)))return td;return}if(qa!=null&&typeof qa!="function")throw new Error("invalid callback: "+qa);for(;++rd<sd;)if(td=(Ra=ed[rd]).type)Ja[td]=set$2(Ja[td],Ra.name,qa);else if(qa==null)for(td in Ja)Ja[td]=set$2(Ja[td],Ra.name,null);return this},copy:function(){var Ra={},qa=this._;for(var Ja in qa)Ra[Ja]=qa[Ja].slice();return new Dispatch(Ra)},call:function(Ra,qa){if((td=arguments.length-2)>0)for(var Ja=new Array(td),ed=0,td,rd;ed<td;++ed)Ja[ed]=arguments[ed+2];if(!this._.hasOwnProperty(Ra))throw new Error("unknown type: "+Ra);for(rd=this._[Ra],ed=0,td=rd.length;ed<td;++ed)rd[ed].value.apply(qa,Ja)},apply:function(Ra,qa,Ja){if(!this._.hasOwnProperty(Ra))throw new Error("unknown type: "+Ra);for(var ed=this._[Ra],td=0,rd=ed.length;td<rd;++td)ed[td].value.apply(qa,Ja)}};function get$1(Ra,qa){for(var Ja=0,ed=Ra.length,td;Ja<ed;++Ja)if((td=Ra[Ja]).name===qa)return td.value}function set$2(Ra,qa,Ja){for(var ed=0,td=Ra.length;ed<td;++ed)if(Ra[ed].name===qa){Ra[ed]=noop$4,Ra=Ra.slice(0,ed).concat(Ra.slice(ed+1));break}return Ja!=null&&Ra.push({name:qa,value:Ja}),Ra}var xhtml="http://www.w3.org/1999/xhtml";const namespaces={svg:"http://www.w3.org/2000/svg",xhtml,xlink:"http://www.w3.org/1999/xlink",xml:"http://www.w3.org/XML/1998/namespace",xmlns:"http://www.w3.org/2000/xmlns/"};function namespace(Ra){var qa=Ra+="",Ja=qa.indexOf(":");return Ja>=0&&(qa=Ra.slice(0,Ja))!=="xmlns"&&(Ra=Ra.slice(Ja+1)),namespaces.hasOwnProperty(qa)?{space:namespaces[qa],local:Ra}:Ra}function creatorInherit(Ra){return function(){var qa=this.ownerDocument,Ja=this.namespaceURI;return Ja===xhtml&&qa.documentElement.namespaceURI===xhtml?qa.createElement(Ra):qa.createElementNS(Ja,Ra)}}function creatorFixed(Ra){return function(){return this.ownerDocument.createElementNS(Ra.space,Ra.local)}}function creator(Ra){var qa=namespace(Ra);return(qa.local?creatorFixed:creatorInherit)(qa)}function none(){}function selector$1(Ra){return Ra==null?none:function(){return this.querySelector(Ra)}}function selection_select(Ra){typeof Ra!="function"&&(Ra=selector$1(Ra));for(var qa=this._groups,Ja=qa.length,ed=new Array(Ja),td=0;td<Ja;++td)for(var rd=qa[td],sd=rd.length,od=ed[td]=new Array(sd),ld,cd,ud=0;ud<sd;++ud)(ld=rd[ud])&&(cd=Ra.call(ld,ld.__data__,ud,rd))&&("__data__"in ld&&(cd.__data__=ld.__data__),od[ud]=cd);return new Selection$1(ed,this._parents)}function array$1(Ra){return Ra==null?[]:Array.isArray(Ra)?Ra:Array.from(Ra)}function empty$2(){return[]}function selectorAll(Ra){return Ra==null?empty$2:function(){return this.querySelectorAll(Ra)}}function arrayAll(Ra){return function(){return array$1(Ra.apply(this,arguments))}}function selection_selectAll(Ra){typeof Ra=="function"?Ra=arrayAll(Ra):Ra=selectorAll(Ra);for(var qa=this._groups,Ja=qa.length,ed=[],td=[],rd=0;rd<Ja;++rd)for(var sd=qa[rd],od=sd.length,ld,cd=0;cd<od;++cd)(ld=sd[cd])&&(ed.push(Ra.call(ld,ld.__data__,cd,sd)),td.push(ld));return new Selection$1(ed,td)}function matcher(Ra){return function(){return this.matches(Ra)}}function childMatcher(Ra){return function(qa){return qa.matches(Ra)}}var find=Array.prototype.find;function childFind(Ra){return function(){return find.call(this.children,Ra)}}function childFirst(){return this.firstElementChild}function selection_selectChild(Ra){return this.select(Ra==null?childFirst:childFind(typeof Ra=="function"?Ra:childMatcher(Ra)))}var filter$2=Array.prototype.filter;function children$1(){return Array.from(this.children)}function childrenFilter(Ra){return function(){return filter$2.call(this.children,Ra)}}function selection_selectChildren(Ra){return this.selectAll(Ra==null?children$1:childrenFilter(typeof Ra=="function"?Ra:childMatcher(Ra)))}function selection_filter(Ra){typeof Ra!="function"&&(Ra=matcher(Ra));for(var qa=this._groups,Ja=qa.length,ed=new Array(Ja),td=0;td<Ja;++td)for(var rd=qa[td],sd=rd.length,od=ed[td]=[],ld,cd=0;cd<sd;++cd)(ld=rd[cd])&&Ra.call(ld,ld.__data__,cd,rd)&&od.push(ld);return new Selection$1(ed,this._parents)}function sparse(Ra){return new Array(Ra.length)}function selection_enter(){return new Selection$1(this._enter||this._groups.map(sparse),this._parents)}function EnterNode(Ra,qa){this.ownerDocument=Ra.ownerDocument,this.namespaceURI=Ra.namespaceURI,this._next=null,this._parent=Ra,this.__data__=qa}EnterNode.prototype={constructor:EnterNode,appendChild:function(Ra){return this._parent.insertBefore(Ra,this._next)},insertBefore:function(Ra,qa){return this._parent.insertBefore(Ra,qa)},querySelector:function(Ra){return this._parent.querySelector(Ra)},querySelectorAll:function(Ra){return this._parent.querySelectorAll(Ra)}};function constant$4(Ra){return function(){return Ra}}function bindIndex(Ra,qa,Ja,ed,td,rd){for(var sd=0,od,ld=qa.length,cd=rd.length;sd<cd;++sd)(od=qa[sd])?(od.__data__=rd[sd],ed[sd]=od):Ja[sd]=new EnterNode(Ra,rd[sd]);for(;sd<ld;++sd)(od=qa[sd])&&(td[sd]=od)}function bindKey(Ra,qa,Ja,ed,td,rd,sd){var od,ld,cd=new Map,ud=qa.length,_d=rd.length,yd=new Array(ud),gd;for(od=0;od<ud;++od)(ld=qa[od])&&(yd[od]=gd=sd.call(ld,ld.__data__,od,qa)+"",cd.has(gd)?td[od]=ld:cd.set(gd,ld));for(od=0;od<_d;++od)gd=sd.call(Ra,rd[od],od,rd)+"",(ld=cd.get(gd))?(ed[od]=ld,ld.__data__=rd[od],cd.delete(gd)):Ja[od]=new EnterNode(Ra,rd[od]);for(od=0;od<ud;++od)(ld=qa[od])&&cd.get(yd[od])===ld&&(td[od]=ld)}function datum(Ra){return Ra.__data__}function selection_data(Ra,qa){if(!arguments.length)return Array.from(this,datum);var Ja=qa?bindKey:bindIndex,ed=this._parents,td=this._groups;typeof Ra!="function"&&(Ra=constant$4(Ra));for(var rd=td.length,sd=new Array(rd),od=new Array(rd),ld=new Array(rd),cd=0;cd<rd;++cd){var ud=ed[cd],_d=td[cd],yd=_d.length,gd=arraylike(Ra.call(ud,ud&&ud.__data__,cd,ed)),Ed=gd.length,Td=od[cd]=new Array(Ed),kd=sd[cd]=new Array(Ed),Rd=ld[cd]=new Array(yd);Ja(ud,_d,Td,kd,Rd,gd,qa);for(var Nd=0,Id=0,Md,Ld;Nd<Ed;++Nd)if(Md=Td[Nd]){for(Nd>=Id&&(Id=Nd+1);!(Ld=kd[Id])&&++Id<Ed;);Md._next=Ld||null}}return sd=new Selection$1(sd,ed),sd._enter=od,sd._exit=ld,sd}function arraylike(Ra){return typeof Ra=="object"&&"length"in Ra?Ra:Array.from(Ra)}function selection_exit(){return new Selection$1(this._exit||this._groups.map(sparse),this._parents)}function selection_join(Ra,qa,Ja){var ed=this.enter(),td=this,rd=this.exit();return typeof Ra=="function"?(ed=Ra(ed),ed&&(ed=ed.selection())):ed=ed.append(Ra+""),qa!=null&&(td=qa(td),td&&(td=td.selection())),Ja==null?rd.remove():Ja(rd),ed&&td?ed.merge(td).order():td}function selection_merge(Ra){for(var qa=Ra.selection?Ra.selection():Ra,Ja=this._groups,ed=qa._groups,td=Ja.length,rd=ed.length,sd=Math.min(td,rd),od=new Array(td),ld=0;ld<sd;++ld)for(var cd=Ja[ld],ud=ed[ld],_d=cd.length,yd=od[ld]=new Array(_d),gd,Ed=0;Ed<_d;++Ed)(gd=cd[Ed]||ud[Ed])&&(yd[Ed]=gd);for(;ld<td;++ld)od[ld]=Ja[ld];return new Selection$1(od,this._parents)}function selection_order(){for(var Ra=this._groups,qa=-1,Ja=Ra.length;++qa<Ja;)for(var ed=Ra[qa],td=ed.length-1,rd=ed[td],sd;--td>=0;)(sd=ed[td])&&(rd&&sd.compareDocumentPosition(rd)^4&&rd.parentNode.insertBefore(sd,rd),rd=sd);return this}function selection_sort(Ra){Ra||(Ra=ascending);function qa(_d,yd){return _d&&yd?Ra(_d.__data__,yd.__data__):!_d-!yd}for(var Ja=this._groups,ed=Ja.length,td=new Array(ed),rd=0;rd<ed;++rd){for(var sd=Ja[rd],od=sd.length,ld=td[rd]=new Array(od),cd,ud=0;ud<od;++ud)(cd=sd[ud])&&(ld[ud]=cd);ld.sort(qa)}return new Selection$1(td,this._parents).order()}function ascending(Ra,qa){return Ra<qa?-1:Ra>qa?1:Ra>=qa?0:NaN}function selection_call(){var Ra=arguments[0];return arguments[0]=this,Ra.apply(null,arguments),this}function selection_nodes(){return Array.from(this)}function selection_node(){for(var Ra=this._groups,qa=0,Ja=Ra.length;qa<Ja;++qa)for(var ed=Ra[qa],td=0,rd=ed.length;td<rd;++td){var sd=ed[td];if(sd)return sd}return null}function selection_size(){let Ra=0;for(const qa of this)++Ra;return Ra}function selection_empty(){return!this.node()}function selection_each(Ra){for(var qa=this._groups,Ja=0,ed=qa.length;Ja<ed;++Ja)for(var td=qa[Ja],rd=0,sd=td.length,od;rd<sd;++rd)(od=td[rd])&&Ra.call(od,od.__data__,rd,td);return this}function attrRemove$1(Ra){return function(){this.removeAttribute(Ra)}}function attrRemoveNS$1(Ra){return function(){this.removeAttributeNS(Ra.space,Ra.local)}}function attrConstant$1(Ra,qa){return function(){this.setAttribute(Ra,qa)}}function attrConstantNS$1(Ra,qa){return function(){this.setAttributeNS(Ra.space,Ra.local,qa)}}function attrFunction$1(Ra,qa){return function(){var Ja=qa.apply(this,arguments);Ja==null?this.removeAttribute(Ra):this.setAttribute(Ra,Ja)}}function attrFunctionNS$1(Ra,qa){return function(){var Ja=qa.apply(this,arguments);Ja==null?this.removeAttributeNS(Ra.space,Ra.local):this.setAttributeNS(Ra.space,Ra.local,Ja)}}function selection_attr(Ra,qa){var Ja=namespace(Ra);if(arguments.length<2){var ed=this.node();return Ja.local?ed.getAttributeNS(Ja.space,Ja.local):ed.getAttribute(Ja)}return this.each((qa==null?Ja.local?attrRemoveNS$1:attrRemove$1:typeof qa=="function"?Ja.local?attrFunctionNS$1:attrFunction$1:Ja.local?attrConstantNS$1:attrConstant$1)(Ja,qa))}function defaultView(Ra){return Ra.ownerDocument&&Ra.ownerDocument.defaultView||Ra.document&&Ra||Ra.defaultView}function styleRemove$1(Ra){return function(){this.style.removeProperty(Ra)}}function styleConstant$1(Ra,qa,Ja){return function(){this.style.setProperty(Ra,qa,Ja)}}function styleFunction$1(Ra,qa,Ja){return function(){var ed=qa.apply(this,arguments);ed==null?this.style.removeProperty(Ra):this.style.setProperty(Ra,ed,Ja)}}function selection_style(Ra,qa,Ja){return arguments.length>1?this.each((qa==null?styleRemove$1:typeof qa=="function"?styleFunction$1:styleConstant$1)(Ra,qa,Ja??"")):styleValue(this.node(),Ra)}function styleValue(Ra,qa){return Ra.style.getPropertyValue(qa)||defaultView(Ra).getComputedStyle(Ra,null).getPropertyValue(qa)}function propertyRemove(Ra){return function(){delete this[Ra]}}function propertyConstant(Ra,qa){return function(){this[Ra]=qa}}function propertyFunction(Ra,qa){return function(){var Ja=qa.apply(this,arguments);Ja==null?delete this[Ra]:this[Ra]=Ja}}function selection_property(Ra,qa){return arguments.length>1?this.each((qa==null?propertyRemove:typeof qa=="function"?propertyFunction:propertyConstant)(Ra,qa)):this.node()[Ra]}function classArray(Ra){return Ra.trim().split(/^|\s+/)}function classList(Ra){return Ra.classList||new ClassList(Ra)}function ClassList(Ra){this._node=Ra,this._names=classArray(Ra.getAttribute("class")||"")}ClassList.prototype={add:function(Ra){var qa=this._names.indexOf(Ra);qa<0&&(this._names.push(Ra),this._node.setAttribute("class",this._names.join(" ")))},remove:function(Ra){var qa=this._names.indexOf(Ra);qa>=0&&(this._names.splice(qa,1),this._node.setAttribute("class",this._names.join(" ")))},contains:function(Ra){return this._names.indexOf(Ra)>=0}};function classedAdd(Ra,qa){for(var Ja=classList(Ra),ed=-1,td=qa.length;++ed<td;)Ja.add(qa[ed])}function classedRemove(Ra,qa){for(var Ja=classList(Ra),ed=-1,td=qa.length;++ed<td;)Ja.remove(qa[ed])}function classedTrue(Ra){return function(){classedAdd(this,Ra)}}function classedFalse(Ra){return function(){classedRemove(this,Ra)}}function classedFunction(Ra,qa){return function(){(qa.apply(this,arguments)?classedAdd:classedRemove)(this,Ra)}}function selection_classed(Ra,qa){var Ja=classArray(Ra+"");if(arguments.length<2){for(var ed=classList(this.node()),td=-1,rd=Ja.length;++td<rd;)if(!ed.contains(Ja[td]))return!1;return!0}return this.each((typeof qa=="function"?classedFunction:qa?classedTrue:classedFalse)(Ja,qa))}function textRemove(){this.textContent=""}function textConstant$1(Ra){return function(){this.textContent=Ra}}function textFunction$1(Ra){return function(){var qa=Ra.apply(this,arguments);this.textContent=qa??""}}function selection_text(Ra){return arguments.length?this.each(Ra==null?textRemove:(typeof Ra=="function"?textFunction$1:textConstant$1)(Ra)):this.node().textContent}function htmlRemove(){this.innerHTML=""}function htmlConstant(Ra){return function(){this.innerHTML=Ra}}function htmlFunction(Ra){return function(){var qa=Ra.apply(this,arguments);this.innerHTML=qa??""}}function selection_html(Ra){return arguments.length?this.each(Ra==null?htmlRemove:(typeof Ra=="function"?htmlFunction:htmlConstant)(Ra)):this.node().innerHTML}function raise(){this.nextSibling&&this.parentNode.appendChild(this)}function selection_raise(){return this.each(raise)}function lower(){this.previousSibling&&this.parentNode.insertBefore(this,this.parentNode.firstChild)}function selection_lower(){return this.each(lower)}function selection_append(Ra){var qa=typeof Ra=="function"?Ra:creator(Ra);return this.select(function(){return this.appendChild(qa.apply(this,arguments))})}function constantNull(){return null}function selection_insert(Ra,qa){var Ja=typeof Ra=="function"?Ra:creator(Ra),ed=qa==null?constantNull:typeof qa=="function"?qa:selector$1(qa);return this.select(function(){return this.insertBefore(Ja.apply(this,arguments),ed.apply(this,arguments)||null)})}function remove(){var Ra=this.parentNode;Ra&&Ra.removeChild(this)}function selection_remove(){return this.each(remove)}function selection_cloneShallow(){var Ra=this.cloneNode(!1),qa=this.parentNode;return qa?qa.insertBefore(Ra,this.nextSibling):Ra}function selection_cloneDeep(){var Ra=this.cloneNode(!0),qa=this.parentNode;return qa?qa.insertBefore(Ra,this.nextSibling):Ra}function selection_clone(Ra){return this.select(Ra?selection_cloneDeep:selection_cloneShallow)}function selection_datum(Ra){return arguments.length?this.property("__data__",Ra):this.node().__data__}function contextListener(Ra){return function(qa){Ra.call(this,qa,this.__data__)}}function parseTypenames(Ra){return Ra.trim().split(/^|\s+/).map(function(qa){var Ja="",ed=qa.indexOf(".");return ed>=0&&(Ja=qa.slice(ed+1),qa=qa.slice(0,ed)),{type:qa,name:Ja}})}function onRemove(Ra){return function(){var qa=this.__on;if(qa){for(var Ja=0,ed=-1,td=qa.length,rd;Ja<td;++Ja)rd=qa[Ja],(!Ra.type||rd.type===Ra.type)&&rd.name===Ra.name?this.removeEventListener(rd.type,rd.listener,rd.options):qa[++ed]=rd;++ed?qa.length=ed:delete this.__on}}}function onAdd(Ra,qa,Ja){return function(){var ed=this.__on,td,rd=contextListener(qa);if(ed){for(var sd=0,od=ed.length;sd<od;++sd)if((td=ed[sd]).type===Ra.type&&td.name===Ra.name){this.removeEventListener(td.type,td.listener,td.options),this.addEventListener(td.type,td.listener=rd,td.options=Ja),td.value=qa;return}}this.addEventListener(Ra.type,rd,Ja),td={type:Ra.type,name:Ra.name,value:qa,listener:rd,options:Ja},ed?ed.push(td):this.__on=[td]}}function selection_on(Ra,qa,Ja){var ed=parseTypenames(Ra+""),td,rd=ed.length,sd;if(arguments.length<2){var od=this.node().__on;if(od){for(var ld=0,cd=od.length,ud;ld<cd;++ld)for(td=0,ud=od[ld];td<rd;++td)if((sd=ed[td]).type===ud.type&&sd.name===ud.name)return ud.value}return}for(od=qa?onAdd:onRemove,td=0;td<rd;++td)this.each(od(ed[td],qa,Ja));return this}function dispatchEvent(Ra,qa,Ja){var ed=defaultView(Ra),td=ed.CustomEvent;typeof td=="function"?td=new td(qa,Ja):(td=ed.document.createEvent("Event"),Ja?(td.initEvent(qa,Ja.bubbles,Ja.cancelable),td.detail=Ja.detail):td.initEvent(qa,!1,!1)),Ra.dispatchEvent(td)}function dispatchConstant(Ra,qa){return function(){return dispatchEvent(this,Ra,qa)}}function dispatchFunction(Ra,qa){return function(){return dispatchEvent(this,Ra,qa.apply(this,arguments))}}function selection_dispatch(Ra,qa){return this.each((typeof qa=="function"?dispatchFunction:dispatchConstant)(Ra,qa))}function*selection_iterator(){for(var Ra=this._groups,qa=0,Ja=Ra.length;qa<Ja;++qa)for(var ed=Ra[qa],td=0,rd=ed.length,sd;td<rd;++td)(sd=ed[td])&&(yield sd)}var root=[null];function Selection$1(Ra,qa){this._groups=Ra,this._parents=qa}function selection(){return new Selection$1([[document.documentElement]],root)}function selection_selection(){return this}Selection$1.prototype=selection.prototype={constructor:Selection$1,select:selection_select,selectAll:selection_selectAll,selectChild:selection_selectChild,selectChildren:selection_selectChildren,filter:selection_filter,data:selection_data,enter:selection_enter,exit:selection_exit,join:selection_join,merge:selection_merge,selection:selection_selection,order:selection_order,sort:selection_sort,call:selection_call,nodes:selection_nodes,node:selection_node,size:selection_size,empty:selection_empty,each:selection_each,attr:selection_attr,style:selection_style,property:selection_property,classed:selection_classed,text:selection_text,html:selection_html,raise:selection_raise,lower:selection_lower,append:selection_append,insert:selection_insert,remove:selection_remove,clone:selection_clone,datum:selection_datum,on:selection_on,dispatch:selection_dispatch,[Symbol.iterator]:selection_iterator};function select(Ra){return typeof Ra=="string"?new Selection$1([[document.querySelector(Ra)]],[document.documentElement]):new Selection$1([[Ra]],root)}function define$1(Ra,qa,Ja){Ra.prototype=qa.prototype=Ja,Ja.constructor=Ra}function extend$2(Ra,qa){var Ja=Object.create(Ra.prototype);for(var ed in qa)Ja[ed]=qa[ed];return Ja}function Color(){}var darker=.7,brighter=1/darker,reI="\\s*([+-]?\\d+)\\s*",reN="\\s*([+-]?(?:\\d*\\.)?\\d+(?:[eE][+-]?\\d+)?)\\s*",reP="\\s*([+-]?(?:\\d*\\.)?\\d+(?:[eE][+-]?\\d+)?)%\\s*",reHex=/^#([0-9a-f]{3,8})$/,reRgbInteger=new RegExp(`^rgb\\(${reI},${reI},${reI}\\)$`),reRgbPercent=new RegExp(`^rgb\\(${reP},${reP},${reP}\\)$`),reRgbaInteger=new RegExp(`^rgba\\(${reI},${reI},${reI},${reN}\\)$`),reRgbaPercent=new RegExp(`^rgba\\(${reP},${reP},${reP},${reN}\\)$`),reHslPercent=new RegExp(`^hsl\\(${reN},${reP},${reP}\\)$`),reHslaPercent=new RegExp(`^hsla\\(${reN},${reP},${reP},${reN}\\)$`),named={aliceblue:15792383,antiquewhite:16444375,aqua:65535,aquamarine:8388564,azure:15794175,beige:16119260,bisque:16770244,black:0,blanchedalmond:16772045,blue:255,blueviolet:9055202,brown:10824234,burlywood:14596231,cadetblue:6266528,chartreuse:8388352,chocolate:13789470,coral:16744272,cornflowerblue:6591981,cornsilk:16775388,crimson:14423100,cyan:65535,darkblue:139,darkcyan:35723,darkgoldenrod:12092939,darkgray:11119017,darkgreen:25600,darkgrey:11119017,darkkhaki:12433259,darkmagenta:9109643,darkolivegreen:5597999,darkorange:16747520,darkorchid:10040012,darkred:9109504,darksalmon:15308410,darkseagreen:9419919,darkslateblue:4734347,darkslategray:3100495,darkslategrey:3100495,darkturquoise:52945,darkviolet:9699539,deeppink:16716947,deepskyblue:49151,dimgray:6908265,dimgrey:6908265,dodgerblue:2003199,firebrick:11674146,floralwhite:16775920,forestgreen:2263842,fuchsia:16711935,gainsboro:14474460,ghostwhite:16316671,gold:16766720,goldenrod:14329120,gray:8421504,green:32768,greenyellow:11403055,grey:8421504,honeydew:15794160,hotpink:16738740,indianred:13458524,indigo:4915330,ivory:16777200,khaki:15787660,lavender:15132410,lavenderblush:16773365,lawngreen:8190976,lemonchiffon:16775885,lightblue:11393254,lightcoral:15761536,lightcyan:14745599,lightgoldenrodyellow:16448210,lightgray:13882323,lightgreen:9498256,lightgrey:13882323,lightpink:16758465,lightsalmon:16752762,lightseagreen:2142890,lightskyblue:8900346,lightslategray:7833753,lightslategrey:7833753,lightsteelblue:11584734,lightyellow:16777184,lime:65280,limegreen:3329330,linen:16445670,magenta:16711935,maroon:8388608,mediumaquamarine:6737322,mediumblue:205,mediumorchid:12211667,mediumpurple:9662683,mediumseagreen:3978097,mediumslateblue:8087790,mediumspringgreen:64154,mediumturquoise:4772300,mediumvioletred:13047173,midnightblue:1644912,mintcream:16121850,mistyrose:16770273,moccasin:16770229,navajowhite:16768685,navy:128,oldlace:16643558,olive:8421376,olivedrab:7048739,orange:16753920,orangered:16729344,orchid:14315734,palegoldenrod:15657130,palegreen:10025880,paleturquoise:11529966,palevioletred:14381203,papayawhip:16773077,peachpuff:16767673,peru:13468991,pink:16761035,plum:14524637,powderblue:11591910,purple:8388736,rebeccapurple:6697881,red:16711680,rosybrown:12357519,royalblue:4286945,saddlebrown:9127187,salmon:16416882,sandybrown:16032864,seagreen:3050327,seashell:16774638,sienna:10506797,silver:12632256,skyblue:8900331,slateblue:6970061,slategray:7372944,slategrey:7372944,snow:16775930,springgreen:65407,steelblue:4620980,tan:13808780,teal:32896,thistle:14204888,tomato:16737095,turquoise:4251856,violet:15631086,wheat:16113331,white:16777215,whitesmoke:16119285,yellow:16776960,yellowgreen:10145074};define$1(Color,color,{copy(Ra){return Object.assign(new this.constructor,this,Ra)},displayable(){return this.rgb().displayable()},hex:color_formatHex,formatHex:color_formatHex,formatHex8:color_formatHex8,formatHsl:color_formatHsl,formatRgb:color_formatRgb,toString:color_formatRgb});function color_formatHex(){return this.rgb().formatHex()}function color_formatHex8(){return this.rgb().formatHex8()}function color_formatHsl(){return hslConvert(this).formatHsl()}function color_formatRgb(){return this.rgb().formatRgb()}function color(Ra){var qa,Ja;return Ra=(Ra+"").trim().toLowerCase(),(qa=reHex.exec(Ra))?(Ja=qa[1].length,qa=parseInt(qa[1],16),Ja===6?rgbn(qa):Ja===3?new Rgb(qa>>8&15|qa>>4&240,qa>>4&15|qa&240,(qa&15)<<4|qa&15,1):Ja===8?rgba(qa>>24&255,qa>>16&255,qa>>8&255,(qa&255)/255):Ja===4?rgba(qa>>12&15|qa>>8&240,qa>>8&15|qa>>4&240,qa>>4&15|qa&240,((qa&15)<<4|qa&15)/255):null):(qa=reRgbInteger.exec(Ra))?new Rgb(qa[1],qa[2],qa[3],1):(qa=reRgbPercent.exec(Ra))?new Rgb(qa[1]*255/100,qa[2]*255/100,qa[3]*255/100,1):(qa=reRgbaInteger.exec(Ra))?rgba(qa[1],qa[2],qa[3],qa[4]):(qa=reRgbaPercent.exec(Ra))?rgba(qa[1]*255/100,qa[2]*255/100,qa[3]*255/100,qa[4]):(qa=reHslPercent.exec(Ra))?hsla(qa[1],qa[2]/100,qa[3]/100,1):(qa=reHslaPercent.exec(Ra))?hsla(qa[1],qa[2]/100,qa[3]/100,qa[4]):named.hasOwnProperty(Ra)?rgbn(named[Ra]):Ra==="transparent"?new Rgb(NaN,NaN,NaN,0):null}function rgbn(Ra){return new Rgb(Ra>>16&255,Ra>>8&255,Ra&255,1)}function rgba(Ra,qa,Ja,ed){return ed<=0&&(Ra=qa=Ja=NaN),new Rgb(Ra,qa,Ja,ed)}function rgbConvert(Ra){return Ra instanceof Color||(Ra=color(Ra)),Ra?(Ra=Ra.rgb(),new Rgb(Ra.r,Ra.g,Ra.b,Ra.opacity)):new Rgb}function rgb(Ra,qa,Ja,ed){return arguments.length===1?rgbConvert(Ra):new Rgb(Ra,qa,Ja,ed??1)}function Rgb(Ra,qa,Ja,ed){this.r=+Ra,this.g=+qa,this.b=+Ja,this.opacity=+ed}define$1(Rgb,rgb,extend$2(Color,{brighter(Ra){return Ra=Ra==null?brighter:Math.pow(brighter,Ra),new Rgb(this.r*Ra,this.g*Ra,this.b*Ra,this.opacity)},darker(Ra){return Ra=Ra==null?darker:Math.pow(darker,Ra),new Rgb(this.r*Ra,this.g*Ra,this.b*Ra,this.opacity)},rgb(){return this},clamp(){return new Rgb(clampi(this.r),clampi(this.g),clampi(this.b),clampa(this.opacity))},displayable(){return-.5<=this.r&&this.r<255.5&&-.5<=this.g&&this.g<255.5&&-.5<=this.b&&this.b<255.5&&0<=this.opacity&&this.opacity<=1},hex:rgb_formatHex,formatHex:rgb_formatHex,formatHex8:rgb_formatHex8,formatRgb:rgb_formatRgb,toString:rgb_formatRgb}));function rgb_formatHex(){return`#${hex(this.r)}${hex(this.g)}${hex(this.b)}`}function rgb_formatHex8(){return`#${hex(this.r)}${hex(this.g)}${hex(this.b)}${hex((isNaN(this.opacity)?1:this.opacity)*255)}`}function rgb_formatRgb(){const Ra=clampa(this.opacity);return`${Ra===1?"rgb(":"rgba("}${clampi(this.r)}, ${clampi(this.g)}, ${clampi(this.b)}${Ra===1?")":`, ${Ra})`}`}function clampa(Ra){return isNaN(Ra)?1:Math.max(0,Math.min(1,Ra))}function clampi(Ra){return Math.max(0,Math.min(255,Math.round(Ra)||0))}function hex(Ra){return Ra=clampi(Ra),(Ra<16?"0":"")+Ra.toString(16)}function hsla(Ra,qa,Ja,ed){return ed<=0?Ra=qa=Ja=NaN:Ja<=0||Ja>=1?Ra=qa=NaN:qa<=0&&(Ra=NaN),new Hsl(Ra,qa,Ja,ed)}function hslConvert(Ra){if(Ra instanceof Hsl)return new Hsl(Ra.h,Ra.s,Ra.l,Ra.opacity);if(Ra instanceof Color||(Ra=color(Ra)),!Ra)return new Hsl;if(Ra instanceof Hsl)return Ra;Ra=Ra.rgb();var qa=Ra.r/255,Ja=Ra.g/255,ed=Ra.b/255,td=Math.min(qa,Ja,ed),rd=Math.max(qa,Ja,ed),sd=NaN,od=rd-td,ld=(rd+td)/2;return od?(qa===rd?sd=(Ja-ed)/od+(Ja<ed)*6:Ja===rd?sd=(ed-qa)/od+2:sd=(qa-Ja)/od+4,od/=ld<.5?rd+td:2-rd-td,sd*=60):od=ld>0&&ld<1?0:sd,new Hsl(sd,od,ld,Ra.opacity)}function hsl(Ra,qa,Ja,ed){return arguments.length===1?hslConvert(Ra):new Hsl(Ra,qa,Ja,ed??1)}function Hsl(Ra,qa,Ja,ed){this.h=+Ra,this.s=+qa,this.l=+Ja,this.opacity=+ed}define$1(Hsl,hsl,extend$2(Color,{brighter(Ra){return Ra=Ra==null?brighter:Math.pow(brighter,Ra),new Hsl(this.h,this.s,this.l*Ra,this.opacity)},darker(Ra){return Ra=Ra==null?darker:Math.pow(darker,Ra),new Hsl(this.h,this.s,this.l*Ra,this.opacity)},rgb(){var Ra=this.h%360+(this.h<0)*360,qa=isNaN(Ra)||isNaN(this.s)?0:this.s,Ja=this.l,ed=Ja+(Ja<.5?Ja:1-Ja)*qa,td=2*Ja-ed;return new Rgb(hsl2rgb(Ra>=240?Ra-240:Ra+120,td,ed),hsl2rgb(Ra,td,ed),hsl2rgb(Ra<120?Ra+240:Ra-120,td,ed),this.opacity)},clamp(){return new Hsl(clamph(this.h),clampt(this.s),clampt(this.l),clampa(this.opacity))},displayable(){return(0<=this.s&&this.s<=1||isNaN(this.s))&&0<=this.l&&this.l<=1&&0<=this.opacity&&this.opacity<=1},formatHsl(){const Ra=clampa(this.opacity);return`${Ra===1?"hsl(":"hsla("}${clamph(this.h)}, ${clampt(this.s)*100}%, ${clampt(this.l)*100}%${Ra===1?")":`, ${Ra})`}`}}));function clamph(Ra){return Ra=(Ra||0)%360,Ra<0?Ra+360:Ra}function clampt(Ra){return Math.max(0,Math.min(1,Ra||0))}function hsl2rgb(Ra,qa,Ja){return(Ra<60?qa+(Ja-qa)*Ra/60:Ra<180?Ja:Ra<240?qa+(Ja-qa)*(240-Ra)/60:qa)*255}const constant$3=Ra=>()=>Ra;function linear(Ra,qa){return function(Ja){return Ra+Ja*qa}}function exponential(Ra,qa,Ja){return Ra=Math.pow(Ra,Ja),qa=Math.pow(qa,Ja)-Ra,Ja=1/Ja,function(ed){return Math.pow(Ra+ed*qa,Ja)}}function hue(Ra,qa){var Ja=qa-Ra;return Ja?linear(Ra,Ja>180||Ja<-180?Ja-360*Math.round(Ja/360):Ja):constant$3(isNaN(Ra)?qa:Ra)}function gamma$2(Ra){return(Ra=+Ra)==1?nogamma:function(qa,Ja){return Ja-qa?exponential(qa,Ja,Ra):constant$3(isNaN(qa)?Ja:qa)}}function nogamma(Ra,qa){var Ja=qa-Ra;return Ja?linear(Ra,Ja):constant$3(isNaN(Ra)?qa:Ra)}const interpolateRgb=function Ra(qa){var Ja=gamma$2(qa);function ed(td,rd){var sd=Ja((td=rgb(td)).r,(rd=rgb(rd)).r),od=Ja(td.g,rd.g),ld=Ja(td.b,rd.b),cd=nogamma(td.opacity,rd.opacity);return function(ud){return td.r=sd(ud),td.g=od(ud),td.b=ld(ud),td.opacity=cd(ud),td+""}}return ed.gamma=Ra,ed}(1);function interpolateNumber(Ra,qa){return Ra=+Ra,qa=+qa,function(Ja){return Ra*(1-Ja)+qa*Ja}}var reA=/[-+]?(?:\d+\.?\d*|\.?\d+)(?:[eE][-+]?\d+)?/g,reB=new RegExp(reA.source,"g");function zero(Ra){return function(){return Ra}}function one(Ra){return function(qa){return Ra(qa)+""}}function interpolateString(Ra,qa){var Ja=reA.lastIndex=reB.lastIndex=0,ed,td,rd,sd=-1,od=[],ld=[];for(Ra=Ra+"",qa=qa+"";(ed=reA.exec(Ra))&&(td=reB.exec(qa));)(rd=td.index)>Ja&&(rd=qa.slice(Ja,rd),od[sd]?od[sd]+=rd:od[++sd]=rd),(ed=ed[0])===(td=td[0])?od[sd]?od[sd]+=td:od[++sd]=td:(od[++sd]=null,ld.push({i:sd,x:interpolateNumber(ed,td)})),Ja=reB.lastIndex;return Ja<qa.length&&(rd=qa.slice(Ja),od[sd]?od[sd]+=rd:od[++sd]=rd),od.length<2?ld[0]?one(ld[0].x):zero(qa):(qa=ld.length,function(cd){for(var ud=0,_d;ud<qa;++ud)od[(_d=ld[ud]).i]=_d.x(cd);return od.join("")})}var degrees=180/Math.PI,identity={translateX:0,translateY:0,rotate:0,skewX:0,scaleX:1,scaleY:1};function decompose(Ra,qa,Ja,ed,td,rd){var sd,od,ld;return(sd=Math.sqrt(Ra*Ra+qa*qa))&&(Ra/=sd,qa/=sd),(ld=Ra*Ja+qa*ed)&&(Ja-=Ra*ld,ed-=qa*ld),(od=Math.sqrt(Ja*Ja+ed*ed))&&(Ja/=od,ed/=od,ld/=od),Ra*ed<qa*Ja&&(Ra=-Ra,qa=-qa,ld=-ld,sd=-sd),{translateX:td,translateY:rd,rotate:Math.atan2(qa,Ra)*degrees,skewX:Math.atan(ld)*degrees,scaleX:sd,scaleY:od}}var svgNode;function parseCss(Ra){const qa=new(typeof DOMMatrix=="function"?DOMMatrix:WebKitCSSMatrix)(Ra+"");return qa.isIdentity?identity:decompose(qa.a,qa.b,qa.c,qa.d,qa.e,qa.f)}function parseSvg(Ra){return Ra==null||(svgNode||(svgNode=document.createElementNS("http://www.w3.org/2000/svg","g")),svgNode.setAttribute("transform",Ra),!(Ra=svgNode.transform.baseVal.consolidate()))?identity:(Ra=Ra.matrix,decompose(Ra.a,Ra.b,Ra.c,Ra.d,Ra.e,Ra.f))}function interpolateTransform(Ra,qa,Ja,ed){function td(cd){return cd.length?cd.pop()+" ":""}function rd(cd,ud,_d,yd,gd,Ed){if(cd!==_d||ud!==yd){var Td=gd.push("translate(",null,qa,null,Ja);Ed.push({i:Td-4,x:interpolateNumber(cd,_d)},{i:Td-2,x:interpolateNumber(ud,yd)})}else(_d||yd)&&gd.push("translate("+_d+qa+yd+Ja)}function sd(cd,ud,_d,yd){cd!==ud?(cd-ud>180?ud+=360:ud-cd>180&&(cd+=360),yd.push({i:_d.push(td(_d)+"rotate(",null,ed)-2,x:interpolateNumber(cd,ud)})):ud&&_d.push(td(_d)+"rotate("+ud+ed)}function od(cd,ud,_d,yd){cd!==ud?yd.push({i:_d.push(td(_d)+"skewX(",null,ed)-2,x:interpolateNumber(cd,ud)}):ud&&_d.push(td(_d)+"skewX("+ud+ed)}function ld(cd,ud,_d,yd,gd,Ed){if(cd!==_d||ud!==yd){var Td=gd.push(td(gd)+"scale(",null,",",null,")");Ed.push({i:Td-4,x:interpolateNumber(cd,_d)},{i:Td-2,x:interpolateNumber(ud,yd)})}else(_d!==1||yd!==1)&&gd.push(td(gd)+"scale("+_d+","+yd+")")}return function(cd,ud){var _d=[],yd=[];return cd=Ra(cd),ud=Ra(ud),rd(cd.translateX,cd.translateY,ud.translateX,ud.translateY,_d,yd),sd(cd.rotate,ud.rotate,_d,yd),od(cd.skewX,ud.skewX,_d,yd),ld(cd.scaleX,cd.scaleY,ud.scaleX,ud.scaleY,_d,yd),cd=ud=null,function(gd){for(var Ed=-1,Td=yd.length,kd;++Ed<Td;)_d[(kd=yd[Ed]).i]=kd.x(gd);return _d.join("")}}}var interpolateTransformCss=interpolateTransform(parseCss,"px, ","px)","deg)"),interpolateTransformSvg=interpolateTransform(parseSvg,", ",")",")"),frame=0,timeout$2=0,interval=0,pokeDelay=1e3,taskHead,taskTail,clockLast=0,clockNow=0,clockSkew=0,clock=typeof performance=="object"&&performance.now?performance:Date,setFrame=typeof window=="object"&&window.requestAnimationFrame?window.requestAnimationFrame.bind(window):function(Ra){setTimeout(Ra,17)};function now(){return clockNow||(setFrame(clearNow),clockNow=clock.now()+clockSkew)}function clearNow(){clockNow=0}function Timer(){this._call=this._time=this._next=null}Timer.prototype=timer.prototype={constructor:Timer,restart:function(Ra,qa,Ja){if(typeof Ra!="function")throw new TypeError("callback is not a function");Ja=(Ja==null?now():+Ja)+(qa==null?0:+qa),!this._next&&taskTail!==this&&(taskTail?taskTail._next=this:taskHead=this,taskTail=this),this._call=Ra,this._time=Ja,sleep()},stop:function(){this._call&&(this._call=null,this._time=1/0,sleep())}};function timer(Ra,qa,Ja){var ed=new Timer;return ed.restart(Ra,qa,Ja),ed}function timerFlush(){now(),++frame;for(var Ra=taskHead,qa;Ra;)(qa=clockNow-Ra._time)>=0&&Ra._call.call(void 0,qa),Ra=Ra._next;--frame}function wake(){clockNow=(clockLast=clock.now())+clockSkew,frame=timeout$2=0;try{timerFlush()}finally{frame=0,nap$2(),clockNow=0}}function poke(){var Ra=clock.now(),qa=Ra-clockLast;qa>pokeDelay&&(clockSkew-=qa,clockLast=Ra)}function nap$2(){for(var Ra,qa=taskHead,Ja,ed=1/0;qa;)qa._call?(ed>qa._time&&(ed=qa._time),Ra=qa,qa=qa._next):(Ja=qa._next,qa._next=null,qa=Ra?Ra._next=Ja:taskHead=Ja);taskTail=Ra,sleep(ed)}function sleep(Ra){if(!frame){timeout$2&&(timeout$2=clearTimeout(timeout$2));var qa=Ra-clockNow;qa>24?(Ra<1/0&&(timeout$2=setTimeout(wake,Ra-clock.now()-clockSkew)),interval&&(interval=clearInterval(interval))):(interval||(clockLast=clock.now(),interval=setInterval(poke,pokeDelay)),frame=1,setFrame(wake))}}function timeout$1(Ra,qa,Ja){var ed=new Timer;return qa=qa==null?0:+qa,ed.restart(td=>{ed.stop(),Ra(td+qa)},qa,Ja),ed}var emptyOn=dispatch("start","end","cancel","interrupt"),emptyTween=[],CREATED=0,SCHEDULED=1,STARTING=2,STARTED=3,RUNNING=4,ENDING=5,ENDED=6;function schedule(Ra,qa,Ja,ed,td,rd){var sd=Ra.__transition;if(!sd)Ra.__transition={};else if(Ja in sd)return;create(Ra,Ja,{name:qa,index:ed,group:td,on:emptyOn,tween:emptyTween,time:rd.time,delay:rd.delay,duration:rd.duration,ease:rd.ease,timer:null,state:CREATED})}function init$1(Ra,qa){var Ja=get(Ra,qa);if(Ja.state>CREATED)throw new Error("too late; already scheduled");return Ja}function set$1(Ra,qa){var Ja=get(Ra,qa);if(Ja.state>STARTED)throw new Error("too late; already running");return Ja}function get(Ra,qa){var Ja=Ra.__transition;if(!Ja||!(Ja=Ja[qa]))throw new Error("transition not found");return Ja}function create(Ra,qa,Ja){var ed=Ra.__transition,td;ed[qa]=Ja,Ja.timer=timer(rd,0,Ja.time);function rd(cd){Ja.state=SCHEDULED,Ja.timer.restart(sd,Ja.delay,Ja.time),Ja.delay<=cd&&sd(cd-Ja.delay)}function sd(cd){var ud,_d,yd,gd;if(Ja.state!==SCHEDULED)return ld();for(ud in ed)if(gd=ed[ud],gd.name===Ja.name){if(gd.state===STARTED)return timeout$1(sd);gd.state===RUNNING?(gd.state=ENDED,gd.timer.stop(),gd.on.call("interrupt",Ra,Ra.__data__,gd.index,gd.group),delete ed[ud]):+ud<qa&&(gd.state=ENDED,gd.timer.stop(),gd.on.call("cancel",Ra,Ra.__data__,gd.index,gd.group),delete ed[ud])}if(timeout$1(function(){Ja.state===STARTED&&(Ja.state=RUNNING,Ja.timer.restart(od,Ja.delay,Ja.time),od(cd))}),Ja.state=STARTING,Ja.on.call("start",Ra,Ra.__data__,Ja.index,Ja.group),Ja.state===STARTING){for(Ja.state=STARTED,td=new Array(yd=Ja.tween.length),ud=0,_d=-1;ud<yd;++ud)(gd=Ja.tween[ud].value.call(Ra,Ra.__data__,Ja.index,Ja.group))&&(td[++_d]=gd);td.length=_d+1}}function od(cd){for(var ud=cd<Ja.duration?Ja.ease.call(null,cd/Ja.duration):(Ja.timer.restart(ld),Ja.state=ENDING,1),_d=-1,yd=td.length;++_d<yd;)td[_d].call(Ra,ud);Ja.state===ENDING&&(Ja.on.call("end",Ra,Ra.__data__,Ja.index,Ja.group),ld())}function ld(){Ja.state=ENDED,Ja.timer.stop(),delete ed[qa];for(var cd in ed)return;delete Ra.__transition}}function interrupt(Ra,qa){var Ja=Ra.__transition,ed,td,rd=!0,sd;if(Ja){qa=qa==null?null:qa+"";for(sd in Ja){if((ed=Ja[sd]).name!==qa){rd=!1;continue}td=ed.state>STARTING&&ed.state<ENDING,ed.state=ENDED,ed.timer.stop(),ed.on.call(td?"interrupt":"cancel",Ra,Ra.__data__,ed.index,ed.group),delete Ja[sd]}rd&&delete Ra.__transition}}function selection_interrupt(Ra){return this.each(function(){interrupt(this,Ra)})}function tweenRemove(Ra,qa){var Ja,ed;return function(){var td=set$1(this,Ra),rd=td.tween;if(rd!==Ja){ed=Ja=rd;for(var sd=0,od=ed.length;sd<od;++sd)if(ed[sd].name===qa){ed=ed.slice(),ed.splice(sd,1);break}}td.tween=ed}}function tweenFunction(Ra,qa,Ja){var ed,td;if(typeof Ja!="function")throw new Error;return function(){var rd=set$1(this,Ra),sd=rd.tween;if(sd!==ed){td=(ed=sd).slice();for(var od={name:qa,value:Ja},ld=0,cd=td.length;ld<cd;++ld)if(td[ld].name===qa){td[ld]=od;break}ld===cd&&td.push(od)}rd.tween=td}}function transition_tween(Ra,qa){var Ja=this._id;if(Ra+="",arguments.length<2){for(var ed=get(this.node(),Ja).tween,td=0,rd=ed.length,sd;td<rd;++td)if((sd=ed[td]).name===Ra)return sd.value;return null}return this.each((qa==null?tweenRemove:tweenFunction)(Ja,Ra,qa))}function tweenValue(Ra,qa,Ja){var ed=Ra._id;return Ra.each(function(){var td=set$1(this,ed);(td.value||(td.value={}))[qa]=Ja.apply(this,arguments)}),function(td){return get(td,ed).value[qa]}}function interpolate(Ra,qa){var Ja;return(typeof qa=="number"?interpolateNumber:qa instanceof color?interpolateRgb:(Ja=color(qa))?(qa=Ja,interpolateRgb):interpolateString)(Ra,qa)}function attrRemove(Ra){return function(){this.removeAttribute(Ra)}}function attrRemoveNS(Ra){return function(){this.removeAttributeNS(Ra.space,Ra.local)}}function attrConstant(Ra,qa,Ja){var ed,td=Ja+"",rd;return function(){var sd=this.getAttribute(Ra);return sd===td?null:sd===ed?rd:rd=qa(ed=sd,Ja)}}function attrConstantNS(Ra,qa,Ja){var ed,td=Ja+"",rd;return function(){var sd=this.getAttributeNS(Ra.space,Ra.local);return sd===td?null:sd===ed?rd:rd=qa(ed=sd,Ja)}}function attrFunction(Ra,qa,Ja){var ed,td,rd;return function(){var sd,od=Ja(this),ld;return od==null?void this.removeAttribute(Ra):(sd=this.getAttribute(Ra),ld=od+"",sd===ld?null:sd===ed&&ld===td?rd:(td=ld,rd=qa(ed=sd,od)))}}function attrFunctionNS(Ra,qa,Ja){var ed,td,rd;return function(){var sd,od=Ja(this),ld;return od==null?void this.removeAttributeNS(Ra.space,Ra.local):(sd=this.getAttributeNS(Ra.space,Ra.local),ld=od+"",sd===ld?null:sd===ed&&ld===td?rd:(td=ld,rd=qa(ed=sd,od)))}}function transition_attr(Ra,qa){var Ja=namespace(Ra),ed=Ja==="transform"?interpolateTransformSvg:interpolate;return this.attrTween(Ra,typeof qa=="function"?(Ja.local?attrFunctionNS:attrFunction)(Ja,ed,tweenValue(this,"attr."+Ra,qa)):qa==null?(Ja.local?attrRemoveNS:attrRemove)(Ja):(Ja.local?attrConstantNS:attrConstant)(Ja,ed,qa))}function attrInterpolate(Ra,qa){return function(Ja){this.setAttribute(Ra,qa.call(this,Ja))}}function attrInterpolateNS(Ra,qa){return function(Ja){this.setAttributeNS(Ra.space,Ra.local,qa.call(this,Ja))}}function attrTweenNS(Ra,qa){var Ja,ed;function td(){var rd=qa.apply(this,arguments);return rd!==ed&&(Ja=(ed=rd)&&attrInterpolateNS(Ra,rd)),Ja}return td._value=qa,td}function attrTween(Ra,qa){var Ja,ed;function td(){var rd=qa.apply(this,arguments);return rd!==ed&&(Ja=(ed=rd)&&attrInterpolate(Ra,rd)),Ja}return td._value=qa,td}function transition_attrTween(Ra,qa){var Ja="attr."+Ra;if(arguments.length<2)return(Ja=this.tween(Ja))&&Ja._value;if(qa==null)return this.tween(Ja,null);if(typeof qa!="function")throw new Error;var ed=namespace(Ra);return this.tween(Ja,(ed.local?attrTweenNS:attrTween)(ed,qa))}function delayFunction(Ra,qa){return function(){init$1(this,Ra).delay=+qa.apply(this,arguments)}}function delayConstant(Ra,qa){return qa=+qa,function(){init$1(this,Ra).delay=qa}}function transition_delay(Ra){var qa=this._id;return arguments.length?this.each((typeof Ra=="function"?delayFunction:delayConstant)(qa,Ra)):get(this.node(),qa).delay}function durationFunction(Ra,qa){return function(){set$1(this,Ra).duration=+qa.apply(this,arguments)}}function durationConstant(Ra,qa){return qa=+qa,function(){set$1(this,Ra).duration=qa}}function transition_duration(Ra){var qa=this._id;return arguments.length?this.each((typeof Ra=="function"?durationFunction:durationConstant)(qa,Ra)):get(this.node(),qa).duration}function easeConstant(Ra,qa){if(typeof qa!="function")throw new Error;return function(){set$1(this,Ra).ease=qa}}function transition_ease(Ra){var qa=this._id;return arguments.length?this.each(easeConstant(qa,Ra)):get(this.node(),qa).ease}function easeVarying(Ra,qa){return function(){var Ja=qa.apply(this,arguments);if(typeof Ja!="function")throw new Error;set$1(this,Ra).ease=Ja}}function transition_easeVarying(Ra){if(typeof Ra!="function")throw new Error;return this.each(easeVarying(this._id,Ra))}function transition_filter(Ra){typeof Ra!="function"&&(Ra=matcher(Ra));for(var qa=this._groups,Ja=qa.length,ed=new Array(Ja),td=0;td<Ja;++td)for(var rd=qa[td],sd=rd.length,od=ed[td]=[],ld,cd=0;cd<sd;++cd)(ld=rd[cd])&&Ra.call(ld,ld.__data__,cd,rd)&&od.push(ld);return new Transition(ed,this._parents,this._name,this._id)}function transition_merge(Ra){if(Ra._id!==this._id)throw new Error;for(var qa=this._groups,Ja=Ra._groups,ed=qa.length,td=Ja.length,rd=Math.min(ed,td),sd=new Array(ed),od=0;od<rd;++od)for(var ld=qa[od],cd=Ja[od],ud=ld.length,_d=sd[od]=new Array(ud),yd,gd=0;gd<ud;++gd)(yd=ld[gd]||cd[gd])&&(_d[gd]=yd);for(;od<ed;++od)sd[od]=qa[od];return new Transition(sd,this._parents,this._name,this._id)}function start(Ra){return(Ra+"").trim().split(/^|\s+/).every(function(qa){var Ja=qa.indexOf(".");return Ja>=0&&(qa=qa.slice(0,Ja)),!qa||qa==="start"})}function onFunction(Ra,qa,Ja){var ed,td,rd=start(qa)?init$1:set$1;return function(){var sd=rd(this,Ra),od=sd.on;od!==ed&&(td=(ed=od).copy()).on(qa,Ja),sd.on=td}}function transition_on(Ra,qa){var Ja=this._id;return arguments.length<2?get(this.node(),Ja).on.on(Ra):this.each(onFunction(Ja,Ra,qa))}function removeFunction(Ra){return function(){var qa=this.parentNode;for(var Ja in this.__transition)if(+Ja!==Ra)return;qa&&qa.removeChild(this)}}function transition_remove(){return this.on("end.remove",removeFunction(this._id))}function transition_select(Ra){var qa=this._name,Ja=this._id;typeof Ra!="function"&&(Ra=selector$1(Ra));for(var ed=this._groups,td=ed.length,rd=new Array(td),sd=0;sd<td;++sd)for(var od=ed[sd],ld=od.length,cd=rd[sd]=new Array(ld),ud,_d,yd=0;yd<ld;++yd)(ud=od[yd])&&(_d=Ra.call(ud,ud.__data__,yd,od))&&("__data__"in ud&&(_d.__data__=ud.__data__),cd[yd]=_d,schedule(cd[yd],qa,Ja,yd,cd,get(ud,Ja)));return new Transition(rd,this._parents,qa,Ja)}function transition_selectAll(Ra){var qa=this._name,Ja=this._id;typeof Ra!="function"&&(Ra=selectorAll(Ra));for(var ed=this._groups,td=ed.length,rd=[],sd=[],od=0;od<td;++od)for(var ld=ed[od],cd=ld.length,ud,_d=0;_d<cd;++_d)if(ud=ld[_d]){for(var yd=Ra.call(ud,ud.__data__,_d,ld),gd,Ed=get(ud,Ja),Td=0,kd=yd.length;Td<kd;++Td)(gd=yd[Td])&&schedule(gd,qa,Ja,Td,yd,Ed);rd.push(yd),sd.push(ud)}return new Transition(rd,sd,qa,Ja)}var Selection=selection.prototype.constructor;function transition_selection(){return new Selection(this._groups,this._parents)}function styleNull(Ra,qa){var Ja,ed,td;return function(){var rd=styleValue(this,Ra),sd=(this.style.removeProperty(Ra),styleValue(this,Ra));return rd===sd?null:rd===Ja&&sd===ed?td:td=qa(Ja=rd,ed=sd)}}function styleRemove(Ra){return function(){this.style.removeProperty(Ra)}}function styleConstant(Ra,qa,Ja){var ed,td=Ja+"",rd;return function(){var sd=styleValue(this,Ra);return sd===td?null:sd===ed?rd:rd=qa(ed=sd,Ja)}}function styleFunction(Ra,qa,Ja){var ed,td,rd;return function(){var sd=styleValue(this,Ra),od=Ja(this),ld=od+"";return od==null&&(ld=od=(this.style.removeProperty(Ra),styleValue(this,Ra))),sd===ld?null:sd===ed&&ld===td?rd:(td=ld,rd=qa(ed=sd,od))}}function styleMaybeRemove(Ra,qa){var Ja,ed,td,rd="style."+qa,sd="end."+rd,od;return function(){var ld=set$1(this,Ra),cd=ld.on,ud=ld.value[rd]==null?od||(od=styleRemove(qa)):void 0;(cd!==Ja||td!==ud)&&(ed=(Ja=cd).copy()).on(sd,td=ud),ld.on=ed}}function transition_style(Ra,qa,Ja){var ed=(Ra+="")=="transform"?interpolateTransformCss:interpolate;return qa==null?this.styleTween(Ra,styleNull(Ra,ed)).on("end.style."+Ra,styleRemove(Ra)):typeof qa=="function"?this.styleTween(Ra,styleFunction(Ra,ed,tweenValue(this,"style."+Ra,qa))).each(styleMaybeRemove(this._id,Ra)):this.styleTween(Ra,styleConstant(Ra,ed,qa),Ja).on("end.style."+Ra,null)}function styleInterpolate(Ra,qa,Ja){return function(ed){this.style.setProperty(Ra,qa.call(this,ed),Ja)}}function styleTween(Ra,qa,Ja){var ed,td;function rd(){var sd=qa.apply(this,arguments);return sd!==td&&(ed=(td=sd)&&styleInterpolate(Ra,sd,Ja)),ed}return rd._value=qa,rd}function transition_styleTween(Ra,qa,Ja){var ed="style."+(Ra+="");if(arguments.length<2)return(ed=this.tween(ed))&&ed._value;if(qa==null)return this.tween(ed,null);if(typeof qa!="function")throw new Error;return this.tween(ed,styleTween(Ra,qa,Ja??""))}function textConstant(Ra){return function(){this.textContent=Ra}}function textFunction(Ra){return function(){var qa=Ra(this);this.textContent=qa??""}}function transition_text(Ra){return this.tween("text",typeof Ra=="function"?textFunction(tweenValue(this,"text",Ra)):textConstant(Ra==null?"":Ra+""))}function textInterpolate(Ra){return function(qa){this.textContent=Ra.call(this,qa)}}function textTween(Ra){var qa,Ja;function ed(){var td=Ra.apply(this,arguments);return td!==Ja&&(qa=(Ja=td)&&textInterpolate(td)),qa}return ed._value=Ra,ed}function transition_textTween(Ra){var qa="text";if(arguments.length<1)return(qa=this.tween(qa))&&qa._value;if(Ra==null)return this.tween(qa,null);if(typeof Ra!="function")throw new Error;return this.tween(qa,textTween(Ra))}function transition_transition(){for(var Ra=this._name,qa=this._id,Ja=newId(),ed=this._groups,td=ed.length,rd=0;rd<td;++rd)for(var sd=ed[rd],od=sd.length,ld,cd=0;cd<od;++cd)if(ld=sd[cd]){var ud=get(ld,qa);schedule(ld,Ra,Ja,cd,sd,{time:ud.time+ud.delay+ud.duration,delay:0,duration:ud.duration,ease:ud.ease})}return new Transition(ed,this._parents,Ra,Ja)}function transition_end(){var Ra,qa,Ja=this,ed=Ja._id,td=Ja.size();return new Promise(function(rd,sd){var od={value:sd},ld={value:function(){--td===0&&rd()}};Ja.each(function(){var cd=set$1(this,ed),ud=cd.on;ud!==Ra&&(qa=(Ra=ud).copy(),qa._.cancel.push(od),qa._.interrupt.push(od),qa._.end.push(ld)),cd.on=qa}),td===0&&rd()})}var id$1=0;function Transition(Ra,qa,Ja,ed){this._groups=Ra,this._parents=qa,this._name=Ja,this._id=ed}function newId(){return++id$1}var selection_prototype=selection.prototype;Transition.prototype={constructor:Transition,select:transition_select,selectAll:transition_selectAll,selectChild:selection_prototype.selectChild,selectChildren:selection_prototype.selectChildren,filter:transition_filter,merge:transition_merge,selection:transition_selection,transition:transition_transition,call:selection_prototype.call,nodes:selection_prototype.nodes,node:selection_prototype.node,size:selection_prototype.size,empty:selection_prototype.empty,each:selection_prototype.each,on:transition_on,attr:transition_attr,attrTween:transition_attrTween,style:transition_style,styleTween:transition_styleTween,text:transition_text,textTween:transition_textTween,remove:transition_remove,tween:transition_tween,delay:transition_delay,duration:transition_duration,ease:transition_ease,easeVarying:transition_easeVarying,end:transition_end,[Symbol.iterator]:selection_prototype[Symbol.iterator]};function cubicInOut(Ra){return((Ra*=2)<=1?Ra*Ra*Ra:(Ra-=2)*Ra*Ra+2)/2}var defaultTiming={time:null,delay:0,duration:250,ease:cubicInOut};function inherit(Ra,qa){for(var Ja;!(Ja=Ra.__transition)||!(Ja=Ja[qa]);)if(!(Ra=Ra.parentNode))throw new Error(`transition ${qa} not found`);return Ja}function selection_transition(Ra){var qa,Ja;Ra instanceof Transition?(qa=Ra._id,Ra=Ra._name):(qa=newId(),(Ja=defaultTiming).time=now(),Ra=Ra==null?null:Ra+"");for(var ed=this._groups,td=ed.length,rd=0;rd<td;++rd)for(var sd=ed[rd],od=sd.length,ld,cd=0;cd<od;++cd)(ld=sd[cd])&&schedule(ld,Ra,qa,cd,sd,Ja||inherit(ld,qa));return new Transition(ed,this._parents,Ra,qa)}selection.prototype.interrupt=selection_interrupt;selection.prototype.transition=selection_transition;const pi$8=Math.PI,tau$3=2*pi$8,epsilon$3=1e-6,tauEpsilon=tau$3-epsilon$3;function append$1(Ra){this._+=Ra[0];for(let qa=1,Ja=Ra.length;qa<Ja;++qa)this._+=arguments[qa]+Ra[qa]}function appendRound(Ra){let qa=Math.floor(Ra);if(!(qa>=0))throw new Error(`invalid digits: ${Ra}`);if(qa>15)return append$1;const Ja=10**qa;return function(ed){this._+=ed[0];for(let td=1,rd=ed.length;td<rd;++td)this._+=Math.round(arguments[td]*Ja)/Ja+ed[td]}}class Path{constructor(qa){this._x0=this._y0=this._x1=this._y1=null,this._="",this._append=qa==null?append$1:appendRound(qa)}moveTo(qa,Ja){this._append`M${this._x0=this._x1=+qa},${this._y0=this._y1=+Ja}`}closePath(){this._x1!==null&&(this._x1=this._x0,this._y1=this._y0,this._append`Z`)}lineTo(qa,Ja){this._append`L${this._x1=+qa},${this._y1=+Ja}`}quadraticCurveTo(qa,Ja,ed,td){this._append`Q${+qa},${+Ja},${this._x1=+ed},${this._y1=+td}`}bezierCurveTo(qa,Ja,ed,td,rd,sd){this._append`C${+qa},${+Ja},${+ed},${+td},${this._x1=+rd},${this._y1=+sd}`}arcTo(qa,Ja,ed,td,rd){if(qa=+qa,Ja=+Ja,ed=+ed,td=+td,rd=+rd,rd<0)throw new Error(`negative radius: ${rd}`);let sd=this._x1,od=this._y1,ld=ed-qa,cd=td-Ja,ud=sd-qa,_d=od-Ja,yd=ud*ud+_d*_d;if(this._x1===null)this._append`M${this._x1=qa},${this._y1=Ja}`;else if(yd>epsilon$3)if(!(Math.abs(_d*ld-cd*ud)>epsilon$3)||!rd)this._append`L${this._x1=qa},${this._y1=Ja}`;else{let gd=ed-sd,Ed=td-od,Td=ld*ld+cd*cd,kd=gd*gd+Ed*Ed,Rd=Math.sqrt(Td),Nd=Math.sqrt(yd),Id=rd*Math.tan((pi$8-Math.acos((Td+yd-kd)/(2*Rd*Nd)))/2),Md=Id/Nd,Ld=Id/Rd;Math.abs(Md-1)>epsilon$3&&this._append`L${qa+Md*ud},${Ja+Md*_d}`,this._append`A${rd},${rd},0,0,${+(_d*gd>ud*Ed)},${this._x1=qa+Ld*ld},${this._y1=Ja+Ld*cd}`}}arc(qa,Ja,ed,td,rd,sd){if(qa=+qa,Ja=+Ja,ed=+ed,sd=!!sd,ed<0)throw new Error(`negative radius: ${ed}`);let od=ed*Math.cos(td),ld=ed*Math.sin(td),cd=qa+od,ud=Ja+ld,_d=1^sd,yd=sd?td-rd:rd-td;this._x1===null?this._append`M${cd},${ud}`:(Math.abs(this._x1-cd)>epsilon$3||Math.abs(this._y1-ud)>epsilon$3)&&this._append`L${cd},${ud}`,ed&&(yd<0&&(yd=yd%tau$3+tau$3),yd>tauEpsilon?this._append`A${ed},${ed},0,1,${_d},${qa-od},${Ja-ld}A${ed},${ed},0,1,${_d},${this._x1=cd},${this._y1=ud}`:yd>epsilon$3&&this._append`A${ed},${ed},0,${+(yd>=pi$8)},${_d},${this._x1=qa+ed*Math.cos(rd)},${this._y1=Ja+ed*Math.sin(rd)}`)}rect(qa,Ja,ed,td){this._append`M${this._x0=this._x1=+qa},${this._y0=this._y1=+Ja}h${ed=+ed}v${+td}h${-ed}Z`}toString(){return this._}}function constant$2(Ra){return function(){return Ra}}const abs$1=Math.abs,atan2=Math.atan2,cos=Math.cos,max$1=Math.max,min=Math.min,sin=Math.sin,sqrt=Math.sqrt,epsilon$2=1e-12,pi$7=Math.PI,halfPi=pi$7/2,tau$2=2*pi$7;function acos(Ra){return Ra>1?0:Ra<-1?pi$7:Math.acos(Ra)}function asin(Ra){return Ra>=1?halfPi:Ra<=-1?-halfPi:Math.asin(Ra)}function withPath(Ra){let qa=3;return Ra.digits=function(Ja){if(!arguments.length)return qa;if(Ja==null)qa=null;else{const ed=Math.floor(Ja);if(!(ed>=0))throw new RangeError(`invalid digits: ${Ja}`);qa=ed}return Ra},()=>new Path(qa)}function array(Ra){return typeof Ra=="object"&&"length"in Ra?Ra:Array.from(Ra)}function Linear(Ra){this._context=Ra}Linear.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._point=0},lineEnd:function(){(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2;default:this._context.lineTo(Ra,qa);break}}};function curveLinear(Ra){return new Linear(Ra)}function x$3(Ra){return Ra[0]}function y$3(Ra){return Ra[1]}function line$1(Ra,qa){var Ja=constant$2(!0),ed=null,td=curveLinear,rd=null,sd=withPath(od);Ra=typeof Ra=="function"?Ra:Ra===void 0?x$3:constant$2(Ra),qa=typeof qa=="function"?qa:qa===void 0?y$3:constant$2(qa);function od(ld){var cd,ud=(ld=array(ld)).length,_d,yd=!1,gd;for(ed==null&&(rd=td(gd=sd())),cd=0;cd<=ud;++cd)!(cd<ud&&Ja(_d=ld[cd],cd,ld))===yd&&((yd=!yd)?rd.lineStart():rd.lineEnd()),yd&&rd.point(+Ra(_d,cd,ld),+qa(_d,cd,ld));if(gd)return rd=null,gd+""||null}return od.x=function(ld){return arguments.length?(Ra=typeof ld=="function"?ld:constant$2(+ld),od):Ra},od.y=function(ld){return arguments.length?(qa=typeof ld=="function"?ld:constant$2(+ld),od):qa},od.defined=function(ld){return arguments.length?(Ja=typeof ld=="function"?ld:constant$2(!!ld),od):Ja},od.curve=function(ld){return arguments.length?(td=ld,ed!=null&&(rd=td(ed)),od):td},od.context=function(ld){return arguments.length?(ld==null?ed=rd=null:rd=td(ed=ld),od):ed},od}class Bump{constructor(qa,Ja){this._context=qa,this._x=Ja}areaStart(){this._line=0}areaEnd(){this._line=NaN}lineStart(){this._point=0}lineEnd(){(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line}point(qa,Ja){switch(qa=+qa,Ja=+Ja,this._point){case 0:{this._point=1,this._line?this._context.lineTo(qa,Ja):this._context.moveTo(qa,Ja);break}case 1:this._point=2;default:{this._x?this._context.bezierCurveTo(this._x0=(this._x0+qa)/2,this._y0,this._x0,Ja,qa,Ja):this._context.bezierCurveTo(this._x0,this._y0=(this._y0+Ja)/2,qa,this._y0,qa,Ja);break}}this._x0=qa,this._y0=Ja}}function bumpX(Ra){return new Bump(Ra,!0)}function bumpY(Ra){return new Bump(Ra,!1)}function noop$3(){}function point$4(Ra,qa,Ja){Ra._context.bezierCurveTo((2*Ra._x0+Ra._x1)/3,(2*Ra._y0+Ra._y1)/3,(Ra._x0+2*Ra._x1)/3,(Ra._y0+2*Ra._y1)/3,(Ra._x0+4*Ra._x1+qa)/6,(Ra._y0+4*Ra._y1+Ja)/6)}function Basis(Ra){this._context=Ra}Basis.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._y0=this._y1=NaN,this._point=0},lineEnd:function(){switch(this._point){case 3:point$4(this,this._x1,this._y1);case 2:this._context.lineTo(this._x1,this._y1);break}(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2;break;case 2:this._point=3,this._context.lineTo((5*this._x0+this._x1)/6,(5*this._y0+this._y1)/6);default:point$4(this,Ra,qa);break}this._x0=this._x1,this._x1=Ra,this._y0=this._y1,this._y1=qa}};function curveBasis(Ra){return new Basis(Ra)}function BasisClosed(Ra){this._context=Ra}BasisClosed.prototype={areaStart:noop$3,areaEnd:noop$3,lineStart:function(){this._x0=this._x1=this._x2=this._x3=this._x4=this._y0=this._y1=this._y2=this._y3=this._y4=NaN,this._point=0},lineEnd:function(){switch(this._point){case 1:{this._context.moveTo(this._x2,this._y2),this._context.closePath();break}case 2:{this._context.moveTo((this._x2+2*this._x3)/3,(this._y2+2*this._y3)/3),this._context.lineTo((this._x3+2*this._x2)/3,(this._y3+2*this._y2)/3),this._context.closePath();break}case 3:{this.point(this._x2,this._y2),this.point(this._x3,this._y3),this.point(this._x4,this._y4);break}}},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._x2=Ra,this._y2=qa;break;case 1:this._point=2,this._x3=Ra,this._y3=qa;break;case 2:this._point=3,this._x4=Ra,this._y4=qa,this._context.moveTo((this._x0+4*this._x1+Ra)/6,(this._y0+4*this._y1+qa)/6);break;default:point$4(this,Ra,qa);break}this._x0=this._x1,this._x1=Ra,this._y0=this._y1,this._y1=qa}};function curveBasisClosed(Ra){return new BasisClosed(Ra)}function BasisOpen(Ra){this._context=Ra}BasisOpen.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._y0=this._y1=NaN,this._point=0},lineEnd:function(){(this._line||this._line!==0&&this._point===3)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1;break;case 1:this._point=2;break;case 2:this._point=3;var Ja=(this._x0+4*this._x1+Ra)/6,ed=(this._y0+4*this._y1+qa)/6;this._line?this._context.lineTo(Ja,ed):this._context.moveTo(Ja,ed);break;case 3:this._point=4;default:point$4(this,Ra,qa);break}this._x0=this._x1,this._x1=Ra,this._y0=this._y1,this._y1=qa}};function curveBasisOpen(Ra){return new BasisOpen(Ra)}function Bundle(Ra,qa){this._basis=new Basis(Ra),this._beta=qa}Bundle.prototype={lineStart:function(){this._x=[],this._y=[],this._basis.lineStart()},lineEnd:function(){var Ra=this._x,qa=this._y,Ja=Ra.length-1;if(Ja>0)for(var ed=Ra[0],td=qa[0],rd=Ra[Ja]-ed,sd=qa[Ja]-td,od=-1,ld;++od<=Ja;)ld=od/Ja,this._basis.point(this._beta*Ra[od]+(1-this._beta)*(ed+ld*rd),this._beta*qa[od]+(1-this._beta)*(td+ld*sd));this._x=this._y=null,this._basis.lineEnd()},point:function(Ra,qa){this._x.push(+Ra),this._y.push(+qa)}};const curveBundle=function Ra(qa){function Ja(ed){return qa===1?new Basis(ed):new Bundle(ed,qa)}return Ja.beta=function(ed){return Ra(+ed)},Ja}(.85);function point$3(Ra,qa,Ja){Ra._context.bezierCurveTo(Ra._x1+Ra._k*(Ra._x2-Ra._x0),Ra._y1+Ra._k*(Ra._y2-Ra._y0),Ra._x2+Ra._k*(Ra._x1-qa),Ra._y2+Ra._k*(Ra._y1-Ja),Ra._x2,Ra._y2)}function Cardinal(Ra,qa){this._context=Ra,this._k=(1-qa)/6}Cardinal.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._x2=this._y0=this._y1=this._y2=NaN,this._point=0},lineEnd:function(){switch(this._point){case 2:this._context.lineTo(this._x2,this._y2);break;case 3:point$3(this,this._x1,this._y1);break}(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2,this._x1=Ra,this._y1=qa;break;case 2:this._point=3;default:point$3(this,Ra,qa);break}this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCardinal=function Ra(qa){function Ja(ed){return new Cardinal(ed,qa)}return Ja.tension=function(ed){return Ra(+ed)},Ja}(0);function CardinalClosed(Ra,qa){this._context=Ra,this._k=(1-qa)/6}CardinalClosed.prototype={areaStart:noop$3,areaEnd:noop$3,lineStart:function(){this._x0=this._x1=this._x2=this._x3=this._x4=this._x5=this._y0=this._y1=this._y2=this._y3=this._y4=this._y5=NaN,this._point=0},lineEnd:function(){switch(this._point){case 1:{this._context.moveTo(this._x3,this._y3),this._context.closePath();break}case 2:{this._context.lineTo(this._x3,this._y3),this._context.closePath();break}case 3:{this.point(this._x3,this._y3),this.point(this._x4,this._y4),this.point(this._x5,this._y5);break}}},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._x3=Ra,this._y3=qa;break;case 1:this._point=2,this._context.moveTo(this._x4=Ra,this._y4=qa);break;case 2:this._point=3,this._x5=Ra,this._y5=qa;break;default:point$3(this,Ra,qa);break}this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCardinalClosed=function Ra(qa){function Ja(ed){return new CardinalClosed(ed,qa)}return Ja.tension=function(ed){return Ra(+ed)},Ja}(0);function CardinalOpen(Ra,qa){this._context=Ra,this._k=(1-qa)/6}CardinalOpen.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._x2=this._y0=this._y1=this._y2=NaN,this._point=0},lineEnd:function(){(this._line||this._line!==0&&this._point===3)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1;break;case 1:this._point=2;break;case 2:this._point=3,this._line?this._context.lineTo(this._x2,this._y2):this._context.moveTo(this._x2,this._y2);break;case 3:this._point=4;default:point$3(this,Ra,qa);break}this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCardinalOpen=function Ra(qa){function Ja(ed){return new CardinalOpen(ed,qa)}return Ja.tension=function(ed){return Ra(+ed)},Ja}(0);function point$2(Ra,qa,Ja){var ed=Ra._x1,td=Ra._y1,rd=Ra._x2,sd=Ra._y2;if(Ra._l01_a>epsilon$2){var od=2*Ra._l01_2a+3*Ra._l01_a*Ra._l12_a+Ra._l12_2a,ld=3*Ra._l01_a*(Ra._l01_a+Ra._l12_a);ed=(ed*od-Ra._x0*Ra._l12_2a+Ra._x2*Ra._l01_2a)/ld,td=(td*od-Ra._y0*Ra._l12_2a+Ra._y2*Ra._l01_2a)/ld}if(Ra._l23_a>epsilon$2){var cd=2*Ra._l23_2a+3*Ra._l23_a*Ra._l12_a+Ra._l12_2a,ud=3*Ra._l23_a*(Ra._l23_a+Ra._l12_a);rd=(rd*cd+Ra._x1*Ra._l23_2a-qa*Ra._l12_2a)/ud,sd=(sd*cd+Ra._y1*Ra._l23_2a-Ja*Ra._l12_2a)/ud}Ra._context.bezierCurveTo(ed,td,rd,sd,Ra._x2,Ra._y2)}function CatmullRom(Ra,qa){this._context=Ra,this._alpha=qa}CatmullRom.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._x2=this._y0=this._y1=this._y2=NaN,this._l01_a=this._l12_a=this._l23_a=this._l01_2a=this._l12_2a=this._l23_2a=this._point=0},lineEnd:function(){switch(this._point){case 2:this._context.lineTo(this._x2,this._y2);break;case 3:this.point(this._x2,this._y2);break}(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){if(Ra=+Ra,qa=+qa,this._point){var Ja=this._x2-Ra,ed=this._y2-qa;this._l23_a=Math.sqrt(this._l23_2a=Math.pow(Ja*Ja+ed*ed,this._alpha))}switch(this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2;break;case 2:this._point=3;default:point$2(this,Ra,qa);break}this._l01_a=this._l12_a,this._l12_a=this._l23_a,this._l01_2a=this._l12_2a,this._l12_2a=this._l23_2a,this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCatmullRom=function Ra(qa){function Ja(ed){return qa?new CatmullRom(ed,qa):new Cardinal(ed,0)}return Ja.alpha=function(ed){return Ra(+ed)},Ja}(.5);function CatmullRomClosed(Ra,qa){this._context=Ra,this._alpha=qa}CatmullRomClosed.prototype={areaStart:noop$3,areaEnd:noop$3,lineStart:function(){this._x0=this._x1=this._x2=this._x3=this._x4=this._x5=this._y0=this._y1=this._y2=this._y3=this._y4=this._y5=NaN,this._l01_a=this._l12_a=this._l23_a=this._l01_2a=this._l12_2a=this._l23_2a=this._point=0},lineEnd:function(){switch(this._point){case 1:{this._context.moveTo(this._x3,this._y3),this._context.closePath();break}case 2:{this._context.lineTo(this._x3,this._y3),this._context.closePath();break}case 3:{this.point(this._x3,this._y3),this.point(this._x4,this._y4),this.point(this._x5,this._y5);break}}},point:function(Ra,qa){if(Ra=+Ra,qa=+qa,this._point){var Ja=this._x2-Ra,ed=this._y2-qa;this._l23_a=Math.sqrt(this._l23_2a=Math.pow(Ja*Ja+ed*ed,this._alpha))}switch(this._point){case 0:this._point=1,this._x3=Ra,this._y3=qa;break;case 1:this._point=2,this._context.moveTo(this._x4=Ra,this._y4=qa);break;case 2:this._point=3,this._x5=Ra,this._y5=qa;break;default:point$2(this,Ra,qa);break}this._l01_a=this._l12_a,this._l12_a=this._l23_a,this._l01_2a=this._l12_2a,this._l12_2a=this._l23_2a,this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCatmullRomClosed=function Ra(qa){function Ja(ed){return qa?new CatmullRomClosed(ed,qa):new CardinalClosed(ed,0)}return Ja.alpha=function(ed){return Ra(+ed)},Ja}(.5);function CatmullRomOpen(Ra,qa){this._context=Ra,this._alpha=qa}CatmullRomOpen.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._x2=this._y0=this._y1=this._y2=NaN,this._l01_a=this._l12_a=this._l23_a=this._l01_2a=this._l12_2a=this._l23_2a=this._point=0},lineEnd:function(){(this._line||this._line!==0&&this._point===3)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){if(Ra=+Ra,qa=+qa,this._point){var Ja=this._x2-Ra,ed=this._y2-qa;this._l23_a=Math.sqrt(this._l23_2a=Math.pow(Ja*Ja+ed*ed,this._alpha))}switch(this._point){case 0:this._point=1;break;case 1:this._point=2;break;case 2:this._point=3,this._line?this._context.lineTo(this._x2,this._y2):this._context.moveTo(this._x2,this._y2);break;case 3:this._point=4;default:point$2(this,Ra,qa);break}this._l01_a=this._l12_a,this._l12_a=this._l23_a,this._l01_2a=this._l12_2a,this._l12_2a=this._l23_2a,this._x0=this._x1,this._x1=this._x2,this._x2=Ra,this._y0=this._y1,this._y1=this._y2,this._y2=qa}};const curveCatmullRomOpen=function Ra(qa){function Ja(ed){return qa?new CatmullRomOpen(ed,qa):new CardinalOpen(ed,0)}return Ja.alpha=function(ed){return Ra(+ed)},Ja}(.5);function LinearClosed(Ra){this._context=Ra}LinearClosed.prototype={areaStart:noop$3,areaEnd:noop$3,lineStart:function(){this._point=0},lineEnd:function(){this._point&&this._context.closePath()},point:function(Ra,qa){Ra=+Ra,qa=+qa,this._point?this._context.lineTo(Ra,qa):(this._point=1,this._context.moveTo(Ra,qa))}};function curveLinearClosed(Ra){return new LinearClosed(Ra)}function sign(Ra){return Ra<0?-1:1}function slope3(Ra,qa,Ja){var ed=Ra._x1-Ra._x0,td=qa-Ra._x1,rd=(Ra._y1-Ra._y0)/(ed||td<0&&-0),sd=(Ja-Ra._y1)/(td||ed<0&&-0),od=(rd*td+sd*ed)/(ed+td);return(sign(rd)+sign(sd))*Math.min(Math.abs(rd),Math.abs(sd),.5*Math.abs(od))||0}function slope2(Ra,qa){var Ja=Ra._x1-Ra._x0;return Ja?(3*(Ra._y1-Ra._y0)/Ja-qa)/2:qa}function point$1(Ra,qa,Ja){var ed=Ra._x0,td=Ra._y0,rd=Ra._x1,sd=Ra._y1,od=(rd-ed)/3;Ra._context.bezierCurveTo(ed+od,td+od*qa,rd-od,sd-od*Ja,rd,sd)}function MonotoneX(Ra){this._context=Ra}MonotoneX.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x0=this._x1=this._y0=this._y1=this._t0=NaN,this._point=0},lineEnd:function(){switch(this._point){case 2:this._context.lineTo(this._x1,this._y1);break;case 3:point$1(this,this._t0,slope2(this,this._t0));break}(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line=1-this._line},point:function(Ra,qa){var Ja=NaN;if(Ra=+Ra,qa=+qa,!(Ra===this._x1&&qa===this._y1)){switch(this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2;break;case 2:this._point=3,point$1(this,slope2(this,Ja=slope3(this,Ra,qa)),Ja);break;default:point$1(this,this._t0,Ja=slope3(this,Ra,qa));break}this._x0=this._x1,this._x1=Ra,this._y0=this._y1,this._y1=qa,this._t0=Ja}}};function MonotoneY(Ra){this._context=new ReflectContext(Ra)}(MonotoneY.prototype=Object.create(MonotoneX.prototype)).point=function(Ra,qa){MonotoneX.prototype.point.call(this,qa,Ra)};function ReflectContext(Ra){this._context=Ra}ReflectContext.prototype={moveTo:function(Ra,qa){this._context.moveTo(qa,Ra)},closePath:function(){this._context.closePath()},lineTo:function(Ra,qa){this._context.lineTo(qa,Ra)},bezierCurveTo:function(Ra,qa,Ja,ed,td,rd){this._context.bezierCurveTo(qa,Ra,ed,Ja,rd,td)}};function monotoneX(Ra){return new MonotoneX(Ra)}function monotoneY(Ra){return new MonotoneY(Ra)}function Natural(Ra){this._context=Ra}Natural.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x=[],this._y=[]},lineEnd:function(){var Ra=this._x,qa=this._y,Ja=Ra.length;if(Ja)if(this._line?this._context.lineTo(Ra[0],qa[0]):this._context.moveTo(Ra[0],qa[0]),Ja===2)this._context.lineTo(Ra[1],qa[1]);else for(var ed=controlPoints(Ra),td=controlPoints(qa),rd=0,sd=1;sd<Ja;++rd,++sd)this._context.bezierCurveTo(ed[0][rd],td[0][rd],ed[1][rd],td[1][rd],Ra[sd],qa[sd]);(this._line||this._line!==0&&Ja===1)&&this._context.closePath(),this._line=1-this._line,this._x=this._y=null},point:function(Ra,qa){this._x.push(+Ra),this._y.push(+qa)}};function controlPoints(Ra){var qa,Ja=Ra.length-1,ed,td=new Array(Ja),rd=new Array(Ja),sd=new Array(Ja);for(td[0]=0,rd[0]=2,sd[0]=Ra[0]+2*Ra[1],qa=1;qa<Ja-1;++qa)td[qa]=1,rd[qa]=4,sd[qa]=4*Ra[qa]+2*Ra[qa+1];for(td[Ja-1]=2,rd[Ja-1]=7,sd[Ja-1]=8*Ra[Ja-1]+Ra[Ja],qa=1;qa<Ja;++qa)ed=td[qa]/rd[qa-1],rd[qa]-=ed,sd[qa]-=ed*sd[qa-1];for(td[Ja-1]=sd[Ja-1]/rd[Ja-1],qa=Ja-2;qa>=0;--qa)td[qa]=(sd[qa]-td[qa+1])/rd[qa];for(rd[Ja-1]=(Ra[Ja]+td[Ja-1])/2,qa=0;qa<Ja-1;++qa)rd[qa]=2*Ra[qa+1]-td[qa+1];return[td,rd]}function curveNatural(Ra){return new Natural(Ra)}function Step(Ra,qa){this._context=Ra,this._t=qa}Step.prototype={areaStart:function(){this._line=0},areaEnd:function(){this._line=NaN},lineStart:function(){this._x=this._y=NaN,this._point=0},lineEnd:function(){0<this._t&&this._t<1&&this._point===2&&this._context.lineTo(this._x,this._y),(this._line||this._line!==0&&this._point===1)&&this._context.closePath(),this._line>=0&&(this._t=1-this._t,this._line=1-this._line)},point:function(Ra,qa){switch(Ra=+Ra,qa=+qa,this._point){case 0:this._point=1,this._line?this._context.lineTo(Ra,qa):this._context.moveTo(Ra,qa);break;case 1:this._point=2;default:{if(this._t<=0)this._context.lineTo(this._x,qa),this._context.lineTo(Ra,qa);else{var Ja=this._x*(1-this._t)+Ra*this._t;this._context.lineTo(Ja,this._y),this._context.lineTo(Ja,qa)}break}}this._x=Ra,this._y=qa}};function curveStep(Ra){return new Step(Ra,.5)}function stepBefore(Ra){return new Step(Ra,0)}function stepAfter(Ra){return new Step(Ra,1)}function Transform$4(Ra,qa,Ja){this.k=Ra,this.x=qa,this.y=Ja}Transform$4.prototype={constructor:Transform$4,scale:function(Ra){return Ra===1?this:new Transform$4(this.k*Ra,this.x,this.y)},translate:function(Ra,qa){return Ra===0&qa===0?this:new Transform$4(this.k,this.x+this.k*Ra,this.y+this.k*qa)},apply:function(Ra){return[Ra[0]*this.k+this.x,Ra[1]*this.k+this.y]},applyX:function(Ra){return Ra*this.k+this.x},applyY:function(Ra){return Ra*this.k+this.y},invert:function(Ra){return[(Ra[0]-this.x)/this.k,(Ra[1]-this.y)/this.k]},invertX:function(Ra){return(Ra-this.x)/this.k},invertY:function(Ra){return(Ra-this.y)/this.k},rescaleX:function(Ra){return Ra.copy().domain(Ra.range().map(this.invertX,this).map(Ra.invert,Ra))},rescaleY:function(Ra){return Ra.copy().domain(Ra.range().map(this.invertY,this).map(Ra.invert,Ra))},toString:function(){return"translate("+this.x+","+this.y+") scale("+this.k+")"}};Transform$4.prototype;var ZERO_WIDTH_SPACE="",d3CurveTypes={curveBasis,curveBasisClosed,curveBasisOpen,curveBumpX:bumpX,curveBumpY:bumpY,curveBundle,curveCardinalClosed,curveCardinalOpen,curveCardinal,curveCatmullRomClosed,curveCatmullRomOpen,curveCatmullRom,curveLinear,curveLinearClosed,curveMonotoneX:monotoneX,curveMonotoneY:monotoneY,curveNatural,curveStep,curveStepAfter:stepAfter,curveStepBefore:stepBefore},directiveWithoutOpen=/\s*(?:(\w+)(?=:):|(\w+))\s*(?:(\w+)|((?:(?!}%{2}).|\r?\n)*))?\s*(?:}%{2})?/gi,detectInit=__name(function(Ra,qa){const Ja=detectDirective(Ra,/(?:init\b)|(?:initialize\b)/);let ed={};if(Array.isArray(Ja)){const sd=Ja.map(od=>od.args);sanitizeDirective(sd),ed=assignWithDepth_default(ed,[...sd])}else ed=Ja.args;if(!ed)return;let td=detectType(Ra,qa);const rd="config";return ed[rd]!==void 0&&(td==="flowchart-v2"&&(td="flowchart"),ed[td]=ed[rd],delete ed[rd]),ed},"detectInit"),detectDirective=__name(function(Ra,qa=null){var Ja,ed;try{const td=new RegExp(`[%]{2}(?![{]${directiveWithoutOpen.source})(?=[}][%]{2}).*
|
||
`,"ig");Ra=Ra.trim().replace(td,"").replace(/'/gm,'"'),log$1.debug(`Detecting diagram directive${qa!==null?" type:"+qa:""} based on the text:${Ra}`);let rd;const sd=[];for(;(rd=directiveRegex.exec(Ra))!==null;)if(rd.index===directiveRegex.lastIndex&&directiveRegex.lastIndex++,rd&&!qa||qa&&((Ja=rd[1])!=null&&Ja.match(qa))||qa&&((ed=rd[2])!=null&&ed.match(qa))){const od=rd[1]?rd[1]:rd[2],ld=rd[3]?rd[3].trim():rd[4]?JSON.parse(rd[4].trim()):null;sd.push({type:od,args:ld})}return sd.length===0?{type:Ra,args:null}:sd.length===1?sd[0]:sd}catch(td){return log$1.error(`ERROR: ${td.message} - Unable to parse directive type: '${qa}' based on the text: '${Ra}'`),{type:void 0,args:null}}},"detectDirective"),removeDirectives=__name(function(Ra){return Ra.replace(directiveRegex,"")},"removeDirectives"),isSubstringInArray=__name(function(Ra,qa){for(const[Ja,ed]of qa.entries())if(ed.match(Ra))return Ja;return-1},"isSubstringInArray");function interpolateToCurve(Ra,qa){if(!Ra)return qa;const Ja=`curve${Ra.charAt(0).toUpperCase()+Ra.slice(1)}`;return d3CurveTypes[Ja]??qa}__name(interpolateToCurve,"interpolateToCurve");function formatUrl(Ra,qa){const Ja=Ra.trim();if(Ja)return qa.securityLevel!=="loose"?sanitizeUrl_1(Ja):Ja}__name(formatUrl,"formatUrl");var runFunc=__name((Ra,...qa)=>{const Ja=Ra.split("."),ed=Ja.length-1,td=Ja[ed];let rd=window;for(let sd=0;sd<ed;sd++)if(rd=rd[Ja[sd]],!rd){log$1.error(`Function name: ${Ra} not found in window`);return}rd[td](...qa)},"runFunc");function distance(Ra,qa){return!Ra||!qa?0:Math.sqrt(Math.pow(qa.x-Ra.x,2)+Math.pow(qa.y-Ra.y,2))}__name(distance,"distance");function traverseEdge(Ra){let qa,Ja=0;Ra.forEach(td=>{Ja+=distance(td,qa),qa=td});const ed=Ja/2;return calculatePoint(Ra,ed)}__name(traverseEdge,"traverseEdge");function calcLabelPosition(Ra){return Ra.length===1?Ra[0]:traverseEdge(Ra)}__name(calcLabelPosition,"calcLabelPosition");var roundNumber=__name((Ra,qa=2)=>{const Ja=Math.pow(10,qa);return Math.round(Ra*Ja)/Ja},"roundNumber"),calculatePoint=__name((Ra,qa)=>{let Ja,ed=qa;for(const td of Ra){if(Ja){const rd=distance(td,Ja);if(rd<ed)ed-=rd;else{const sd=ed/rd;if(sd<=0)return Ja;if(sd>=1)return{x:td.x,y:td.y};if(sd>0&&sd<1)return{x:roundNumber((1-sd)*Ja.x+sd*td.x,5),y:roundNumber((1-sd)*Ja.y+sd*td.y,5)}}}Ja=td}throw new Error("Could not find a suitable point for the given distance")},"calculatePoint"),calcCardinalityPosition=__name((Ra,qa,Ja)=>{log$1.info(`our points ${JSON.stringify(qa)}`),qa[0]!==Ja&&(qa=qa.reverse());const td=calculatePoint(qa,25),rd=Ra?10:5,sd=Math.atan2(qa[0].y-td.y,qa[0].x-td.x),od={x:0,y:0};return od.x=Math.sin(sd)*rd+(qa[0].x+td.x)/2,od.y=-Math.cos(sd)*rd+(qa[0].y+td.y)/2,od},"calcCardinalityPosition");function calcTerminalLabelPosition(Ra,qa,Ja){const ed=structuredClone(Ja);log$1.info("our points",ed),qa!=="start_left"&&qa!=="start_right"&&ed.reverse();const td=25+Ra,rd=calculatePoint(ed,td),sd=10+Ra*.5,od=Math.atan2(ed[0].y-rd.y,ed[0].x-rd.x),ld={x:0,y:0};return qa==="start_left"?(ld.x=Math.sin(od+Math.PI)*sd+(ed[0].x+rd.x)/2,ld.y=-Math.cos(od+Math.PI)*sd+(ed[0].y+rd.y)/2):qa==="end_right"?(ld.x=Math.sin(od-Math.PI)*sd+(ed[0].x+rd.x)/2-5,ld.y=-Math.cos(od-Math.PI)*sd+(ed[0].y+rd.y)/2-5):qa==="end_left"?(ld.x=Math.sin(od)*sd+(ed[0].x+rd.x)/2-5,ld.y=-Math.cos(od)*sd+(ed[0].y+rd.y)/2-5):(ld.x=Math.sin(od)*sd+(ed[0].x+rd.x)/2,ld.y=-Math.cos(od)*sd+(ed[0].y+rd.y)/2),ld}__name(calcTerminalLabelPosition,"calcTerminalLabelPosition");function getStylesFromArray(Ra){let qa="",Ja="";for(const ed of Ra)ed!==void 0&&(ed.startsWith("color:")||ed.startsWith("text-align:")?Ja=Ja+ed+";":qa=qa+ed+";");return{style:qa,labelStyle:Ja}}__name(getStylesFromArray,"getStylesFromArray");var cnt=0,generateId=__name(()=>(cnt++,"id-"+Math.random().toString(36).substr(2,12)+"-"+cnt),"generateId");function makeRandomHex(Ra){let qa="";const Ja="0123456789abcdef",ed=Ja.length;for(let td=0;td<Ra;td++)qa+=Ja.charAt(Math.floor(Math.random()*ed));return qa}__name(makeRandomHex,"makeRandomHex");var random=__name(Ra=>makeRandomHex(Ra.length),"random"),getTextObj=__name(function(){return{x:0,y:0,fill:void 0,anchor:"start",style:"#666",width:100,height:100,textMargin:0,rx:0,ry:0,valign:void 0,text:""}},"getTextObj"),drawSimpleText=__name(function(Ra,qa){const Ja=qa.text.replace(common_default.lineBreakRegex," "),[,ed]=parseFontSize(qa.fontSize),td=Ra.append("text");td.attr("x",qa.x),td.attr("y",qa.y),td.style("text-anchor",qa.anchor),td.style("font-family",qa.fontFamily),td.style("font-size",ed),td.style("font-weight",qa.fontWeight),td.attr("fill",qa.fill),qa.class!==void 0&&td.attr("class",qa.class);const rd=td.append("tspan");return rd.attr("x",qa.x+qa.textMargin*2),rd.attr("fill",qa.fill),rd.text(Ja),td},"drawSimpleText"),wrapLabel=memoize$1((Ra,qa,Ja)=>{if(!Ra||(Ja=Object.assign({fontSize:12,fontWeight:400,fontFamily:"Arial",joinWith:"<br/>"},Ja),common_default.lineBreakRegex.test(Ra)))return Ra;const ed=Ra.split(" ").filter(Boolean),td=[];let rd="";return ed.forEach((sd,od)=>{const ld=calculateTextWidth(`${sd} `,Ja),cd=calculateTextWidth(rd,Ja);if(ld>qa){const{hyphenatedStrings:yd,remainingWord:gd}=breakString(sd,qa,"-",Ja);td.push(rd,...yd),rd=gd}else cd+ld>=qa?(td.push(rd),rd=sd):rd=[rd,sd].filter(Boolean).join(" ");od+1===ed.length&&td.push(rd)}),td.filter(sd=>sd!=="").join(Ja.joinWith)},(Ra,qa,Ja)=>`${Ra}${qa}${Ja.fontSize}${Ja.fontWeight}${Ja.fontFamily}${Ja.joinWith}`),breakString=memoize$1((Ra,qa,Ja="-",ed)=>{ed=Object.assign({fontSize:12,fontWeight:400,fontFamily:"Arial",margin:0},ed);const td=[...Ra],rd=[];let sd="";return td.forEach((od,ld)=>{const cd=`${sd}${od}`;if(calculateTextWidth(cd,ed)>=qa){const _d=ld+1,yd=td.length===_d,gd=`${cd}${Ja}`;rd.push(yd?cd:gd),sd=""}else sd=cd}),{hyphenatedStrings:rd,remainingWord:sd}},(Ra,qa,Ja="-",ed)=>`${Ra}${qa}${Ja}${ed.fontSize}${ed.fontWeight}${ed.fontFamily}`);function calculateTextHeight(Ra,qa){return calculateTextDimensions(Ra,qa).height}__name(calculateTextHeight,"calculateTextHeight");function calculateTextWidth(Ra,qa){return calculateTextDimensions(Ra,qa).width}__name(calculateTextWidth,"calculateTextWidth");var calculateTextDimensions=memoize$1((Ra,qa)=>{const{fontSize:Ja=12,fontFamily:ed="Arial",fontWeight:td=400}=qa;if(!Ra)return{width:0,height:0};const[,rd]=parseFontSize(Ja),sd=["sans-serif",ed],od=Ra.split(common_default.lineBreakRegex),ld=[],cd=select("body");if(!cd.remove)return{width:0,height:0,lineHeight:0};const ud=cd.append("svg");for(const yd of sd){let gd=0;const Ed={width:0,height:0,lineHeight:0};for(const Td of od){const kd=getTextObj();kd.text=Td||ZERO_WIDTH_SPACE;const Rd=drawSimpleText(ud,kd).style("font-size",rd).style("font-weight",td).style("font-family",yd),Nd=(Rd._groups||Rd)[0][0].getBBox();if(Nd.width===0&&Nd.height===0)throw new Error("svg element not in render tree");Ed.width=Math.round(Math.max(Ed.width,Nd.width)),gd=Math.round(Nd.height),Ed.height+=gd,Ed.lineHeight=Math.round(Math.max(Ed.lineHeight,gd))}ld.push(Ed)}ud.remove();const _d=isNaN(ld[1].height)||isNaN(ld[1].width)||isNaN(ld[1].lineHeight)||ld[0].height>ld[1].height&&ld[0].width>ld[1].width&&ld[0].lineHeight>ld[1].lineHeight?0:1;return ld[_d]},(Ra,qa)=>`${Ra}${qa.fontSize}${qa.fontWeight}${qa.fontFamily}`),Dy,InitIDGenerator=(Dy=class{constructor(qa=!1,Ja){this.count=0,this.count=Ja?Ja.length:0,this.next=qa?()=>this.count++:()=>Date.now()}},__name(Dy,"InitIDGenerator"),Dy),decoder,entityDecode=__name(function(Ra){return decoder=decoder||document.createElement("div"),Ra=escape(Ra).replace(/%26/g,"&").replace(/%23/g,"#").replace(/%3B/g,";"),decoder.innerHTML=Ra,unescape(decoder.textContent)},"entityDecode");function isDetailedError(Ra){return"str"in Ra}__name(isDetailedError,"isDetailedError");var insertTitle=__name((Ra,qa,Ja,ed)=>{var rd;if(!ed)return;const td=(rd=Ra.node())==null?void 0:rd.getBBox();td&&Ra.append("text").text(ed).attr("x",td.x+td.width/2).attr("y",-Ja).attr("class",qa)},"insertTitle"),parseFontSize=__name(Ra=>{if(typeof Ra=="number")return[Ra,Ra+"px"];const qa=parseInt(Ra??"",10);return Number.isNaN(qa)?[void 0,void 0]:Ra===String(qa)?[qa,Ra+"px"]:[qa,Ra]},"parseFontSize");function cleanAndMerge(Ra,qa){return merge$3({},Ra,qa)}__name(cleanAndMerge,"cleanAndMerge");var utils_default={assignWithDepth:assignWithDepth_default,wrapLabel,calculateTextHeight,calculateTextWidth,calculateTextDimensions,cleanAndMerge,detectInit,detectDirective,isSubstringInArray,interpolateToCurve,calcLabelPosition,calcCardinalityPosition,calcTerminalLabelPosition,formatUrl,getStylesFromArray,generateId,random,runFunc,entityDecode,insertTitle,parseFontSize,InitIDGenerator},encodeEntities=__name(function(Ra){let qa=Ra;return qa=qa.replace(/style.*:\S*#.*;/g,function(Ja){return Ja.substring(0,Ja.length-1)}),qa=qa.replace(/classDef.*:\S*#.*;/g,function(Ja){return Ja.substring(0,Ja.length-1)}),qa=qa.replace(/#\w+;/g,function(Ja){const ed=Ja.substring(1,Ja.length-1);return/^\+?\d+$/.test(ed)?"fl°°"+ed+"¶ß":"fl°"+ed+"¶ß"}),qa},"encodeEntities"),decodeEntities=__name(function(Ra){return Ra.replace(/fl°°/g,"&#").replace(/fl°/g,"&").replace(/¶ß/g,";")},"decodeEntities"),getEdgeId=__name((Ra,qa,{counter:Ja=0,prefix:ed,suffix:td})=>`${ed?`${ed}_`:""}${Ra}_${qa}_${Ja}${td?`_${td}`:""}`,"getEdgeId");function _getDefaults(){return{async:!1,breaks:!1,extensions:null,gfm:!0,hooks:null,pedantic:!1,renderer:null,silent:!1,tokenizer:null,walkTokens:null}}let _defaults=_getDefaults();function changeDefaults(Ra){_defaults=Ra}const escapeTest=/[&<>"']/,escapeReplace=new RegExp(escapeTest.source,"g"),escapeTestNoEncode=/[<>"']|&(?!(#\d{1,7}|#[Xx][a-fA-F0-9]{1,6}|\w+);)/,escapeReplaceNoEncode=new RegExp(escapeTestNoEncode.source,"g"),escapeReplacements={"&":"&","<":"<",">":">",'"':""","'":"'"},getEscapeReplacement=Ra=>escapeReplacements[Ra];function escape$1$1(Ra,qa){if(qa){if(escapeTest.test(Ra))return Ra.replace(escapeReplace,getEscapeReplacement)}else if(escapeTestNoEncode.test(Ra))return Ra.replace(escapeReplaceNoEncode,getEscapeReplacement);return Ra}const unescapeTest=/&(#(?:\d+)|(?:#x[0-9A-Fa-f]+)|(?:\w+));?/ig;function unescape$2(Ra){return Ra.replace(unescapeTest,(qa,Ja)=>(Ja=Ja.toLowerCase(),Ja==="colon"?":":Ja.charAt(0)==="#"?Ja.charAt(1)==="x"?String.fromCharCode(parseInt(Ja.substring(2),16)):String.fromCharCode(+Ja.substring(1)):""))}const caret$3=/(^|[^\[])\^/g;function edit(Ra,qa){let Ja=typeof Ra=="string"?Ra:Ra.source;qa=qa||"";const ed={replace:(td,rd)=>{let sd=typeof rd=="string"?rd:rd.source;return sd=sd.replace(caret$3,"$1"),Ja=Ja.replace(td,sd),ed},getRegex:()=>new RegExp(Ja,qa)};return ed}function cleanUrl(Ra){try{Ra=encodeURI(Ra).replace(/%25/g,"%")}catch{return null}return Ra}const noopTest={exec:()=>null};function splitCells(Ra,qa){const Ja=Ra.replace(/\|/g,(rd,sd,od)=>{let ld=!1,cd=sd;for(;--cd>=0&&od[cd]==="\\";)ld=!ld;return ld?"|":" |"}),ed=Ja.split(/ \|/);let td=0;if(ed[0].trim()||ed.shift(),ed.length>0&&!ed[ed.length-1].trim()&&ed.pop(),qa)if(ed.length>qa)ed.splice(qa);else for(;ed.length<qa;)ed.push("");for(;td<ed.length;td++)ed[td]=ed[td].trim().replace(/\\\|/g,"|");return ed}function rtrim(Ra,qa,Ja){const ed=Ra.length;if(ed===0)return"";let td=0;for(;td<ed;){const rd=Ra.charAt(ed-td-1);if(rd===qa&&!Ja)td++;else if(rd!==qa&&Ja)td++;else break}return Ra.slice(0,ed-td)}function findClosingBracket(Ra,qa){if(Ra.indexOf(qa[1])===-1)return-1;let Ja=0;for(let ed=0;ed<Ra.length;ed++)if(Ra[ed]==="\\")ed++;else if(Ra[ed]===qa[0])Ja++;else if(Ra[ed]===qa[1]&&(Ja--,Ja<0))return ed;return-1}function outputLink(Ra,qa,Ja,ed){const td=qa.href,rd=qa.title?escape$1$1(qa.title):null,sd=Ra[1].replace(/\\([\[\]])/g,"$1");if(Ra[0].charAt(0)!=="!"){ed.state.inLink=!0;const od={type:"link",raw:Ja,href:td,title:rd,text:sd,tokens:ed.inlineTokens(sd)};return ed.state.inLink=!1,od}return{type:"image",raw:Ja,href:td,title:rd,text:escape$1$1(sd)}}function indentCodeCompensation(Ra,qa){const Ja=Ra.match(/^(\s+)(?:```)/);if(Ja===null)return qa;const ed=Ja[1];return qa.split(`
|
||
`).map(td=>{const rd=td.match(/^\s+/);if(rd===null)return td;const[sd]=rd;return sd.length>=ed.length?td.slice(ed.length):td}).join(`
|
||
`)}class _Tokenizer{constructor(qa){n1(this,"options");n1(this,"rules");n1(this,"lexer");this.options=qa||_defaults}space(qa){const Ja=this.rules.block.newline.exec(qa);if(Ja&&Ja[0].length>0)return{type:"space",raw:Ja[0]}}code(qa){const Ja=this.rules.block.code.exec(qa);if(Ja){const ed=Ja[0].replace(/^ {1,4}/gm,"");return{type:"code",raw:Ja[0],codeBlockStyle:"indented",text:this.options.pedantic?ed:rtrim(ed,`
|
||
`)}}}fences(qa){const Ja=this.rules.block.fences.exec(qa);if(Ja){const ed=Ja[0],td=indentCodeCompensation(ed,Ja[3]||"");return{type:"code",raw:ed,lang:Ja[2]?Ja[2].trim().replace(this.rules.inline.anyPunctuation,"$1"):Ja[2],text:td}}}heading(qa){const Ja=this.rules.block.heading.exec(qa);if(Ja){let ed=Ja[2].trim();if(/#$/.test(ed)){const td=rtrim(ed,"#");(this.options.pedantic||!td||/ $/.test(td))&&(ed=td.trim())}return{type:"heading",raw:Ja[0],depth:Ja[1].length,text:ed,tokens:this.lexer.inline(ed)}}}hr(qa){const Ja=this.rules.block.hr.exec(qa);if(Ja)return{type:"hr",raw:rtrim(Ja[0],`
|
||
`)}}blockquote(qa){const Ja=this.rules.block.blockquote.exec(qa);if(Ja){let ed=rtrim(Ja[0],`
|
||
`).split(`
|
||
`),td="",rd="";const sd=[];for(;ed.length>0;){let od=!1;const ld=[];let cd;for(cd=0;cd<ed.length;cd++)if(/^ {0,3}>/.test(ed[cd]))ld.push(ed[cd]),od=!0;else if(!od)ld.push(ed[cd]);else break;ed=ed.slice(cd);const ud=ld.join(`
|
||
`),_d=ud.replace(/\n {0,3}((?:=+|-+) *)(?=\n|$)/g,`
|
||
$1`).replace(/^ {0,3}>[ \t]?/gm,"");td=td?`${td}
|
||
${ud}`:ud,rd=rd?`${rd}
|
||
${_d}`:_d;const yd=this.lexer.state.top;if(this.lexer.state.top=!0,this.lexer.blockTokens(_d,sd,!0),this.lexer.state.top=yd,ed.length===0)break;const gd=sd[sd.length-1];if((gd==null?void 0:gd.type)==="code")break;if((gd==null?void 0:gd.type)==="blockquote"){const Ed=gd,Td=Ed.raw+`
|
||
`+ed.join(`
|
||
`),kd=this.blockquote(Td);sd[sd.length-1]=kd,td=td.substring(0,td.length-Ed.raw.length)+kd.raw,rd=rd.substring(0,rd.length-Ed.text.length)+kd.text;break}else if((gd==null?void 0:gd.type)==="list"){const Ed=gd,Td=Ed.raw+`
|
||
`+ed.join(`
|
||
`),kd=this.list(Td);sd[sd.length-1]=kd,td=td.substring(0,td.length-gd.raw.length)+kd.raw,rd=rd.substring(0,rd.length-Ed.raw.length)+kd.raw,ed=Td.substring(sd[sd.length-1].raw.length).split(`
|
||
`);continue}}return{type:"blockquote",raw:td,tokens:sd,text:rd}}}list(qa){let Ja=this.rules.block.list.exec(qa);if(Ja){let ed=Ja[1].trim();const td=ed.length>1,rd={type:"list",raw:"",ordered:td,start:td?+ed.slice(0,-1):"",loose:!1,items:[]};ed=td?`\\d{1,9}\\${ed.slice(-1)}`:`\\${ed}`,this.options.pedantic&&(ed=td?ed:"[*+-]");const sd=new RegExp(`^( {0,3}${ed})((?:[ ][^\\n]*)?(?:\\n|$))`);let od=!1;for(;qa;){let ld=!1,cd="",ud="";if(!(Ja=sd.exec(qa))||this.rules.block.hr.test(qa))break;cd=Ja[0],qa=qa.substring(cd.length);let _d=Ja[2].split(`
|
||
`,1)[0].replace(/^\t+/,Rd=>" ".repeat(3*Rd.length)),yd=qa.split(`
|
||
`,1)[0],gd=!_d.trim(),Ed=0;if(this.options.pedantic?(Ed=2,ud=_d.trimStart()):gd?Ed=Ja[1].length+1:(Ed=Ja[2].search(/[^ ]/),Ed=Ed>4?1:Ed,ud=_d.slice(Ed),Ed+=Ja[1].length),gd&&/^ *$/.test(yd)&&(cd+=yd+`
|
||
`,qa=qa.substring(yd.length+1),ld=!0),!ld){const Rd=new RegExp(`^ {0,${Math.min(3,Ed-1)}}(?:[*+-]|\\d{1,9}[.)])((?:[ ][^\\n]*)?(?:\\n|$))`),Nd=new RegExp(`^ {0,${Math.min(3,Ed-1)}}((?:- *){3,}|(?:_ *){3,}|(?:\\* *){3,})(?:\\n+|$)`),Id=new RegExp(`^ {0,${Math.min(3,Ed-1)}}(?:\`\`\`|~~~)`),Md=new RegExp(`^ {0,${Math.min(3,Ed-1)}}#`);for(;qa;){const Ld=qa.split(`
|
||
`,1)[0];if(yd=Ld,this.options.pedantic&&(yd=yd.replace(/^ {1,4}(?=( {4})*[^ ])/g," ")),Id.test(yd)||Md.test(yd)||Rd.test(yd)||Nd.test(qa))break;if(yd.search(/[^ ]/)>=Ed||!yd.trim())ud+=`
|
||
`+yd.slice(Ed);else{if(gd||_d.search(/[^ ]/)>=4||Id.test(_d)||Md.test(_d)||Nd.test(_d))break;ud+=`
|
||
`+yd}!gd&&!yd.trim()&&(gd=!0),cd+=Ld+`
|
||
`,qa=qa.substring(Ld.length+1),_d=yd.slice(Ed)}}rd.loose||(od?rd.loose=!0:/\n *\n *$/.test(cd)&&(od=!0));let Td=null,kd;this.options.gfm&&(Td=/^\[[ xX]\] /.exec(ud),Td&&(kd=Td[0]!=="[ ] ",ud=ud.replace(/^\[[ xX]\] +/,""))),rd.items.push({type:"list_item",raw:cd,task:!!Td,checked:kd,loose:!1,text:ud,tokens:[]}),rd.raw+=cd}rd.items[rd.items.length-1].raw=rd.items[rd.items.length-1].raw.trimEnd(),rd.items[rd.items.length-1].text=rd.items[rd.items.length-1].text.trimEnd(),rd.raw=rd.raw.trimEnd();for(let ld=0;ld<rd.items.length;ld++)if(this.lexer.state.top=!1,rd.items[ld].tokens=this.lexer.blockTokens(rd.items[ld].text,[]),!rd.loose){const cd=rd.items[ld].tokens.filter(_d=>_d.type==="space"),ud=cd.length>0&&cd.some(_d=>/\n.*\n/.test(_d.raw));rd.loose=ud}if(rd.loose)for(let ld=0;ld<rd.items.length;ld++)rd.items[ld].loose=!0;return rd}}html(qa){const Ja=this.rules.block.html.exec(qa);if(Ja)return{type:"html",block:!0,raw:Ja[0],pre:Ja[1]==="pre"||Ja[1]==="script"||Ja[1]==="style",text:Ja[0]}}def(qa){const Ja=this.rules.block.def.exec(qa);if(Ja){const ed=Ja[1].toLowerCase().replace(/\s+/g," "),td=Ja[2]?Ja[2].replace(/^<(.*)>$/,"$1").replace(this.rules.inline.anyPunctuation,"$1"):"",rd=Ja[3]?Ja[3].substring(1,Ja[3].length-1).replace(this.rules.inline.anyPunctuation,"$1"):Ja[3];return{type:"def",tag:ed,raw:Ja[0],href:td,title:rd}}}table(qa){const Ja=this.rules.block.table.exec(qa);if(!Ja||!/[:|]/.test(Ja[2]))return;const ed=splitCells(Ja[1]),td=Ja[2].replace(/^\||\| *$/g,"").split("|"),rd=Ja[3]&&Ja[3].trim()?Ja[3].replace(/\n[ \t]*$/,"").split(`
|
||
`):[],sd={type:"table",raw:Ja[0],header:[],align:[],rows:[]};if(ed.length===td.length){for(const od of td)/^ *-+: *$/.test(od)?sd.align.push("right"):/^ *:-+: *$/.test(od)?sd.align.push("center"):/^ *:-+ *$/.test(od)?sd.align.push("left"):sd.align.push(null);for(let od=0;od<ed.length;od++)sd.header.push({text:ed[od],tokens:this.lexer.inline(ed[od]),header:!0,align:sd.align[od]});for(const od of rd)sd.rows.push(splitCells(od,sd.header.length).map((ld,cd)=>({text:ld,tokens:this.lexer.inline(ld),header:!1,align:sd.align[cd]})));return sd}}lheading(qa){const Ja=this.rules.block.lheading.exec(qa);if(Ja)return{type:"heading",raw:Ja[0],depth:Ja[2].charAt(0)==="="?1:2,text:Ja[1],tokens:this.lexer.inline(Ja[1])}}paragraph(qa){const Ja=this.rules.block.paragraph.exec(qa);if(Ja){const ed=Ja[1].charAt(Ja[1].length-1)===`
|
||
`?Ja[1].slice(0,-1):Ja[1];return{type:"paragraph",raw:Ja[0],text:ed,tokens:this.lexer.inline(ed)}}}text(qa){const Ja=this.rules.block.text.exec(qa);if(Ja)return{type:"text",raw:Ja[0],text:Ja[0],tokens:this.lexer.inline(Ja[0])}}escape(qa){const Ja=this.rules.inline.escape.exec(qa);if(Ja)return{type:"escape",raw:Ja[0],text:escape$1$1(Ja[1])}}tag(qa){const Ja=this.rules.inline.tag.exec(qa);if(Ja)return!this.lexer.state.inLink&&/^<a /i.test(Ja[0])?this.lexer.state.inLink=!0:this.lexer.state.inLink&&/^<\/a>/i.test(Ja[0])&&(this.lexer.state.inLink=!1),!this.lexer.state.inRawBlock&&/^<(pre|code|kbd|script)(\s|>)/i.test(Ja[0])?this.lexer.state.inRawBlock=!0:this.lexer.state.inRawBlock&&/^<\/(pre|code|kbd|script)(\s|>)/i.test(Ja[0])&&(this.lexer.state.inRawBlock=!1),{type:"html",raw:Ja[0],inLink:this.lexer.state.inLink,inRawBlock:this.lexer.state.inRawBlock,block:!1,text:Ja[0]}}link(qa){const Ja=this.rules.inline.link.exec(qa);if(Ja){const ed=Ja[2].trim();if(!this.options.pedantic&&/^</.test(ed)){if(!/>$/.test(ed))return;const sd=rtrim(ed.slice(0,-1),"\\");if((ed.length-sd.length)%2===0)return}else{const sd=findClosingBracket(Ja[2],"()");if(sd>-1){const ld=(Ja[0].indexOf("!")===0?5:4)+Ja[1].length+sd;Ja[2]=Ja[2].substring(0,sd),Ja[0]=Ja[0].substring(0,ld).trim(),Ja[3]=""}}let td=Ja[2],rd="";if(this.options.pedantic){const sd=/^([^'"]*[^\s])\s+(['"])(.*)\2/.exec(td);sd&&(td=sd[1],rd=sd[3])}else rd=Ja[3]?Ja[3].slice(1,-1):"";return td=td.trim(),/^</.test(td)&&(this.options.pedantic&&!/>$/.test(ed)?td=td.slice(1):td=td.slice(1,-1)),outputLink(Ja,{href:td&&td.replace(this.rules.inline.anyPunctuation,"$1"),title:rd&&rd.replace(this.rules.inline.anyPunctuation,"$1")},Ja[0],this.lexer)}}reflink(qa,Ja){let ed;if((ed=this.rules.inline.reflink.exec(qa))||(ed=this.rules.inline.nolink.exec(qa))){const td=(ed[2]||ed[1]).replace(/\s+/g," "),rd=Ja[td.toLowerCase()];if(!rd){const sd=ed[0].charAt(0);return{type:"text",raw:sd,text:sd}}return outputLink(ed,rd,ed[0],this.lexer)}}emStrong(qa,Ja,ed=""){let td=this.rules.inline.emStrongLDelim.exec(qa);if(!td||td[3]&&ed.match(/[\p{L}\p{N}]/u))return;if(!(td[1]||td[2]||"")||!ed||this.rules.inline.punctuation.exec(ed)){const sd=[...td[0]].length-1;let od,ld,cd=sd,ud=0;const _d=td[0][0]==="*"?this.rules.inline.emStrongRDelimAst:this.rules.inline.emStrongRDelimUnd;for(_d.lastIndex=0,Ja=Ja.slice(-1*qa.length+sd);(td=_d.exec(Ja))!=null;){if(od=td[1]||td[2]||td[3]||td[4]||td[5]||td[6],!od)continue;if(ld=[...od].length,td[3]||td[4]){cd+=ld;continue}else if((td[5]||td[6])&&sd%3&&!((sd+ld)%3)){ud+=ld;continue}if(cd-=ld,cd>0)continue;ld=Math.min(ld,ld+cd+ud);const yd=[...td[0]][0].length,gd=qa.slice(0,sd+td.index+yd+ld);if(Math.min(sd,ld)%2){const Td=gd.slice(1,-1);return{type:"em",raw:gd,text:Td,tokens:this.lexer.inlineTokens(Td)}}const Ed=gd.slice(2,-2);return{type:"strong",raw:gd,text:Ed,tokens:this.lexer.inlineTokens(Ed)}}}}codespan(qa){const Ja=this.rules.inline.code.exec(qa);if(Ja){let ed=Ja[2].replace(/\n/g," ");const td=/[^ ]/.test(ed),rd=/^ /.test(ed)&&/ $/.test(ed);return td&&rd&&(ed=ed.substring(1,ed.length-1)),ed=escape$1$1(ed,!0),{type:"codespan",raw:Ja[0],text:ed}}}br(qa){const Ja=this.rules.inline.br.exec(qa);if(Ja)return{type:"br",raw:Ja[0]}}del(qa){const Ja=this.rules.inline.del.exec(qa);if(Ja)return{type:"del",raw:Ja[0],text:Ja[2],tokens:this.lexer.inlineTokens(Ja[2])}}autolink(qa){const Ja=this.rules.inline.autolink.exec(qa);if(Ja){let ed,td;return Ja[2]==="@"?(ed=escape$1$1(Ja[1]),td="mailto:"+ed):(ed=escape$1$1(Ja[1]),td=ed),{type:"link",raw:Ja[0],text:ed,href:td,tokens:[{type:"text",raw:ed,text:ed}]}}}url(qa){var ed;let Ja;if(Ja=this.rules.inline.url.exec(qa)){let td,rd;if(Ja[2]==="@")td=escape$1$1(Ja[0]),rd="mailto:"+td;else{let sd;do sd=Ja[0],Ja[0]=((ed=this.rules.inline._backpedal.exec(Ja[0]))==null?void 0:ed[0])??"";while(sd!==Ja[0]);td=escape$1$1(Ja[0]),Ja[1]==="www."?rd="http://"+Ja[0]:rd=Ja[0]}return{type:"link",raw:Ja[0],text:td,href:rd,tokens:[{type:"text",raw:td,text:td}]}}}inlineText(qa){const Ja=this.rules.inline.text.exec(qa);if(Ja){let ed;return this.lexer.state.inRawBlock?ed=Ja[0]:ed=escape$1$1(Ja[0]),{type:"text",raw:Ja[0],text:ed}}}}const newline=/^(?: *(?:\n|$))+/,blockCode=/^( {4}[^\n]+(?:\n(?: *(?:\n|$))*)?)+/,fences=/^ {0,3}(`{3,}(?=[^`\n]*(?:\n|$))|~{3,})([^\n]*)(?:\n|$)(?:|([\s\S]*?)(?:\n|$))(?: {0,3}\1[~`]* *(?=\n|$)|$)/,hr$2=/^ {0,3}((?:-[\t ]*){3,}|(?:_[ \t]*){3,}|(?:\*[ \t]*){3,})(?:\n+|$)/,heading=/^ {0,3}(#{1,6})(?=\s|$)(.*)(?:\n+|$)/,bullet$2=/(?:[*+-]|\d{1,9}[.)])/,lheading=edit(/^(?!bull |blockCode|fences|blockquote|heading|html)((?:.|\n(?!\s*?\n|bull |blockCode|fences|blockquote|heading|html))+?)\n {0,3}(=+|-+) *(?:\n+|$)/).replace(/bull/g,bullet$2).replace(/blockCode/g,/ {4}/).replace(/fences/g,/ {0,3}(?:`{3,}|~{3,})/).replace(/blockquote/g,/ {0,3}>/).replace(/heading/g,/ {0,3}#{1,6}/).replace(/html/g,/ {0,3}<[^\n>]+>\n/).getRegex(),_paragraph=/^([^\n]+(?:\n(?!hr|heading|lheading|blockquote|fences|list|html|table| +\n)[^\n]+)*)/,blockText=/^[^\n]+/,_blockLabel=/(?!\s*\])(?:\\.|[^\[\]\\])+/,def=edit(/^ {0,3}\[(label)\]: *(?:\n *)?([^<\s][^\s]*|<.*?>)(?:(?: +(?:\n *)?| *\n *)(title))? *(?:\n+|$)/).replace("label",_blockLabel).replace("title",/(?:"(?:\\"?|[^"\\])*"|'[^'\n]*(?:\n[^'\n]+)*\n?'|\([^()]*\))/).getRegex(),list=edit(/^( {0,3}bull)([ \t][^\n]+?)?(?:\n|$)/).replace(/bull/g,bullet$2).getRegex(),_tag="address|article|aside|base|basefont|blockquote|body|caption|center|col|colgroup|dd|details|dialog|dir|div|dl|dt|fieldset|figcaption|figure|footer|form|frame|frameset|h[1-6]|head|header|hr|html|iframe|legend|li|link|main|menu|menuitem|meta|nav|noframes|ol|optgroup|option|p|param|search|section|summary|table|tbody|td|tfoot|th|thead|title|tr|track|ul",_comment=/<!--(?:-?>|[\s\S]*?(?:-->|$))/,html$1=edit("^ {0,3}(?:<(script|pre|style|textarea)[\\s>][\\s\\S]*?(?:</\\1>[^\\n]*\\n+|$)|comment[^\\n]*(\\n+|$)|<\\?[\\s\\S]*?(?:\\?>\\n*|$)|<![A-Z][\\s\\S]*?(?:>\\n*|$)|<!\\[CDATA\\[[\\s\\S]*?(?:\\]\\]>\\n*|$)|</?(tag)(?: +|\\n|/?>)[\\s\\S]*?(?:(?:\\n *)+\\n|$)|<(?!script|pre|style|textarea)([a-z][\\w-]*)(?:attribute)*? */?>(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n *)+\\n|$)|</(?!script|pre|style|textarea)[a-z][\\w-]*\\s*>(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n *)+\\n|$))","i").replace("comment",_comment).replace("tag",_tag).replace("attribute",/ +[a-zA-Z:_][\w.:-]*(?: *= *"[^"\n]*"| *= *'[^'\n]*'| *= *[^\s"'=<>`]+)?/).getRegex(),paragraph=edit(_paragraph).replace("hr",hr$2).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("|lheading","").replace("|table","").replace("blockquote"," {0,3}>").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html","</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag",_tag).getRegex(),blockquote=edit(/^( {0,3}> ?(paragraph|[^\n]*)(?:\n|$))+/).replace("paragraph",paragraph).getRegex(),blockNormal={blockquote,code:blockCode,def,fences,heading,hr:hr$2,html:html$1,lheading,list,newline,paragraph,table:noopTest,text:blockText},gfmTable=edit("^ *([^\\n ].*)\\n {0,3}((?:\\| *)?:?-+:? *(?:\\| *:?-+:? *)*(?:\\| *)?)(?:\\n((?:(?! *\\n|hr|heading|blockquote|code|fences|list|html).*(?:\\n|$))*)\\n*|$)").replace("hr",hr$2).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("blockquote"," {0,3}>").replace("code"," {4}[^\\n]").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html","</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag",_tag).getRegex(),blockGfm={...blockNormal,table:gfmTable,paragraph:edit(_paragraph).replace("hr",hr$2).replace("heading"," {0,3}#{1,6}(?:\\s|$)").replace("|lheading","").replace("table",gfmTable).replace("blockquote"," {0,3}>").replace("fences"," {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list"," {0,3}(?:[*+-]|1[.)]) ").replace("html","</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag",_tag).getRegex()},blockPedantic={...blockNormal,html:edit(`^ *(?:comment *(?:\\n|\\s*$)|<(tag)[\\s\\S]+?</\\1> *(?:\\n{2,}|\\s*$)|<tag(?:"[^"]*"|'[^']*'|\\s[^'"/>\\s]*)*?/?> *(?:\\n{2,}|\\s*$))`).replace("comment",_comment).replace(/tag/g,"(?!(?:a|em|strong|small|s|cite|q|dfn|abbr|data|time|code|var|samp|kbd|sub|sup|i|b|u|mark|ruby|rt|rp|bdi|bdo|span|br|wbr|ins|del|img)\\b)\\w+(?!:|[^\\w\\s@]*@)\\b").getRegex(),def:/^ *\[([^\]]+)\]: *<?([^\s>]+)>?(?: +(["(][^\n]+[")]))? *(?:\n+|$)/,heading:/^(#{1,6})(.*)(?:\n+|$)/,fences:noopTest,lheading:/^(.+?)\n {0,3}(=+|-+) *(?:\n+|$)/,paragraph:edit(_paragraph).replace("hr",hr$2).replace("heading",` *#{1,6} *[^
|
||
]`).replace("lheading",lheading).replace("|table","").replace("blockquote"," {0,3}>").replace("|fences","").replace("|list","").replace("|html","").replace("|tag","").getRegex()},escape$2=/^\\([!"#$%&'()*+,\-./:;<=>?@\[\]\\^_`{|}~])/,inlineCode=/^(`+)([^`]|[^`][\s\S]*?[^`])\1(?!`)/,br$3=/^( {2,}|\\)\n(?!\s*$)/,inlineText=/^(`+|[^`])(?:(?= {2,}\n)|[\s\S]*?(?:(?=[\\<!\[`*_]|\b_|$)|[^ ](?= {2,}\n)))/,_punctuation="\\p{P}\\p{S}",punctuation=edit(/^((?![*_])[\spunctuation])/,"u").replace(/punctuation/g,_punctuation).getRegex(),blockSkip=/\[[^[\]]*?\]\([^\(\)]*?\)|`[^`]*?`|<[^<>]*?>/g,emStrongLDelim=edit(/^(?:\*+(?:((?!\*)[punct])|[^\s*]))|^_+(?:((?!_)[punct])|([^\s_]))/,"u").replace(/punct/g,_punctuation).getRegex(),emStrongRDelimAst=edit("^[^_*]*?__[^_*]*?\\*[^_*]*?(?=__)|[^*]+(?=[^*])|(?!\\*)[punct](\\*+)(?=[\\s]|$)|[^punct\\s](\\*+)(?!\\*)(?=[punct\\s]|$)|(?!\\*)[punct\\s](\\*+)(?=[^punct\\s])|[\\s](\\*+)(?!\\*)(?=[punct])|(?!\\*)[punct](\\*+)(?!\\*)(?=[punct])|[^punct\\s](\\*+)(?=[^punct\\s])","gu").replace(/punct/g,_punctuation).getRegex(),emStrongRDelimUnd=edit("^[^_*]*?\\*\\*[^_*]*?_[^_*]*?(?=\\*\\*)|[^_]+(?=[^_])|(?!_)[punct](_+)(?=[\\s]|$)|[^punct\\s](_+)(?!_)(?=[punct\\s]|$)|(?!_)[punct\\s](_+)(?=[^punct\\s])|[\\s](_+)(?!_)(?=[punct])|(?!_)[punct](_+)(?!_)(?=[punct])","gu").replace(/punct/g,_punctuation).getRegex(),anyPunctuation=edit(/\\([punct])/,"gu").replace(/punct/g,_punctuation).getRegex(),autolink=edit(/^<(scheme:[^\s\x00-\x1f<>]*|email)>/).replace("scheme",/[a-zA-Z][a-zA-Z0-9+.-]{1,31}/).replace("email",/[a-zA-Z0-9.!#$%&'*+/=?^_`{|}~-]+(@)[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)+(?![-_])/).getRegex(),_inlineComment=edit(_comment).replace("(?:-->|$)","-->").getRegex(),tag=edit("^comment|^</[a-zA-Z][\\w:-]*\\s*>|^<[a-zA-Z][\\w-]*(?:attribute)*?\\s*/?>|^<\\?[\\s\\S]*?\\?>|^<![a-zA-Z]+\\s[\\s\\S]*?>|^<!\\[CDATA\\[[\\s\\S]*?\\]\\]>").replace("comment",_inlineComment).replace("attribute",/\s+[a-zA-Z:_][\w.:-]*(?:\s*=\s*"[^"]*"|\s*=\s*'[^']*'|\s*=\s*[^\s"'=<>`]+)?/).getRegex(),_inlineLabel=/(?:\[(?:\\.|[^\[\]\\])*\]|\\.|`[^`]*`|[^\[\]\\`])*?/,link=edit(/^!?\[(label)\]\(\s*(href)(?:\s+(title))?\s*\)/).replace("label",_inlineLabel).replace("href",/<(?:\\.|[^\n<>\\])+>|[^\s\x00-\x1f]*/).replace("title",/"(?:\\"?|[^"\\])*"|'(?:\\'?|[^'\\])*'|\((?:\\\)?|[^)\\])*\)/).getRegex(),reflink=edit(/^!?\[(label)\]\[(ref)\]/).replace("label",_inlineLabel).replace("ref",_blockLabel).getRegex(),nolink=edit(/^!?\[(ref)\](?:\[\])?/).replace("ref",_blockLabel).getRegex(),reflinkSearch=edit("reflink|nolink(?!\\()","g").replace("reflink",reflink).replace("nolink",nolink).getRegex(),inlineNormal={_backpedal:noopTest,anyPunctuation,autolink,blockSkip,br:br$3,code:inlineCode,del:noopTest,emStrongLDelim,emStrongRDelimAst,emStrongRDelimUnd,escape:escape$2,link,nolink,punctuation,reflink,reflinkSearch,tag,text:inlineText,url:noopTest},inlinePedantic={...inlineNormal,link:edit(/^!?\[(label)\]\((.*?)\)/).replace("label",_inlineLabel).getRegex(),reflink:edit(/^!?\[(label)\]\s*\[([^\]]*)\]/).replace("label",_inlineLabel).getRegex()},inlineGfm={...inlineNormal,escape:edit(escape$2).replace("])","~|])").getRegex(),url:edit(/^((?:ftp|https?):\/\/|www\.)(?:[a-zA-Z0-9\-]+\.?)+[^\s<]*|^email/,"i").replace("email",/[A-Za-z0-9._+-]+(@)[a-zA-Z0-9-_]+(?:\.[a-zA-Z0-9-_]*[a-zA-Z0-9])+(?![-_])/).getRegex(),_backpedal:/(?:[^?!.,:;*_'"~()&]+|\([^)]*\)|&(?![a-zA-Z0-9]+;$)|[?!.,:;*_'"~)]+(?!$))+/,del:/^(~~?)(?=[^\s~])([\s\S]*?[^\s~])\1(?=[^~]|$)/,text:/^([`~]+|[^`~])(?:(?= {2,}\n)|(?=[a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-]+@)|[\s\S]*?(?:(?=[\\<!\[`*~_]|\b_|https?:\/\/|ftp:\/\/|www\.|$)|[^ ](?= {2,}\n)|[^a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-](?=[a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-]+@)))/},inlineBreaks={...inlineGfm,br:edit(br$3).replace("{2,}","*").getRegex(),text:edit(inlineGfm.text).replace("\\b_","\\b_| {2,}\\n").replace(/\{2,\}/g,"*").getRegex()},block$2={normal:blockNormal,gfm:blockGfm,pedantic:blockPedantic},inline$1={normal:inlineNormal,gfm:inlineGfm,breaks:inlineBreaks,pedantic:inlinePedantic};class _Lexer{constructor(qa){n1(this,"tokens");n1(this,"options");n1(this,"state");n1(this,"tokenizer");n1(this,"inlineQueue");this.tokens=[],this.tokens.links=Object.create(null),this.options=qa||_defaults,this.options.tokenizer=this.options.tokenizer||new _Tokenizer,this.tokenizer=this.options.tokenizer,this.tokenizer.options=this.options,this.tokenizer.lexer=this,this.inlineQueue=[],this.state={inLink:!1,inRawBlock:!1,top:!0};const Ja={block:block$2.normal,inline:inline$1.normal};this.options.pedantic?(Ja.block=block$2.pedantic,Ja.inline=inline$1.pedantic):this.options.gfm&&(Ja.block=block$2.gfm,this.options.breaks?Ja.inline=inline$1.breaks:Ja.inline=inline$1.gfm),this.tokenizer.rules=Ja}static get rules(){return{block:block$2,inline:inline$1}}static lex(qa,Ja){return new _Lexer(Ja).lex(qa)}static lexInline(qa,Ja){return new _Lexer(Ja).inlineTokens(qa)}lex(qa){qa=qa.replace(/\r\n|\r/g,`
|
||
`),this.blockTokens(qa,this.tokens);for(let Ja=0;Ja<this.inlineQueue.length;Ja++){const ed=this.inlineQueue[Ja];this.inlineTokens(ed.src,ed.tokens)}return this.inlineQueue=[],this.tokens}blockTokens(qa,Ja=[],ed=!1){this.options.pedantic?qa=qa.replace(/\t/g," ").replace(/^ +$/gm,""):qa=qa.replace(/^( *)(\t+)/gm,(od,ld,cd)=>ld+" ".repeat(cd.length));let td,rd,sd;for(;qa;)if(!(this.options.extensions&&this.options.extensions.block&&this.options.extensions.block.some(od=>(td=od.call({lexer:this},qa,Ja))?(qa=qa.substring(td.raw.length),Ja.push(td),!0):!1))){if(td=this.tokenizer.space(qa)){qa=qa.substring(td.raw.length),td.raw.length===1&&Ja.length>0?Ja[Ja.length-1].raw+=`
|
||
`:Ja.push(td);continue}if(td=this.tokenizer.code(qa)){qa=qa.substring(td.raw.length),rd=Ja[Ja.length-1],rd&&(rd.type==="paragraph"||rd.type==="text")?(rd.raw+=`
|
||
`+td.raw,rd.text+=`
|
||
`+td.text,this.inlineQueue[this.inlineQueue.length-1].src=rd.text):Ja.push(td);continue}if(td=this.tokenizer.fences(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.heading(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.hr(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.blockquote(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.list(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.html(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.def(qa)){qa=qa.substring(td.raw.length),rd=Ja[Ja.length-1],rd&&(rd.type==="paragraph"||rd.type==="text")?(rd.raw+=`
|
||
`+td.raw,rd.text+=`
|
||
`+td.raw,this.inlineQueue[this.inlineQueue.length-1].src=rd.text):this.tokens.links[td.tag]||(this.tokens.links[td.tag]={href:td.href,title:td.title});continue}if(td=this.tokenizer.table(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(td=this.tokenizer.lheading(qa)){qa=qa.substring(td.raw.length),Ja.push(td);continue}if(sd=qa,this.options.extensions&&this.options.extensions.startBlock){let od=1/0;const ld=qa.slice(1);let cd;this.options.extensions.startBlock.forEach(ud=>{cd=ud.call({lexer:this},ld),typeof cd=="number"&&cd>=0&&(od=Math.min(od,cd))}),od<1/0&&od>=0&&(sd=qa.substring(0,od+1))}if(this.state.top&&(td=this.tokenizer.paragraph(sd))){rd=Ja[Ja.length-1],ed&&(rd==null?void 0:rd.type)==="paragraph"?(rd.raw+=`
|
||
`+td.raw,rd.text+=`
|
||
`+td.text,this.inlineQueue.pop(),this.inlineQueue[this.inlineQueue.length-1].src=rd.text):Ja.push(td),ed=sd.length!==qa.length,qa=qa.substring(td.raw.length);continue}if(td=this.tokenizer.text(qa)){qa=qa.substring(td.raw.length),rd=Ja[Ja.length-1],rd&&rd.type==="text"?(rd.raw+=`
|
||
`+td.raw,rd.text+=`
|
||
`+td.text,this.inlineQueue.pop(),this.inlineQueue[this.inlineQueue.length-1].src=rd.text):Ja.push(td);continue}if(qa){const od="Infinite loop on byte: "+qa.charCodeAt(0);if(this.options.silent){console.error(od);break}else throw new Error(od)}}return this.state.top=!0,Ja}inline(qa,Ja=[]){return this.inlineQueue.push({src:qa,tokens:Ja}),Ja}inlineTokens(qa,Ja=[]){let ed,td,rd,sd=qa,od,ld,cd;if(this.tokens.links){const ud=Object.keys(this.tokens.links);if(ud.length>0)for(;(od=this.tokenizer.rules.inline.reflinkSearch.exec(sd))!=null;)ud.includes(od[0].slice(od[0].lastIndexOf("[")+1,-1))&&(sd=sd.slice(0,od.index)+"["+"a".repeat(od[0].length-2)+"]"+sd.slice(this.tokenizer.rules.inline.reflinkSearch.lastIndex))}for(;(od=this.tokenizer.rules.inline.blockSkip.exec(sd))!=null;)sd=sd.slice(0,od.index)+"["+"a".repeat(od[0].length-2)+"]"+sd.slice(this.tokenizer.rules.inline.blockSkip.lastIndex);for(;(od=this.tokenizer.rules.inline.anyPunctuation.exec(sd))!=null;)sd=sd.slice(0,od.index)+"++"+sd.slice(this.tokenizer.rules.inline.anyPunctuation.lastIndex);for(;qa;)if(ld||(cd=""),ld=!1,!(this.options.extensions&&this.options.extensions.inline&&this.options.extensions.inline.some(ud=>(ed=ud.call({lexer:this},qa,Ja))?(qa=qa.substring(ed.raw.length),Ja.push(ed),!0):!1))){if(ed=this.tokenizer.escape(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.tag(qa)){qa=qa.substring(ed.raw.length),td=Ja[Ja.length-1],td&&ed.type==="text"&&td.type==="text"?(td.raw+=ed.raw,td.text+=ed.text):Ja.push(ed);continue}if(ed=this.tokenizer.link(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.reflink(qa,this.tokens.links)){qa=qa.substring(ed.raw.length),td=Ja[Ja.length-1],td&&ed.type==="text"&&td.type==="text"?(td.raw+=ed.raw,td.text+=ed.text):Ja.push(ed);continue}if(ed=this.tokenizer.emStrong(qa,sd,cd)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.codespan(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.br(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.del(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(ed=this.tokenizer.autolink(qa)){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(!this.state.inLink&&(ed=this.tokenizer.url(qa))){qa=qa.substring(ed.raw.length),Ja.push(ed);continue}if(rd=qa,this.options.extensions&&this.options.extensions.startInline){let ud=1/0;const _d=qa.slice(1);let yd;this.options.extensions.startInline.forEach(gd=>{yd=gd.call({lexer:this},_d),typeof yd=="number"&&yd>=0&&(ud=Math.min(ud,yd))}),ud<1/0&&ud>=0&&(rd=qa.substring(0,ud+1))}if(ed=this.tokenizer.inlineText(rd)){qa=qa.substring(ed.raw.length),ed.raw.slice(-1)!=="_"&&(cd=ed.raw.slice(-1)),ld=!0,td=Ja[Ja.length-1],td&&td.type==="text"?(td.raw+=ed.raw,td.text+=ed.text):Ja.push(ed);continue}if(qa){const ud="Infinite loop on byte: "+qa.charCodeAt(0);if(this.options.silent){console.error(ud);break}else throw new Error(ud)}}return Ja}}class _Renderer{constructor(qa){n1(this,"options");n1(this,"parser");this.options=qa||_defaults}space(qa){return""}code({text:qa,lang:Ja,escaped:ed}){var sd;const td=(sd=(Ja||"").match(/^\S*/))==null?void 0:sd[0],rd=qa.replace(/\n$/,"")+`
|
||
`;return td?'<pre><code class="language-'+escape$1$1(td)+'">'+(ed?rd:escape$1$1(rd,!0))+`</code></pre>
|
||
`:"<pre><code>"+(ed?rd:escape$1$1(rd,!0))+`</code></pre>
|
||
`}blockquote({tokens:qa}){return`<blockquote>
|
||
${this.parser.parse(qa)}</blockquote>
|
||
`}html({text:qa}){return qa}heading({tokens:qa,depth:Ja}){return`<h${Ja}>${this.parser.parseInline(qa)}</h${Ja}>
|
||
`}hr(qa){return`<hr>
|
||
`}list(qa){const Ja=qa.ordered,ed=qa.start;let td="";for(let od=0;od<qa.items.length;od++){const ld=qa.items[od];td+=this.listitem(ld)}const rd=Ja?"ol":"ul",sd=Ja&&ed!==1?' start="'+ed+'"':"";return"<"+rd+sd+`>
|
||
`+td+"</"+rd+`>
|
||
`}listitem(qa){let Ja="";if(qa.task){const ed=this.checkbox({checked:!!qa.checked});qa.loose?qa.tokens.length>0&&qa.tokens[0].type==="paragraph"?(qa.tokens[0].text=ed+" "+qa.tokens[0].text,qa.tokens[0].tokens&&qa.tokens[0].tokens.length>0&&qa.tokens[0].tokens[0].type==="text"&&(qa.tokens[0].tokens[0].text=ed+" "+qa.tokens[0].tokens[0].text)):qa.tokens.unshift({type:"text",raw:ed+" ",text:ed+" "}):Ja+=ed+" "}return Ja+=this.parser.parse(qa.tokens,!!qa.loose),`<li>${Ja}</li>
|
||
`}checkbox({checked:qa}){return"<input "+(qa?'checked="" ':"")+'disabled="" type="checkbox">'}paragraph({tokens:qa}){return`<p>${this.parser.parseInline(qa)}</p>
|
||
`}table(qa){let Ja="",ed="";for(let rd=0;rd<qa.header.length;rd++)ed+=this.tablecell(qa.header[rd]);Ja+=this.tablerow({text:ed});let td="";for(let rd=0;rd<qa.rows.length;rd++){const sd=qa.rows[rd];ed="";for(let od=0;od<sd.length;od++)ed+=this.tablecell(sd[od]);td+=this.tablerow({text:ed})}return td&&(td=`<tbody>${td}</tbody>`),`<table>
|
||
<thead>
|
||
`+Ja+`</thead>
|
||
`+td+`</table>
|
||
`}tablerow({text:qa}){return`<tr>
|
||
${qa}</tr>
|
||
`}tablecell(qa){const Ja=this.parser.parseInline(qa.tokens),ed=qa.header?"th":"td";return(qa.align?`<${ed} align="${qa.align}">`:`<${ed}>`)+Ja+`</${ed}>
|
||
`}strong({tokens:qa}){return`<strong>${this.parser.parseInline(qa)}</strong>`}em({tokens:qa}){return`<em>${this.parser.parseInline(qa)}</em>`}codespan({text:qa}){return`<code>${qa}</code>`}br(qa){return"<br>"}del({tokens:qa}){return`<del>${this.parser.parseInline(qa)}</del>`}link({href:qa,title:Ja,tokens:ed}){const td=this.parser.parseInline(ed),rd=cleanUrl(qa);if(rd===null)return td;qa=rd;let sd='<a href="'+qa+'"';return Ja&&(sd+=' title="'+Ja+'"'),sd+=">"+td+"</a>",sd}image({href:qa,title:Ja,text:ed}){const td=cleanUrl(qa);if(td===null)return ed;qa=td;let rd=`<img src="${qa}" alt="${ed}"`;return Ja&&(rd+=` title="${Ja}"`),rd+=">",rd}text(qa){return"tokens"in qa&&qa.tokens?this.parser.parseInline(qa.tokens):qa.text}}class _TextRenderer{strong({text:qa}){return qa}em({text:qa}){return qa}codespan({text:qa}){return qa}del({text:qa}){return qa}html({text:qa}){return qa}text({text:qa}){return qa}link({text:qa}){return""+qa}image({text:qa}){return""+qa}br(){return""}}class _Parser{constructor(qa){n1(this,"options");n1(this,"renderer");n1(this,"textRenderer");this.options=qa||_defaults,this.options.renderer=this.options.renderer||new _Renderer,this.renderer=this.options.renderer,this.renderer.options=this.options,this.renderer.parser=this,this.textRenderer=new _TextRenderer}static parse(qa,Ja){return new _Parser(Ja).parse(qa)}static parseInline(qa,Ja){return new _Parser(Ja).parseInline(qa)}parse(qa,Ja=!0){let ed="";for(let td=0;td<qa.length;td++){const rd=qa[td];if(this.options.extensions&&this.options.extensions.renderers&&this.options.extensions.renderers[rd.type]){const od=rd,ld=this.options.extensions.renderers[od.type].call({parser:this},od);if(ld!==!1||!["space","hr","heading","code","table","blockquote","list","html","paragraph","text"].includes(od.type)){ed+=ld||"";continue}}const sd=rd;switch(sd.type){case"space":{ed+=this.renderer.space(sd);continue}case"hr":{ed+=this.renderer.hr(sd);continue}case"heading":{ed+=this.renderer.heading(sd);continue}case"code":{ed+=this.renderer.code(sd);continue}case"table":{ed+=this.renderer.table(sd);continue}case"blockquote":{ed+=this.renderer.blockquote(sd);continue}case"list":{ed+=this.renderer.list(sd);continue}case"html":{ed+=this.renderer.html(sd);continue}case"paragraph":{ed+=this.renderer.paragraph(sd);continue}case"text":{let od=sd,ld=this.renderer.text(od);for(;td+1<qa.length&&qa[td+1].type==="text";)od=qa[++td],ld+=`
|
||
`+this.renderer.text(od);Ja?ed+=this.renderer.paragraph({type:"paragraph",raw:ld,text:ld,tokens:[{type:"text",raw:ld,text:ld}]}):ed+=ld;continue}default:{const od='Token with "'+sd.type+'" type was not found.';if(this.options.silent)return console.error(od),"";throw new Error(od)}}}return ed}parseInline(qa,Ja){Ja=Ja||this.renderer;let ed="";for(let td=0;td<qa.length;td++){const rd=qa[td];if(this.options.extensions&&this.options.extensions.renderers&&this.options.extensions.renderers[rd.type]){const od=this.options.extensions.renderers[rd.type].call({parser:this},rd);if(od!==!1||!["escape","html","link","image","strong","em","codespan","br","del","text"].includes(rd.type)){ed+=od||"";continue}}const sd=rd;switch(sd.type){case"escape":{ed+=Ja.text(sd);break}case"html":{ed+=Ja.html(sd);break}case"link":{ed+=Ja.link(sd);break}case"image":{ed+=Ja.image(sd);break}case"strong":{ed+=Ja.strong(sd);break}case"em":{ed+=Ja.em(sd);break}case"codespan":{ed+=Ja.codespan(sd);break}case"br":{ed+=Ja.br(sd);break}case"del":{ed+=Ja.del(sd);break}case"text":{ed+=Ja.text(sd);break}default:{const od='Token with "'+sd.type+'" type was not found.';if(this.options.silent)return console.error(od),"";throw new Error(od)}}}return ed}}class _Hooks{constructor(qa){n1(this,"options");this.options=qa||_defaults}preprocess(qa){return qa}postprocess(qa){return qa}processAllTokens(qa){return qa}}n1(_Hooks,"passThroughHooks",new Set(["preprocess","postprocess","processAllTokens"]));var _b,JT,mT,ZT;class Marked{constructor(...qa){XT(this,_b);n1(this,"defaults",_getDefaults());n1(this,"options",this.setOptions);n1(this,"parse",_E(this,_b,mT).call(this,_Lexer.lex,_Parser.parse));n1(this,"parseInline",_E(this,_b,mT).call(this,_Lexer.lexInline,_Parser.parseInline));n1(this,"Parser",_Parser);n1(this,"Renderer",_Renderer);n1(this,"TextRenderer",_TextRenderer);n1(this,"Lexer",_Lexer);n1(this,"Tokenizer",_Tokenizer);n1(this,"Hooks",_Hooks);this.use(...qa)}walkTokens(qa,Ja){var td,rd;let ed=[];for(const sd of qa)switch(ed=ed.concat(Ja.call(this,sd)),sd.type){case"table":{const od=sd;for(const ld of od.header)ed=ed.concat(this.walkTokens(ld.tokens,Ja));for(const ld of od.rows)for(const cd of ld)ed=ed.concat(this.walkTokens(cd.tokens,Ja));break}case"list":{const od=sd;ed=ed.concat(this.walkTokens(od.items,Ja));break}default:{const od=sd;(rd=(td=this.defaults.extensions)==null?void 0:td.childTokens)!=null&&rd[od.type]?this.defaults.extensions.childTokens[od.type].forEach(ld=>{const cd=od[ld].flat(1/0);ed=ed.concat(this.walkTokens(cd,Ja))}):od.tokens&&(ed=ed.concat(this.walkTokens(od.tokens,Ja)))}}return ed}use(...qa){const Ja=this.defaults.extensions||{renderers:{},childTokens:{}};return qa.forEach(ed=>{const td={...ed};if(td.async=this.defaults.async||td.async||!1,ed.extensions&&(ed.extensions.forEach(rd=>{if(!rd.name)throw new Error("extension name required");if("renderer"in rd){const sd=Ja.renderers[rd.name];sd?Ja.renderers[rd.name]=function(...od){let ld=rd.renderer.apply(this,od);return ld===!1&&(ld=sd.apply(this,od)),ld}:Ja.renderers[rd.name]=rd.renderer}if("tokenizer"in rd){if(!rd.level||rd.level!=="block"&&rd.level!=="inline")throw new Error("extension level must be 'block' or 'inline'");const sd=Ja[rd.level];sd?sd.unshift(rd.tokenizer):Ja[rd.level]=[rd.tokenizer],rd.start&&(rd.level==="block"?Ja.startBlock?Ja.startBlock.push(rd.start):Ja.startBlock=[rd.start]:rd.level==="inline"&&(Ja.startInline?Ja.startInline.push(rd.start):Ja.startInline=[rd.start]))}"childTokens"in rd&&rd.childTokens&&(Ja.childTokens[rd.name]=rd.childTokens)}),td.extensions=Ja),ed.renderer){const rd=this.defaults.renderer||new _Renderer(this.defaults);for(const sd in ed.renderer){if(!(sd in rd))throw new Error(`renderer '${sd}' does not exist`);if(["options","parser"].includes(sd))continue;const od=sd;let ld=ed.renderer[od];ed.useNewRenderer||(ld=_E(this,_b,JT).call(this,ld,od,rd));const cd=rd[od];rd[od]=(...ud)=>{let _d=ld.apply(rd,ud);return _d===!1&&(_d=cd.apply(rd,ud)),_d||""}}td.renderer=rd}if(ed.tokenizer){const rd=this.defaults.tokenizer||new _Tokenizer(this.defaults);for(const sd in ed.tokenizer){if(!(sd in rd))throw new Error(`tokenizer '${sd}' does not exist`);if(["options","rules","lexer"].includes(sd))continue;const od=sd,ld=ed.tokenizer[od],cd=rd[od];rd[od]=(...ud)=>{let _d=ld.apply(rd,ud);return _d===!1&&(_d=cd.apply(rd,ud)),_d}}td.tokenizer=rd}if(ed.hooks){const rd=this.defaults.hooks||new _Hooks;for(const sd in ed.hooks){if(!(sd in rd))throw new Error(`hook '${sd}' does not exist`);if(sd==="options")continue;const od=sd,ld=ed.hooks[od],cd=rd[od];_Hooks.passThroughHooks.has(sd)?rd[od]=ud=>{if(this.defaults.async)return Promise.resolve(ld.call(rd,ud)).then(yd=>cd.call(rd,yd));const _d=ld.call(rd,ud);return cd.call(rd,_d)}:rd[od]=(...ud)=>{let _d=ld.apply(rd,ud);return _d===!1&&(_d=cd.apply(rd,ud)),_d}}td.hooks=rd}if(ed.walkTokens){const rd=this.defaults.walkTokens,sd=ed.walkTokens;td.walkTokens=function(od){let ld=[];return ld.push(sd.call(this,od)),rd&&(ld=ld.concat(rd.call(this,od))),ld}}this.defaults={...this.defaults,...td}}),this}setOptions(qa){return this.defaults={...this.defaults,...qa},this}lexer(qa,Ja){return _Lexer.lex(qa,Ja??this.defaults)}parser(qa,Ja){return _Parser.parse(qa,Ja??this.defaults)}}_b=new WeakSet,JT=function(qa,Ja,ed){switch(Ja){case"heading":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,ed.parser.parseInline(td.tokens),td.depth,unescape$2(ed.parser.parseInline(td.tokens,ed.parser.textRenderer)))};case"code":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,td.text,td.lang,!!td.escaped)};case"table":return function(td){if(!td.type||td.type!==Ja)return qa.apply(this,arguments);let rd="",sd="";for(let ld=0;ld<td.header.length;ld++)sd+=this.tablecell({text:td.header[ld].text,tokens:td.header[ld].tokens,header:!0,align:td.align[ld]});rd+=this.tablerow({text:sd});let od="";for(let ld=0;ld<td.rows.length;ld++){const cd=td.rows[ld];sd="";for(let ud=0;ud<cd.length;ud++)sd+=this.tablecell({text:cd[ud].text,tokens:cd[ud].tokens,header:!1,align:td.align[ud]});od+=this.tablerow({text:sd})}return qa.call(this,rd,od)};case"blockquote":return function(td){if(!td.type||td.type!==Ja)return qa.apply(this,arguments);const rd=this.parser.parse(td.tokens);return qa.call(this,rd)};case"list":return function(td){if(!td.type||td.type!==Ja)return qa.apply(this,arguments);const rd=td.ordered,sd=td.start,od=td.loose;let ld="";for(let cd=0;cd<td.items.length;cd++){const ud=td.items[cd],_d=ud.checked,yd=ud.task;let gd="";if(ud.task){const Ed=this.checkbox({checked:!!_d});od?ud.tokens.length>0&&ud.tokens[0].type==="paragraph"?(ud.tokens[0].text=Ed+" "+ud.tokens[0].text,ud.tokens[0].tokens&&ud.tokens[0].tokens.length>0&&ud.tokens[0].tokens[0].type==="text"&&(ud.tokens[0].tokens[0].text=Ed+" "+ud.tokens[0].tokens[0].text)):ud.tokens.unshift({type:"text",text:Ed+" "}):gd+=Ed+" "}gd+=this.parser.parse(ud.tokens,od),ld+=this.listitem({type:"list_item",raw:gd,text:gd,task:yd,checked:!!_d,loose:od,tokens:ud.tokens})}return qa.call(this,ld,rd,sd)};case"html":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,td.text,td.block)};case"paragraph":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,this.parser.parseInline(td.tokens))};case"escape":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,td.text)};case"link":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,td.href,td.title,this.parser.parseInline(td.tokens))};case"image":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,td.href,td.title,td.text)};case"strong":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,this.parser.parseInline(td.tokens))};case"em":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,this.parser.parseInline(td.tokens))};case"codespan":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,td.text)};case"del":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,this.parser.parseInline(td.tokens))};case"text":return function(td){return!td.type||td.type!==Ja?qa.apply(this,arguments):qa.call(this,td.text)}}return qa},mT=function(qa,Ja){return(ed,td)=>{const rd={...td},sd={...this.defaults,...rd};this.defaults.async===!0&&rd.async===!1&&(sd.silent||console.warn("marked(): The async option was set to true by an extension. The async: false option sent to parse will be ignored."),sd.async=!0);const od=_E(this,_b,ZT).call(this,!!sd.silent,!!sd.async);if(typeof ed>"u"||ed===null)return od(new Error("marked(): input parameter is undefined or null"));if(typeof ed!="string")return od(new Error("marked(): input parameter is of type "+Object.prototype.toString.call(ed)+", string expected"));if(sd.hooks&&(sd.hooks.options=sd),sd.async)return Promise.resolve(sd.hooks?sd.hooks.preprocess(ed):ed).then(ld=>qa(ld,sd)).then(ld=>sd.hooks?sd.hooks.processAllTokens(ld):ld).then(ld=>sd.walkTokens?Promise.all(this.walkTokens(ld,sd.walkTokens)).then(()=>ld):ld).then(ld=>Ja(ld,sd)).then(ld=>sd.hooks?sd.hooks.postprocess(ld):ld).catch(od);try{sd.hooks&&(ed=sd.hooks.preprocess(ed));let ld=qa(ed,sd);sd.hooks&&(ld=sd.hooks.processAllTokens(ld)),sd.walkTokens&&this.walkTokens(ld,sd.walkTokens);let cd=Ja(ld,sd);return sd.hooks&&(cd=sd.hooks.postprocess(cd)),cd}catch(ld){return od(ld)}}},ZT=function(qa,Ja){return ed=>{if(ed.message+=`
|
||
Please report this to https://github.com/markedjs/marked.`,qa){const td="<p>An error occurred:</p><pre>"+escape$1$1(ed.message+"",!0)+"</pre>";return Ja?Promise.resolve(td):td}if(Ja)return Promise.reject(ed);throw ed}};const markedInstance=new Marked;function marked(Ra,qa){return markedInstance.parse(Ra,qa)}marked.options=marked.setOptions=function(Ra){return markedInstance.setOptions(Ra),marked.defaults=markedInstance.defaults,changeDefaults(marked.defaults),marked};marked.getDefaults=_getDefaults;marked.defaults=_defaults;marked.use=function(...Ra){return markedInstance.use(...Ra),marked.defaults=markedInstance.defaults,changeDefaults(marked.defaults),marked};marked.walkTokens=function(Ra,qa){return markedInstance.walkTokens(Ra,qa)};marked.parseInline=markedInstance.parseInline;marked.Parser=_Parser;marked.parser=_Parser.parse;marked.Renderer=_Renderer;marked.TextRenderer=_TextRenderer;marked.Lexer=_Lexer;marked.lexer=_Lexer.lex;marked.Tokenizer=_Tokenizer;marked.Hooks=_Hooks;marked.parse=marked;marked.options;marked.setOptions;marked.use;marked.walkTokens;marked.parseInline;_Parser.parse;_Lexer.lex;function dedent(Ra){for(var qa=[],Ja=1;Ja<arguments.length;Ja++)qa[Ja-1]=arguments[Ja];var ed=Array.from(typeof Ra=="string"?[Ra]:Ra);ed[ed.length-1]=ed[ed.length-1].replace(/\r?\n([\t ]*)$/,"");var td=ed.reduce(function(od,ld){var cd=ld.match(/\n([\t ]+|(?!\s).)/g);return cd?od.concat(cd.map(function(ud){var _d,yd;return(yd=(_d=ud.match(/[\t ]/g))===null||_d===void 0?void 0:_d.length)!==null&&yd!==void 0?yd:0})):od},[]);if(td.length){var rd=new RegExp(`
|
||
[ ]{`+Math.min.apply(Math,td)+"}","g");ed=ed.map(function(od){return od.replace(rd,`
|
||
`)})}ed[0]=ed[0].replace(/^\r?\n/,"");var sd=ed[0];return qa.forEach(function(od,ld){var cd=sd.match(/(?:^|\n)( *)$/),ud=cd?cd[1]:"",_d=od;typeof od=="string"&&od.includes(`
|
||
`)&&(_d=String(od).split(`
|
||
`).map(function(yd,gd){return gd===0?yd:""+ud+yd}).join(`
|
||
`)),sd+=_d+ed[ld+1]}),sd}function preprocessMarkdown(Ra,{markdownAutoWrap:qa}){const ed=Ra.replace(/<br\/>/g,`
|
||
`).replace(/\n{2,}/g,`
|
||
`),td=dedent(ed);return qa===!1?td.replace(/ /g," "):td}__name(preprocessMarkdown,"preprocessMarkdown");function markdownToLines(Ra,qa={}){const Ja=preprocessMarkdown(Ra,qa),ed=marked.lexer(Ja),td=[[]];let rd=0;function sd(od,ld="normal"){od.type==="text"?od.text.split(`
|
||
`).forEach((ud,_d)=>{_d!==0&&(rd++,td.push([])),ud.split(" ").forEach(yd=>{yd&&td[rd].push({content:yd,type:ld})})}):od.type==="strong"||od.type==="em"?od.tokens.forEach(cd=>{sd(cd,od.type)}):od.type==="html"&&td[rd].push({content:od.text,type:"normal"})}return __name(sd,"processNode"),ed.forEach(od=>{var ld;od.type==="paragraph"?(ld=od.tokens)==null||ld.forEach(cd=>{sd(cd)}):od.type==="html"&&td[rd].push({content:od.text,type:"normal"})}),td}__name(markdownToLines,"markdownToLines");function markdownToHTML(Ra,{markdownAutoWrap:qa}={}){const Ja=marked.lexer(Ra);function ed(td){var rd,sd,od;return td.type==="text"?qa===!1?td.text.replace(/\n */g,"<br/>").replace(/ /g," "):td.text.replace(/\n */g,"<br/>"):td.type==="strong"?`<strong>${(rd=td.tokens)==null?void 0:rd.map(ed).join("")}</strong>`:td.type==="em"?`<em>${(sd=td.tokens)==null?void 0:sd.map(ed).join("")}</em>`:td.type==="paragraph"?`<p>${(od=td.tokens)==null?void 0:od.map(ed).join("")}</p>`:td.type==="space"?"":td.type==="html"?`${td.text}`:`Unsupported markdown: ${td.type}`}return __name(ed,"output"),Ja.map(ed).join("")}__name(markdownToHTML,"markdownToHTML");function splitTextToChars(Ra){return Intl.Segmenter?[...new Intl.Segmenter().segment(Ra)].map(qa=>qa.segment):[...Ra]}__name(splitTextToChars,"splitTextToChars");function splitWordToFitWidth(Ra,qa){const Ja=splitTextToChars(qa.content);return splitWordToFitWidthRecursion(Ra,[],Ja,qa.type)}__name(splitWordToFitWidth,"splitWordToFitWidth");function splitWordToFitWidthRecursion(Ra,qa,Ja,ed){if(Ja.length===0)return[{content:qa.join(""),type:ed},{content:"",type:ed}];const[td,...rd]=Ja,sd=[...qa,td];return Ra([{content:sd.join(""),type:ed}])?splitWordToFitWidthRecursion(Ra,sd,rd,ed):(qa.length===0&&td&&(qa.push(td),Ja.shift()),[{content:qa.join(""),type:ed},{content:Ja.join(""),type:ed}])}__name(splitWordToFitWidthRecursion,"splitWordToFitWidthRecursion");function splitLineToFitWidth(Ra,qa){if(Ra.some(({content:Ja})=>Ja.includes(`
|
||
`)))throw new Error("splitLineToFitWidth does not support newlines in the line");return splitLineToFitWidthRecursion(Ra,qa)}__name(splitLineToFitWidth,"splitLineToFitWidth");function splitLineToFitWidthRecursion(Ra,qa,Ja=[],ed=[]){if(Ra.length===0)return ed.length>0&&Ja.push(ed),Ja.length>0?Ja:[];let td="";Ra[0].content===" "&&(td=" ",Ra.shift());const rd=Ra.shift()??{content:" ",type:"normal"},sd=[...ed];if(td!==""&&sd.push({content:td,type:"normal"}),sd.push(rd),qa(sd))return splitLineToFitWidthRecursion(Ra,qa,Ja,sd);if(ed.length>0)Ja.push(ed),Ra.unshift(rd);else if(rd.content){const[od,ld]=splitWordToFitWidth(qa,rd);Ja.push([od]),ld.content&&Ra.unshift(ld)}return splitLineToFitWidthRecursion(Ra,qa,Ja)}__name(splitLineToFitWidthRecursion,"splitLineToFitWidthRecursion");function applyStyle$1(Ra,qa){qa&&Ra.attr("style",qa)}__name(applyStyle$1,"applyStyle");async function addHtmlSpan(Ra,qa,Ja,ed,td=!1){const rd=Ra.append("foreignObject"),sd=rd.append("xhtml:div");let od=qa.label;qa.label&&hasKatex(qa.label)&&(od=await renderKatex(qa.label.replace(common_default.lineBreakRegex,`
|
||
`),getConfig2()));const ld=qa.isNode?"nodeLabel":"edgeLabel",cd=sd.append("span");cd.html(od),applyStyle$1(cd,qa.labelStyle),cd.attr("class",`${ld} ${ed}`),applyStyle$1(sd,qa.labelStyle),sd.style("display","table-cell"),sd.style("white-space","nowrap"),sd.style("line-height","1.5"),sd.style("max-width",Ja+"px"),sd.style("text-align","center"),sd.attr("xmlns","http://www.w3.org/1999/xhtml"),td&&sd.attr("class","labelBkg");let ud=sd.node().getBoundingClientRect();return ud.width===Ja&&(sd.style("display","table"),sd.style("white-space","break-spaces"),sd.style("width",Ja+"px"),ud=sd.node().getBoundingClientRect()),rd.node()}__name(addHtmlSpan,"addHtmlSpan");function createTspan(Ra,qa,Ja){return Ra.append("tspan").attr("class","text-outer-tspan").attr("x",0).attr("y",qa*Ja-.1+"em").attr("dy",Ja+"em")}__name(createTspan,"createTspan");function computeWidthOfText(Ra,qa,Ja){const ed=Ra.append("text"),td=createTspan(ed,1,qa);updateTextContentAndStyles(td,Ja);const rd=td.node().getComputedTextLength();return ed.remove(),rd}__name(computeWidthOfText,"computeWidthOfText");function computeDimensionOfText(Ra,qa,Ja){var sd;const ed=Ra.append("text"),td=createTspan(ed,1,qa);updateTextContentAndStyles(td,[{content:Ja,type:"normal"}]);const rd=(sd=td.node())==null?void 0:sd.getBoundingClientRect();return rd&&ed.remove(),rd}__name(computeDimensionOfText,"computeDimensionOfText");function createFormattedText(Ra,qa,Ja,ed=!1){const rd=qa.append("g"),sd=rd.insert("rect").attr("class","background").attr("style","stroke: none"),od=rd.append("text").attr("y","-10.1");let ld=0;for(const cd of Ja){const ud=__name(yd=>computeWidthOfText(rd,1.1,yd)<=Ra,"checkWidth"),_d=ud(cd)?[cd]:splitLineToFitWidth(cd,ud);for(const yd of _d){const gd=createTspan(od,ld,1.1);updateTextContentAndStyles(gd,yd),ld++}}if(ed){const cd=od.node().getBBox(),ud=2;return sd.attr("x",-ud).attr("y",-ud).attr("width",cd.width+2*ud).attr("height",cd.height+2*ud),rd.node()}else return od.node()}__name(createFormattedText,"createFormattedText");function updateTextContentAndStyles(Ra,qa){Ra.text(""),qa.forEach((Ja,ed)=>{const td=Ra.append("tspan").attr("font-style",Ja.type==="em"?"italic":"normal").attr("class","text-inner-tspan").attr("font-weight",Ja.type==="strong"?"bold":"normal");ed===0?td.text(Ja.content):td.text(" "+Ja.content)})}__name(updateTextContentAndStyles,"updateTextContentAndStyles");function replaceIconSubstring(Ra){return Ra.replace(/fa[bklrs]?:fa-[\w-]+/g,qa=>`<i class='${qa.replace(":"," ")}'></i>`)}__name(replaceIconSubstring,"replaceIconSubstring");var createText=__name(async(Ra,qa="",{style:Ja="",isTitle:ed=!1,classes:td="",useHtmlLabels:rd=!0,isNode:sd=!0,width:od=200,addSvgBackground:ld=!1}={},cd)=>{if(log$1.info("XYZ createText",qa,Ja,ed,td,rd,sd,"addSvgBackground: ",ld),rd){const ud=markdownToHTML(qa,cd),_d=replaceIconSubstring(decodeEntities(ud)),yd=qa.replace(/\\\\/g,"\\"),gd={isNode:sd,label:hasKatex(qa)?yd:_d,labelStyle:Ja.replace("fill:","color:")};return await addHtmlSpan(Ra,gd,od,td,ld)}else{const ud=qa.replace(/<br\s*\/?>/g,"<br/>"),_d=markdownToLines(ud.replace("<br>","<br/>"),cd),yd=createFormattedText(od,Ra,_d,qa?ld:!1);if(sd){/stroke:/.exec(Ja)&&(Ja=Ja.replace("stroke:","lineColor:"));const gd=Ja.replace(/stroke:[^;]+;?/g,"").replace(/stroke-width:[^;]+;?/g,"").replace(/fill:[^;]+;?/g,"").replace(/color:/g,"fill:");select(yd).attr("style",gd)}else{const gd=Ja.replace(/stroke:[^;]+;?/g,"").replace(/stroke-width:[^;]+;?/g,"").replace(/fill:[^;]+;?/g,"").replace(/background:/g,"fill:");select(yd).select("rect").attr("style",gd.replace(/background:/g,"fill:"));const Ed=Ja.replace(/stroke:[^;]+;?/g,"").replace(/stroke-width:[^;]+;?/g,"").replace(/fill:[^;]+;?/g,"").replace(/color:/g,"fill:");select(yd).select("text").attr("style",Ed)}return yd}},"createText");function t(Ra,qa,Ja){if(Ra&&Ra.length){const[ed,td]=qa,rd=Math.PI/180*Ja,sd=Math.cos(rd),od=Math.sin(rd);for(const ld of Ra){const[cd,ud]=ld;ld[0]=(cd-ed)*sd-(ud-td)*od+ed,ld[1]=(cd-ed)*od+(ud-td)*sd+td}}}function e(Ra,qa){return Ra[0]===qa[0]&&Ra[1]===qa[1]}function s(Ra,qa,Ja,ed=1){const td=Ja,rd=Math.max(qa,.1),sd=Ra[0]&&Ra[0][0]&&typeof Ra[0][0]=="number"?[Ra]:Ra,od=[0,0];if(td)for(const cd of sd)t(cd,od,td);const ld=function(cd,ud,_d){const yd=[];for(const Nd of cd){const Id=[...Nd];e(Id[0],Id[Id.length-1])||Id.push([Id[0][0],Id[0][1]]),Id.length>2&&yd.push(Id)}const gd=[];ud=Math.max(ud,.1);const Ed=[];for(const Nd of yd)for(let Id=0;Id<Nd.length-1;Id++){const Md=Nd[Id],Ld=Nd[Id+1];if(Md[1]!==Ld[1]){const Pd=Math.min(Md[1],Ld[1]);Ed.push({ymin:Pd,ymax:Math.max(Md[1],Ld[1]),x:Pd===Md[1]?Md[0]:Ld[0],islope:(Ld[0]-Md[0])/(Ld[1]-Md[1])})}}if(Ed.sort((Nd,Id)=>Nd.ymin<Id.ymin?-1:Nd.ymin>Id.ymin?1:Nd.x<Id.x?-1:Nd.x>Id.x?1:Nd.ymax===Id.ymax?0:(Nd.ymax-Id.ymax)/Math.abs(Nd.ymax-Id.ymax)),!Ed.length)return gd;let Td=[],kd=Ed[0].ymin,Rd=0;for(;Td.length||Ed.length;){if(Ed.length){let Nd=-1;for(let Id=0;Id<Ed.length&&!(Ed[Id].ymin>kd);Id++)Nd=Id;Ed.splice(0,Nd+1).forEach(Id=>{Td.push({s:kd,edge:Id})})}if(Td=Td.filter(Nd=>!(Nd.edge.ymax<=kd)),Td.sort((Nd,Id)=>Nd.edge.x===Id.edge.x?0:(Nd.edge.x-Id.edge.x)/Math.abs(Nd.edge.x-Id.edge.x)),(_d!==1||Rd%ud==0)&&Td.length>1)for(let Nd=0;Nd<Td.length;Nd+=2){const Id=Nd+1;if(Id>=Td.length)break;const Md=Td[Nd].edge,Ld=Td[Id].edge;gd.push([[Math.round(Md.x),kd],[Math.round(Ld.x),kd]])}kd+=_d,Td.forEach(Nd=>{Nd.edge.x=Nd.edge.x+_d*Nd.edge.islope}),Rd++}return gd}(sd,rd,ed);if(td){for(const cd of sd)t(cd,od,-td);(function(cd,ud,_d){const yd=[];cd.forEach(gd=>yd.push(...gd)),t(yd,ud,_d)})(ld,od,-td)}return ld}function n(Ra,qa){var Ja;const ed=qa.hachureAngle+90;let td=qa.hachureGap;td<0&&(td=4*qa.strokeWidth),td=Math.round(Math.max(td,.1));let rd=1;return qa.roughness>=1&&(((Ja=qa.randomizer)===null||Ja===void 0?void 0:Ja.next())||Math.random())>.7&&(rd=td),s(Ra,td,ed,rd||1)}class o{constructor(qa){this.helper=qa}fillPolygons(qa,Ja){return this._fillPolygons(qa,Ja)}_fillPolygons(qa,Ja){const ed=n(qa,Ja);return{type:"fillSketch",ops:this.renderLines(ed,Ja)}}renderLines(qa,Ja){const ed=[];for(const td of qa)ed.push(...this.helper.doubleLineOps(td[0][0],td[0][1],td[1][0],td[1][1],Ja));return ed}}function a(Ra){const qa=Ra[0],Ja=Ra[1];return Math.sqrt(Math.pow(qa[0]-Ja[0],2)+Math.pow(qa[1]-Ja[1],2))}class h extends o{fillPolygons(qa,Ja){let ed=Ja.hachureGap;ed<0&&(ed=4*Ja.strokeWidth),ed=Math.max(ed,.1);const td=n(qa,Object.assign({},Ja,{hachureGap:ed})),rd=Math.PI/180*Ja.hachureAngle,sd=[],od=.5*ed*Math.cos(rd),ld=.5*ed*Math.sin(rd);for(const[cd,ud]of td)a([cd,ud])&&sd.push([[cd[0]-od,cd[1]+ld],[...ud]],[[cd[0]+od,cd[1]-ld],[...ud]]);return{type:"fillSketch",ops:this.renderLines(sd,Ja)}}}let r$1=class extends o{fillPolygons(qa,Ja){const ed=this._fillPolygons(qa,Ja),td=Object.assign({},Ja,{hachureAngle:Ja.hachureAngle+90}),rd=this._fillPolygons(qa,td);return ed.ops=ed.ops.concat(rd.ops),ed}},i$2=class{constructor(qa){this.helper=qa}fillPolygons(qa,Ja){const ed=n(qa,Ja=Object.assign({},Ja,{hachureAngle:0}));return this.dotsOnLines(ed,Ja)}dotsOnLines(qa,Ja){const ed=[];let td=Ja.hachureGap;td<0&&(td=4*Ja.strokeWidth),td=Math.max(td,.1);let rd=Ja.fillWeight;rd<0&&(rd=Ja.strokeWidth/2);const sd=td/4;for(const od of qa){const ld=a(od),cd=ld/td,ud=Math.ceil(cd)-1,_d=ld-ud*td,yd=(od[0][0]+od[1][0])/2-td/4,gd=Math.min(od[0][1],od[1][1]);for(let Ed=0;Ed<ud;Ed++){const Td=gd+_d+Ed*td,kd=yd-sd+2*Math.random()*sd,Rd=Td-sd+2*Math.random()*sd,Nd=this.helper.ellipse(kd,Rd,rd,rd,Ja);ed.push(...Nd.ops)}}return{type:"fillSketch",ops:ed}}};class c{constructor(qa){this.helper=qa}fillPolygons(qa,Ja){const ed=n(qa,Ja);return{type:"fillSketch",ops:this.dashedLine(ed,Ja)}}dashedLine(qa,Ja){const ed=Ja.dashOffset<0?Ja.hachureGap<0?4*Ja.strokeWidth:Ja.hachureGap:Ja.dashOffset,td=Ja.dashGap<0?Ja.hachureGap<0?4*Ja.strokeWidth:Ja.hachureGap:Ja.dashGap,rd=[];return qa.forEach(sd=>{const od=a(sd),ld=Math.floor(od/(ed+td)),cd=(od+td-ld*(ed+td))/2;let ud=sd[0],_d=sd[1];ud[0]>_d[0]&&(ud=sd[1],_d=sd[0]);const yd=Math.atan((_d[1]-ud[1])/(_d[0]-ud[0]));for(let gd=0;gd<ld;gd++){const Ed=gd*(ed+td),Td=Ed+ed,kd=[ud[0]+Ed*Math.cos(yd)+cd*Math.cos(yd),ud[1]+Ed*Math.sin(yd)+cd*Math.sin(yd)],Rd=[ud[0]+Td*Math.cos(yd)+cd*Math.cos(yd),ud[1]+Td*Math.sin(yd)+cd*Math.sin(yd)];rd.push(...this.helper.doubleLineOps(kd[0],kd[1],Rd[0],Rd[1],Ja))}}),rd}}let l$1=class{constructor(qa){this.helper=qa}fillPolygons(qa,Ja){const ed=Ja.hachureGap<0?4*Ja.strokeWidth:Ja.hachureGap,td=Ja.zigzagOffset<0?ed:Ja.zigzagOffset,rd=n(qa,Ja=Object.assign({},Ja,{hachureGap:ed+td}));return{type:"fillSketch",ops:this.zigzagLines(rd,td,Ja)}}zigzagLines(qa,Ja,ed){const td=[];return qa.forEach(rd=>{const sd=a(rd),od=Math.round(sd/(2*Ja));let ld=rd[0],cd=rd[1];ld[0]>cd[0]&&(ld=rd[1],cd=rd[0]);const ud=Math.atan((cd[1]-ld[1])/(cd[0]-ld[0]));for(let _d=0;_d<od;_d++){const yd=2*_d*Ja,gd=2*(_d+1)*Ja,Ed=Math.sqrt(2*Math.pow(Ja,2)),Td=[ld[0]+yd*Math.cos(ud),ld[1]+yd*Math.sin(ud)],kd=[ld[0]+gd*Math.cos(ud),ld[1]+gd*Math.sin(ud)],Rd=[Td[0]+Ed*Math.cos(ud+Math.PI/4),Td[1]+Ed*Math.sin(ud+Math.PI/4)];td.push(...this.helper.doubleLineOps(Td[0],Td[1],Rd[0],Rd[1],ed),...this.helper.doubleLineOps(Rd[0],Rd[1],kd[0],kd[1],ed))}}),td}};const u={};class p{constructor(qa){this.seed=qa}next(){return this.seed?(2**31-1&(this.seed=Math.imul(48271,this.seed)))/2**31:Math.random()}}const f$1=0,d$1=1,g$3=2,M$4={A:7,a:7,C:6,c:6,H:1,h:1,L:2,l:2,M:2,m:2,Q:4,q:4,S:4,s:4,T:2,t:2,V:1,v:1,Z:0,z:0};function k$1(Ra,qa){return Ra.type===qa}function b$2(Ra){const qa=[],Ja=function(sd){const od=new Array;for(;sd!=="";)if(sd.match(/^([ \t\r\n,]+)/))sd=sd.substr(RegExp.$1.length);else if(sd.match(/^([aAcChHlLmMqQsStTvVzZ])/))od[od.length]={type:f$1,text:RegExp.$1},sd=sd.substr(RegExp.$1.length);else{if(!sd.match(/^(([-+]?[0-9]+(\.[0-9]*)?|[-+]?\.[0-9]+)([eE][-+]?[0-9]+)?)/))return[];od[od.length]={type:d$1,text:`${parseFloat(RegExp.$1)}`},sd=sd.substr(RegExp.$1.length)}return od[od.length]={type:g$3,text:""},od}(Ra);let ed="BOD",td=0,rd=Ja[td];for(;!k$1(rd,g$3);){let sd=0;const od=[];if(ed==="BOD"){if(rd.text!=="M"&&rd.text!=="m")return b$2("M0,0"+Ra);td++,sd=M$4[rd.text],ed=rd.text}else k$1(rd,d$1)?sd=M$4[ed]:(td++,sd=M$4[rd.text],ed=rd.text);if(!(td+sd<Ja.length))throw new Error("Path data ended short");for(let ld=td;ld<td+sd;ld++){const cd=Ja[ld];if(!k$1(cd,d$1))throw new Error("Param not a number: "+ed+","+cd.text);od[od.length]=+cd.text}if(typeof M$4[ed]!="number")throw new Error("Bad segment: "+ed);{const ld={key:ed,data:od};qa.push(ld),td+=sd,rd=Ja[td],ed==="M"&&(ed="L"),ed==="m"&&(ed="l")}}return qa}function y$2(Ra){let qa=0,Ja=0,ed=0,td=0;const rd=[];for(const{key:sd,data:od}of Ra)switch(sd){case"M":rd.push({key:"M",data:[...od]}),[qa,Ja]=od,[ed,td]=od;break;case"m":qa+=od[0],Ja+=od[1],rd.push({key:"M",data:[qa,Ja]}),ed=qa,td=Ja;break;case"L":rd.push({key:"L",data:[...od]}),[qa,Ja]=od;break;case"l":qa+=od[0],Ja+=od[1],rd.push({key:"L",data:[qa,Ja]});break;case"C":rd.push({key:"C",data:[...od]}),qa=od[4],Ja=od[5];break;case"c":{const ld=od.map((cd,ud)=>ud%2?cd+Ja:cd+qa);rd.push({key:"C",data:ld}),qa=ld[4],Ja=ld[5];break}case"Q":rd.push({key:"Q",data:[...od]}),qa=od[2],Ja=od[3];break;case"q":{const ld=od.map((cd,ud)=>ud%2?cd+Ja:cd+qa);rd.push({key:"Q",data:ld}),qa=ld[2],Ja=ld[3];break}case"A":rd.push({key:"A",data:[...od]}),qa=od[5],Ja=od[6];break;case"a":qa+=od[5],Ja+=od[6],rd.push({key:"A",data:[od[0],od[1],od[2],od[3],od[4],qa,Ja]});break;case"H":rd.push({key:"H",data:[...od]}),qa=od[0];break;case"h":qa+=od[0],rd.push({key:"H",data:[qa]});break;case"V":rd.push({key:"V",data:[...od]}),Ja=od[0];break;case"v":Ja+=od[0],rd.push({key:"V",data:[Ja]});break;case"S":rd.push({key:"S",data:[...od]}),qa=od[2],Ja=od[3];break;case"s":{const ld=od.map((cd,ud)=>ud%2?cd+Ja:cd+qa);rd.push({key:"S",data:ld}),qa=ld[2],Ja=ld[3];break}case"T":rd.push({key:"T",data:[...od]}),qa=od[0],Ja=od[1];break;case"t":qa+=od[0],Ja+=od[1],rd.push({key:"T",data:[qa,Ja]});break;case"Z":case"z":rd.push({key:"Z",data:[]}),qa=ed,Ja=td}return rd}function m(Ra){const qa=[];let Ja="",ed=0,td=0,rd=0,sd=0,od=0,ld=0;for(const{key:cd,data:ud}of Ra){switch(cd){case"M":qa.push({key:"M",data:[...ud]}),[ed,td]=ud,[rd,sd]=ud;break;case"C":qa.push({key:"C",data:[...ud]}),ed=ud[4],td=ud[5],od=ud[2],ld=ud[3];break;case"L":qa.push({key:"L",data:[...ud]}),[ed,td]=ud;break;case"H":ed=ud[0],qa.push({key:"L",data:[ed,td]});break;case"V":td=ud[0],qa.push({key:"L",data:[ed,td]});break;case"S":{let _d=0,yd=0;Ja==="C"||Ja==="S"?(_d=ed+(ed-od),yd=td+(td-ld)):(_d=ed,yd=td),qa.push({key:"C",data:[_d,yd,...ud]}),od=ud[0],ld=ud[1],ed=ud[2],td=ud[3];break}case"T":{const[_d,yd]=ud;let gd=0,Ed=0;Ja==="Q"||Ja==="T"?(gd=ed+(ed-od),Ed=td+(td-ld)):(gd=ed,Ed=td);const Td=ed+2*(gd-ed)/3,kd=td+2*(Ed-td)/3,Rd=_d+2*(gd-_d)/3,Nd=yd+2*(Ed-yd)/3;qa.push({key:"C",data:[Td,kd,Rd,Nd,_d,yd]}),od=gd,ld=Ed,ed=_d,td=yd;break}case"Q":{const[_d,yd,gd,Ed]=ud,Td=ed+2*(_d-ed)/3,kd=td+2*(yd-td)/3,Rd=gd+2*(_d-gd)/3,Nd=Ed+2*(yd-Ed)/3;qa.push({key:"C",data:[Td,kd,Rd,Nd,gd,Ed]}),od=_d,ld=yd,ed=gd,td=Ed;break}case"A":{const _d=Math.abs(ud[0]),yd=Math.abs(ud[1]),gd=ud[2],Ed=ud[3],Td=ud[4],kd=ud[5],Rd=ud[6];_d===0||yd===0?(qa.push({key:"C",data:[ed,td,kd,Rd,kd,Rd]}),ed=kd,td=Rd):(ed!==kd||td!==Rd)&&(x$2(ed,td,kd,Rd,_d,yd,gd,Ed,Td).forEach(function(Nd){qa.push({key:"C",data:Nd})}),ed=kd,td=Rd);break}case"Z":qa.push({key:"Z",data:[]}),ed=rd,td=sd}Ja=cd}return qa}function w$1(Ra,qa,Ja){return[Ra*Math.cos(Ja)-qa*Math.sin(Ja),Ra*Math.sin(Ja)+qa*Math.cos(Ja)]}function x$2(Ra,qa,Ja,ed,td,rd,sd,od,ld,cd){const ud=(_d=sd,Math.PI*_d/180);var _d;let yd=[],gd=0,Ed=0,Td=0,kd=0;if(cd)[gd,Ed,Td,kd]=cd;else{[Ra,qa]=w$1(Ra,qa,-ud),[Ja,ed]=w$1(Ja,ed,-ud);const Zd=(Ra-Ja)/2,pf=(qa-ed)/2;let Xd=Zd*Zd/(td*td)+pf*pf/(rd*rd);Xd>1&&(Xd=Math.sqrt(Xd),td*=Xd,rd*=Xd);const hf=td*td,_f=rd*rd,xf=hf*_f-hf*pf*pf-_f*Zd*Zd,Lf=hf*pf*pf+_f*Zd*Zd,Wf=(od===ld?-1:1)*Math.sqrt(Math.abs(xf/Lf));Td=Wf*td*pf/rd+(Ra+Ja)/2,kd=Wf*-rd*Zd/td+(qa+ed)/2,gd=Math.asin(parseFloat(((qa-kd)/rd).toFixed(9))),Ed=Math.asin(parseFloat(((ed-kd)/rd).toFixed(9))),Ra<Td&&(gd=Math.PI-gd),Ja<Td&&(Ed=Math.PI-Ed),gd<0&&(gd=2*Math.PI+gd),Ed<0&&(Ed=2*Math.PI+Ed),ld&&gd>Ed&&(gd-=2*Math.PI),!ld&&Ed>gd&&(Ed-=2*Math.PI)}let Rd=Ed-gd;if(Math.abs(Rd)>120*Math.PI/180){const Zd=Ed,pf=Ja,Xd=ed;Ed=ld&&Ed>gd?gd+120*Math.PI/180*1:gd+120*Math.PI/180*-1,yd=x$2(Ja=Td+td*Math.cos(Ed),ed=kd+rd*Math.sin(Ed),pf,Xd,td,rd,sd,0,ld,[Ed,Zd,Td,kd])}Rd=Ed-gd;const Nd=Math.cos(gd),Id=Math.sin(gd),Md=Math.cos(Ed),Ld=Math.sin(Ed),Pd=Math.tan(Rd/4),qd=4/3*td*Pd,Yd=4/3*rd*Pd,Ud=[Ra,qa],Hd=[Ra+qd*Id,qa-Yd*Nd],Vd=[Ja+qd*Ld,ed-Yd*Md],Jd=[Ja,ed];if(Hd[0]=2*Ud[0]-Hd[0],Hd[1]=2*Ud[1]-Hd[1],cd)return[Hd,Vd,Jd].concat(yd);{yd=[Hd,Vd,Jd].concat(yd);const Zd=[];for(let pf=0;pf<yd.length;pf+=3){const Xd=w$1(yd[pf][0],yd[pf][1],ud),hf=w$1(yd[pf+1][0],yd[pf+1][1],ud),_f=w$1(yd[pf+2][0],yd[pf+2][1],ud);Zd.push([Xd[0],Xd[1],hf[0],hf[1],_f[0],_f[1]])}return Zd}}const P$3={randOffset:function(Ra,qa){return G$4(Ra,qa)},randOffsetWithRange:function(Ra,qa,Ja){return E$2(Ra,qa,Ja)},ellipse:function(Ra,qa,Ja,ed,td){const rd=T$2(Ja,ed,td);return D$2(Ra,qa,td,rd).opset},doubleLineOps:function(Ra,qa,Ja,ed,td){return $$2(Ra,qa,Ja,ed,td,!0)}};function v$1(Ra,qa,Ja,ed,td){return{type:"path",ops:$$2(Ra,qa,Ja,ed,td)}}function S$1(Ra,qa,Ja){const ed=(Ra||[]).length;if(ed>2){const td=[];for(let rd=0;rd<ed-1;rd++)td.push(...$$2(Ra[rd][0],Ra[rd][1],Ra[rd+1][0],Ra[rd+1][1],Ja));return qa&&td.push(...$$2(Ra[ed-1][0],Ra[ed-1][1],Ra[0][0],Ra[0][1],Ja)),{type:"path",ops:td}}return ed===2?v$1(Ra[0][0],Ra[0][1],Ra[1][0],Ra[1][1],Ja):{type:"path",ops:[]}}function O$3(Ra,qa,Ja,ed,td){return function(rd,sd){return S$1(rd,!0,sd)}([[Ra,qa],[Ra+Ja,qa],[Ra+Ja,qa+ed],[Ra,qa+ed]],td)}function L$4(Ra,qa){if(Ra.length){const Ja=typeof Ra[0][0]=="number"?[Ra]:Ra,ed=j$1(Ja[0],1*(1+.2*qa.roughness),qa),td=qa.disableMultiStroke?[]:j$1(Ja[0],1.5*(1+.22*qa.roughness),z$3(qa));for(let rd=1;rd<Ja.length;rd++){const sd=Ja[rd];if(sd.length){const od=j$1(sd,1*(1+.2*qa.roughness),qa),ld=qa.disableMultiStroke?[]:j$1(sd,1.5*(1+.22*qa.roughness),z$3(qa));for(const cd of od)cd.op!=="move"&&ed.push(cd);for(const cd of ld)cd.op!=="move"&&td.push(cd)}}return{type:"path",ops:ed.concat(td)}}return{type:"path",ops:[]}}function T$2(Ra,qa,Ja){const ed=Math.sqrt(2*Math.PI*Math.sqrt((Math.pow(Ra/2,2)+Math.pow(qa/2,2))/2)),td=Math.ceil(Math.max(Ja.curveStepCount,Ja.curveStepCount/Math.sqrt(200)*ed)),rd=2*Math.PI/td;let sd=Math.abs(Ra/2),od=Math.abs(qa/2);const ld=1-Ja.curveFitting;return sd+=G$4(sd*ld,Ja),od+=G$4(od*ld,Ja),{increment:rd,rx:sd,ry:od}}function D$2(Ra,qa,Ja,ed){const[td,rd]=F$1(ed.increment,Ra,qa,ed.rx,ed.ry,1,ed.increment*E$2(.1,E$2(.4,1,Ja),Ja),Ja);let sd=q$3(td,null,Ja);if(!Ja.disableMultiStroke&&Ja.roughness!==0){const[od]=F$1(ed.increment,Ra,qa,ed.rx,ed.ry,1.5,0,Ja),ld=q$3(od,null,Ja);sd=sd.concat(ld)}return{estimatedPoints:rd,opset:{type:"path",ops:sd}}}function A$1(Ra,qa,Ja,ed,td,rd,sd,od,ld){const cd=Ra,ud=qa;let _d=Math.abs(Ja/2),yd=Math.abs(ed/2);_d+=G$4(.01*_d,ld),yd+=G$4(.01*yd,ld);let gd=td,Ed=rd;for(;gd<0;)gd+=2*Math.PI,Ed+=2*Math.PI;Ed-gd>2*Math.PI&&(gd=0,Ed=2*Math.PI);const Td=2*Math.PI/ld.curveStepCount,kd=Math.min(Td/2,(Ed-gd)/2),Rd=V$5(kd,cd,ud,_d,yd,gd,Ed,1,ld);if(!ld.disableMultiStroke){const Nd=V$5(kd,cd,ud,_d,yd,gd,Ed,1.5,ld);Rd.push(...Nd)}return sd&&(od?Rd.push(...$$2(cd,ud,cd+_d*Math.cos(gd),ud+yd*Math.sin(gd),ld),...$$2(cd,ud,cd+_d*Math.cos(Ed),ud+yd*Math.sin(Ed),ld)):Rd.push({op:"lineTo",data:[cd,ud]},{op:"lineTo",data:[cd+_d*Math.cos(gd),ud+yd*Math.sin(gd)]})),{type:"path",ops:Rd}}function _$3(Ra,qa){const Ja=m(y$2(b$2(Ra))),ed=[];let td=[0,0],rd=[0,0];for(const{key:sd,data:od}of Ja)switch(sd){case"M":rd=[od[0],od[1]],td=[od[0],od[1]];break;case"L":ed.push(...$$2(rd[0],rd[1],od[0],od[1],qa)),rd=[od[0],od[1]];break;case"C":{const[ld,cd,ud,_d,yd,gd]=od;ed.push(...Z$2(ld,cd,ud,_d,yd,gd,rd,qa)),rd=[yd,gd];break}case"Z":ed.push(...$$2(rd[0],rd[1],td[0],td[1],qa)),rd=[td[0],td[1]]}return{type:"path",ops:ed}}function I$1(Ra,qa){const Ja=[];for(const ed of Ra)if(ed.length){const td=qa.maxRandomnessOffset||0,rd=ed.length;if(rd>2){Ja.push({op:"move",data:[ed[0][0]+G$4(td,qa),ed[0][1]+G$4(td,qa)]});for(let sd=1;sd<rd;sd++)Ja.push({op:"lineTo",data:[ed[sd][0]+G$4(td,qa),ed[sd][1]+G$4(td,qa)]})}}return{type:"fillPath",ops:Ja}}function C$1(Ra,qa){return function(Ja,ed){let td=Ja.fillStyle||"hachure";if(!u[td])switch(td){case"zigzag":u[td]||(u[td]=new h(ed));break;case"cross-hatch":u[td]||(u[td]=new r$1(ed));break;case"dots":u[td]||(u[td]=new i$2(ed));break;case"dashed":u[td]||(u[td]=new c(ed));break;case"zigzag-line":u[td]||(u[td]=new l$1(ed));break;default:td="hachure",u[td]||(u[td]=new o(ed))}return u[td]}(qa,P$3).fillPolygons(Ra,qa)}function z$3(Ra){const qa=Object.assign({},Ra);return qa.randomizer=void 0,Ra.seed&&(qa.seed=Ra.seed+1),qa}function W$3(Ra){return Ra.randomizer||(Ra.randomizer=new p(Ra.seed||0)),Ra.randomizer.next()}function E$2(Ra,qa,Ja,ed=1){return Ja.roughness*ed*(W$3(Ja)*(qa-Ra)+Ra)}function G$4(Ra,qa,Ja=1){return E$2(-Ra,Ra,qa,Ja)}function $$2(Ra,qa,Ja,ed,td,rd=!1){const sd=rd?td.disableMultiStrokeFill:td.disableMultiStroke,od=R$3(Ra,qa,Ja,ed,td,!0,!1);if(sd)return od;const ld=R$3(Ra,qa,Ja,ed,td,!0,!0);return od.concat(ld)}function R$3(Ra,qa,Ja,ed,td,rd,sd){const od=Math.pow(Ra-Ja,2)+Math.pow(qa-ed,2),ld=Math.sqrt(od);let cd=1;cd=ld<200?1:ld>500?.4:-.0016668*ld+1.233334;let ud=td.maxRandomnessOffset||0;ud*ud*100>od&&(ud=ld/10);const _d=ud/2,yd=.2+.2*W$3(td);let gd=td.bowing*td.maxRandomnessOffset*(ed-qa)/200,Ed=td.bowing*td.maxRandomnessOffset*(Ra-Ja)/200;gd=G$4(gd,td,cd),Ed=G$4(Ed,td,cd);const Td=[],kd=()=>G$4(_d,td,cd),Rd=()=>G$4(ud,td,cd),Nd=td.preserveVertices;return sd?Td.push({op:"move",data:[Ra+(Nd?0:kd()),qa+(Nd?0:kd())]}):Td.push({op:"move",data:[Ra+(Nd?0:G$4(ud,td,cd)),qa+(Nd?0:G$4(ud,td,cd))]}),sd?Td.push({op:"bcurveTo",data:[gd+Ra+(Ja-Ra)*yd+kd(),Ed+qa+(ed-qa)*yd+kd(),gd+Ra+2*(Ja-Ra)*yd+kd(),Ed+qa+2*(ed-qa)*yd+kd(),Ja+(Nd?0:kd()),ed+(Nd?0:kd())]}):Td.push({op:"bcurveTo",data:[gd+Ra+(Ja-Ra)*yd+Rd(),Ed+qa+(ed-qa)*yd+Rd(),gd+Ra+2*(Ja-Ra)*yd+Rd(),Ed+qa+2*(ed-qa)*yd+Rd(),Ja+(Nd?0:Rd()),ed+(Nd?0:Rd())]}),Td}function j$1(Ra,qa,Ja){if(!Ra.length)return[];const ed=[];ed.push([Ra[0][0]+G$4(qa,Ja),Ra[0][1]+G$4(qa,Ja)]),ed.push([Ra[0][0]+G$4(qa,Ja),Ra[0][1]+G$4(qa,Ja)]);for(let td=1;td<Ra.length;td++)ed.push([Ra[td][0]+G$4(qa,Ja),Ra[td][1]+G$4(qa,Ja)]),td===Ra.length-1&&ed.push([Ra[td][0]+G$4(qa,Ja),Ra[td][1]+G$4(qa,Ja)]);return q$3(ed,null,Ja)}function q$3(Ra,qa,Ja){const ed=Ra.length,td=[];if(ed>3){const rd=[],sd=1-Ja.curveTightness;td.push({op:"move",data:[Ra[1][0],Ra[1][1]]});for(let od=1;od+2<ed;od++){const ld=Ra[od];rd[0]=[ld[0],ld[1]],rd[1]=[ld[0]+(sd*Ra[od+1][0]-sd*Ra[od-1][0])/6,ld[1]+(sd*Ra[od+1][1]-sd*Ra[od-1][1])/6],rd[2]=[Ra[od+1][0]+(sd*Ra[od][0]-sd*Ra[od+2][0])/6,Ra[od+1][1]+(sd*Ra[od][1]-sd*Ra[od+2][1])/6],rd[3]=[Ra[od+1][0],Ra[od+1][1]],td.push({op:"bcurveTo",data:[rd[1][0],rd[1][1],rd[2][0],rd[2][1],rd[3][0],rd[3][1]]})}}else ed===3?(td.push({op:"move",data:[Ra[1][0],Ra[1][1]]}),td.push({op:"bcurveTo",data:[Ra[1][0],Ra[1][1],Ra[2][0],Ra[2][1],Ra[2][0],Ra[2][1]]})):ed===2&&td.push(...R$3(Ra[0][0],Ra[0][1],Ra[1][0],Ra[1][1],Ja,!0,!0));return td}function F$1(Ra,qa,Ja,ed,td,rd,sd,od){const ld=[],cd=[];if(od.roughness===0){Ra/=4,cd.push([qa+ed*Math.cos(-Ra),Ja+td*Math.sin(-Ra)]);for(let ud=0;ud<=2*Math.PI;ud+=Ra){const _d=[qa+ed*Math.cos(ud),Ja+td*Math.sin(ud)];ld.push(_d),cd.push(_d)}cd.push([qa+ed*Math.cos(0),Ja+td*Math.sin(0)]),cd.push([qa+ed*Math.cos(Ra),Ja+td*Math.sin(Ra)])}else{const ud=G$4(.5,od)-Math.PI/2;cd.push([G$4(rd,od)+qa+.9*ed*Math.cos(ud-Ra),G$4(rd,od)+Ja+.9*td*Math.sin(ud-Ra)]);const _d=2*Math.PI+ud-.01;for(let yd=ud;yd<_d;yd+=Ra){const gd=[G$4(rd,od)+qa+ed*Math.cos(yd),G$4(rd,od)+Ja+td*Math.sin(yd)];ld.push(gd),cd.push(gd)}cd.push([G$4(rd,od)+qa+ed*Math.cos(ud+2*Math.PI+.5*sd),G$4(rd,od)+Ja+td*Math.sin(ud+2*Math.PI+.5*sd)]),cd.push([G$4(rd,od)+qa+.98*ed*Math.cos(ud+sd),G$4(rd,od)+Ja+.98*td*Math.sin(ud+sd)]),cd.push([G$4(rd,od)+qa+.9*ed*Math.cos(ud+.5*sd),G$4(rd,od)+Ja+.9*td*Math.sin(ud+.5*sd)])}return[cd,ld]}function V$5(Ra,qa,Ja,ed,td,rd,sd,od,ld){const cd=rd+G$4(.1,ld),ud=[];ud.push([G$4(od,ld)+qa+.9*ed*Math.cos(cd-Ra),G$4(od,ld)+Ja+.9*td*Math.sin(cd-Ra)]);for(let _d=cd;_d<=sd;_d+=Ra)ud.push([G$4(od,ld)+qa+ed*Math.cos(_d),G$4(od,ld)+Ja+td*Math.sin(_d)]);return ud.push([qa+ed*Math.cos(sd),Ja+td*Math.sin(sd)]),ud.push([qa+ed*Math.cos(sd),Ja+td*Math.sin(sd)]),q$3(ud,null,ld)}function Z$2(Ra,qa,Ja,ed,td,rd,sd,od){const ld=[],cd=[od.maxRandomnessOffset||1,(od.maxRandomnessOffset||1)+.3];let ud=[0,0];const _d=od.disableMultiStroke?1:2,yd=od.preserveVertices;for(let gd=0;gd<_d;gd++)gd===0?ld.push({op:"move",data:[sd[0],sd[1]]}):ld.push({op:"move",data:[sd[0]+(yd?0:G$4(cd[0],od)),sd[1]+(yd?0:G$4(cd[0],od))]}),ud=yd?[td,rd]:[td+G$4(cd[gd],od),rd+G$4(cd[gd],od)],ld.push({op:"bcurveTo",data:[Ra+G$4(cd[gd],od),qa+G$4(cd[gd],od),Ja+G$4(cd[gd],od),ed+G$4(cd[gd],od),ud[0],ud[1]]});return ld}function Q$4(Ra){return[...Ra]}function H$3(Ra,qa=0){const Ja=Ra.length;if(Ja<3)throw new Error("A curve must have at least three points.");const ed=[];if(Ja===3)ed.push(Q$4(Ra[0]),Q$4(Ra[1]),Q$4(Ra[2]),Q$4(Ra[2]));else{const td=[];td.push(Ra[0],Ra[0]);for(let od=1;od<Ra.length;od++)td.push(Ra[od]),od===Ra.length-1&&td.push(Ra[od]);const rd=[],sd=1-qa;ed.push(Q$4(td[0]));for(let od=1;od+2<td.length;od++){const ld=td[od];rd[0]=[ld[0],ld[1]],rd[1]=[ld[0]+(sd*td[od+1][0]-sd*td[od-1][0])/6,ld[1]+(sd*td[od+1][1]-sd*td[od-1][1])/6],rd[2]=[td[od+1][0]+(sd*td[od][0]-sd*td[od+2][0])/6,td[od+1][1]+(sd*td[od][1]-sd*td[od+2][1])/6],rd[3]=[td[od+1][0],td[od+1][1]],ed.push(rd[1],rd[2],rd[3])}}return ed}function N$4(Ra,qa){return Math.pow(Ra[0]-qa[0],2)+Math.pow(Ra[1]-qa[1],2)}function B$2(Ra,qa,Ja){const ed=N$4(qa,Ja);if(ed===0)return N$4(Ra,qa);let td=((Ra[0]-qa[0])*(Ja[0]-qa[0])+(Ra[1]-qa[1])*(Ja[1]-qa[1]))/ed;return td=Math.max(0,Math.min(1,td)),N$4(Ra,J$3(qa,Ja,td))}function J$3(Ra,qa,Ja){return[Ra[0]+(qa[0]-Ra[0])*Ja,Ra[1]+(qa[1]-Ra[1])*Ja]}function K$3(Ra,qa,Ja,ed){const td=ed||[];if(function(od,ld){const cd=od[ld+0],ud=od[ld+1],_d=od[ld+2],yd=od[ld+3];let gd=3*ud[0]-2*cd[0]-yd[0];gd*=gd;let Ed=3*ud[1]-2*cd[1]-yd[1];Ed*=Ed;let Td=3*_d[0]-2*yd[0]-cd[0];Td*=Td;let kd=3*_d[1]-2*yd[1]-cd[1];return kd*=kd,gd<Td&&(gd=Td),Ed<kd&&(Ed=kd),gd+Ed}(Ra,qa)<Ja){const od=Ra[qa+0];td.length?(rd=td[td.length-1],sd=od,Math.sqrt(N$4(rd,sd))>1&&td.push(od)):td.push(od),td.push(Ra[qa+3])}else{const ld=Ra[qa+0],cd=Ra[qa+1],ud=Ra[qa+2],_d=Ra[qa+3],yd=J$3(ld,cd,.5),gd=J$3(cd,ud,.5),Ed=J$3(ud,_d,.5),Td=J$3(yd,gd,.5),kd=J$3(gd,Ed,.5),Rd=J$3(Td,kd,.5);K$3([ld,yd,Td,Rd],0,Ja,td),K$3([Rd,kd,Ed,_d],0,Ja,td)}var rd,sd;return td}function U$3(Ra,qa){return X$3(Ra,0,Ra.length,qa)}function X$3(Ra,qa,Ja,ed,td){const rd=td||[],sd=Ra[qa],od=Ra[Ja-1];let ld=0,cd=1;for(let ud=qa+1;ud<Ja-1;++ud){const _d=B$2(Ra[ud],sd,od);_d>ld&&(ld=_d,cd=ud)}return Math.sqrt(ld)>ed?(X$3(Ra,qa,cd+1,ed,rd),X$3(Ra,cd,Ja,ed,rd)):(rd.length||rd.push(sd),rd.push(od)),rd}function Y$3(Ra,qa=.15,Ja){const ed=[],td=(Ra.length-1)/3;for(let rd=0;rd<td;rd++)K$3(Ra,3*rd,qa,ed);return Ja&&Ja>0?X$3(ed,0,ed.length,Ja):ed}const tt$3="none";let et$3=class{constructor(qa){this.defaultOptions={maxRandomnessOffset:2,roughness:1,bowing:1,stroke:"#000",strokeWidth:1,curveTightness:0,curveFitting:.95,curveStepCount:9,fillStyle:"hachure",fillWeight:-1,hachureAngle:-41,hachureGap:-1,dashOffset:-1,dashGap:-1,zigzagOffset:-1,seed:0,disableMultiStroke:!1,disableMultiStrokeFill:!1,preserveVertices:!1,fillShapeRoughnessGain:.8},this.config=qa||{},this.config.options&&(this.defaultOptions=this._o(this.config.options))}static newSeed(){return Math.floor(Math.random()*2**31)}_o(qa){return qa?Object.assign({},this.defaultOptions,qa):this.defaultOptions}_d(qa,Ja,ed){return{shape:qa,sets:Ja||[],options:ed||this.defaultOptions}}line(qa,Ja,ed,td,rd){const sd=this._o(rd);return this._d("line",[v$1(qa,Ja,ed,td,sd)],sd)}rectangle(qa,Ja,ed,td,rd){const sd=this._o(rd),od=[],ld=O$3(qa,Ja,ed,td,sd);if(sd.fill){const cd=[[qa,Ja],[qa+ed,Ja],[qa+ed,Ja+td],[qa,Ja+td]];sd.fillStyle==="solid"?od.push(I$1([cd],sd)):od.push(C$1([cd],sd))}return sd.stroke!==tt$3&&od.push(ld),this._d("rectangle",od,sd)}ellipse(qa,Ja,ed,td,rd){const sd=this._o(rd),od=[],ld=T$2(ed,td,sd),cd=D$2(qa,Ja,sd,ld);if(sd.fill)if(sd.fillStyle==="solid"){const ud=D$2(qa,Ja,sd,ld).opset;ud.type="fillPath",od.push(ud)}else od.push(C$1([cd.estimatedPoints],sd));return sd.stroke!==tt$3&&od.push(cd.opset),this._d("ellipse",od,sd)}circle(qa,Ja,ed,td){const rd=this.ellipse(qa,Ja,ed,ed,td);return rd.shape="circle",rd}linearPath(qa,Ja){const ed=this._o(Ja);return this._d("linearPath",[S$1(qa,!1,ed)],ed)}arc(qa,Ja,ed,td,rd,sd,od=!1,ld){const cd=this._o(ld),ud=[],_d=A$1(qa,Ja,ed,td,rd,sd,od,!0,cd);if(od&&cd.fill)if(cd.fillStyle==="solid"){const yd=Object.assign({},cd);yd.disableMultiStroke=!0;const gd=A$1(qa,Ja,ed,td,rd,sd,!0,!1,yd);gd.type="fillPath",ud.push(gd)}else ud.push(function(yd,gd,Ed,Td,kd,Rd,Nd){const Id=yd,Md=gd;let Ld=Math.abs(Ed/2),Pd=Math.abs(Td/2);Ld+=G$4(.01*Ld,Nd),Pd+=G$4(.01*Pd,Nd);let qd=kd,Yd=Rd;for(;qd<0;)qd+=2*Math.PI,Yd+=2*Math.PI;Yd-qd>2*Math.PI&&(qd=0,Yd=2*Math.PI);const Ud=(Yd-qd)/Nd.curveStepCount,Hd=[];for(let Vd=qd;Vd<=Yd;Vd+=Ud)Hd.push([Id+Ld*Math.cos(Vd),Md+Pd*Math.sin(Vd)]);return Hd.push([Id+Ld*Math.cos(Yd),Md+Pd*Math.sin(Yd)]),Hd.push([Id,Md]),C$1([Hd],Nd)}(qa,Ja,ed,td,rd,sd,cd));return cd.stroke!==tt$3&&ud.push(_d),this._d("arc",ud,cd)}curve(qa,Ja){const ed=this._o(Ja),td=[],rd=L$4(qa,ed);if(ed.fill&&ed.fill!==tt$3)if(ed.fillStyle==="solid"){const sd=L$4(qa,Object.assign(Object.assign({},ed),{disableMultiStroke:!0,roughness:ed.roughness?ed.roughness+ed.fillShapeRoughnessGain:0}));td.push({type:"fillPath",ops:this._mergedShape(sd.ops)})}else{const sd=[],od=qa;if(od.length){const ld=typeof od[0][0]=="number"?[od]:od;for(const cd of ld)cd.length<3?sd.push(...cd):cd.length===3?sd.push(...Y$3(H$3([cd[0],cd[0],cd[1],cd[2]]),10,(1+ed.roughness)/2)):sd.push(...Y$3(H$3(cd),10,(1+ed.roughness)/2))}sd.length&&td.push(C$1([sd],ed))}return ed.stroke!==tt$3&&td.push(rd),this._d("curve",td,ed)}polygon(qa,Ja){const ed=this._o(Ja),td=[],rd=S$1(qa,!0,ed);return ed.fill&&(ed.fillStyle==="solid"?td.push(I$1([qa],ed)):td.push(C$1([qa],ed))),ed.stroke!==tt$3&&td.push(rd),this._d("polygon",td,ed)}path(qa,Ja){const ed=this._o(Ja),td=[];if(!qa)return this._d("path",td,ed);qa=(qa||"").replace(/\n/g," ").replace(/(-\s)/g,"-").replace("/(ss)/g"," ");const rd=ed.fill&&ed.fill!=="transparent"&&ed.fill!==tt$3,sd=ed.stroke!==tt$3,od=!!(ed.simplification&&ed.simplification<1),ld=function(ud,_d,yd){const gd=m(y$2(b$2(ud))),Ed=[];let Td=[],kd=[0,0],Rd=[];const Nd=()=>{Rd.length>=4&&Td.push(...Y$3(Rd,_d)),Rd=[]},Id=()=>{Nd(),Td.length&&(Ed.push(Td),Td=[])};for(const{key:Ld,data:Pd}of gd)switch(Ld){case"M":Id(),kd=[Pd[0],Pd[1]],Td.push(kd);break;case"L":Nd(),Td.push([Pd[0],Pd[1]]);break;case"C":if(!Rd.length){const qd=Td.length?Td[Td.length-1]:kd;Rd.push([qd[0],qd[1]])}Rd.push([Pd[0],Pd[1]]),Rd.push([Pd[2],Pd[3]]),Rd.push([Pd[4],Pd[5]]);break;case"Z":Nd(),Td.push([kd[0],kd[1]])}if(Id(),!yd)return Ed;const Md=[];for(const Ld of Ed){const Pd=U$3(Ld,yd);Pd.length&&Md.push(Pd)}return Md}(qa,1,od?4-4*(ed.simplification||1):(1+ed.roughness)/2),cd=_$3(qa,ed);if(rd)if(ed.fillStyle==="solid")if(ld.length===1){const ud=_$3(qa,Object.assign(Object.assign({},ed),{disableMultiStroke:!0,roughness:ed.roughness?ed.roughness+ed.fillShapeRoughnessGain:0}));td.push({type:"fillPath",ops:this._mergedShape(ud.ops)})}else td.push(I$1(ld,ed));else td.push(C$1(ld,ed));return sd&&(od?ld.forEach(ud=>{td.push(S$1(ud,!1,ed))}):td.push(cd)),this._d("path",td,ed)}opsToPath(qa,Ja){let ed="";for(const td of qa.ops){const rd=typeof Ja=="number"&&Ja>=0?td.data.map(sd=>+sd.toFixed(Ja)):td.data;switch(td.op){case"move":ed+=`M${rd[0]} ${rd[1]} `;break;case"bcurveTo":ed+=`C${rd[0]} ${rd[1]}, ${rd[2]} ${rd[3]}, ${rd[4]} ${rd[5]} `;break;case"lineTo":ed+=`L${rd[0]} ${rd[1]} `}}return ed.trim()}toPaths(qa){const Ja=qa.sets||[],ed=qa.options||this.defaultOptions,td=[];for(const rd of Ja){let sd=null;switch(rd.type){case"path":sd={d:this.opsToPath(rd),stroke:ed.stroke,strokeWidth:ed.strokeWidth,fill:tt$3};break;case"fillPath":sd={d:this.opsToPath(rd),stroke:tt$3,strokeWidth:0,fill:ed.fill||tt$3};break;case"fillSketch":sd=this.fillSketch(rd,ed)}sd&&td.push(sd)}return td}fillSketch(qa,Ja){let ed=Ja.fillWeight;return ed<0&&(ed=Ja.strokeWidth/2),{d:this.opsToPath(qa),stroke:Ja.fill||tt$3,strokeWidth:ed,fill:tt$3}}_mergedShape(qa){return qa.filter((Ja,ed)=>ed===0||Ja.op!=="move")}},st$2=class{constructor(qa,Ja){this.canvas=qa,this.ctx=this.canvas.getContext("2d"),this.gen=new et$3(Ja)}draw(qa){const Ja=qa.sets||[],ed=qa.options||this.getDefaultOptions(),td=this.ctx,rd=qa.options.fixedDecimalPlaceDigits;for(const sd of Ja)switch(sd.type){case"path":td.save(),td.strokeStyle=ed.stroke==="none"?"transparent":ed.stroke,td.lineWidth=ed.strokeWidth,ed.strokeLineDash&&td.setLineDash(ed.strokeLineDash),ed.strokeLineDashOffset&&(td.lineDashOffset=ed.strokeLineDashOffset),this._drawToContext(td,sd,rd),td.restore();break;case"fillPath":{td.save(),td.fillStyle=ed.fill||"";const od=qa.shape==="curve"||qa.shape==="polygon"||qa.shape==="path"?"evenodd":"nonzero";this._drawToContext(td,sd,rd,od),td.restore();break}case"fillSketch":this.fillSketch(td,sd,ed)}}fillSketch(qa,Ja,ed){let td=ed.fillWeight;td<0&&(td=ed.strokeWidth/2),qa.save(),ed.fillLineDash&&qa.setLineDash(ed.fillLineDash),ed.fillLineDashOffset&&(qa.lineDashOffset=ed.fillLineDashOffset),qa.strokeStyle=ed.fill||"",qa.lineWidth=td,this._drawToContext(qa,Ja,ed.fixedDecimalPlaceDigits),qa.restore()}_drawToContext(qa,Ja,ed,td="nonzero"){qa.beginPath();for(const rd of Ja.ops){const sd=typeof ed=="number"&&ed>=0?rd.data.map(od=>+od.toFixed(ed)):rd.data;switch(rd.op){case"move":qa.moveTo(sd[0],sd[1]);break;case"bcurveTo":qa.bezierCurveTo(sd[0],sd[1],sd[2],sd[3],sd[4],sd[5]);break;case"lineTo":qa.lineTo(sd[0],sd[1])}}Ja.type==="fillPath"?qa.fill(td):qa.stroke()}get generator(){return this.gen}getDefaultOptions(){return this.gen.defaultOptions}line(qa,Ja,ed,td,rd){const sd=this.gen.line(qa,Ja,ed,td,rd);return this.draw(sd),sd}rectangle(qa,Ja,ed,td,rd){const sd=this.gen.rectangle(qa,Ja,ed,td,rd);return this.draw(sd),sd}ellipse(qa,Ja,ed,td,rd){const sd=this.gen.ellipse(qa,Ja,ed,td,rd);return this.draw(sd),sd}circle(qa,Ja,ed,td){const rd=this.gen.circle(qa,Ja,ed,td);return this.draw(rd),rd}linearPath(qa,Ja){const ed=this.gen.linearPath(qa,Ja);return this.draw(ed),ed}polygon(qa,Ja){const ed=this.gen.polygon(qa,Ja);return this.draw(ed),ed}arc(qa,Ja,ed,td,rd,sd,od=!1,ld){const cd=this.gen.arc(qa,Ja,ed,td,rd,sd,od,ld);return this.draw(cd),cd}curve(qa,Ja){const ed=this.gen.curve(qa,Ja);return this.draw(ed),ed}path(qa,Ja){const ed=this.gen.path(qa,Ja);return this.draw(ed),ed}};const nt$2="http://www.w3.org/2000/svg";let ot$2=class{constructor(qa,Ja){this.svg=qa,this.gen=new et$3(Ja)}draw(qa){const Ja=qa.sets||[],ed=qa.options||this.getDefaultOptions(),td=this.svg.ownerDocument||window.document,rd=td.createElementNS(nt$2,"g"),sd=qa.options.fixedDecimalPlaceDigits;for(const od of Ja){let ld=null;switch(od.type){case"path":ld=td.createElementNS(nt$2,"path"),ld.setAttribute("d",this.opsToPath(od,sd)),ld.setAttribute("stroke",ed.stroke),ld.setAttribute("stroke-width",ed.strokeWidth+""),ld.setAttribute("fill","none"),ed.strokeLineDash&&ld.setAttribute("stroke-dasharray",ed.strokeLineDash.join(" ").trim()),ed.strokeLineDashOffset&&ld.setAttribute("stroke-dashoffset",`${ed.strokeLineDashOffset}`);break;case"fillPath":ld=td.createElementNS(nt$2,"path"),ld.setAttribute("d",this.opsToPath(od,sd)),ld.setAttribute("stroke","none"),ld.setAttribute("stroke-width","0"),ld.setAttribute("fill",ed.fill||""),qa.shape!=="curve"&&qa.shape!=="polygon"||ld.setAttribute("fill-rule","evenodd");break;case"fillSketch":ld=this.fillSketch(td,od,ed)}ld&&rd.appendChild(ld)}return rd}fillSketch(qa,Ja,ed){let td=ed.fillWeight;td<0&&(td=ed.strokeWidth/2);const rd=qa.createElementNS(nt$2,"path");return rd.setAttribute("d",this.opsToPath(Ja,ed.fixedDecimalPlaceDigits)),rd.setAttribute("stroke",ed.fill||""),rd.setAttribute("stroke-width",td+""),rd.setAttribute("fill","none"),ed.fillLineDash&&rd.setAttribute("stroke-dasharray",ed.fillLineDash.join(" ").trim()),ed.fillLineDashOffset&&rd.setAttribute("stroke-dashoffset",`${ed.fillLineDashOffset}`),rd}get generator(){return this.gen}getDefaultOptions(){return this.gen.defaultOptions}opsToPath(qa,Ja){return this.gen.opsToPath(qa,Ja)}line(qa,Ja,ed,td,rd){const sd=this.gen.line(qa,Ja,ed,td,rd);return this.draw(sd)}rectangle(qa,Ja,ed,td,rd){const sd=this.gen.rectangle(qa,Ja,ed,td,rd);return this.draw(sd)}ellipse(qa,Ja,ed,td,rd){const sd=this.gen.ellipse(qa,Ja,ed,td,rd);return this.draw(sd)}circle(qa,Ja,ed,td){const rd=this.gen.circle(qa,Ja,ed,td);return this.draw(rd)}linearPath(qa,Ja){const ed=this.gen.linearPath(qa,Ja);return this.draw(ed)}polygon(qa,Ja){const ed=this.gen.polygon(qa,Ja);return this.draw(ed)}arc(qa,Ja,ed,td,rd,sd,od=!1,ld){const cd=this.gen.arc(qa,Ja,ed,td,rd,sd,od,ld);return this.draw(cd)}curve(qa,Ja){const ed=this.gen.curve(qa,Ja);return this.draw(ed)}path(qa,Ja){const ed=this.gen.path(qa,Ja);return this.draw(ed)}};var at$3={canvas:(Ra,qa)=>new st$2(Ra,qa),svg:(Ra,qa)=>new ot$2(Ra,qa),generator:Ra=>new et$3(Ra),newSeed:()=>et$3.newSeed()},intersectRect=__name((Ra,qa)=>{var Ja=Ra.x,ed=Ra.y,td=qa.x-Ja,rd=qa.y-ed,sd=Ra.width/2,od=Ra.height/2,ld,cd;return Math.abs(rd)*sd>Math.abs(td)*od?(rd<0&&(od=-od),ld=rd===0?0:od*td/rd,cd=od):(td<0&&(sd=-sd),ld=sd,cd=td===0?0:sd*rd/td),{x:Ja+ld,y:ed+cd}},"intersectRect"),intersect_rect_default=intersectRect;function applyStyle(Ra,qa){qa&&Ra.attr("style",qa)}__name(applyStyle,"applyStyle");async function addHtmlLabel(Ra){const qa=select(document.createElementNS("http://www.w3.org/2000/svg","foreignObject")),Ja=qa.append("xhtml:div");let ed=Ra.label;Ra.label&&hasKatex(Ra.label)&&(ed=await renderKatex(Ra.label.replace(common_default.lineBreakRegex,`
|
||
`),getConfig2()));const td=Ra.isNode?"nodeLabel":"edgeLabel";return Ja.html('<span class="'+td+'" '+(Ra.labelStyle?'style="'+Ra.labelStyle+'"':"")+">"+ed+"</span>"),applyStyle(Ja,Ra.labelStyle),Ja.style("display","inline-block"),Ja.style("padding-right","1px"),Ja.style("white-space","nowrap"),Ja.attr("xmlns","http://www.w3.org/1999/xhtml"),qa.node()}__name(addHtmlLabel,"addHtmlLabel");var createLabel=__name(async(Ra,qa,Ja,ed)=>{let td=Ra||"";if(typeof td=="object"&&(td=td[0]),evaluate(getConfig2().flowchart.htmlLabels)){td=td.replace(/\\n|\n/g,"<br />"),log$1.info("vertexText"+td);const rd={isNode:ed,label:decodeEntities(td).replace(/fa[blrs]?:fa-[\w-]+/g,od=>`<i class='${od.replace(":"," ")}'></i>`),labelStyle:qa&&qa.replace("fill:","color:")};return await addHtmlLabel(rd)}else{const rd=document.createElementNS("http://www.w3.org/2000/svg","text");rd.setAttribute("style",qa.replace("color:","fill:"));let sd=[];typeof td=="string"?sd=td.split(/\\n|\n|<br\s*\/?>/gi):Array.isArray(td)?sd=td:sd=[];for(const od of sd){const ld=document.createElementNS("http://www.w3.org/2000/svg","tspan");ld.setAttributeNS("http://www.w3.org/XML/1998/namespace","xml:space","preserve"),ld.setAttribute("dy","1em"),ld.setAttribute("x","0"),Ja?ld.setAttribute("class","title-row"):ld.setAttribute("class","row"),ld.textContent=od.trim(),rd.appendChild(ld)}return rd}},"createLabel"),createLabel_default=createLabel,createRoundedRectPathD=__name((Ra,qa,Ja,ed,td)=>["M",Ra+td,qa,"H",Ra+Ja-td,"A",td,td,0,0,1,Ra+Ja,qa+td,"V",qa+ed-td,"A",td,td,0,0,1,Ra+Ja-td,qa+ed,"H",Ra+td,"A",td,td,0,0,1,Ra,qa+ed-td,"V",qa+td,"A",td,td,0,0,1,Ra+td,qa,"Z"].join(" "),"createRoundedRectPathD"),solidStateFill=__name(Ra=>{const{handDrawnSeed:qa}=getConfig2();return{fill:Ra,hachureAngle:120,hachureGap:4,fillWeight:2,roughness:.7,stroke:Ra,seed:qa}},"solidStateFill"),compileStyles=__name(Ra=>{const qa=styles2Map([...Ra.cssCompiledStyles||[],...Ra.cssStyles||[]]);return{stylesMap:qa,stylesArray:[...qa]}},"compileStyles"),styles2Map=__name(Ra=>{const qa=new Map;return Ra.forEach(Ja=>{const[ed,td]=Ja.split(":");qa.set(ed.trim(),td==null?void 0:td.trim())}),qa},"styles2Map"),styles2String=__name(Ra=>{const{stylesArray:qa}=compileStyles(Ra),Ja=[],ed=[],td=[],rd=[];return qa.forEach(sd=>{const od=sd[0];od==="color"||od==="font-size"||od==="font-family"||od==="font-weight"||od==="font-style"||od==="text-decoration"||od==="text-align"||od==="text-transform"||od==="line-height"||od==="letter-spacing"||od==="word-spacing"||od==="text-shadow"||od==="text-overflow"||od==="white-space"||od==="word-wrap"||od==="word-break"||od==="overflow-wrap"||od==="hyphens"?Ja.push(sd.join(":")+" !important"):(ed.push(sd.join(":")+" !important"),od.includes("stroke")&&td.push(sd.join(":")+" !important"),od==="fill"&&rd.push(sd.join(":")+" !important"))}),{labelStyles:Ja.join(";"),nodeStyles:ed.join(";"),stylesArray:qa,borderStyles:td,backgroundStyles:rd}},"styles2String"),userNodeOverrides=__name((Ra,qa)=>{const{themeVariables:Ja,handDrawnSeed:ed}=getConfig2(),{nodeBorder:td,mainBkg:rd}=Ja,{stylesMap:sd}=compileStyles(Ra);return Object.assign({roughness:.7,fill:sd.get("fill")||rd,fillStyle:"hachure",fillWeight:4,stroke:sd.get("stroke")||td,seed:ed,strokeWidth:1.3},qa)},"userNodeOverrides"),rect$2=__name(async(Ra,qa)=>{log$1.info("Creating subgraph rect for ",qa.id,qa);const Ja=getConfig2(),{themeVariables:ed,handDrawnSeed:td}=Ja,{clusterBkg:rd,clusterBorder:sd}=ed,{labelStyles:od,nodeStyles:ld,borderStyles:cd,backgroundStyles:ud}=styles2String(qa),_d=Ra.insert("g").attr("class","cluster "+qa.cssClasses).attr("id",qa.id).attr("data-look",qa.look),yd=evaluate(Ja.flowchart.htmlLabels),gd=_d.insert("g").attr("class","cluster-label "),Ed=await createText(gd,qa.label,{style:qa.labelStyle,useHtmlLabels:yd,isNode:!0});let Td=Ed.getBBox();if(evaluate(Ja.flowchart.htmlLabels)){const qd=Ed.children[0],Yd=select(Ed);Td=qd.getBoundingClientRect(),Yd.attr("width",Td.width),Yd.attr("height",Td.height)}const kd=qa.width<=Td.width+qa.padding?Td.width+qa.padding:qa.width;qa.width<=Td.width+qa.padding?qa.diff=(kd-qa.width)/2-qa.padding:qa.diff=-qa.padding;const Rd=qa.height,Nd=qa.x-kd/2,Id=qa.y-Rd/2;log$1.trace("Data ",qa,JSON.stringify(qa));let Md;if(qa.look==="handDrawn"){const qd=at$3.svg(_d),Yd=userNodeOverrides(qa,{roughness:.7,fill:rd,stroke:sd,fillWeight:3,seed:td}),Ud=qd.path(createRoundedRectPathD(Nd,Id,kd,Rd,0),Yd);Md=_d.insert(()=>(log$1.debug("Rough node insert CXC",Ud),Ud),":first-child"),Md.select("path:nth-child(2)").attr("style",cd.join(";")),Md.select("path").attr("style",ud.join(";").replace("fill","stroke"))}else Md=_d.insert("rect",":first-child"),Md.attr("style",ld).attr("rx",qa.rx).attr("ry",qa.ry).attr("x",Nd).attr("y",Id).attr("width",kd).attr("height",Rd);const{subGraphTitleTopMargin:Ld}=getSubGraphTitleMargins(Ja);if(gd.attr("transform",`translate(${qa.x-Td.width/2}, ${qa.y-qa.height/2+Ld})`),od){const qd=gd.select("span");qd&&qd.attr("style",od)}const Pd=Md.node().getBBox();return qa.offsetX=0,qa.width=Pd.width,qa.height=Pd.height,qa.offsetY=Td.height-qa.padding/2,qa.intersect=function(qd){return intersect_rect_default(qa,qd)},{cluster:_d,labelBBox:Td}},"rect"),noteGroup=__name((Ra,qa)=>{const Ja=Ra.insert("g").attr("class","note-cluster").attr("id",qa.id),ed=Ja.insert("rect",":first-child"),td=0*qa.padding,rd=td/2;ed.attr("rx",qa.rx).attr("ry",qa.ry).attr("x",qa.x-qa.width/2-rd).attr("y",qa.y-qa.height/2-rd).attr("width",qa.width+td).attr("height",qa.height+td).attr("fill","none");const sd=ed.node().getBBox();return qa.width=sd.width,qa.height=sd.height,qa.intersect=function(od){return intersect_rect_default(qa,od)},{cluster:Ja,labelBBox:{width:0,height:0}}},"noteGroup"),roundedWithTitle=__name(async(Ra,qa)=>{const Ja=getConfig2(),{themeVariables:ed,handDrawnSeed:td}=Ja,{altBackground:rd,compositeBackground:sd,compositeTitleBackground:od,nodeBorder:ld}=ed,cd=Ra.insert("g").attr("class",qa.cssClasses).attr("id",qa.id).attr("data-id",qa.id).attr("data-look",qa.look),ud=cd.insert("g",":first-child"),_d=cd.insert("g").attr("class","cluster-label");let yd=cd.append("rect");const gd=_d.node().appendChild(await createLabel_default(qa.label,qa.labelStyle,void 0,!0));let Ed=gd.getBBox();if(evaluate(Ja.flowchart.htmlLabels)){const Ud=gd.children[0],Hd=select(gd);Ed=Ud.getBoundingClientRect(),Hd.attr("width",Ed.width),Hd.attr("height",Ed.height)}const Td=0*qa.padding,kd=Td/2,Rd=(qa.width<=Ed.width+qa.padding?Ed.width+qa.padding:qa.width)+Td;qa.width<=Ed.width+qa.padding?qa.diff=(Rd-qa.width)/2-qa.padding:qa.diff=-qa.padding;const Nd=qa.height+Td,Id=qa.height+Td-Ed.height-6,Md=qa.x-Rd/2,Ld=qa.y-Nd/2;qa.width=Rd;const Pd=qa.y-qa.height/2-kd+Ed.height+2;let qd;if(qa.look==="handDrawn"){const Ud=qa.cssClasses.includes("statediagram-cluster-alt"),Hd=at$3.svg(cd),Vd=qa.rx||qa.ry?Hd.path(createRoundedRectPathD(Md,Ld,Rd,Nd,10),{roughness:.7,fill:od,fillStyle:"solid",stroke:ld,seed:td}):Hd.rectangle(Md,Ld,Rd,Nd,{seed:td});qd=cd.insert(()=>Vd,":first-child");const Jd=Hd.rectangle(Md,Pd,Rd,Id,{fill:Ud?rd:sd,fillStyle:Ud?"hachure":"solid",stroke:ld,seed:td});qd=cd.insert(()=>Vd,":first-child"),yd=cd.insert(()=>Jd)}else qd=ud.insert("rect",":first-child"),qd.attr("class","outer").attr("x",Md).attr("y",Ld).attr("width",Rd).attr("height",Nd).attr("data-look",qa.look),yd.attr("class","inner").attr("x",Md).attr("y",Pd).attr("width",Rd).attr("height",Id);_d.attr("transform",`translate(${qa.x-Ed.width/2}, ${Ld+1-(evaluate(Ja.flowchart.htmlLabels)?0:3)})`);const Yd=qd.node().getBBox();return qa.height=Yd.height,qa.offsetX=0,qa.offsetY=Ed.height-qa.padding/2,qa.labelBBox=Ed,qa.intersect=function(Ud){return intersect_rect_default(qa,Ud)},{cluster:cd,labelBBox:Ed}},"roundedWithTitle"),divider=__name((Ra,qa)=>{const Ja=getConfig2(),{themeVariables:ed,handDrawnSeed:td}=Ja,{nodeBorder:rd}=ed,sd=Ra.insert("g").attr("class",qa.cssClasses).attr("id",qa.id).attr("data-look",qa.look),od=sd.insert("g",":first-child"),ld=0*qa.padding,cd=qa.width+ld;qa.diff=-qa.padding;const ud=qa.height+ld,_d=qa.x-cd/2,yd=qa.y-ud/2;qa.width=cd;let gd;if(qa.look==="handDrawn"){const kd=at$3.svg(sd).rectangle(_d,yd,cd,ud,{fill:"lightgrey",roughness:.5,strokeLineDash:[5],stroke:rd,seed:td});gd=sd.insert(()=>kd,":first-child")}else gd=od.insert("rect",":first-child"),gd.attr("class","divider").attr("x",_d).attr("y",yd).attr("width",cd).attr("height",ud).attr("data-look",qa.look);const Ed=gd.node().getBBox();return qa.height=Ed.height,qa.offsetX=0,qa.offsetY=0,qa.intersect=function(Td){return intersect_rect_default(qa,Td)},{cluster:sd,labelBBox:{}}},"divider"),squareRect=rect$2,shapes={rect:rect$2,squareRect,roundedWithTitle,noteGroup,divider},clusterElems=new Map,insertCluster=__name(async(Ra,qa)=>{const Ja=qa.shape||"rect",ed=await shapes[Ja](Ra,qa);return clusterElems.set(qa.id,ed),ed},"insertCluster"),clear=__name(()=>{clusterElems=new Map},"clear"),addEdgeMarkers=__name((Ra,qa,Ja,ed,td)=>{qa.arrowTypeStart&&addEdgeMarker(Ra,"start",qa.arrowTypeStart,Ja,ed,td),qa.arrowTypeEnd&&addEdgeMarker(Ra,"end",qa.arrowTypeEnd,Ja,ed,td)},"addEdgeMarkers"),arrowTypesMap={arrow_cross:"cross",arrow_point:"point",arrow_barb:"barb",arrow_circle:"circle",aggregation:"aggregation",extension:"extension",composition:"composition",dependency:"dependency",lollipop:"lollipop"},addEdgeMarker=__name((Ra,qa,Ja,ed,td,rd)=>{const sd=arrowTypesMap[Ja];if(!sd){log$1.warn(`Unknown arrow type: ${Ja}`);return}const od=qa==="start"?"Start":"End";Ra.attr(`marker-${qa}`,`url(${ed}#${td}_${rd}-${sd}${od})`)},"addEdgeMarker"),edgeLabels=new Map,terminalLabels=new Map,clear2=__name(()=>{edgeLabels.clear(),terminalLabels.clear()},"clear"),getLabelStyles=__name(Ra=>Ra?Ra.reduce((Ja,ed)=>Ja+";"+ed,""):"","getLabelStyles"),insertEdgeLabel=__name(async(Ra,qa)=>{let Ja=evaluate(getConfig2().flowchart.htmlLabels);const ed=await createText(Ra,qa.label,{style:getLabelStyles(qa.labelStyle),useHtmlLabels:Ja,addSvgBackground:!0,isNode:!1});log$1.info("abc82",qa,qa.labelType);const td=Ra.insert("g").attr("class","edgeLabel"),rd=td.insert("g").attr("class","label");rd.node().appendChild(ed);let sd=ed.getBBox();if(Ja){const ld=ed.children[0],cd=select(ed);sd=ld.getBoundingClientRect(),cd.attr("width",sd.width),cd.attr("height",sd.height)}rd.attr("transform","translate("+-sd.width/2+", "+-sd.height/2+")"),edgeLabels.set(qa.id,td),qa.width=sd.width,qa.height=sd.height;let od;if(qa.startLabelLeft){const ld=await createLabel_default(qa.startLabelLeft,getLabelStyles(qa.labelStyle)),cd=Ra.insert("g").attr("class","edgeTerminals"),ud=cd.insert("g").attr("class","inner");od=ud.node().appendChild(ld);const _d=ld.getBBox();ud.attr("transform","translate("+-_d.width/2+", "+-_d.height/2+")"),terminalLabels.get(qa.id)||terminalLabels.set(qa.id,{}),terminalLabels.get(qa.id).startLeft=cd,setTerminalWidth(od,qa.startLabelLeft)}if(qa.startLabelRight){const ld=await createLabel_default(qa.startLabelRight,getLabelStyles(qa.labelStyle)),cd=Ra.insert("g").attr("class","edgeTerminals"),ud=cd.insert("g").attr("class","inner");od=cd.node().appendChild(ld),ud.node().appendChild(ld);const _d=ld.getBBox();ud.attr("transform","translate("+-_d.width/2+", "+-_d.height/2+")"),terminalLabels.get(qa.id)||terminalLabels.set(qa.id,{}),terminalLabels.get(qa.id).startRight=cd,setTerminalWidth(od,qa.startLabelRight)}if(qa.endLabelLeft){const ld=await createLabel_default(qa.endLabelLeft,getLabelStyles(qa.labelStyle)),cd=Ra.insert("g").attr("class","edgeTerminals"),ud=cd.insert("g").attr("class","inner");od=ud.node().appendChild(ld);const _d=ld.getBBox();ud.attr("transform","translate("+-_d.width/2+", "+-_d.height/2+")"),cd.node().appendChild(ld),terminalLabels.get(qa.id)||terminalLabels.set(qa.id,{}),terminalLabels.get(qa.id).endLeft=cd,setTerminalWidth(od,qa.endLabelLeft)}if(qa.endLabelRight){const ld=await createLabel_default(qa.endLabelRight,getLabelStyles(qa.labelStyle)),cd=Ra.insert("g").attr("class","edgeTerminals"),ud=cd.insert("g").attr("class","inner");od=ud.node().appendChild(ld);const _d=ld.getBBox();ud.attr("transform","translate("+-_d.width/2+", "+-_d.height/2+")"),cd.node().appendChild(ld),terminalLabels.get(qa.id)||terminalLabels.set(qa.id,{}),terminalLabels.get(qa.id).endRight=cd,setTerminalWidth(od,qa.endLabelRight)}return ed},"insertEdgeLabel");function setTerminalWidth(Ra,qa){getConfig2().flowchart.htmlLabels&&Ra&&(Ra.style.width=qa.length*9+"px",Ra.style.height="12px")}__name(setTerminalWidth,"setTerminalWidth");var positionEdgeLabel=__name((Ra,qa)=>{log$1.debug("Moving label abc88 ",Ra.id,Ra.label,edgeLabels.get(Ra.id),qa);let Ja=qa.updatedPath?qa.updatedPath:qa.originalPath;const ed=getConfig2(),{subGraphTitleTotalMargin:td}=getSubGraphTitleMargins(ed);if(Ra.label){const rd=edgeLabels.get(Ra.id);let sd=Ra.x,od=Ra.y;if(Ja){const ld=utils_default.calcLabelPosition(Ja);log$1.debug("Moving label "+Ra.label+" from (",sd,",",od,") to (",ld.x,",",ld.y,") abc88"),qa.updatedPath&&(sd=ld.x,od=ld.y)}rd.attr("transform",`translate(${sd}, ${od+td/2})`)}if(Ra.startLabelLeft){const rd=terminalLabels.get(Ra.id).startLeft;let sd=Ra.x,od=Ra.y;if(Ja){const ld=utils_default.calcTerminalLabelPosition(Ra.arrowTypeStart?10:0,"start_left",Ja);sd=ld.x,od=ld.y}rd.attr("transform",`translate(${sd}, ${od})`)}if(Ra.startLabelRight){const rd=terminalLabels.get(Ra.id).startRight;let sd=Ra.x,od=Ra.y;if(Ja){const ld=utils_default.calcTerminalLabelPosition(Ra.arrowTypeStart?10:0,"start_right",Ja);sd=ld.x,od=ld.y}rd.attr("transform",`translate(${sd}, ${od})`)}if(Ra.endLabelLeft){const rd=terminalLabels.get(Ra.id).endLeft;let sd=Ra.x,od=Ra.y;if(Ja){const ld=utils_default.calcTerminalLabelPosition(Ra.arrowTypeEnd?10:0,"end_left",Ja);sd=ld.x,od=ld.y}rd.attr("transform",`translate(${sd}, ${od})`)}if(Ra.endLabelRight){const rd=terminalLabels.get(Ra.id).endRight;let sd=Ra.x,od=Ra.y;if(Ja){const ld=utils_default.calcTerminalLabelPosition(Ra.arrowTypeEnd?10:0,"end_right",Ja);sd=ld.x,od=ld.y}rd.attr("transform",`translate(${sd}, ${od})`)}},"positionEdgeLabel"),outsideNode=__name((Ra,qa)=>{const Ja=Ra.x,ed=Ra.y,td=Math.abs(qa.x-Ja),rd=Math.abs(qa.y-ed),sd=Ra.width/2,od=Ra.height/2;return td>=sd||rd>=od},"outsideNode"),intersection=__name((Ra,qa,Ja)=>{log$1.debug(`intersection calc abc89:
|
||
outsidePoint: ${JSON.stringify(qa)}
|
||
insidePoint : ${JSON.stringify(Ja)}
|
||
node : x:${Ra.x} y:${Ra.y} w:${Ra.width} h:${Ra.height}`);const ed=Ra.x,td=Ra.y,rd=Math.abs(ed-Ja.x),sd=Ra.width/2;let od=Ja.x<qa.x?sd-rd:sd+rd;const ld=Ra.height/2,cd=Math.abs(qa.y-Ja.y),ud=Math.abs(qa.x-Ja.x);if(Math.abs(td-qa.y)*sd>Math.abs(ed-qa.x)*ld){let _d=Ja.y<qa.y?qa.y-ld-td:td-ld-qa.y;od=ud*_d/cd;const yd={x:Ja.x<qa.x?Ja.x+od:Ja.x-ud+od,y:Ja.y<qa.y?Ja.y+cd-_d:Ja.y-cd+_d};return od===0&&(yd.x=qa.x,yd.y=qa.y),ud===0&&(yd.x=qa.x),cd===0&&(yd.y=qa.y),log$1.debug(`abc89 top/bottom calc, Q ${cd}, q ${_d}, R ${ud}, r ${od}`,yd),yd}else{Ja.x<qa.x?od=qa.x-sd-ed:od=ed-sd-qa.x;let _d=cd*od/ud,yd=Ja.x<qa.x?Ja.x+ud-od:Ja.x-ud+od,gd=Ja.y<qa.y?Ja.y+_d:Ja.y-_d;return log$1.debug(`sides calc abc89, Q ${cd}, q ${_d}, R ${ud}, r ${od}`,{_x:yd,_y:gd}),od===0&&(yd=qa.x,gd=qa.y),ud===0&&(yd=qa.x),cd===0&&(gd=qa.y),{x:yd,y:gd}}},"intersection"),cutPathAtIntersect=__name((Ra,qa)=>{log$1.warn("abc88 cutPathAtIntersect",Ra,qa);let Ja=[],ed=Ra[0],td=!1;return Ra.forEach(rd=>{if(log$1.info("abc88 checking point",rd,qa),!outsideNode(qa,rd)&&!td){const sd=intersection(qa,ed,rd);log$1.debug("abc88 inside",rd,ed,sd),log$1.debug("abc88 intersection",sd,qa);let od=!1;Ja.forEach(ld=>{od=od||ld.x===sd.x&&ld.y===sd.y}),Ja.some(ld=>ld.x===sd.x&&ld.y===sd.y)?log$1.warn("abc88 no intersect",sd,Ja):Ja.push(sd),td=!0}else log$1.warn("abc88 outside",rd,ed),ed=rd,td||Ja.push(rd)}),log$1.debug("returning points",Ja),Ja},"cutPathAtIntersect");function extractCornerPoints(Ra){const qa=[],Ja=[];for(let ed=1;ed<Ra.length-1;ed++){const td=Ra[ed-1],rd=Ra[ed],sd=Ra[ed+1];(td.x===rd.x&&rd.y===sd.y&&Math.abs(rd.x-sd.x)>5&&Math.abs(rd.y-td.y)>5||td.y===rd.y&&rd.x===sd.x&&Math.abs(rd.x-td.x)>5&&Math.abs(rd.y-sd.y)>5)&&(qa.push(rd),Ja.push(ed))}return{cornerPoints:qa,cornerPointPositions:Ja}}__name(extractCornerPoints,"extractCornerPoints");var findAdjacentPoint=__name(function(Ra,qa,Ja){const ed=qa.x-Ra.x,td=qa.y-Ra.y,rd=Math.sqrt(ed*ed+td*td),sd=Ja/rd;return{x:qa.x-sd*ed,y:qa.y-sd*td}},"findAdjacentPoint"),fixCorners=__name(function(Ra){const{cornerPointPositions:qa}=extractCornerPoints(Ra),Ja=[];for(let ed=0;ed<Ra.length;ed++)if(qa.includes(ed)){const td=Ra[ed-1],rd=Ra[ed+1],sd=Ra[ed],od=findAdjacentPoint(td,sd,5),ld=findAdjacentPoint(rd,sd,5),cd=ld.x-od.x,ud=ld.y-od.y;Ja.push(od);const _d=Math.sqrt(2)*2;let yd={x:sd.x,y:sd.y};if(Math.abs(rd.x-td.x)>10&&Math.abs(rd.y-td.y)>=10){log$1.debug("Corner point fixing",Math.abs(rd.x-td.x),Math.abs(rd.y-td.y));const gd=5;sd.x===od.x?yd={x:cd<0?od.x-gd+_d:od.x+gd-_d,y:ud<0?od.y-_d:od.y+_d}:yd={x:cd<0?od.x-_d:od.x+_d,y:ud<0?od.y-gd+_d:od.y+gd-_d}}else log$1.debug("Corner point skipping fixing",Math.abs(rd.x-td.x),Math.abs(rd.y-td.y));Ja.push(yd,ld)}else Ja.push(Ra[ed]);return Ja},"fixCorners"),insertEdge=__name(function(Ra,qa,Ja,ed,td,rd,sd){const{handDrawnSeed:od}=getConfig2();let ld=qa.points,cd=!1;const ud=td;var _d=rd;_d.intersect&&ud.intersect&&(ld=ld.slice(1,qa.points.length-1),ld.unshift(ud.intersect(ld[0])),log$1.debug("Last point APA12",qa.start,"-->",qa.end,ld[ld.length-1],_d,_d.intersect(ld[ld.length-1])),ld.push(_d.intersect(ld[ld.length-1]))),qa.toCluster&&(log$1.info("to cluster abc88",Ja.get(qa.toCluster)),ld=cutPathAtIntersect(qa.points,Ja.get(qa.toCluster).node),cd=!0),qa.fromCluster&&(log$1.debug("from cluster abc88",Ja.get(qa.fromCluster),JSON.stringify(ld,null,2)),ld=cutPathAtIntersect(ld.reverse(),Ja.get(qa.fromCluster).node).reverse(),cd=!0);let yd=ld.filter(Yd=>!Number.isNaN(Yd.y));yd=fixCorners(yd);let gd=yd[yd.length-1];if(yd.length>1){gd=yd[yd.length-1];const Yd=yd[yd.length-2],Ud=(gd.x-Yd.x)/2,Hd=(gd.y-Yd.y)/2,Vd={x:Yd.x+Ud,y:Yd.y+Hd};yd.splice(-1,0,Vd)}let Ed=curveBasis;qa.curve&&(Ed=qa.curve);const{x:Td,y:kd}=getLineFunctionsWithOffset(qa),Rd=line$1().x(Td).y(kd).curve(Ed);let Nd;switch(qa.thickness){case"normal":Nd="edge-thickness-normal";break;case"thick":Nd="edge-thickness-thick";break;case"invisible":Nd="edge-thickness-invisible";break;default:Nd="edge-thickness-normal"}switch(qa.pattern){case"solid":Nd+=" edge-pattern-solid";break;case"dotted":Nd+=" edge-pattern-dotted";break;case"dashed":Nd+=" edge-pattern-dashed";break;default:Nd+=" edge-pattern-solid"}let Id,Md=Rd(yd);const Ld=Array.isArray(qa.style)?qa.style:[qa.style];if(qa.look==="handDrawn"){const Yd=at$3.svg(Ra);Object.assign([],yd);const Ud=Yd.path(Md,{roughness:.3,seed:od});Nd+=" transition",Id=select(Ud).select("path").attr("id",qa.id).attr("class"," "+Nd+(qa.classes?" "+qa.classes:"")).attr("style",Ld?Ld.reduce((Vd,Jd)=>Vd+";"+Jd,""):"");let Hd=Id.attr("d");Id.attr("d",Hd),Ra.node().appendChild(Id.node())}else Id=Ra.append("path").attr("d",Md).attr("id",qa.id).attr("class"," "+Nd+(qa.classes?" "+qa.classes:"")).attr("style",Ld?Ld.reduce((Yd,Ud)=>Yd+";"+Ud,""):"");let Pd="";(getConfig2().flowchart.arrowMarkerAbsolute||getConfig2().state.arrowMarkerAbsolute)&&(Pd=window.location.protocol+"//"+window.location.host+window.location.pathname+window.location.search,Pd=Pd.replace(/\(/g,"\\(").replace(/\)/g,"\\)")),log$1.info("arrowTypeStart",qa.arrowTypeStart),log$1.info("arrowTypeEnd",qa.arrowTypeEnd),addEdgeMarkers(Id,qa,Pd,sd,ed);let qd={};return cd&&(qd.updatedPath=ld),qd.originalPath=qa.points,qd},"insertEdge"),insertMarkers=__name((Ra,qa,Ja,ed)=>{qa.forEach(td=>{markers[td](Ra,Ja,ed)})},"insertMarkers"),extension=__name((Ra,qa,Ja)=>{log$1.trace("Making markers for ",Ja),Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-extensionStart").attr("class","marker extension "+qa).attr("refX",18).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("path").attr("d","M 1,7 L18,13 V 1 Z"),Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-extensionEnd").attr("class","marker extension "+qa).attr("refX",1).attr("refY",7).attr("markerWidth",20).attr("markerHeight",28).attr("orient","auto").append("path").attr("d","M 1,1 V 13 L18,7 Z")},"extension"),composition=__name((Ra,qa,Ja)=>{Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-compositionStart").attr("class","marker composition "+qa).attr("refX",18).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("path").attr("d","M 18,7 L9,13 L1,7 L9,1 Z"),Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-compositionEnd").attr("class","marker composition "+qa).attr("refX",1).attr("refY",7).attr("markerWidth",20).attr("markerHeight",28).attr("orient","auto").append("path").attr("d","M 18,7 L9,13 L1,7 L9,1 Z")},"composition"),aggregation=__name((Ra,qa,Ja)=>{Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-aggregationStart").attr("class","marker aggregation "+qa).attr("refX",18).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("path").attr("d","M 18,7 L9,13 L1,7 L9,1 Z"),Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-aggregationEnd").attr("class","marker aggregation "+qa).attr("refX",1).attr("refY",7).attr("markerWidth",20).attr("markerHeight",28).attr("orient","auto").append("path").attr("d","M 18,7 L9,13 L1,7 L9,1 Z")},"aggregation"),dependency=__name((Ra,qa,Ja)=>{Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-dependencyStart").attr("class","marker dependency "+qa).attr("refX",6).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("path").attr("d","M 5,7 L9,13 L1,7 L9,1 Z"),Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-dependencyEnd").attr("class","marker dependency "+qa).attr("refX",13).attr("refY",7).attr("markerWidth",20).attr("markerHeight",28).attr("orient","auto").append("path").attr("d","M 18,7 L9,13 L14,7 L9,1 Z")},"dependency"),lollipop=__name((Ra,qa,Ja)=>{Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-lollipopStart").attr("class","marker lollipop "+qa).attr("refX",13).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("circle").attr("stroke","black").attr("fill","transparent").attr("cx",7).attr("cy",7).attr("r",6),Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-lollipopEnd").attr("class","marker lollipop "+qa).attr("refX",1).attr("refY",7).attr("markerWidth",190).attr("markerHeight",240).attr("orient","auto").append("circle").attr("stroke","black").attr("fill","transparent").attr("cx",7).attr("cy",7).attr("r",6)},"lollipop"),point=__name((Ra,qa,Ja)=>{Ra.append("marker").attr("id",Ja+"_"+qa+"-pointEnd").attr("class","marker "+qa).attr("viewBox","0 0 10 10").attr("refX",5).attr("refY",5).attr("markerUnits","userSpaceOnUse").attr("markerWidth",8).attr("markerHeight",8).attr("orient","auto").append("path").attr("d","M 0 0 L 10 5 L 0 10 z").attr("class","arrowMarkerPath").style("stroke-width",1).style("stroke-dasharray","1,0"),Ra.append("marker").attr("id",Ja+"_"+qa+"-pointStart").attr("class","marker "+qa).attr("viewBox","0 0 10 10").attr("refX",4.5).attr("refY",5).attr("markerUnits","userSpaceOnUse").attr("markerWidth",8).attr("markerHeight",8).attr("orient","auto").append("path").attr("d","M 0 5 L 10 10 L 10 0 z").attr("class","arrowMarkerPath").style("stroke-width",1).style("stroke-dasharray","1,0")},"point"),circle=__name((Ra,qa,Ja)=>{Ra.append("marker").attr("id",Ja+"_"+qa+"-circleEnd").attr("class","marker "+qa).attr("viewBox","0 0 10 10").attr("refX",11).attr("refY",5).attr("markerUnits","userSpaceOnUse").attr("markerWidth",11).attr("markerHeight",11).attr("orient","auto").append("circle").attr("cx","5").attr("cy","5").attr("r","5").attr("class","arrowMarkerPath").style("stroke-width",1).style("stroke-dasharray","1,0"),Ra.append("marker").attr("id",Ja+"_"+qa+"-circleStart").attr("class","marker "+qa).attr("viewBox","0 0 10 10").attr("refX",-1).attr("refY",5).attr("markerUnits","userSpaceOnUse").attr("markerWidth",11).attr("markerHeight",11).attr("orient","auto").append("circle").attr("cx","5").attr("cy","5").attr("r","5").attr("class","arrowMarkerPath").style("stroke-width",1).style("stroke-dasharray","1,0")},"circle"),cross$2=__name((Ra,qa,Ja)=>{Ra.append("marker").attr("id",Ja+"_"+qa+"-crossEnd").attr("class","marker cross "+qa).attr("viewBox","0 0 11 11").attr("refX",12).attr("refY",5.2).attr("markerUnits","userSpaceOnUse").attr("markerWidth",11).attr("markerHeight",11).attr("orient","auto").append("path").attr("d","M 1,1 l 9,9 M 10,1 l -9,9").attr("class","arrowMarkerPath").style("stroke-width",2).style("stroke-dasharray","1,0"),Ra.append("marker").attr("id",Ja+"_"+qa+"-crossStart").attr("class","marker cross "+qa).attr("viewBox","0 0 11 11").attr("refX",-1).attr("refY",5.2).attr("markerUnits","userSpaceOnUse").attr("markerWidth",11).attr("markerHeight",11).attr("orient","auto").append("path").attr("d","M 1,1 l 9,9 M 10,1 l -9,9").attr("class","arrowMarkerPath").style("stroke-width",2).style("stroke-dasharray","1,0")},"cross"),barb=__name((Ra,qa,Ja)=>{Ra.append("defs").append("marker").attr("id",Ja+"_"+qa+"-barbEnd").attr("refX",19).attr("refY",7).attr("markerWidth",20).attr("markerHeight",14).attr("markerUnits","userSpaceOnUse").attr("orient","auto").append("path").attr("d","M 19,7 L9,13 L14,7 L9,1 Z")},"barb"),markers={extension,composition,aggregation,dependency,lollipop,point,circle,cross:cross$2,barb},markers_default=insertMarkers,labelHelper=__name(async(Ra,qa,Ja)=>{let ed;const td=qa.useHtmlLabels||evaluate(getConfig2().flowchart.htmlLabels);Ja?ed=Ja:ed="node default";const rd=Ra.insert("g").attr("class",ed).attr("id",qa.domId||qa.id),sd=rd.insert("g").attr("class","label").attr("style",qa.labelStyle);let od;qa.label===void 0?od="":od=typeof qa.label=="string"?qa.label:qa.label[0];let ld;ld=await createText(sd,sanitizeText(decodeEntities(od),getConfig2()),{useHtmlLabels:td,width:qa.width||getConfig2().flowchart.wrappingWidth,cssClasses:"markdown-node-label",style:qa.labelStyle});let cd=ld.getBBox();const ud=qa.padding/2;if(evaluate(getConfig2().flowchart.htmlLabels)){const _d=ld.children[0],yd=select(ld),gd=_d.getElementsByTagName("img");if(gd){const Ed=od.replace(/<img[^>]*>/g,"").trim()==="";await Promise.all([...gd].map(Td=>new Promise(kd=>{function Rd(){if(Td.style.display="flex",Td.style.flexDirection="column",Ed){const Nd=getConfig2().fontSize?getConfig2().fontSize:window.getComputedStyle(document.body).fontSize,Md=parseInt(Nd,10)*5+"px";Td.style.minWidth=Md,Td.style.maxWidth=Md}else Td.style.width="100%";kd(Td)}__name(Rd,"setupImage"),setTimeout(()=>{Td.complete&&Rd()}),Td.addEventListener("error",Rd),Td.addEventListener("load",Rd)})))}cd=_d.getBoundingClientRect(),yd.attr("width",cd.width),yd.attr("height",cd.height)}return td?sd.attr("transform","translate("+-cd.width/2+", "+-cd.height/2+")"):sd.attr("transform","translate(0, "+-cd.height/2+")"),qa.centerLabel&&sd.attr("transform","translate("+-cd.width/2+", "+-cd.height/2+")"),sd.insert("rect",":first-child"),{shapeSvg:rd,bbox:cd,halfPadding:ud,label:sd}},"labelHelper"),updateNodeBounds=__name((Ra,qa)=>{const Ja=qa.node().getBBox();Ra.width=Ja.width,Ra.height=Ja.height},"updateNodeBounds"),getNodeClasses=__name((Ra,qa)=>(Ra.look==="handDrawn"?"rough-node":"node")+" "+Ra.cssClasses+" "+(qa||""),"getNodeClasses");function intersectNode(Ra,qa){return Ra.intersect(qa)}__name(intersectNode,"intersectNode");var intersect_node_default=intersectNode;function intersectEllipse(Ra,qa,Ja,ed){var td=Ra.x,rd=Ra.y,sd=td-ed.x,od=rd-ed.y,ld=Math.sqrt(qa*qa*od*od+Ja*Ja*sd*sd),cd=Math.abs(qa*Ja*sd/ld);ed.x<td&&(cd=-cd);var ud=Math.abs(qa*Ja*od/ld);return ed.y<rd&&(ud=-ud),{x:td+cd,y:rd+ud}}__name(intersectEllipse,"intersectEllipse");var intersect_ellipse_default=intersectEllipse;function intersectCircle(Ra,qa,Ja){return intersect_ellipse_default(Ra,qa,qa,Ja)}__name(intersectCircle,"intersectCircle");var intersect_circle_default=intersectCircle;function intersectLine(Ra,qa,Ja,ed){var td,rd,sd,od,ld,cd,ud,_d,yd,gd,Ed,Td,kd,Rd,Nd;if(td=qa.y-Ra.y,sd=Ra.x-qa.x,ld=qa.x*Ra.y-Ra.x*qa.y,yd=td*Ja.x+sd*Ja.y+ld,gd=td*ed.x+sd*ed.y+ld,!(yd!==0&&gd!==0&&sameSign(yd,gd))&&(rd=ed.y-Ja.y,od=Ja.x-ed.x,cd=ed.x*Ja.y-Ja.x*ed.y,ud=rd*Ra.x+od*Ra.y+cd,_d=rd*qa.x+od*qa.y+cd,!(ud!==0&&_d!==0&&sameSign(ud,_d))&&(Ed=td*od-rd*sd,Ed!==0)))return Td=Math.abs(Ed/2),kd=sd*cd-od*ld,Rd=kd<0?(kd-Td)/Ed:(kd+Td)/Ed,kd=rd*ld-td*cd,Nd=kd<0?(kd-Td)/Ed:(kd+Td)/Ed,{x:Rd,y:Nd}}__name(intersectLine,"intersectLine");function sameSign(Ra,qa){return Ra*qa>0}__name(sameSign,"sameSign");var intersect_line_default=intersectLine;function intersectPolygon(Ra,qa,Ja){let ed=Ra.x,td=Ra.y,rd=[],sd=Number.POSITIVE_INFINITY,od=Number.POSITIVE_INFINITY;typeof qa.forEach=="function"?qa.forEach(function(ud){sd=Math.min(sd,ud.x),od=Math.min(od,ud.y)}):(sd=Math.min(sd,qa.x),od=Math.min(od,qa.y));let ld=ed-Ra.width/2-sd,cd=td-Ra.height/2-od;for(let ud=0;ud<qa.length;ud++){let _d=qa[ud],yd=qa[ud<qa.length-1?ud+1:0],gd=intersect_line_default(Ra,Ja,{x:ld+_d.x,y:cd+_d.y},{x:ld+yd.x,y:cd+yd.y});gd&&rd.push(gd)}return rd.length?(rd.length>1&&rd.sort(function(ud,_d){let yd=ud.x-Ja.x,gd=ud.y-Ja.y,Ed=Math.sqrt(yd*yd+gd*gd),Td=_d.x-Ja.x,kd=_d.y-Ja.y,Rd=Math.sqrt(Td*Td+kd*kd);return Ed<Rd?-1:Ed===Rd?0:1}),rd[0]):Ra}__name(intersectPolygon,"intersectPolygon");var intersect_polygon_default=intersectPolygon,intersect_default={node:intersect_node_default,circle:intersect_circle_default,ellipse:intersect_ellipse_default,polygon:intersect_polygon_default,rect:intersect_rect_default},drawRect=__name(async(Ra,qa,Ja)=>{const{labelStyles:ed,nodeStyles:td}=styles2String(qa);qa.labelStyle=ed;const{shapeSvg:rd,bbox:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=Math.max(sd.width+Ja.labelPaddingX*2,(qa==null?void 0:qa.width)||0),ld=Math.max(sd.height+Ja.labelPaddingY*2,(qa==null?void 0:qa.height)||0),cd=-od/2,ud=-ld/2;let _d,{rx:yd,ry:gd}=qa;const{cssStyles:Ed}=qa;if(Ja!=null&&Ja.rx&&Ja.ry&&(yd=Ja.rx,gd=Ja.ry),qa.look==="handDrawn"){const Td=at$3.svg(rd),kd=userNodeOverrides(qa,{}),Rd=yd||gd?Td.path(createRoundedRectPathD(cd,ud,od,ld,yd||0),kd):Td.rectangle(cd,ud,od,ld,kd);_d=rd.insert(()=>Rd,":first-child"),_d.attr("class","basic label-container").attr("style",Ed)}else _d=rd.insert("rect",":first-child"),_d.attr("class","basic label-container").attr("style",td).attr("rx",yd).attr("data-id","abc").attr("data-et","node").attr("ry",gd).attr("x",cd).attr("y",ud).attr("width",od).attr("height",ld);return updateNodeBounds(qa,_d),qa.intersect=function(Td){return intersect_default.rect(qa,Td)},rd},"drawRect"),state$1=__name(async(Ra,qa)=>drawRect(Ra,qa,{rx:5,ry:5,classes:"flowchart-node"}),"state"),roundedRect=__name(async(Ra,qa)=>{const Ja={rx:5,ry:5,classes:"",labelPaddingX:((qa==null?void 0:qa.padding)||0)*1,labelPaddingY:((qa==null?void 0:qa.padding)||0)*1};return drawRect(Ra,qa,Ja)},"roundedRect"),squareRect2=__name(async(Ra,qa)=>{const Ja={rx:0,ry:0,classes:"",labelPaddingX:((qa==null?void 0:qa.padding)||0)*2,labelPaddingY:((qa==null?void 0:qa.padding)||0)*1};return drawRect(Ra,qa,Ja)},"squareRect"),stateStart=__name((Ra,qa)=>{const{themeVariables:Ja}=getConfig2(),{lineColor:ed}=Ja,td=Ra.insert("g").attr("class","node default").attr("id",qa.domId||qa.id);let rd;if(qa.look==="handDrawn"){const od=at$3.svg(td).circle(0,0,14,solidStateFill(ed));rd=td.insert(()=>od)}else rd=td.insert("circle",":first-child");return rd.attr("class","state-start").attr("r",7).attr("width",14).attr("height",14),updateNodeBounds(qa,rd),qa.intersect=function(sd){return intersect_default.circle(qa,7,sd)},td},"stateStart"),stateEnd=__name((Ra,qa)=>{const{themeVariables:Ja}=getConfig2(),{lineColor:ed}=Ja,td=Ra.insert("g").attr("class","node default").attr("id",qa.domId||qa.id);let rd,sd;if(qa.look==="handDrawn"){const od=at$3.svg(td),ld=od.circle(0,0,14,{...solidStateFill(ed),roughness:.5}),cd=od.circle(0,0,5,{...solidStateFill(ed),fillStyle:"solid"});rd=td.insert(()=>ld),sd=td.insert(()=>cd)}else sd=td.insert("circle",":first-child"),rd=td.insert("circle",":first-child"),rd.attr("class","state-start").attr("r",7).attr("width",14).attr("height",14),sd.attr("class","state-end").attr("r",5).attr("width",10).attr("height",10);return updateNodeBounds(qa,rd),qa.intersect=function(od){return intersect_default.circle(qa,7,od)},td},"stateEnd"),forkJoin=__name((Ra,qa,Ja)=>{const{themeVariables:ed}=getConfig2(),{lineColor:td}=ed,rd=Ra.insert("g").attr("class","node default").attr("id",qa.domId||qa.id);let sd=70,od=10;Ja==="LR"&&(sd=10,od=70);const ld=-1*sd/2,cd=-1*od/2;let ud;if(qa.look==="handDrawn"){const Td=at$3.svg(rd).rectangle(ld,cd,sd,od,solidStateFill(td));ud=rd.insert(()=>Td)}else ud=rd.append("rect").attr("x",ld).attr("y",cd).attr("width",sd).attr("height",od).attr("class","fork-join");updateNodeBounds(qa,ud);let _d=0,yd=0,gd=10;return qa.height&&(_d=qa.height),qa.width&&(yd=qa.width),qa.padding&&(gd=qa.padding),qa.height=_d+gd/2,qa.width=yd+gd/2,qa.intersect=function(Ed){return intersect_default.rect(qa,Ed)},rd},"forkJoin"),choice=__name((Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{themeVariables:td}=getConfig2(),{lineColor:rd}=td,sd=Ra.insert("g").attr("class","node default").attr("id",qa.domId||qa.id),od=28,ld=[{x:0,y:od/2},{x:od/2,y:0},{x:0,y:-od/2},{x:-od/2,y:0}];let cd;if(qa.look==="handDrawn"){const ud=at$3.svg(sd),_d=ld.map(function(gd){return[gd.x,gd.y]}),yd=ud.polygon(_d,solidStateFill(rd));cd=sd.insert(()=>yd)}else cd=sd.insert("polygon",":first-child").attr("points",ld.map(function(ud){return ud.x+","+ud.y}).join(" "));return cd.attr("class","state-start").attr("r",7).attr("width",28).attr("height",28).attr("style",ed),qa.width=28,qa.height=28,qa.intersect=function(ud){return intersect_default.circle(qa,14,ud)},sd},"choice"),note=__name(async(Ra,qa)=>{const{themeVariables:Ja,handDrawnSeed:ed}=getConfig2(),{noteBorderColor:td,noteBkgColor:rd}=Ja;qa.useHtmlLabels||(qa.centerLabel=!0);const{shapeSvg:od,bbox:ld}=await labelHelper(Ra,qa,"node "+qa.cssClasses);log$1.info("Classes = ",qa.cssClasses);const{cssStyles:cd}=qa;let ud;const _d=ld.width+qa.padding,yd=ld.height+qa.padding,gd=-_d/2,Ed=-yd/2;if(qa.look==="handDrawn"){const kd=at$3.svg(od).rectangle(gd,Ed,_d,yd,{roughness:.7,fill:rd,fillWeight:3,seed:ed,stroke:td});ud=od.insert(()=>kd,":first-child"),ud.attr("class","basic label-container").attr("style",cd)}else ud=od.insert("rect",":first-child"),ud.attr("rx",qa.rx).attr("ry",qa.ry).attr("x",gd).attr("y",Ed).attr("width",_d).attr("height",yd);return updateNodeBounds(qa,ud),qa.intersect=function(Td){return intersect_default.rect(qa,Td)},od},"note"),stadium=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd}=await labelHelper(Ra,qa,getNodeClasses(qa)),sd=rd.height+qa.padding,od=rd.width+sd/4+qa.padding;let ld;const{cssStyles:cd}=qa;if(qa.look==="handDrawn"){const ud=at$3.svg(td),_d=userNodeOverrides(qa,{}),yd=createRoundedRectPathD(-od/2,-sd/2,od,sd,sd/2),gd=ud.path(yd,_d);ld=td.insert(()=>gd,":first-child"),ld.attr("class","basic label-container").attr("style",cd)}else ld=td.insert("rect",":first-child"),ld.attr("class","basic label-container").attr("style",ed).attr("rx",sd/2).attr("ry",sd/2).attr("x",-od/2).attr("y",-sd/2).attr("width",od).attr("height",sd);return updateNodeBounds(qa,ld),qa.intersect=function(ud){return intersect_default.rect(qa,ud)},td},"stadium"),rectWithTitle=__name(async(Ra,qa)=>{var Yd,Ud;const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;let td;qa.cssClasses?td="node "+qa.cssClasses:td="node default";const rd=Ra.insert("g").attr("class",td).attr("id",qa.domId||qa.id),sd=rd.insert("g"),od=rd.insert("g").attr("class","label").attr("style",ed),ld=qa.description,cd=qa.label,ud=od.node().appendChild(await createLabel_default(cd,qa.labelStyle,!0,!0));let _d={width:0,height:0};if(evaluate((Ud=(Yd=getConfig2())==null?void 0:Yd.flowchart)==null?void 0:Ud.htmlLabels)){const Hd=ud.children[0],Vd=select(ud);_d=Hd.getBoundingClientRect(),Vd.attr("width",_d.width),Vd.attr("height",_d.height)}log$1.info("Text 2",ld);const yd=ld||[],gd=ud.getBBox(),Ed=od.node().appendChild(await createLabel_default(yd.join?yd.join("<br/>"):yd,qa.labelStyle,!0,!0)),Td=Ed.children[0],kd=select(Ed);_d=Td.getBoundingClientRect(),kd.attr("width",_d.width),kd.attr("height",_d.height);const Rd=(qa.padding||0)/2;select(Ed).attr("transform","translate( "+(_d.width>gd.width?0:(gd.width-_d.width)/2)+", "+(gd.height+Rd+5)+")"),select(ud).attr("transform","translate( "+(_d.width<gd.width?0:-(gd.width-_d.width)/2)+", 0)"),_d=od.node().getBBox(),od.attr("transform","translate("+-_d.width/2+", "+(-_d.height/2-Rd+3)+")");const Nd=_d.width+(qa.padding||0),Id=_d.height+(qa.padding||0),Md=-_d.width/2-Rd,Ld=-_d.height/2-Rd;let Pd,qd;if(qa.look==="handDrawn"){const Hd=at$3.svg(rd),Vd=userNodeOverrides(qa,{}),Jd=Hd.path(createRoundedRectPathD(Md,Ld,Nd,Id,qa.rx||0),Vd),Zd=Hd.line(-_d.width/2-Rd,-_d.height/2-Rd+gd.height+Rd,_d.width/2+Rd,-_d.height/2-Rd+gd.height+Rd,Vd);qd=rd.insert(()=>(log$1.debug("Rough node insert CXC",Jd),Zd),":first-child"),Pd=rd.insert(()=>(log$1.debug("Rough node insert CXC",Jd),Jd),":first-child")}else Pd=sd.insert("rect",":first-child"),qd=sd.insert("line"),Pd.attr("class","outer title-state").attr("style",ed).attr("x",-_d.width/2-Rd).attr("y",-_d.height/2-Rd).attr("width",_d.width+(qa.padding||0)).attr("height",_d.height+(qa.padding||0)),qd.attr("class","divider").attr("x1",-_d.width/2-Rd).attr("x2",_d.width/2+Rd).attr("y1",-_d.height/2-Rd+gd.height+Rd).attr("y2",-_d.height/2-Rd+gd.height+Rd);return updateNodeBounds(qa,Pd),qa.intersect=function(Hd){return intersect_default.rect(qa,Hd)},rd},"rectWithTitle");function insertPolygonShape(Ra,qa,Ja,ed){return Ra.insert("polygon",":first-child").attr("points",ed.map(function(td){return td.x+","+td.y}).join(" ")).attr("class","label-container").attr("transform","translate("+-qa/2+","+Ja/2+")")}__name(insertPolygonShape,"insertPolygonShape");var subroutine=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd}=await labelHelper(Ra,qa,getNodeClasses(qa)),sd=((qa==null?void 0:qa.padding)||0)/2,od=rd.width+qa.padding,ld=rd.height+qa.padding,cd=-rd.width/2-sd,ud=-rd.height/2-sd,_d=[{x:0,y:0},{x:od,y:0},{x:od,y:-ld},{x:0,y:-ld},{x:0,y:0},{x:-8,y:0},{x:od+8,y:0},{x:od+8,y:-ld},{x:-8,y:-ld},{x:-8,y:0}];if(qa.look==="handDrawn"){const yd=at$3.svg(td),gd=userNodeOverrides(qa,{}),Ed=yd.rectangle(cd-8,ud,od+16,ld,gd),Td=yd.line(cd,ud,cd,ud+ld,gd),kd=yd.line(cd+od,ud,cd+od,ud+ld,gd);td.insert(()=>Td,":first-child"),td.insert(()=>kd,":first-child");const Rd=td.insert(()=>Ed,":first-child"),{cssStyles:Nd}=qa;Rd.attr("class","basic label-container").attr("style",Nd),updateNodeBounds(qa,Rd)}else{const yd=insertPolygonShape(td,od,ld,_d);ed&&yd.attr("style",ed),updateNodeBounds(qa,yd)}return qa.intersect=function(yd){return intersect_default.polygon(qa,_d,yd)},td},"subroutine"),createCylinderPathD=__name((Ra,qa,Ja,ed,td,rd)=>[`M${Ra},${qa+rd}`,`a${td},${rd} 0,0,0 ${Ja},0`,`a${td},${rd} 0,0,0 ${-Ja},0`,`l0,${ed}`,`a${td},${rd} 0,0,0 ${Ja},0`,`l0,${-ed}`].join(" "),"createCylinderPathD"),createOuterCylinderPathD=__name((Ra,qa,Ja,ed,td,rd)=>[`M${Ra},${qa+rd}`,`M${Ra+Ja},${qa+rd}`,`a${td},${rd} 0,0,0 ${-Ja},0`,`l0,${ed}`,`a${td},${rd} 0,0,0 ${Ja},0`,`l0,${-ed}`].join(" "),"createOuterCylinderPathD"),createInnerCylinderPathD=__name((Ra,qa,Ja,ed,td,rd)=>[`M${Ra-Ja/2},${-ed/2}`,`a${td},${rd} 0,0,0 ${Ja},0`].join(" "),"createInnerCylinderPathD"),cylinder=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd}=await labelHelper(Ra,qa,getNodeClasses(qa)),sd=rd.width+qa.padding,od=sd/2,ld=od/(2.5+sd/50),cd=rd.height+ld+qa.padding;let ud;const{cssStyles:_d}=qa;if(qa.look==="handDrawn"){const yd=at$3.svg(td),gd=createOuterCylinderPathD(0,0,sd,cd,od,ld),Ed=createInnerCylinderPathD(0,ld,sd,cd,od,ld),Td=yd.path(gd,userNodeOverrides(qa,{})),kd=yd.path(Ed,userNodeOverrides(qa,{fill:"none"}));ud=td.insert(()=>kd,":first-child"),ud=td.insert(()=>Td,":first-child"),ud.attr("class","basic label-container"),_d&&ud.attr("style",_d)}else{const yd=createCylinderPathD(0,0,sd,cd,od,ld);ud=td.insert("path",":first-child").attr("d",yd).attr("class","basic label-container").attr("style",_d).attr("style",ed)}return ud.attr("label-offset-y",ld),ud.attr("transform",`translate(${-sd/2}, ${-(cd/2+ld)})`),updateNodeBounds(qa,ud),qa.intersect=function(yd){const gd=intersect_default.rect(qa,yd),Ed=gd.x-(qa.x??0);if(od!=0&&(Math.abs(Ed)<(qa.width??0)/2||Math.abs(Ed)==(qa.width??0)/2&&Math.abs(gd.y-(qa.y??0))>(qa.height??0)/2-ld)){let Td=ld*ld*(1-Ed*Ed/(od*od));Td>0&&(Td=Math.sqrt(Td)),Td=ld-Td,yd.y-(qa.y??0)>0&&(Td=-Td),gd.y+=Td}return gd},td},"cylinder"),circle2=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd,halfPadding:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),od=rd.width/2+sd;let ld;const{cssStyles:cd}=qa;if(qa.look==="handDrawn"){const ud=at$3.svg(td),_d=userNodeOverrides(qa,{}),yd=ud.circle(0,0,od*2,_d);ld=td.insert(()=>yd,":first-child"),ld.attr("class","basic label-container").attr("style",cd)}else ld=td.insert("circle",":first-child").attr("class","basic label-container").attr("style",ed).attr("r",od).attr("cx",0).attr("cy",0);return updateNodeBounds(qa,ld),qa.intersect=function(ud){return log$1.info("Circle intersect",qa,od,ud),intersect_default.circle(qa,od,ud)},td},"circle"),doublecircle=__name(async(Ra,qa)=>{var yd,gd;const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd,halfPadding:sd}=await labelHelper(Ra,qa,getNodeClasses(qa)),ld=rd.width/2+sd+5,cd=rd.width/2+sd;let ud;const{cssStyles:_d}=qa;if(qa.look==="handDrawn"){const Ed=at$3.svg(td),Td=userNodeOverrides(qa,{roughness:.2,strokeWidth:2.5}),kd=userNodeOverrides(qa,{roughness:.2,strokeWidth:1.5}),Rd=Ed.circle(0,0,ld*2,Td),Nd=Ed.circle(0,0,cd*2,kd);ud=td.insert("g",":first-child"),ud.attr("class",qa.cssClasses).attr("style",_d),(yd=ud.node())==null||yd.appendChild(Rd),(gd=ud.node())==null||gd.appendChild(Nd)}else{ud=td.insert("g",":first-child");const Ed=ud.insert("circle",":first-child"),Td=ud.insert("circle");ud.attr("class","basic label-container").attr("style",ed),Ed.attr("class","outer-circle").attr("style",ed).attr("r",ld).attr("cx",0).attr("cy",0),Td.attr("class","inner-circle").attr("style",ed).attr("r",cd).attr("cx",0).attr("cy",0)}return updateNodeBounds(qa,ud),qa.intersect=function(Ed){return log$1.info("DoubleCircle intersect",qa,ld,Ed),intersect_default.circle(qa,ld,Ed)},td},"doublecircle"),createPolygonPathD=__name((Ra,qa,Ja,ed)=>[`M${Ra-ed/2},${qa}`,`L${Ra+Ja},${qa}`,`L${Ra+Ja},${qa-ed}`,`L${Ra-ed/2},${qa-ed}`,`L${Ra},${qa-ed/2}`,"Z"].join(" "),"createPolygonPathD"),rect_left_inv_arrow=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd}=await labelHelper(Ra,qa,getNodeClasses(qa)),sd=rd.width+qa.padding,od=rd.height+qa.padding,ld=[{x:-od/2,y:0},{x:sd,y:0},{x:sd,y:-od},{x:-od/2,y:-od},{x:0,y:-od/2}];let cd;const{cssStyles:ud}=qa;if(qa.look==="handDrawn"){const _d=at$3.svg(td),yd=userNodeOverrides(qa,{}),gd=createPolygonPathD(0,0,sd,od),Ed=_d.path(gd,yd);cd=td.insert(()=>Ed,":first-child").attr("transform",`translate(${-sd/2}, ${od/2})`),ud&&cd.attr("style",ud)}else cd=insertPolygonShape(td,sd,od,ld);return ed&&cd.attr("style",ed),qa.width=sd+od,qa.height=od,updateNodeBounds(qa,cd),qa.intersect=function(_d){return intersect_default.polygon(qa,ld,_d)},td},"rect_left_inv_arrow"),createDecisionBoxPathD=__name((Ra,qa,Ja)=>[`M${Ra+Ja/2},${qa}`,`L${Ra+Ja},${qa-Ja/2}`,`L${Ra+Ja/2},${qa-Ja}`,`L${Ra},${qa-Ja/2}`,"Z"].join(" "),"createDecisionBoxPathD"),question=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd}=await labelHelper(Ra,qa,getNodeClasses(qa)),sd=rd.width+qa.padding,od=rd.height+qa.padding,ld=sd+od,cd=[{x:ld/2,y:0},{x:ld,y:-ld/2},{x:ld/2,y:-ld},{x:0,y:-ld/2}];let ud;const{cssStyles:_d}=qa;if(qa.look==="handDrawn"){const yd=at$3.svg(td),gd=userNodeOverrides(qa,{}),Ed=createDecisionBoxPathD(0,0,ld),Td=yd.path(Ed,gd);ud=td.insert(()=>Td,":first-child").attr("transform",`translate(${-ld/2}, ${ld/2})`),_d&&ud.attr("style",_d)}else ud=insertPolygonShape(td,ld,ld,cd);return ed&&ud.attr("style",ed),updateNodeBounds(qa,ud),qa.intersect=function(yd){return log$1.debug(`APA12 Intersect called SPLIT
|
||
point:`,yd,`
|
||
node:
|
||
`,qa,`
|
||
res:`,intersect_default.polygon(qa,cd,yd)),intersect_default.polygon(qa,cd,yd)},td},"question"),createHexagonPathD=__name((Ra,qa,Ja,ed,td)=>[`M${Ra+td},${qa}`,`L${Ra+Ja-td},${qa}`,`L${Ra+Ja},${qa-ed/2}`,`L${Ra+Ja-td},${qa-ed}`,`L${Ra+td},${qa-ed}`,`L${Ra},${qa-ed/2}`,"Z"].join(" "),"createHexagonPathD"),hexagon=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd}=await labelHelper(Ra,qa,getNodeClasses(qa)),sd=4,od=rd.height+qa.padding,ld=od/sd,cd=rd.width+2*ld+qa.padding,ud=[{x:ld,y:0},{x:cd-ld,y:0},{x:cd,y:-od/2},{x:cd-ld,y:-od},{x:ld,y:-od},{x:0,y:-od/2}];let _d;const{cssStyles:yd}=qa;if(qa.look==="handDrawn"){const gd=at$3.svg(td),Ed=userNodeOverrides(qa,{}),Td=createHexagonPathD(0,0,cd,od,ld),kd=gd.path(Td,Ed);_d=td.insert(()=>kd,":first-child").attr("transform",`translate(${-cd/2}, ${od/2})`),yd&&_d.attr("style",yd)}else _d=insertPolygonShape(td,cd,od,ud);return ed&&_d.attr("style",ed),qa.width=cd,qa.height=od,updateNodeBounds(qa,_d),qa.intersect=function(gd){return intersect_default.polygon(qa,ud,gd)},td},"hexagon"),createLeanRightPathD=__name((Ra,qa,Ja,ed)=>[`M${Ra-2*ed/6},${qa}`,`L${Ra+Ja-ed/6},${qa}`,`L${Ra+Ja+2*ed/6},${qa-ed}`,`L${Ra+ed/6},${qa-ed}`,"Z"].join(" "),"createLeanRightPathD"),lean_right=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd}=await labelHelper(Ra,qa,getNodeClasses(qa)),sd=rd.width+qa.padding,od=rd.height+qa.padding,ld=[{x:-2*od/6,y:0},{x:sd-od/6,y:0},{x:sd+2*od/6,y:-od},{x:od/6,y:-od}];let cd;const{cssStyles:ud}=qa;if(qa.look==="handDrawn"){const _d=at$3.svg(td),yd=userNodeOverrides(qa,{}),gd=createLeanRightPathD(0,0,sd,od),Ed=_d.path(gd,yd);cd=td.insert(()=>Ed,":first-child").attr("transform",`translate(${-sd/2}, ${od/2})`),ud&&cd.attr("style",ud)}else cd=insertPolygonShape(td,sd,od,ld);return ed&&cd.attr("style",ed),qa.width=sd,qa.height=od,updateNodeBounds(qa,cd),qa.intersect=function(_d){return intersect_default.polygon(qa,ld,_d)},td},"lean_right"),createLeanLeftPathD=__name((Ra,qa,Ja,ed)=>[`M${Ra+2*ed/6},${qa}`,`L${Ra+Ja+ed/6},${qa}`,`L${Ra+Ja-2*ed/6},${qa-ed}`,`L${Ra-ed/6},${qa-ed}`,"Z"].join(" "),"createLeanLeftPathD"),lean_left=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd}=await labelHelper(Ra,qa,getNodeClasses(qa)),sd=rd.width+qa.padding,od=rd.height+qa.padding,ld=[{x:2*od/6,y:0},{x:sd+od/6,y:0},{x:sd-2*od/6,y:-od},{x:-od/6,y:-od}];let cd;const{cssStyles:ud}=qa;if(qa.look==="handDrawn"){const _d=at$3.svg(td),yd=userNodeOverrides(qa,{}),gd=createLeanLeftPathD(0,0,sd,od),Ed=_d.path(gd,yd);cd=td.insert(()=>Ed,":first-child").attr("transform",`translate(${-sd/2}, ${od/2})`),ud&&cd.attr("style",ud)}else cd=insertPolygonShape(td,sd,od,ld);return ed&&cd.attr("style",ed),qa.width=sd,qa.height=od,updateNodeBounds(qa,cd),qa.intersect=function(_d){return intersect_default.polygon(qa,ld,_d)},td},"lean_left"),createTrapezoidPathD=__name((Ra,qa,Ja,ed)=>[`M${Ra-2*ed/6},${qa}`,`L${Ra+Ja+2*ed/6},${qa}`,`L${Ra+Ja-ed/6},${qa-ed}`,`L${Ra+ed/6},${qa-ed}`,"Z"].join(" "),"createTrapezoidPathD"),trapezoid=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd}=await labelHelper(Ra,qa,getNodeClasses(qa)),sd=rd.width+qa.padding,od=rd.height+qa.padding,ld=[{x:-2*od/6,y:0},{x:sd+2*od/6,y:0},{x:sd-od/6,y:-od},{x:od/6,y:-od}];let cd;const{cssStyles:ud}=qa;if(qa.look==="handDrawn"){const _d=at$3.svg(td),yd=userNodeOverrides(qa,{}),gd=createTrapezoidPathD(0,0,sd,od),Ed=_d.path(gd,yd);cd=td.insert(()=>Ed,":first-child").attr("transform",`translate(${-sd/2}, ${od/2})`),ud&&cd.attr("style",ud)}else cd=insertPolygonShape(td,sd,od,ld);return ed&&cd.attr("style",ed),qa.width=sd,qa.height=od,updateNodeBounds(qa,cd),qa.intersect=function(_d){return intersect_default.polygon(qa,ld,_d)},td},"trapezoid"),createInvertedTrapezoidPathD=__name((Ra,qa,Ja,ed)=>[`M${Ra+ed/6},${qa}`,`L${Ra+Ja-ed/6},${qa}`,`L${Ra+Ja+2*ed/6},${qa-ed}`,`L${Ra-2*ed/6},${qa-ed}`,"Z"].join(" "),"createInvertedTrapezoidPathD"),inv_trapezoid=__name(async(Ra,qa)=>{const{labelStyles:Ja,nodeStyles:ed}=styles2String(qa);qa.labelStyle=Ja;const{shapeSvg:td,bbox:rd}=await labelHelper(Ra,qa,getNodeClasses(qa)),sd=rd.width+qa.padding,od=rd.height+qa.padding,ld=[{x:od/6,y:0},{x:sd-od/6,y:0},{x:sd+2*od/6,y:-od},{x:-2*od/6,y:-od}];let cd;const{cssStyles:ud}=qa;if(qa.look==="handDrawn"){const _d=at$3.svg(td),yd=userNodeOverrides(qa,{}),gd=createInvertedTrapezoidPathD(0,0,sd,od),Ed=_d.path(gd,yd);cd=td.insert(()=>Ed,":first-child").attr("transform",`translate(${-sd/2}, ${od/2})`),ud&&cd.attr("style",ud)}else cd=insertPolygonShape(td,sd,od,ld);return ed&&cd.attr("style",ed),qa.width=sd,qa.height=od,updateNodeBounds(qa,cd),qa.intersect=function(_d){return intersect_default.polygon(qa,ld,_d)},td},"inv_trapezoid"),labelRect=__name(async(Ra,qa)=>{const{shapeSvg:Ja}=await labelHelper(Ra,qa,"label"),ed=Ja.insert("rect",":first-child");return ed.attr("width",.1).attr("height",.1),Ja.attr("class","label edgeLabel"),updateNodeBounds(qa,ed),qa.intersect=function(sd){return intersect_default.rect(qa,sd)},Ja},"labelRect"),shapes2={state:state$1,stateStart,stateEnd,fork:forkJoin,join:forkJoin,choice,note,roundedRect,rectWithTitle,squareRect:squareRect2,stadium,subroutine,cylinder,circle:circle2,doublecircle,odd:rect_left_inv_arrow,diamond:question,hexagon,lean_right,lean_left,trapezoid,inv_trapezoid,labelRect},nodeElems=new Map,insertNode=__name(async(Ra,qa,Ja)=>{let ed,td;if(qa.shape==="rect"&&(qa.rx&&qa.ry?qa.shape="roundedRect":qa.shape="squareRect"),qa.link){let rd;getConfig2().securityLevel==="sandbox"?rd="_top":qa.linkTarget&&(rd=qa.linkTarget||"_blank"),ed=Ra.insert("svg:a").attr("xlink:href",qa.link).attr("target",rd),td=await shapes2[qa.shape](ed,qa,Ja)}else td=await shapes2[qa.shape](Ra,qa,Ja),ed=td;return qa.tooltip&&td.attr("title",qa.tooltip),nodeElems.set(qa.id,ed),qa.haveCallback&&nodeElems.get(qa.id).attr("class",nodeElems.get(qa.id).attr("class")+" clickable"),ed},"insertNode"),setNodeElem=__name((Ra,qa)=>{nodeElems.set(qa.id,Ra)},"setNodeElem"),clear3=__name(()=>{nodeElems.clear()},"clear"),positionNode=__name(Ra=>{const qa=nodeElems.get(Ra.id);log$1.trace("Transforming node",Ra.diff,Ra,"translate("+(Ra.x-Ra.width/2-5)+", "+Ra.width/2+")");const Ja=8,ed=Ra.diff||0;return Ra.clusterNode?qa.attr("transform","translate("+(Ra.x+ed-Ra.width/2)+", "+(Ra.y-Ra.height/2-Ja)+")"):qa.attr("transform","translate("+Ra.x+", "+Ra.y+")"),ed},"positionNode"),internalHelpers={common:common_default,getConfig:getConfig$1,insertCluster,insertEdge,insertEdgeLabel,insertMarkers:markers_default,insertNode,interpolateToCurve,labelHelper,log:log$1,positionEdgeLabel},layoutAlgorithms={},registerLayoutLoaders=__name(Ra=>{for(const qa of Ra)layoutAlgorithms[qa.name]=qa},"registerLayoutLoaders"),registerDefaultLayoutLoaders=__name(()=>{registerLayoutLoaders([{name:"dagre",loader:__name(async()=>await __vitePreload(()=>import("./dagre-7LQXDS3O-DORQVOSZ.js"),__vite__mapDeps([0,1,2,3,4,5])),"loader")}])},"registerDefaultLayoutLoaders");registerDefaultLayoutLoaders();var render$1=__name(async(Ra,qa)=>{if(!(Ra.layoutAlgorithm in layoutAlgorithms))throw new Error(`Unknown layout algorithm: ${Ra.layoutAlgorithm}`);const Ja=layoutAlgorithms[Ra.layoutAlgorithm];return(await Ja.loader()).render(Ra,qa,internalHelpers,{algorithm:Ja.algorithm})},"render"),getRegisteredLayoutAlgorithm=__name((Ra="",{fallback:qa="dagre"}={})=>{if(Ra in layoutAlgorithms)return Ra;if(qa in layoutAlgorithms)return log$1.warn(`Layout algorithm ${Ra} is not registered. Using ${qa} as fallback.`),qa;throw new Error(`Both layout algorithms ${Ra} and ${qa} are not registered.`)},"getRegisteredLayoutAlgorithm"),version="11.1.0",selectSvgElement=__name(Ra=>{var td;const{securityLevel:qa}=getConfig2();let Ja=select("body");if(qa==="sandbox"){const sd=((td=select(`#i${Ra}`).node())==null?void 0:td.contentDocument)??document;Ja=select(sd.body)}return Ja.select(`#${Ra}`)},"selectSvgElement"),COMMENT="comm",RULESET="rule",DECLARATION="decl",IMPORT="@import",KEYFRAMES="@keyframes",LAYER="@layer",abs=Math.abs,from=String.fromCharCode;function trim$1(Ra){return Ra.trim()}function replace(Ra,qa,Ja){return Ra.replace(qa,Ja)}function indexof(Ra,qa,Ja){return Ra.indexOf(qa,Ja)}function charat(Ra,qa){return Ra.charCodeAt(qa)|0}function substr(Ra,qa,Ja){return Ra.slice(qa,Ja)}function strlen(Ra){return Ra.length}function sizeof(Ra){return Ra.length}function append(Ra,qa){return qa.push(Ra),Ra}var line=1,column=1,length=0,position=0,character=0,characters="";function node$1(Ra,qa,Ja,ed,td,rd,sd,od){return{value:Ra,root:qa,parent:Ja,type:ed,props:td,children:rd,line,column,length:sd,return:"",siblings:od}}function char(){return character}function prev(){return character=position>0?charat(characters,--position):0,column--,character===10&&(column=1,line--),character}function next(){return character=position<length?charat(characters,position++):0,column++,character===10&&(column=1,line++),character}function peek(){return charat(characters,position)}function caret$2(){return position}function slice(Ra,qa){return substr(characters,Ra,qa)}function token$1(Ra){switch(Ra){case 0:case 9:case 10:case 13:case 32:return 5;case 33:case 43:case 44:case 47:case 62:case 64:case 126:case 59:case 123:case 125:return 4;case 58:return 3;case 34:case 39:case 40:case 91:return 2;case 41:case 93:return 1}return 0}function alloc(Ra){return line=column=1,length=strlen(characters=Ra),position=0,[]}function dealloc(Ra){return characters="",Ra}function delimit(Ra){return trim$1(slice(position-1,delimiter(Ra===91?Ra+2:Ra===40?Ra+1:Ra)))}function whitespace(Ra){for(;(character=peek())&&character<33;)next();return token$1(Ra)>2||token$1(character)>3?"":" "}function escaping(Ra,qa){for(;--qa&&next()&&!(character<48||character>102||character>57&&character<65||character>70&&character<97););return slice(Ra,caret$2()+(qa<6&&peek()==32&&next()==32))}function delimiter(Ra){for(;next();)switch(character){case Ra:return position;case 34:case 39:Ra!==34&&Ra!==39&&delimiter(character);break;case 40:Ra===41&&delimiter(Ra);break;case 92:next();break}return position}function commenter(Ra,qa){for(;next()&&Ra+character!==57;)if(Ra+character===84&&peek()===47)break;return"/*"+slice(qa,position-1)+"*"+from(Ra===47?Ra:next())}function identifier(Ra){for(;!token$1(peek());)next();return slice(Ra,position)}function compile$2(Ra){return dealloc(parse$5("",null,null,null,[""],Ra=alloc(Ra),0,[0],Ra))}function parse$5(Ra,qa,Ja,ed,td,rd,sd,od,ld){for(var cd=0,ud=0,_d=sd,yd=0,gd=0,Ed=0,Td=1,kd=1,Rd=1,Nd=0,Id="",Md=td,Ld=rd,Pd=ed,qd=Id;kd;)switch(Ed=Nd,Nd=next()){case 40:if(Ed!=108&&charat(qd,_d-1)==58){indexof(qd+=replace(delimit(Nd),"&","&\f"),"&\f",abs(cd?od[cd-1]:0))!=-1&&(Rd=-1);break}case 34:case 39:case 91:qd+=delimit(Nd);break;case 9:case 10:case 13:case 32:qd+=whitespace(Ed);break;case 92:qd+=escaping(caret$2()-1,7);continue;case 47:switch(peek()){case 42:case 47:append(comment(commenter(next(),caret$2()),qa,Ja,ld),ld),(token$1(Ed||1)==5||token$1(peek()||1)==5)&&strlen(qd)&&substr(qd,-1,void 0)!==" "&&(qd+=" ");break;default:qd+="/"}break;case 123*Td:od[cd++]=strlen(qd)*Rd;case 125*Td:case 59:case 0:switch(Nd){case 0:case 125:kd=0;case 59+ud:Rd==-1&&(qd=replace(qd,/\f/g,"")),gd>0&&(strlen(qd)-_d||Td===0&&Ed===47)&&append(gd>32?declaration(qd+";",ed,Ja,_d-1,ld):declaration(replace(qd," ","")+";",ed,Ja,_d-2,ld),ld);break;case 59:qd+=";";default:if(append(Pd=ruleset(qd,qa,Ja,cd,ud,td,od,Id,Md=[],Ld=[],_d,rd),rd),Nd===123)if(ud===0)parse$5(qd,qa,Pd,Pd,Md,rd,_d,od,Ld);else switch(yd===99&&charat(qd,3)===110?100:yd){case 100:case 108:case 109:case 115:parse$5(Ra,Pd,Pd,ed&&append(ruleset(Ra,Pd,Pd,0,0,td,od,Id,td,Md=[],_d,Ld),Ld),td,Ld,_d,od,ed?Md:Ld);break;default:parse$5(qd,Pd,Pd,Pd,[""],Ld,0,od,Ld)}}cd=ud=gd=0,Td=Rd=1,Id=qd="",_d=sd;break;case 58:_d=1+strlen(qd),gd=Ed;default:if(Td<1){if(Nd==123)--Td;else if(Nd==125&&Td++==0&&prev()==125)continue}switch(qd+=from(Nd),Nd*Td){case 38:Rd=ud>0?1:(qd+="\f",-1);break;case 44:od[cd++]=(strlen(qd)-1)*Rd,Rd=1;break;case 64:peek()===45&&(qd+=delimit(next())),yd=peek(),ud=_d=strlen(Id=qd+=identifier(caret$2())),Nd++;break;case 45:Ed===45&&strlen(qd)==2&&(Td=0)}}return rd}function ruleset(Ra,qa,Ja,ed,td,rd,sd,od,ld,cd,ud,_d){for(var yd=td-1,gd=td===0?rd:[""],Ed=sizeof(gd),Td=0,kd=0,Rd=0;Td<ed;++Td)for(var Nd=0,Id=substr(Ra,yd+1,yd=abs(kd=sd[Td])),Md=Ra;Nd<Ed;++Nd)(Md=trim$1(kd>0?gd[Nd]+" "+Id:replace(Id,/&\f/g,gd[Nd])))&&(ld[Rd++]=Md);return node$1(Ra,qa,Ja,td===0?RULESET:od,ld,cd,ud,_d)}function comment(Ra,qa,Ja,ed){return node$1(Ra,qa,Ja,COMMENT,from(char()),substr(Ra,2,-2),0,ed)}function declaration(Ra,qa,Ja,ed,td){return node$1(Ra,qa,Ja,DECLARATION,substr(Ra,0,ed),substr(Ra,ed+1,-1),ed,td)}function serialize(Ra,qa){for(var Ja="",ed=0;ed<Ra.length;ed++)Ja+=qa(Ra[ed],ed,Ra,qa)||"";return Ja}function stringify$4(Ra,qa,Ja,ed){switch(Ra.type){case LAYER:if(Ra.children.length)break;case IMPORT:case DECLARATION:return Ra.return=Ra.return||Ra.value;case COMMENT:return"";case KEYFRAMES:return Ra.return=Ra.value+"{"+serialize(Ra.children,ed)+"}";case RULESET:if(!strlen(Ra.value=Ra.props.join(",")))return""}return strlen(Ja=serialize(Ra.children,ed))?Ra.return=Ra.value+"{"+Ja+"}":""}var id="c4",detector=__name(Ra=>/^\s*C4Context|C4Container|C4Component|C4Dynamic|C4Deployment/.test(Ra),"detector"),loader=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./c4Diagram-THADGKDP-CfIZSgIu.js");return{diagram:qa}},__vite__mapDeps([6,7]));return{id,diagram:Ra}},"loader"),plugin={id,detector,loader},c4Detector_default=plugin,id2="flowchart",detector2=__name((Ra,qa)=>{var Ja,ed;return((Ja=qa==null?void 0:qa.flowchart)==null?void 0:Ja.defaultRenderer)==="dagre-wrapper"||((ed=qa==null?void 0:qa.flowchart)==null?void 0:ed.defaultRenderer)==="elk"?!1:/^\s*graph/.test(Ra)},"detector"),loader2=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./flowDiagram-SWJZLURC-hWY_REtH.js");return{diagram:qa}},__vite__mapDeps([8,9,10,11]));return{id:id2,diagram:Ra}},"loader"),plugin2={id:id2,detector:detector2,loader:loader2},flowDetector_default=plugin2,id3="flowchart-v2",detector3=__name((Ra,qa)=>{var Ja,ed,td;return((Ja=qa==null?void 0:qa.flowchart)==null?void 0:Ja.defaultRenderer)==="dagre-d3"?!1:(((ed=qa==null?void 0:qa.flowchart)==null?void 0:ed.defaultRenderer)==="elk"&&(qa.layout="elk"),/^\s*graph/.test(Ra)&&((td=qa==null?void 0:qa.flowchart)==null?void 0:td.defaultRenderer)==="dagre-wrapper"?!0:/^\s*flowchart/.test(Ra))},"detector"),loader3=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./flowDiagram-SWJZLURC-hWY_REtH.js");return{diagram:qa}},__vite__mapDeps([8,9,10,11]));return{id:id3,diagram:Ra}},"loader"),plugin3={id:id3,detector:detector3,loader:loader3},flowDetector_v2_default=plugin3,id4="er",detector4=__name(Ra=>/^\s*erDiagram/.test(Ra),"detector"),loader4=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./erDiagram-62CBQV5Y-CgIRmPj8.js");return{diagram:qa}},__vite__mapDeps([12,1,2,3,4]));return{id:id4,diagram:Ra}},"loader"),plugin4={id:id4,detector:detector4,loader:loader4},erDetector_default=plugin4,id5="gitGraph",detector5=__name(Ra=>/^\s*gitGraph/.test(Ra),"detector"),loader5=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./gitGraphDiagram-UL5UFKDR-DWm04Q5V.js");return{diagram:qa}},__vite__mapDeps([13,14,15,16,4,2]));return{id:id5,diagram:Ra}},"loader"),plugin5={id:id5,detector:detector5,loader:loader5},gitGraphDetector_default=plugin5,id6="gantt",detector6=__name(Ra=>/^\s*gantt/.test(Ra),"detector"),loader6=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./ganttDiagram-ASEIQ4P5-IwXtKdaQ.js");return{diagram:qa}},__vite__mapDeps([17,18,19]));return{id:id6,diagram:Ra}},"loader"),plugin6={id:id6,detector:detector6,loader:loader6},ganttDetector_default=plugin6,id7="info",detector7=__name(Ra=>/^\s*info/.test(Ra),"detector"),loader7=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./infoDiagram-OZ7PC5JD-Cii3boyj.js");return{diagram:qa}},__vite__mapDeps([20,16,4,2]));return{id:id7,diagram:Ra}},"loader"),info={id:id7,detector:detector7,loader:loader7},id8="pie",detector8=__name(Ra=>/^\s*pie/.test(Ra),"detector"),loader8=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./pieDiagram-OZX6XH5M-D2sIxKc8.js");return{diagram:qa}},__vite__mapDeps([21,14,16,4,2,22,23,19]));return{id:id8,diagram:Ra}},"loader"),pie={id:id8,detector:detector8,loader:loader8},id9="quadrantChart",detector9=__name(Ra=>/^\s*quadrantChart/.test(Ra),"detector"),loader9=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./quadrantDiagram-VG34DGKC-G17LuvyQ.js");return{diagram:qa}},__vite__mapDeps([24,18,19]));return{id:id9,diagram:Ra}},"loader"),plugin7={id:id9,detector:detector9,loader:loader9},quadrantDetector_default=plugin7,id10="xychart",detector10=__name(Ra=>/^\s*xychart-beta/.test(Ra),"detector"),loader10=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./xychartDiagram-TMT7NLBN-D6F1d3Va.js");return{diagram:qa}},__vite__mapDeps([25,19,23,18]));return{id:id10,diagram:Ra}},"loader"),plugin8={id:id10,detector:detector10,loader:loader10},xychartDetector_default=plugin8,id11="requirement",detector11=__name(Ra=>/^\s*requirement(Diagram)?/.test(Ra),"detector"),loader11=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./requirementDiagram-FPZB6IJI-Dejrnr2y.js");return{diagram:qa}},__vite__mapDeps([26,1,2,3,4]));return{id:id11,diagram:Ra}},"loader"),plugin9={id:id11,detector:detector11,loader:loader11},requirementDetector_default=plugin9,id12="sequence",detector12=__name(Ra=>/^\s*sequenceDiagram/.test(Ra),"detector"),loader12=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./sequenceDiagram-K7U7U2PU-DjjBps8t.js");return{diagram:qa}},__vite__mapDeps([27,7,15]));return{id:id12,diagram:Ra}},"loader"),plugin10={id:id12,detector:detector12,loader:loader12},sequenceDetector_default=plugin10,id13="class",detector13=__name((Ra,qa)=>{var Ja;return((Ja=qa==null?void 0:qa.class)==null?void 0:Ja.defaultRenderer)==="dagre-wrapper"?!1:/^\s*classDiagram/.test(Ra)},"detector"),loader13=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./classDiagram-4BHYIK4I-YoLqn6hW.js");return{diagram:qa}},__vite__mapDeps([28,29,1,2,3,4]));return{id:id13,diagram:Ra}},"loader"),plugin11={id:id13,detector:detector13,loader:loader13},classDetector_default=plugin11,id14="classDiagram",detector14=__name((Ra,qa)=>{var Ja;return/^\s*classDiagram/.test(Ra)&&((Ja=qa==null?void 0:qa.class)==null?void 0:Ja.defaultRenderer)==="dagre-wrapper"?!0:/^\s*classDiagram-v2/.test(Ra)},"detector"),loader14=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./classDiagram-v2-SPY6V32O-G6l4OOVJ.js");return{diagram:qa}},__vite__mapDeps([30,31,10,29,1,2,3,4,5]));return{id:id14,diagram:Ra}},"loader"),plugin12={id:id14,detector:detector14,loader:loader14},classDetector_V2_default=plugin12,id15="state",detector15=__name((Ra,qa)=>{var Ja;return((Ja=qa==null?void 0:qa.state)==null?void 0:Ja.defaultRenderer)==="dagre-wrapper"?!1:/^\s*stateDiagram/.test(Ra)},"detector"),loader15=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./stateDiagram-Q3B52UYC-BLADczIv.js");return{diagram:qa}},__vite__mapDeps([32,33,9,10,1,2,3,4]));return{id:id15,diagram:Ra}},"loader"),plugin13={id:id15,detector:detector15,loader:loader15},stateDetector_default=plugin13,id16="stateDiagram",detector16=__name((Ra,qa)=>{var Ja;return!!(/^\s*stateDiagram-v2/.test(Ra)||/^\s*stateDiagram/.test(Ra)&&((Ja=qa==null?void 0:qa.state)==null?void 0:Ja.defaultRenderer)==="dagre-wrapper")},"detector"),loader16=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./stateDiagram-v2-YJVOV2SD-7I-3kSNK.js");return{diagram:qa}},__vite__mapDeps([34,33,9,10]));return{id:id16,diagram:Ra}},"loader"),plugin14={id:id16,detector:detector16,loader:loader16},stateDetector_V2_default=plugin14,id17="journey",detector17=__name(Ra=>/^\s*journey/.test(Ra),"detector"),loader17=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./journeyDiagram-G5LC7W2K-D8EbDIyw.js");return{diagram:qa}},__vite__mapDeps([35,7,22]));return{id:id17,diagram:Ra}},"loader"),plugin15={id:id17,detector:detector17,loader:loader17},journeyDetector_default=plugin15,draw=__name((Ra,qa,Ja)=>{log$1.debug(`rendering svg for syntax error
|
||
`);const ed=selectSvgElement(qa),td=ed.append("g");ed.attr("viewBox","0 0 2412 512"),configureSvgSize(ed,100,512,!0),td.append("path").attr("class","error-icon").attr("d","m411.313,123.313c6.25-6.25 6.25-16.375 0-22.625s-16.375-6.25-22.625,0l-32,32-9.375,9.375-20.688-20.688c-12.484-12.5-32.766-12.5-45.25,0l-16,16c-1.261,1.261-2.304,2.648-3.31,4.051-21.739-8.561-45.324-13.426-70.065-13.426-105.867,0-192,86.133-192,192s86.133,192 192,192 192-86.133 192-192c0-24.741-4.864-48.327-13.426-70.065 1.402-1.007 2.79-2.049 4.051-3.31l16-16c12.5-12.492 12.5-32.758 0-45.25l-20.688-20.688 9.375-9.375 32.001-31.999zm-219.313,100.687c-52.938,0-96,43.063-96,96 0,8.836-7.164,16-16,16s-16-7.164-16-16c0-70.578 57.422-128 128-128 8.836,0 16,7.164 16,16s-7.164,16-16,16z"),td.append("path").attr("class","error-icon").attr("d","m459.02,148.98c-6.25-6.25-16.375-6.25-22.625,0s-6.25,16.375 0,22.625l16,16c3.125,3.125 7.219,4.688 11.313,4.688 4.094,0 8.188-1.563 11.313-4.688 6.25-6.25 6.25-16.375 0-22.625l-16.001-16z"),td.append("path").attr("class","error-icon").attr("d","m340.395,75.605c3.125,3.125 7.219,4.688 11.313,4.688 4.094,0 8.188-1.563 11.313-4.688 6.25-6.25 6.25-16.375 0-22.625l-16-16c-6.25-6.25-16.375-6.25-22.625,0s-6.25,16.375 0,22.625l15.999,16z"),td.append("path").attr("class","error-icon").attr("d","m400,64c8.844,0 16-7.164 16-16v-32c0-8.836-7.156-16-16-16-8.844,0-16,7.164-16,16v32c0,8.836 7.156,16 16,16z"),td.append("path").attr("class","error-icon").attr("d","m496,96.586h-32c-8.844,0-16,7.164-16,16 0,8.836 7.156,16 16,16h32c8.844,0 16-7.164 16-16 0-8.836-7.156-16-16-16z"),td.append("path").attr("class","error-icon").attr("d","m436.98,75.605c3.125,3.125 7.219,4.688 11.313,4.688 4.094,0 8.188-1.563 11.313-4.688l32-32c6.25-6.25 6.25-16.375 0-22.625s-16.375-6.25-22.625,0l-32,32c-6.251,6.25-6.251,16.375-0.001,22.625z"),td.append("text").attr("class","error-text").attr("x",1440).attr("y",250).attr("font-size","150px").style("text-anchor","middle").text("Syntax error in text"),td.append("text").attr("class","error-text").attr("x",1250).attr("y",400).attr("font-size","100px").style("text-anchor","middle").text(`mermaid version ${Ja}`)},"draw"),renderer={draw},errorRenderer_default=renderer,diagram={db:{},renderer,parser:{parse:__name(()=>{},"parse")}},errorDiagram_default=diagram,id18="flowchart-elk",detector18=__name((Ra,qa={})=>{var Ja;return/^\s*flowchart-elk/.test(Ra)||/^\s*flowchart|graph/.test(Ra)&&((Ja=qa==null?void 0:qa.flowchart)==null?void 0:Ja.defaultRenderer)==="elk"?(qa.layout="elk",!0):!1},"detector"),loader18=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./flowDiagram-SWJZLURC-hWY_REtH.js");return{diagram:qa}},__vite__mapDeps([8,9,10,11]));return{id:id18,diagram:Ra}},"loader"),plugin16={id:id18,detector:detector18,loader:loader18},detector_default=plugin16,id19="timeline",detector19=__name(Ra=>/^\s*timeline/.test(Ra),"detector"),loader19=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./timeline-definition-KYQAIZUX-DcrsDA5H.js");return{diagram:qa}},__vite__mapDeps([36,22]));return{id:id19,diagram:Ra}},"loader"),plugin17={id:id19,detector:detector19,loader:loader19},detector_default2=plugin17,id20="mindmap",detector20=__name(Ra=>/^\s*mindmap/.test(Ra),"detector"),loader20=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./mindmap-definition-26FWEE7H-oVOW70jC.js");return{diagram:qa}},__vite__mapDeps([37,38]));return{id:id20,diagram:Ra}},"loader"),plugin18={id:id20,detector:detector20,loader:loader20},detector_default3=plugin18,id21="sankey",detector21=__name(Ra=>/^\s*sankey-beta/.test(Ra),"detector"),loader21=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./sankeyDiagram-KMMQDL5K-Pr9Ub_Dl.js");return{diagram:qa}},__vite__mapDeps([39,23,19]));return{id:id21,diagram:Ra}},"loader"),plugin19={id:id21,detector:detector21,loader:loader21},sankeyDetector_default=plugin19,id22="packet",detector22=__name(Ra=>/^\s*packet-beta/.test(Ra),"detector"),loader22=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./diagram-QS5GVLUX-B91t7pHc.js");return{diagram:qa}},__vite__mapDeps([40,14,16,4,2]));return{id:id22,diagram:Ra}},"loader"),packet={id:id22,detector:detector22,loader:loader22},id23="block",detector23=__name(Ra=>/^\s*block-beta/.test(Ra),"detector"),loader23=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./blockDiagram-SLEYNUNN-DeODnIVX.js");return{diagram:qa}},__vite__mapDeps([41,31,10,1,2,11]));return{id:id23,diagram:Ra}},"loader"),plugin20={id:id23,detector:detector23,loader:loader23},blockDetector_default=plugin20,id24="architecture",detector24=__name(Ra=>/^\s*architecture/.test(Ra),"detector"),loader24=__name(async()=>{const{diagram:Ra}=await __vitePreload(async()=>{const{diagram:qa}=await import("./architectureDiagram-WQGLKCSC-DywGTiBo.js");return{diagram:qa}},__vite__mapDeps([42,14,15,16,4,2,38]));return{id:id24,diagram:Ra}},"loader"),architecture={id:id24,detector:detector24,loader:loader24},architectureDetector_default=architecture,hasLoadedDiagrams=!1,addDiagrams=__name(()=>{hasLoadedDiagrams||(hasLoadedDiagrams=!0,registerDiagram("error",errorDiagram_default,Ra=>Ra.toLowerCase().trim()==="error"),registerDiagram("---",{db:{clear:__name(()=>{},"clear")},styles:{},renderer:{draw:__name(()=>{},"draw")},parser:{parse:__name(()=>{throw new Error("Diagrams beginning with --- are not valid. If you were trying to use a YAML front-matter, please ensure that you've correctly opened and closed the YAML front-matter with un-indented `---` blocks")},"parse")},init:__name(()=>null,"init")},Ra=>Ra.toLowerCase().trimStart().startsWith("---")),registerLazyLoadedDiagrams(c4Detector_default,classDetector_V2_default,classDetector_default,erDetector_default,ganttDetector_default,info,pie,requirementDetector_default,sequenceDetector_default,detector_default,flowDetector_v2_default,flowDetector_default,detector_default3,detector_default2,gitGraphDetector_default,stateDetector_V2_default,stateDetector_default,journeyDetector_default,quadrantDetector_default,sankeyDetector_default,packet,xychartDetector_default,blockDetector_default,architectureDetector_default))},"addDiagrams"),loadRegisteredDiagrams=__name(async()=>{log$1.debug("Loading registered diagrams");const qa=(await Promise.allSettled(Object.entries(detectors).map(async([Ja,{detector:ed,loader:td}])=>{if(td)try{getDiagram(Ja)}catch{try{const{diagram:rd,id:sd}=await td();registerDiagram(sd,rd,ed)}catch(rd){throw log$1.error(`Failed to load external diagram with key ${Ja}. Removing from detectors.`),delete detectors[Ja],rd}}}))).filter(Ja=>Ja.status==="rejected");if(qa.length>0){log$1.error(`Failed to load ${qa.length} external diagrams`);for(const Ja of qa)log$1.error(Ja);throw new Error(`Failed to load ${qa.length} external diagrams`)}},"loadRegisteredDiagrams"),SVG_ROLE="graphics-document document";function setA11yDiagramInfo(Ra,qa){Ra.attr("role",SVG_ROLE),qa!==""&&Ra.attr("aria-roledescription",qa)}__name(setA11yDiagramInfo,"setA11yDiagramInfo");function addSVGa11yTitleDescription(Ra,qa,Ja,ed){if(Ra.insert!==void 0){if(Ja){const td=`chart-desc-${ed}`;Ra.attr("aria-describedby",td),Ra.insert("desc",":first-child").attr("id",td).text(Ja)}if(qa){const td=`chart-title-${ed}`;Ra.attr("aria-labelledby",td),Ra.insert("title",":first-child").attr("id",td).text(qa)}}}__name(addSVGa11yTitleDescription,"addSVGa11yTitleDescription");var wv,Diagram=(wv=class{constructor(qa,Ja,ed,td,rd){this.type=qa,this.text=Ja,this.db=ed,this.parser=td,this.renderer=rd}static async fromText(qa,Ja={}){var cd,ud;const ed=getConfig$1(),td=detectType(qa,ed);qa=encodeEntities(qa)+`
|
||
`;try{getDiagram(td)}catch{const _d=getDiagramLoader(td);if(!_d)throw new UnknownDiagramError(`Diagram ${td} not found.`);const{id:yd,diagram:gd}=await _d();registerDiagram(yd,gd)}const{db:rd,parser:sd,renderer:od,init:ld}=getDiagram(td);return sd.parser&&(sd.parser.yy=rd),(cd=rd.clear)==null||cd.call(rd),ld==null||ld(ed),Ja.title&&((ud=rd.setDiagramTitle)==null||ud.call(rd,Ja.title)),await sd.parse(qa),new wv(td,qa,rd,sd,od)}async render(qa,Ja){await this.renderer.draw(this.text,qa,Ja,this)}getParser(){return this.parser}getType(){return this.type}},__name(wv,"Diagram"),wv),interactionFunctions=[],attachFunctions=__name(()=>{interactionFunctions.forEach(Ra=>{Ra()}),interactionFunctions=[]},"attachFunctions"),cleanupComments=__name(Ra=>Ra.replace(/^\s*%%(?!{)[^\n]+\n?/gm,"").trimStart(),"cleanupComments");function isNothing(Ra){return typeof Ra>"u"||Ra===null}__name(isNothing,"isNothing");function isObject$2(Ra){return typeof Ra=="object"&&Ra!==null}__name(isObject$2,"isObject");function toArray$2(Ra){return Array.isArray(Ra)?Ra:isNothing(Ra)?[]:[Ra]}__name(toArray$2,"toArray");function extend$1(Ra,qa){var Ja,ed,td,rd;if(qa)for(rd=Object.keys(qa),Ja=0,ed=rd.length;Ja<ed;Ja+=1)td=rd[Ja],Ra[td]=qa[td];return Ra}__name(extend$1,"extend");function repeat(Ra,qa){var Ja="",ed;for(ed=0;ed<qa;ed+=1)Ja+=Ra;return Ja}__name(repeat,"repeat");function isNegativeZero(Ra){return Ra===0&&Number.NEGATIVE_INFINITY===1/Ra}__name(isNegativeZero,"isNegativeZero");var isNothing_1=isNothing,isObject_1=isObject$2,toArray_1=toArray$2,repeat_1=repeat,isNegativeZero_1=isNegativeZero,extend_1=extend$1,common={isNothing:isNothing_1,isObject:isObject_1,toArray:toArray_1,repeat:repeat_1,isNegativeZero:isNegativeZero_1,extend:extend_1};function formatError(Ra,qa){var Ja="",ed=Ra.reason||"(unknown reason)";return Ra.mark?(Ra.mark.name&&(Ja+='in "'+Ra.mark.name+'" '),Ja+="("+(Ra.mark.line+1)+":"+(Ra.mark.column+1)+")",!qa&&Ra.mark.snippet&&(Ja+=`
|
||
|
||
`+Ra.mark.snippet),ed+" "+Ja):ed}__name(formatError,"formatError");function YAMLException$1(Ra,qa){Error.call(this),this.name="YAMLException",this.reason=Ra,this.mark=qa,this.message=formatError(this,!1),Error.captureStackTrace?Error.captureStackTrace(this,this.constructor):this.stack=new Error().stack||""}__name(YAMLException$1,"YAMLException$1");YAMLException$1.prototype=Object.create(Error.prototype);YAMLException$1.prototype.constructor=YAMLException$1;YAMLException$1.prototype.toString=__name(function(qa){return this.name+": "+formatError(this,qa)},"toString");var exception=YAMLException$1;function getLine(Ra,qa,Ja,ed,td){var rd="",sd="",od=Math.floor(td/2)-1;return ed-qa>od&&(rd=" ... ",qa=ed-od+rd.length),Ja-ed>od&&(sd=" ...",Ja=ed+od-sd.length),{str:rd+Ra.slice(qa,Ja).replace(/\t/g,"→")+sd,pos:ed-qa+rd.length}}__name(getLine,"getLine");function padStart(Ra,qa){return common.repeat(" ",qa-Ra.length)+Ra}__name(padStart,"padStart");function makeSnippet(Ra,qa){if(qa=Object.create(qa||null),!Ra.buffer)return null;qa.maxLength||(qa.maxLength=79),typeof qa.indent!="number"&&(qa.indent=1),typeof qa.linesBefore!="number"&&(qa.linesBefore=3),typeof qa.linesAfter!="number"&&(qa.linesAfter=2);for(var Ja=/\r?\n|\r|\0/g,ed=[0],td=[],rd,sd=-1;rd=Ja.exec(Ra.buffer);)td.push(rd.index),ed.push(rd.index+rd[0].length),Ra.position<=rd.index&&sd<0&&(sd=ed.length-2);sd<0&&(sd=ed.length-1);var od="",ld,cd,ud=Math.min(Ra.line+qa.linesAfter,td.length).toString().length,_d=qa.maxLength-(qa.indent+ud+3);for(ld=1;ld<=qa.linesBefore&&!(sd-ld<0);ld++)cd=getLine(Ra.buffer,ed[sd-ld],td[sd-ld],Ra.position-(ed[sd]-ed[sd-ld]),_d),od=common.repeat(" ",qa.indent)+padStart((Ra.line-ld+1).toString(),ud)+" | "+cd.str+`
|
||
`+od;for(cd=getLine(Ra.buffer,ed[sd],td[sd],Ra.position,_d),od+=common.repeat(" ",qa.indent)+padStart((Ra.line+1).toString(),ud)+" | "+cd.str+`
|
||
`,od+=common.repeat("-",qa.indent+ud+3+cd.pos)+`^
|
||
`,ld=1;ld<=qa.linesAfter&&!(sd+ld>=td.length);ld++)cd=getLine(Ra.buffer,ed[sd+ld],td[sd+ld],Ra.position-(ed[sd]-ed[sd+ld]),_d),od+=common.repeat(" ",qa.indent)+padStart((Ra.line+ld+1).toString(),ud)+" | "+cd.str+`
|
||
`;return od.replace(/\n$/,"")}__name(makeSnippet,"makeSnippet");var snippet=makeSnippet,TYPE_CONSTRUCTOR_OPTIONS=["kind","multi","resolve","construct","instanceOf","predicate","represent","representName","defaultStyle","styleAliases"],YAML_NODE_KINDS=["scalar","sequence","mapping"];function compileStyleAliases(Ra){var qa={};return Ra!==null&&Object.keys(Ra).forEach(function(Ja){Ra[Ja].forEach(function(ed){qa[String(ed)]=Ja})}),qa}__name(compileStyleAliases,"compileStyleAliases");function Type$1(Ra,qa){if(qa=qa||{},Object.keys(qa).forEach(function(Ja){if(TYPE_CONSTRUCTOR_OPTIONS.indexOf(Ja)===-1)throw new exception('Unknown option "'+Ja+'" is met in definition of "'+Ra+'" YAML type.')}),this.options=qa,this.tag=Ra,this.kind=qa.kind||null,this.resolve=qa.resolve||function(){return!0},this.construct=qa.construct||function(Ja){return Ja},this.instanceOf=qa.instanceOf||null,this.predicate=qa.predicate||null,this.represent=qa.represent||null,this.representName=qa.representName||null,this.defaultStyle=qa.defaultStyle||null,this.multi=qa.multi||!1,this.styleAliases=compileStyleAliases(qa.styleAliases||null),YAML_NODE_KINDS.indexOf(this.kind)===-1)throw new exception('Unknown kind "'+this.kind+'" is specified for "'+Ra+'" YAML type.')}__name(Type$1,"Type$1");var type$1=Type$1;function compileList(Ra,qa){var Ja=[];return Ra[qa].forEach(function(ed){var td=Ja.length;Ja.forEach(function(rd,sd){rd.tag===ed.tag&&rd.kind===ed.kind&&rd.multi===ed.multi&&(td=sd)}),Ja[td]=ed}),Ja}__name(compileList,"compileList");function compileMap(){var Ra={scalar:{},sequence:{},mapping:{},fallback:{},multi:{scalar:[],sequence:[],mapping:[],fallback:[]}},qa,Ja;function ed(td){td.multi?(Ra.multi[td.kind].push(td),Ra.multi.fallback.push(td)):Ra[td.kind][td.tag]=Ra.fallback[td.tag]=td}for(__name(ed,"collectType"),qa=0,Ja=arguments.length;qa<Ja;qa+=1)arguments[qa].forEach(ed);return Ra}__name(compileMap,"compileMap");function Schema$1(Ra){return this.extend(Ra)}__name(Schema$1,"Schema$1");Schema$1.prototype.extend=__name(function(qa){var Ja=[],ed=[];if(qa instanceof type$1)ed.push(qa);else if(Array.isArray(qa))ed=ed.concat(qa);else if(qa&&(Array.isArray(qa.implicit)||Array.isArray(qa.explicit)))qa.implicit&&(Ja=Ja.concat(qa.implicit)),qa.explicit&&(ed=ed.concat(qa.explicit));else throw new exception("Schema.extend argument should be a Type, [ Type ], or a schema definition ({ implicit: [...], explicit: [...] })");Ja.forEach(function(rd){if(!(rd instanceof type$1))throw new exception("Specified list of YAML types (or a single Type object) contains a non-Type object.");if(rd.loadKind&&rd.loadKind!=="scalar")throw new exception("There is a non-scalar type in the implicit list of a schema. Implicit resolving of such types is not supported.");if(rd.multi)throw new exception("There is a multi type in the implicit list of a schema. Multi tags can only be listed as explicit.")}),ed.forEach(function(rd){if(!(rd instanceof type$1))throw new exception("Specified list of YAML types (or a single Type object) contains a non-Type object.")});var td=Object.create(Schema$1.prototype);return td.implicit=(this.implicit||[]).concat(Ja),td.explicit=(this.explicit||[]).concat(ed),td.compiledImplicit=compileList(td,"implicit"),td.compiledExplicit=compileList(td,"explicit"),td.compiledTypeMap=compileMap(td.compiledImplicit,td.compiledExplicit),td},"extend");var schema=Schema$1,str=new type$1("tag:yaml.org,2002:str",{kind:"scalar",construct:__name(function(Ra){return Ra!==null?Ra:""},"construct")}),seq$1=new type$1("tag:yaml.org,2002:seq",{kind:"sequence",construct:__name(function(Ra){return Ra!==null?Ra:[]},"construct")}),map$4=new type$1("tag:yaml.org,2002:map",{kind:"mapping",construct:__name(function(Ra){return Ra!==null?Ra:{}},"construct")}),failsafe=new schema({explicit:[str,seq$1,map$4]});function resolveYamlNull(Ra){if(Ra===null)return!0;var qa=Ra.length;return qa===1&&Ra==="~"||qa===4&&(Ra==="null"||Ra==="Null"||Ra==="NULL")}__name(resolveYamlNull,"resolveYamlNull");function constructYamlNull(){return null}__name(constructYamlNull,"constructYamlNull");function isNull(Ra){return Ra===null}__name(isNull,"isNull");var _null=new type$1("tag:yaml.org,2002:null",{kind:"scalar",resolve:resolveYamlNull,construct:constructYamlNull,predicate:isNull,represent:{canonical:__name(function(){return"~"},"canonical"),lowercase:__name(function(){return"null"},"lowercase"),uppercase:__name(function(){return"NULL"},"uppercase"),camelcase:__name(function(){return"Null"},"camelcase"),empty:__name(function(){return""},"empty")},defaultStyle:"lowercase"});function resolveYamlBoolean(Ra){if(Ra===null)return!1;var qa=Ra.length;return qa===4&&(Ra==="true"||Ra==="True"||Ra==="TRUE")||qa===5&&(Ra==="false"||Ra==="False"||Ra==="FALSE")}__name(resolveYamlBoolean,"resolveYamlBoolean");function constructYamlBoolean(Ra){return Ra==="true"||Ra==="True"||Ra==="TRUE"}__name(constructYamlBoolean,"constructYamlBoolean");function isBoolean$2(Ra){return Object.prototype.toString.call(Ra)==="[object Boolean]"}__name(isBoolean$2,"isBoolean");var bool=new type$1("tag:yaml.org,2002:bool",{kind:"scalar",resolve:resolveYamlBoolean,construct:constructYamlBoolean,predicate:isBoolean$2,represent:{lowercase:__name(function(Ra){return Ra?"true":"false"},"lowercase"),uppercase:__name(function(Ra){return Ra?"TRUE":"FALSE"},"uppercase"),camelcase:__name(function(Ra){return Ra?"True":"False"},"camelcase")},defaultStyle:"lowercase"});function isHexCode(Ra){return 48<=Ra&&Ra<=57||65<=Ra&&Ra<=70||97<=Ra&&Ra<=102}__name(isHexCode,"isHexCode");function isOctCode(Ra){return 48<=Ra&&Ra<=55}__name(isOctCode,"isOctCode");function isDecCode(Ra){return 48<=Ra&&Ra<=57}__name(isDecCode,"isDecCode");function resolveYamlInteger(Ra){if(Ra===null)return!1;var qa=Ra.length,Ja=0,ed=!1,td;if(!qa)return!1;if(td=Ra[Ja],(td==="-"||td==="+")&&(td=Ra[++Ja]),td==="0"){if(Ja+1===qa)return!0;if(td=Ra[++Ja],td==="b"){for(Ja++;Ja<qa;Ja++)if(td=Ra[Ja],td!=="_"){if(td!=="0"&&td!=="1")return!1;ed=!0}return ed&&td!=="_"}if(td==="x"){for(Ja++;Ja<qa;Ja++)if(td=Ra[Ja],td!=="_"){if(!isHexCode(Ra.charCodeAt(Ja)))return!1;ed=!0}return ed&&td!=="_"}if(td==="o"){for(Ja++;Ja<qa;Ja++)if(td=Ra[Ja],td!=="_"){if(!isOctCode(Ra.charCodeAt(Ja)))return!1;ed=!0}return ed&&td!=="_"}}if(td==="_")return!1;for(;Ja<qa;Ja++)if(td=Ra[Ja],td!=="_"){if(!isDecCode(Ra.charCodeAt(Ja)))return!1;ed=!0}return!(!ed||td==="_")}__name(resolveYamlInteger,"resolveYamlInteger");function constructYamlInteger(Ra){var qa=Ra,Ja=1,ed;if(qa.indexOf("_")!==-1&&(qa=qa.replace(/_/g,"")),ed=qa[0],(ed==="-"||ed==="+")&&(ed==="-"&&(Ja=-1),qa=qa.slice(1),ed=qa[0]),qa==="0")return 0;if(ed==="0"){if(qa[1]==="b")return Ja*parseInt(qa.slice(2),2);if(qa[1]==="x")return Ja*parseInt(qa.slice(2),16);if(qa[1]==="o")return Ja*parseInt(qa.slice(2),8)}return Ja*parseInt(qa,10)}__name(constructYamlInteger,"constructYamlInteger");function isInteger$1(Ra){return Object.prototype.toString.call(Ra)==="[object Number]"&&Ra%1===0&&!common.isNegativeZero(Ra)}__name(isInteger$1,"isInteger");var int$2=new type$1("tag:yaml.org,2002:int",{kind:"scalar",resolve:resolveYamlInteger,construct:constructYamlInteger,predicate:isInteger$1,represent:{binary:__name(function(Ra){return Ra>=0?"0b"+Ra.toString(2):"-0b"+Ra.toString(2).slice(1)},"binary"),octal:__name(function(Ra){return Ra>=0?"0o"+Ra.toString(8):"-0o"+Ra.toString(8).slice(1)},"octal"),decimal:__name(function(Ra){return Ra.toString(10)},"decimal"),hexadecimal:__name(function(Ra){return Ra>=0?"0x"+Ra.toString(16).toUpperCase():"-0x"+Ra.toString(16).toUpperCase().slice(1)},"hexadecimal")},defaultStyle:"decimal",styleAliases:{binary:[2,"bin"],octal:[8,"oct"],decimal:[10,"dec"],hexadecimal:[16,"hex"]}}),YAML_FLOAT_PATTERN=new RegExp("^(?:[-+]?(?:[0-9][0-9_]*)(?:\\.[0-9_]*)?(?:[eE][-+]?[0-9]+)?|\\.[0-9_]+(?:[eE][-+]?[0-9]+)?|[-+]?\\.(?:inf|Inf|INF)|\\.(?:nan|NaN|NAN))$");function resolveYamlFloat(Ra){return!(Ra===null||!YAML_FLOAT_PATTERN.test(Ra)||Ra[Ra.length-1]==="_")}__name(resolveYamlFloat,"resolveYamlFloat");function constructYamlFloat(Ra){var qa,Ja;return qa=Ra.replace(/_/g,"").toLowerCase(),Ja=qa[0]==="-"?-1:1,"+-".indexOf(qa[0])>=0&&(qa=qa.slice(1)),qa===".inf"?Ja===1?Number.POSITIVE_INFINITY:Number.NEGATIVE_INFINITY:qa===".nan"?NaN:Ja*parseFloat(qa,10)}__name(constructYamlFloat,"constructYamlFloat");var SCIENTIFIC_WITHOUT_DOT=/^[-+]?[0-9]+e/;function representYamlFloat(Ra,qa){var Ja;if(isNaN(Ra))switch(qa){case"lowercase":return".nan";case"uppercase":return".NAN";case"camelcase":return".NaN"}else if(Number.POSITIVE_INFINITY===Ra)switch(qa){case"lowercase":return".inf";case"uppercase":return".INF";case"camelcase":return".Inf"}else if(Number.NEGATIVE_INFINITY===Ra)switch(qa){case"lowercase":return"-.inf";case"uppercase":return"-.INF";case"camelcase":return"-.Inf"}else if(common.isNegativeZero(Ra))return"-0.0";return Ja=Ra.toString(10),SCIENTIFIC_WITHOUT_DOT.test(Ja)?Ja.replace("e",".e"):Ja}__name(representYamlFloat,"representYamlFloat");function isFloat(Ra){return Object.prototype.toString.call(Ra)==="[object Number]"&&(Ra%1!==0||common.isNegativeZero(Ra))}__name(isFloat,"isFloat");var float=new type$1("tag:yaml.org,2002:float",{kind:"scalar",resolve:resolveYamlFloat,construct:constructYamlFloat,predicate:isFloat,represent:representYamlFloat,defaultStyle:"lowercase"}),json=failsafe.extend({implicit:[_null,bool,int$2,float]}),core$1=json,YAML_DATE_REGEXP=new RegExp("^([0-9][0-9][0-9][0-9])-([0-9][0-9])-([0-9][0-9])$"),YAML_TIMESTAMP_REGEXP=new RegExp("^([0-9][0-9][0-9][0-9])-([0-9][0-9]?)-([0-9][0-9]?)(?:[Tt]|[ \\t]+)([0-9][0-9]?):([0-9][0-9]):([0-9][0-9])(?:\\.([0-9]*))?(?:[ \\t]*(Z|([-+])([0-9][0-9]?)(?::([0-9][0-9]))?))?$");function resolveYamlTimestamp(Ra){return Ra===null?!1:YAML_DATE_REGEXP.exec(Ra)!==null||YAML_TIMESTAMP_REGEXP.exec(Ra)!==null}__name(resolveYamlTimestamp,"resolveYamlTimestamp");function constructYamlTimestamp(Ra){var qa,Ja,ed,td,rd,sd,od,ld=0,cd=null,ud,_d,yd;if(qa=YAML_DATE_REGEXP.exec(Ra),qa===null&&(qa=YAML_TIMESTAMP_REGEXP.exec(Ra)),qa===null)throw new Error("Date resolve error");if(Ja=+qa[1],ed=+qa[2]-1,td=+qa[3],!qa[4])return new Date(Date.UTC(Ja,ed,td));if(rd=+qa[4],sd=+qa[5],od=+qa[6],qa[7]){for(ld=qa[7].slice(0,3);ld.length<3;)ld+="0";ld=+ld}return qa[9]&&(ud=+qa[10],_d=+(qa[11]||0),cd=(ud*60+_d)*6e4,qa[9]==="-"&&(cd=-cd)),yd=new Date(Date.UTC(Ja,ed,td,rd,sd,od,ld)),cd&&yd.setTime(yd.getTime()-cd),yd}__name(constructYamlTimestamp,"constructYamlTimestamp");function representYamlTimestamp(Ra){return Ra.toISOString()}__name(representYamlTimestamp,"representYamlTimestamp");var timestamp=new type$1("tag:yaml.org,2002:timestamp",{kind:"scalar",resolve:resolveYamlTimestamp,construct:constructYamlTimestamp,instanceOf:Date,represent:representYamlTimestamp});function resolveYamlMerge(Ra){return Ra==="<<"||Ra===null}__name(resolveYamlMerge,"resolveYamlMerge");var merge$1=new type$1("tag:yaml.org,2002:merge",{kind:"scalar",resolve:resolveYamlMerge}),BASE64_MAP=`ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=
|
||
\r`;function resolveYamlBinary(Ra){if(Ra===null)return!1;var qa,Ja,ed=0,td=Ra.length,rd=BASE64_MAP;for(Ja=0;Ja<td;Ja++)if(qa=rd.indexOf(Ra.charAt(Ja)),!(qa>64)){if(qa<0)return!1;ed+=6}return ed%8===0}__name(resolveYamlBinary,"resolveYamlBinary");function constructYamlBinary(Ra){var qa,Ja,ed=Ra.replace(/[\r\n=]/g,""),td=ed.length,rd=BASE64_MAP,sd=0,od=[];for(qa=0;qa<td;qa++)qa%4===0&&qa&&(od.push(sd>>16&255),od.push(sd>>8&255),od.push(sd&255)),sd=sd<<6|rd.indexOf(ed.charAt(qa));return Ja=td%4*6,Ja===0?(od.push(sd>>16&255),od.push(sd>>8&255),od.push(sd&255)):Ja===18?(od.push(sd>>10&255),od.push(sd>>2&255)):Ja===12&&od.push(sd>>4&255),new Uint8Array(od)}__name(constructYamlBinary,"constructYamlBinary");function representYamlBinary(Ra){var qa="",Ja=0,ed,td,rd=Ra.length,sd=BASE64_MAP;for(ed=0;ed<rd;ed++)ed%3===0&&ed&&(qa+=sd[Ja>>18&63],qa+=sd[Ja>>12&63],qa+=sd[Ja>>6&63],qa+=sd[Ja&63]),Ja=(Ja<<8)+Ra[ed];return td=rd%3,td===0?(qa+=sd[Ja>>18&63],qa+=sd[Ja>>12&63],qa+=sd[Ja>>6&63],qa+=sd[Ja&63]):td===2?(qa+=sd[Ja>>10&63],qa+=sd[Ja>>4&63],qa+=sd[Ja<<2&63],qa+=sd[64]):td===1&&(qa+=sd[Ja>>2&63],qa+=sd[Ja<<4&63],qa+=sd[64],qa+=sd[64]),qa}__name(representYamlBinary,"representYamlBinary");function isBinary(Ra){return Object.prototype.toString.call(Ra)==="[object Uint8Array]"}__name(isBinary,"isBinary");var binary=new type$1("tag:yaml.org,2002:binary",{kind:"scalar",resolve:resolveYamlBinary,construct:constructYamlBinary,predicate:isBinary,represent:representYamlBinary}),_hasOwnProperty$3=Object.prototype.hasOwnProperty,_toString$2=Object.prototype.toString;function resolveYamlOmap(Ra){if(Ra===null)return!0;var qa=[],Ja,ed,td,rd,sd,od=Ra;for(Ja=0,ed=od.length;Ja<ed;Ja+=1){if(td=od[Ja],sd=!1,_toString$2.call(td)!=="[object Object]")return!1;for(rd in td)if(_hasOwnProperty$3.call(td,rd))if(!sd)sd=!0;else return!1;if(!sd)return!1;if(qa.indexOf(rd)===-1)qa.push(rd);else return!1}return!0}__name(resolveYamlOmap,"resolveYamlOmap");function constructYamlOmap(Ra){return Ra!==null?Ra:[]}__name(constructYamlOmap,"constructYamlOmap");var omap=new type$1("tag:yaml.org,2002:omap",{kind:"sequence",resolve:resolveYamlOmap,construct:constructYamlOmap}),_toString$1=Object.prototype.toString;function resolveYamlPairs(Ra){if(Ra===null)return!0;var qa,Ja,ed,td,rd,sd=Ra;for(rd=new Array(sd.length),qa=0,Ja=sd.length;qa<Ja;qa+=1){if(ed=sd[qa],_toString$1.call(ed)!=="[object Object]"||(td=Object.keys(ed),td.length!==1))return!1;rd[qa]=[td[0],ed[td[0]]]}return!0}__name(resolveYamlPairs,"resolveYamlPairs");function constructYamlPairs(Ra){if(Ra===null)return[];var qa,Ja,ed,td,rd,sd=Ra;for(rd=new Array(sd.length),qa=0,Ja=sd.length;qa<Ja;qa+=1)ed=sd[qa],td=Object.keys(ed),rd[qa]=[td[0],ed[td[0]]];return rd}__name(constructYamlPairs,"constructYamlPairs");var pairs=new type$1("tag:yaml.org,2002:pairs",{kind:"sequence",resolve:resolveYamlPairs,construct:constructYamlPairs}),_hasOwnProperty$2=Object.prototype.hasOwnProperty;function resolveYamlSet(Ra){if(Ra===null)return!0;var qa,Ja=Ra;for(qa in Ja)if(_hasOwnProperty$2.call(Ja,qa)&&Ja[qa]!==null)return!1;return!0}__name(resolveYamlSet,"resolveYamlSet");function constructYamlSet(Ra){return Ra!==null?Ra:{}}__name(constructYamlSet,"constructYamlSet");var set=new type$1("tag:yaml.org,2002:set",{kind:"mapping",resolve:resolveYamlSet,construct:constructYamlSet}),_default$1=core$1.extend({implicit:[timestamp,merge$1],explicit:[binary,omap,pairs,set]}),_hasOwnProperty$1=Object.prototype.hasOwnProperty,CONTEXT_FLOW_IN=1,CONTEXT_FLOW_OUT=2,CONTEXT_BLOCK_IN=3,CONTEXT_BLOCK_OUT=4,CHOMPING_CLIP=1,CHOMPING_STRIP=2,CHOMPING_KEEP=3,PATTERN_NON_PRINTABLE=/[\x00-\x08\x0B\x0C\x0E-\x1F\x7F-\x84\x86-\x9F\uFFFE\uFFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF]/,PATTERN_NON_ASCII_LINE_BREAKS=/[\x85\u2028\u2029]/,PATTERN_FLOW_INDICATORS=/[,\[\]\{\}]/,PATTERN_TAG_HANDLE=/^(?:!|!!|![a-z\-]+!)$/i,PATTERN_TAG_URI=/^(?:!|[^,\[\]\{\}])(?:%[0-9a-f]{2}|[0-9a-z\-#;\/\?:@&=\+\$,_\.!~\*'\(\)\[\]])*$/i;function _class(Ra){return Object.prototype.toString.call(Ra)}__name(_class,"_class");function is_EOL(Ra){return Ra===10||Ra===13}__name(is_EOL,"is_EOL");function is_WHITE_SPACE(Ra){return Ra===9||Ra===32}__name(is_WHITE_SPACE,"is_WHITE_SPACE");function is_WS_OR_EOL(Ra){return Ra===9||Ra===32||Ra===10||Ra===13}__name(is_WS_OR_EOL,"is_WS_OR_EOL");function is_FLOW_INDICATOR(Ra){return Ra===44||Ra===91||Ra===93||Ra===123||Ra===125}__name(is_FLOW_INDICATOR,"is_FLOW_INDICATOR");function fromHexCode(Ra){var qa;return 48<=Ra&&Ra<=57?Ra-48:(qa=Ra|32,97<=qa&&qa<=102?qa-97+10:-1)}__name(fromHexCode,"fromHexCode");function escapedHexLen(Ra){return Ra===120?2:Ra===117?4:Ra===85?8:0}__name(escapedHexLen,"escapedHexLen");function fromDecimalCode(Ra){return 48<=Ra&&Ra<=57?Ra-48:-1}__name(fromDecimalCode,"fromDecimalCode");function simpleEscapeSequence(Ra){return Ra===48?"\0":Ra===97?"\x07":Ra===98?"\b":Ra===116||Ra===9?" ":Ra===110?`
|
||
`:Ra===118?"\v":Ra===102?"\f":Ra===114?"\r":Ra===101?"\x1B":Ra===32?" ":Ra===34?'"':Ra===47?"/":Ra===92?"\\":Ra===78?"
":Ra===95?" ":Ra===76?"\u2028":Ra===80?"\u2029":""}__name(simpleEscapeSequence,"simpleEscapeSequence");function charFromCodepoint(Ra){return Ra<=65535?String.fromCharCode(Ra):String.fromCharCode((Ra-65536>>10)+55296,(Ra-65536&1023)+56320)}__name(charFromCodepoint,"charFromCodepoint");var simpleEscapeCheck=new Array(256),simpleEscapeMap=new Array(256);for(i$1=0;i$1<256;i$1++)simpleEscapeCheck[i$1]=simpleEscapeSequence(i$1)?1:0,simpleEscapeMap[i$1]=simpleEscapeSequence(i$1);var i$1;function State$1(Ra,qa){this.input=Ra,this.filename=qa.filename||null,this.schema=qa.schema||_default$1,this.onWarning=qa.onWarning||null,this.legacy=qa.legacy||!1,this.json=qa.json||!1,this.listener=qa.listener||null,this.implicitTypes=this.schema.compiledImplicit,this.typeMap=this.schema.compiledTypeMap,this.length=Ra.length,this.position=0,this.line=0,this.lineStart=0,this.lineIndent=0,this.firstTabInLine=-1,this.documents=[]}__name(State$1,"State$1");function generateError(Ra,qa){var Ja={name:Ra.filename,buffer:Ra.input.slice(0,-1),position:Ra.position,line:Ra.line,column:Ra.position-Ra.lineStart};return Ja.snippet=snippet(Ja),new exception(qa,Ja)}__name(generateError,"generateError");function throwError(Ra,qa){throw generateError(Ra,qa)}__name(throwError,"throwError");function throwWarning(Ra,qa){Ra.onWarning&&Ra.onWarning.call(null,generateError(Ra,qa))}__name(throwWarning,"throwWarning");var directiveHandlers={YAML:__name(function(qa,Ja,ed){var td,rd,sd;qa.version!==null&&throwError(qa,"duplication of %YAML directive"),ed.length!==1&&throwError(qa,"YAML directive accepts exactly one argument"),td=/^([0-9]+)\.([0-9]+)$/.exec(ed[0]),td===null&&throwError(qa,"ill-formed argument of the YAML directive"),rd=parseInt(td[1],10),sd=parseInt(td[2],10),rd!==1&&throwError(qa,"unacceptable YAML version of the document"),qa.version=ed[0],qa.checkLineBreaks=sd<2,sd!==1&&sd!==2&&throwWarning(qa,"unsupported YAML version of the document")},"handleYamlDirective"),TAG:__name(function(qa,Ja,ed){var td,rd;ed.length!==2&&throwError(qa,"TAG directive accepts exactly two arguments"),td=ed[0],rd=ed[1],PATTERN_TAG_HANDLE.test(td)||throwError(qa,"ill-formed tag handle (first argument) of the TAG directive"),_hasOwnProperty$1.call(qa.tagMap,td)&&throwError(qa,'there is a previously declared suffix for "'+td+'" tag handle'),PATTERN_TAG_URI.test(rd)||throwError(qa,"ill-formed tag prefix (second argument) of the TAG directive");try{rd=decodeURIComponent(rd)}catch{throwError(qa,"tag prefix is malformed: "+rd)}qa.tagMap[td]=rd},"handleTagDirective")};function captureSegment(Ra,qa,Ja,ed){var td,rd,sd,od;if(qa<Ja){if(od=Ra.input.slice(qa,Ja),ed)for(td=0,rd=od.length;td<rd;td+=1)sd=od.charCodeAt(td),sd===9||32<=sd&&sd<=1114111||throwError(Ra,"expected valid JSON character");else PATTERN_NON_PRINTABLE.test(od)&&throwError(Ra,"the stream contains non-printable characters");Ra.result+=od}}__name(captureSegment,"captureSegment");function mergeMappings(Ra,qa,Ja,ed){var td,rd,sd,od;for(common.isObject(Ja)||throwError(Ra,"cannot merge mappings; the provided source object is unacceptable"),td=Object.keys(Ja),sd=0,od=td.length;sd<od;sd+=1)rd=td[sd],_hasOwnProperty$1.call(qa,rd)||(qa[rd]=Ja[rd],ed[rd]=!0)}__name(mergeMappings,"mergeMappings");function storeMappingPair(Ra,qa,Ja,ed,td,rd,sd,od,ld){var cd,ud;if(Array.isArray(td))for(td=Array.prototype.slice.call(td),cd=0,ud=td.length;cd<ud;cd+=1)Array.isArray(td[cd])&&throwError(Ra,"nested arrays are not supported inside keys"),typeof td=="object"&&_class(td[cd])==="[object Object]"&&(td[cd]="[object Object]");if(typeof td=="object"&&_class(td)==="[object Object]"&&(td="[object Object]"),td=String(td),qa===null&&(qa={}),ed==="tag:yaml.org,2002:merge")if(Array.isArray(rd))for(cd=0,ud=rd.length;cd<ud;cd+=1)mergeMappings(Ra,qa,rd[cd],Ja);else mergeMappings(Ra,qa,rd,Ja);else!Ra.json&&!_hasOwnProperty$1.call(Ja,td)&&_hasOwnProperty$1.call(qa,td)&&(Ra.line=sd||Ra.line,Ra.lineStart=od||Ra.lineStart,Ra.position=ld||Ra.position,throwError(Ra,"duplicated mapping key")),td==="__proto__"?Object.defineProperty(qa,td,{configurable:!0,enumerable:!0,writable:!0,value:rd}):qa[td]=rd,delete Ja[td];return qa}__name(storeMappingPair,"storeMappingPair");function readLineBreak(Ra){var qa;qa=Ra.input.charCodeAt(Ra.position),qa===10?Ra.position++:qa===13?(Ra.position++,Ra.input.charCodeAt(Ra.position)===10&&Ra.position++):throwError(Ra,"a line break is expected"),Ra.line+=1,Ra.lineStart=Ra.position,Ra.firstTabInLine=-1}__name(readLineBreak,"readLineBreak");function skipSeparationSpace(Ra,qa,Ja){for(var ed=0,td=Ra.input.charCodeAt(Ra.position);td!==0;){for(;is_WHITE_SPACE(td);)td===9&&Ra.firstTabInLine===-1&&(Ra.firstTabInLine=Ra.position),td=Ra.input.charCodeAt(++Ra.position);if(qa&&td===35)do td=Ra.input.charCodeAt(++Ra.position);while(td!==10&&td!==13&&td!==0);if(is_EOL(td))for(readLineBreak(Ra),td=Ra.input.charCodeAt(Ra.position),ed++,Ra.lineIndent=0;td===32;)Ra.lineIndent++,td=Ra.input.charCodeAt(++Ra.position);else break}return Ja!==-1&&ed!==0&&Ra.lineIndent<Ja&&throwWarning(Ra,"deficient indentation"),ed}__name(skipSeparationSpace,"skipSeparationSpace");function testDocumentSeparator(Ra){var qa=Ra.position,Ja;return Ja=Ra.input.charCodeAt(qa),!!((Ja===45||Ja===46)&&Ja===Ra.input.charCodeAt(qa+1)&&Ja===Ra.input.charCodeAt(qa+2)&&(qa+=3,Ja=Ra.input.charCodeAt(qa),Ja===0||is_WS_OR_EOL(Ja)))}__name(testDocumentSeparator,"testDocumentSeparator");function writeFoldedLines(Ra,qa){qa===1?Ra.result+=" ":qa>1&&(Ra.result+=common.repeat(`
|
||
`,qa-1))}__name(writeFoldedLines,"writeFoldedLines");function readPlainScalar(Ra,qa,Ja){var ed,td,rd,sd,od,ld,cd,ud,_d=Ra.kind,yd=Ra.result,gd;if(gd=Ra.input.charCodeAt(Ra.position),is_WS_OR_EOL(gd)||is_FLOW_INDICATOR(gd)||gd===35||gd===38||gd===42||gd===33||gd===124||gd===62||gd===39||gd===34||gd===37||gd===64||gd===96||(gd===63||gd===45)&&(td=Ra.input.charCodeAt(Ra.position+1),is_WS_OR_EOL(td)||Ja&&is_FLOW_INDICATOR(td)))return!1;for(Ra.kind="scalar",Ra.result="",rd=sd=Ra.position,od=!1;gd!==0;){if(gd===58){if(td=Ra.input.charCodeAt(Ra.position+1),is_WS_OR_EOL(td)||Ja&&is_FLOW_INDICATOR(td))break}else if(gd===35){if(ed=Ra.input.charCodeAt(Ra.position-1),is_WS_OR_EOL(ed))break}else{if(Ra.position===Ra.lineStart&&testDocumentSeparator(Ra)||Ja&&is_FLOW_INDICATOR(gd))break;if(is_EOL(gd))if(ld=Ra.line,cd=Ra.lineStart,ud=Ra.lineIndent,skipSeparationSpace(Ra,!1,-1),Ra.lineIndent>=qa){od=!0,gd=Ra.input.charCodeAt(Ra.position);continue}else{Ra.position=sd,Ra.line=ld,Ra.lineStart=cd,Ra.lineIndent=ud;break}}od&&(captureSegment(Ra,rd,sd,!1),writeFoldedLines(Ra,Ra.line-ld),rd=sd=Ra.position,od=!1),is_WHITE_SPACE(gd)||(sd=Ra.position+1),gd=Ra.input.charCodeAt(++Ra.position)}return captureSegment(Ra,rd,sd,!1),Ra.result?!0:(Ra.kind=_d,Ra.result=yd,!1)}__name(readPlainScalar,"readPlainScalar");function readSingleQuotedScalar(Ra,qa){var Ja,ed,td;if(Ja=Ra.input.charCodeAt(Ra.position),Ja!==39)return!1;for(Ra.kind="scalar",Ra.result="",Ra.position++,ed=td=Ra.position;(Ja=Ra.input.charCodeAt(Ra.position))!==0;)if(Ja===39)if(captureSegment(Ra,ed,Ra.position,!0),Ja=Ra.input.charCodeAt(++Ra.position),Ja===39)ed=Ra.position,Ra.position++,td=Ra.position;else return!0;else is_EOL(Ja)?(captureSegment(Ra,ed,td,!0),writeFoldedLines(Ra,skipSeparationSpace(Ra,!1,qa)),ed=td=Ra.position):Ra.position===Ra.lineStart&&testDocumentSeparator(Ra)?throwError(Ra,"unexpected end of the document within a single quoted scalar"):(Ra.position++,td=Ra.position);throwError(Ra,"unexpected end of the stream within a single quoted scalar")}__name(readSingleQuotedScalar,"readSingleQuotedScalar");function readDoubleQuotedScalar(Ra,qa){var Ja,ed,td,rd,sd,od;if(od=Ra.input.charCodeAt(Ra.position),od!==34)return!1;for(Ra.kind="scalar",Ra.result="",Ra.position++,Ja=ed=Ra.position;(od=Ra.input.charCodeAt(Ra.position))!==0;){if(od===34)return captureSegment(Ra,Ja,Ra.position,!0),Ra.position++,!0;if(od===92){if(captureSegment(Ra,Ja,Ra.position,!0),od=Ra.input.charCodeAt(++Ra.position),is_EOL(od))skipSeparationSpace(Ra,!1,qa);else if(od<256&&simpleEscapeCheck[od])Ra.result+=simpleEscapeMap[od],Ra.position++;else if((sd=escapedHexLen(od))>0){for(td=sd,rd=0;td>0;td--)od=Ra.input.charCodeAt(++Ra.position),(sd=fromHexCode(od))>=0?rd=(rd<<4)+sd:throwError(Ra,"expected hexadecimal character");Ra.result+=charFromCodepoint(rd),Ra.position++}else throwError(Ra,"unknown escape sequence");Ja=ed=Ra.position}else is_EOL(od)?(captureSegment(Ra,Ja,ed,!0),writeFoldedLines(Ra,skipSeparationSpace(Ra,!1,qa)),Ja=ed=Ra.position):Ra.position===Ra.lineStart&&testDocumentSeparator(Ra)?throwError(Ra,"unexpected end of the document within a double quoted scalar"):(Ra.position++,ed=Ra.position)}throwError(Ra,"unexpected end of the stream within a double quoted scalar")}__name(readDoubleQuotedScalar,"readDoubleQuotedScalar");function readFlowCollection(Ra,qa){var Ja=!0,ed,td,rd,sd=Ra.tag,od,ld=Ra.anchor,cd,ud,_d,yd,gd,Ed=Object.create(null),Td,kd,Rd,Nd;if(Nd=Ra.input.charCodeAt(Ra.position),Nd===91)ud=93,gd=!1,od=[];else if(Nd===123)ud=125,gd=!0,od={};else return!1;for(Ra.anchor!==null&&(Ra.anchorMap[Ra.anchor]=od),Nd=Ra.input.charCodeAt(++Ra.position);Nd!==0;){if(skipSeparationSpace(Ra,!0,qa),Nd=Ra.input.charCodeAt(Ra.position),Nd===ud)return Ra.position++,Ra.tag=sd,Ra.anchor=ld,Ra.kind=gd?"mapping":"sequence",Ra.result=od,!0;Ja?Nd===44&&throwError(Ra,"expected the node content, but found ','"):throwError(Ra,"missed comma between flow collection entries"),kd=Td=Rd=null,_d=yd=!1,Nd===63&&(cd=Ra.input.charCodeAt(Ra.position+1),is_WS_OR_EOL(cd)&&(_d=yd=!0,Ra.position++,skipSeparationSpace(Ra,!0,qa))),ed=Ra.line,td=Ra.lineStart,rd=Ra.position,composeNode(Ra,qa,CONTEXT_FLOW_IN,!1,!0),kd=Ra.tag,Td=Ra.result,skipSeparationSpace(Ra,!0,qa),Nd=Ra.input.charCodeAt(Ra.position),(yd||Ra.line===ed)&&Nd===58&&(_d=!0,Nd=Ra.input.charCodeAt(++Ra.position),skipSeparationSpace(Ra,!0,qa),composeNode(Ra,qa,CONTEXT_FLOW_IN,!1,!0),Rd=Ra.result),gd?storeMappingPair(Ra,od,Ed,kd,Td,Rd,ed,td,rd):_d?od.push(storeMappingPair(Ra,null,Ed,kd,Td,Rd,ed,td,rd)):od.push(Td),skipSeparationSpace(Ra,!0,qa),Nd=Ra.input.charCodeAt(Ra.position),Nd===44?(Ja=!0,Nd=Ra.input.charCodeAt(++Ra.position)):Ja=!1}throwError(Ra,"unexpected end of the stream within a flow collection")}__name(readFlowCollection,"readFlowCollection");function readBlockScalar(Ra,qa){var Ja,ed,td=CHOMPING_CLIP,rd=!1,sd=!1,od=qa,ld=0,cd=!1,ud,_d;if(_d=Ra.input.charCodeAt(Ra.position),_d===124)ed=!1;else if(_d===62)ed=!0;else return!1;for(Ra.kind="scalar",Ra.result="";_d!==0;)if(_d=Ra.input.charCodeAt(++Ra.position),_d===43||_d===45)CHOMPING_CLIP===td?td=_d===43?CHOMPING_KEEP:CHOMPING_STRIP:throwError(Ra,"repeat of a chomping mode identifier");else if((ud=fromDecimalCode(_d))>=0)ud===0?throwError(Ra,"bad explicit indentation width of a block scalar; it cannot be less than one"):sd?throwError(Ra,"repeat of an indentation width identifier"):(od=qa+ud-1,sd=!0);else break;if(is_WHITE_SPACE(_d)){do _d=Ra.input.charCodeAt(++Ra.position);while(is_WHITE_SPACE(_d));if(_d===35)do _d=Ra.input.charCodeAt(++Ra.position);while(!is_EOL(_d)&&_d!==0)}for(;_d!==0;){for(readLineBreak(Ra),Ra.lineIndent=0,_d=Ra.input.charCodeAt(Ra.position);(!sd||Ra.lineIndent<od)&&_d===32;)Ra.lineIndent++,_d=Ra.input.charCodeAt(++Ra.position);if(!sd&&Ra.lineIndent>od&&(od=Ra.lineIndent),is_EOL(_d)){ld++;continue}if(Ra.lineIndent<od){td===CHOMPING_KEEP?Ra.result+=common.repeat(`
|
||
`,rd?1+ld:ld):td===CHOMPING_CLIP&&rd&&(Ra.result+=`
|
||
`);break}for(ed?is_WHITE_SPACE(_d)?(cd=!0,Ra.result+=common.repeat(`
|
||
`,rd?1+ld:ld)):cd?(cd=!1,Ra.result+=common.repeat(`
|
||
`,ld+1)):ld===0?rd&&(Ra.result+=" "):Ra.result+=common.repeat(`
|
||
`,ld):Ra.result+=common.repeat(`
|
||
`,rd?1+ld:ld),rd=!0,sd=!0,ld=0,Ja=Ra.position;!is_EOL(_d)&&_d!==0;)_d=Ra.input.charCodeAt(++Ra.position);captureSegment(Ra,Ja,Ra.position,!1)}return!0}__name(readBlockScalar,"readBlockScalar");function readBlockSequence(Ra,qa){var Ja,ed=Ra.tag,td=Ra.anchor,rd=[],sd,od=!1,ld;if(Ra.firstTabInLine!==-1)return!1;for(Ra.anchor!==null&&(Ra.anchorMap[Ra.anchor]=rd),ld=Ra.input.charCodeAt(Ra.position);ld!==0&&(Ra.firstTabInLine!==-1&&(Ra.position=Ra.firstTabInLine,throwError(Ra,"tab characters must not be used in indentation")),!(ld!==45||(sd=Ra.input.charCodeAt(Ra.position+1),!is_WS_OR_EOL(sd))));){if(od=!0,Ra.position++,skipSeparationSpace(Ra,!0,-1)&&Ra.lineIndent<=qa){rd.push(null),ld=Ra.input.charCodeAt(Ra.position);continue}if(Ja=Ra.line,composeNode(Ra,qa,CONTEXT_BLOCK_IN,!1,!0),rd.push(Ra.result),skipSeparationSpace(Ra,!0,-1),ld=Ra.input.charCodeAt(Ra.position),(Ra.line===Ja||Ra.lineIndent>qa)&&ld!==0)throwError(Ra,"bad indentation of a sequence entry");else if(Ra.lineIndent<qa)break}return od?(Ra.tag=ed,Ra.anchor=td,Ra.kind="sequence",Ra.result=rd,!0):!1}__name(readBlockSequence,"readBlockSequence");function readBlockMapping(Ra,qa,Ja){var ed,td,rd,sd,od,ld,cd=Ra.tag,ud=Ra.anchor,_d={},yd=Object.create(null),gd=null,Ed=null,Td=null,kd=!1,Rd=!1,Nd;if(Ra.firstTabInLine!==-1)return!1;for(Ra.anchor!==null&&(Ra.anchorMap[Ra.anchor]=_d),Nd=Ra.input.charCodeAt(Ra.position);Nd!==0;){if(!kd&&Ra.firstTabInLine!==-1&&(Ra.position=Ra.firstTabInLine,throwError(Ra,"tab characters must not be used in indentation")),ed=Ra.input.charCodeAt(Ra.position+1),rd=Ra.line,(Nd===63||Nd===58)&&is_WS_OR_EOL(ed))Nd===63?(kd&&(storeMappingPair(Ra,_d,yd,gd,Ed,null,sd,od,ld),gd=Ed=Td=null),Rd=!0,kd=!0,td=!0):kd?(kd=!1,td=!0):throwError(Ra,"incomplete explicit mapping pair; a key node is missed; or followed by a non-tabulated empty line"),Ra.position+=1,Nd=ed;else{if(sd=Ra.line,od=Ra.lineStart,ld=Ra.position,!composeNode(Ra,Ja,CONTEXT_FLOW_OUT,!1,!0))break;if(Ra.line===rd){for(Nd=Ra.input.charCodeAt(Ra.position);is_WHITE_SPACE(Nd);)Nd=Ra.input.charCodeAt(++Ra.position);if(Nd===58)Nd=Ra.input.charCodeAt(++Ra.position),is_WS_OR_EOL(Nd)||throwError(Ra,"a whitespace character is expected after the key-value separator within a block mapping"),kd&&(storeMappingPair(Ra,_d,yd,gd,Ed,null,sd,od,ld),gd=Ed=Td=null),Rd=!0,kd=!1,td=!1,gd=Ra.tag,Ed=Ra.result;else if(Rd)throwError(Ra,"can not read an implicit mapping pair; a colon is missed");else return Ra.tag=cd,Ra.anchor=ud,!0}else if(Rd)throwError(Ra,"can not read a block mapping entry; a multiline key may not be an implicit key");else return Ra.tag=cd,Ra.anchor=ud,!0}if((Ra.line===rd||Ra.lineIndent>qa)&&(kd&&(sd=Ra.line,od=Ra.lineStart,ld=Ra.position),composeNode(Ra,qa,CONTEXT_BLOCK_OUT,!0,td)&&(kd?Ed=Ra.result:Td=Ra.result),kd||(storeMappingPair(Ra,_d,yd,gd,Ed,Td,sd,od,ld),gd=Ed=Td=null),skipSeparationSpace(Ra,!0,-1),Nd=Ra.input.charCodeAt(Ra.position)),(Ra.line===rd||Ra.lineIndent>qa)&&Nd!==0)throwError(Ra,"bad indentation of a mapping entry");else if(Ra.lineIndent<qa)break}return kd&&storeMappingPair(Ra,_d,yd,gd,Ed,null,sd,od,ld),Rd&&(Ra.tag=cd,Ra.anchor=ud,Ra.kind="mapping",Ra.result=_d),Rd}__name(readBlockMapping,"readBlockMapping");function readTagProperty(Ra){var qa,Ja=!1,ed=!1,td,rd,sd;if(sd=Ra.input.charCodeAt(Ra.position),sd!==33)return!1;if(Ra.tag!==null&&throwError(Ra,"duplication of a tag property"),sd=Ra.input.charCodeAt(++Ra.position),sd===60?(Ja=!0,sd=Ra.input.charCodeAt(++Ra.position)):sd===33?(ed=!0,td="!!",sd=Ra.input.charCodeAt(++Ra.position)):td="!",qa=Ra.position,Ja){do sd=Ra.input.charCodeAt(++Ra.position);while(sd!==0&&sd!==62);Ra.position<Ra.length?(rd=Ra.input.slice(qa,Ra.position),sd=Ra.input.charCodeAt(++Ra.position)):throwError(Ra,"unexpected end of the stream within a verbatim tag")}else{for(;sd!==0&&!is_WS_OR_EOL(sd);)sd===33&&(ed?throwError(Ra,"tag suffix cannot contain exclamation marks"):(td=Ra.input.slice(qa-1,Ra.position+1),PATTERN_TAG_HANDLE.test(td)||throwError(Ra,"named tag handle cannot contain such characters"),ed=!0,qa=Ra.position+1)),sd=Ra.input.charCodeAt(++Ra.position);rd=Ra.input.slice(qa,Ra.position),PATTERN_FLOW_INDICATORS.test(rd)&&throwError(Ra,"tag suffix cannot contain flow indicator characters")}rd&&!PATTERN_TAG_URI.test(rd)&&throwError(Ra,"tag name cannot contain such characters: "+rd);try{rd=decodeURIComponent(rd)}catch{throwError(Ra,"tag name is malformed: "+rd)}return Ja?Ra.tag=rd:_hasOwnProperty$1.call(Ra.tagMap,td)?Ra.tag=Ra.tagMap[td]+rd:td==="!"?Ra.tag="!"+rd:td==="!!"?Ra.tag="tag:yaml.org,2002:"+rd:throwError(Ra,'undeclared tag handle "'+td+'"'),!0}__name(readTagProperty,"readTagProperty");function readAnchorProperty(Ra){var qa,Ja;if(Ja=Ra.input.charCodeAt(Ra.position),Ja!==38)return!1;for(Ra.anchor!==null&&throwError(Ra,"duplication of an anchor property"),Ja=Ra.input.charCodeAt(++Ra.position),qa=Ra.position;Ja!==0&&!is_WS_OR_EOL(Ja)&&!is_FLOW_INDICATOR(Ja);)Ja=Ra.input.charCodeAt(++Ra.position);return Ra.position===qa&&throwError(Ra,"name of an anchor node must contain at least one character"),Ra.anchor=Ra.input.slice(qa,Ra.position),!0}__name(readAnchorProperty,"readAnchorProperty");function readAlias(Ra){var qa,Ja,ed;if(ed=Ra.input.charCodeAt(Ra.position),ed!==42)return!1;for(ed=Ra.input.charCodeAt(++Ra.position),qa=Ra.position;ed!==0&&!is_WS_OR_EOL(ed)&&!is_FLOW_INDICATOR(ed);)ed=Ra.input.charCodeAt(++Ra.position);return Ra.position===qa&&throwError(Ra,"name of an alias node must contain at least one character"),Ja=Ra.input.slice(qa,Ra.position),_hasOwnProperty$1.call(Ra.anchorMap,Ja)||throwError(Ra,'unidentified alias "'+Ja+'"'),Ra.result=Ra.anchorMap[Ja],skipSeparationSpace(Ra,!0,-1),!0}__name(readAlias,"readAlias");function composeNode(Ra,qa,Ja,ed,td){var rd,sd,od,ld=1,cd=!1,ud=!1,_d,yd,gd,Ed,Td,kd;if(Ra.listener!==null&&Ra.listener("open",Ra),Ra.tag=null,Ra.anchor=null,Ra.kind=null,Ra.result=null,rd=sd=od=CONTEXT_BLOCK_OUT===Ja||CONTEXT_BLOCK_IN===Ja,ed&&skipSeparationSpace(Ra,!0,-1)&&(cd=!0,Ra.lineIndent>qa?ld=1:Ra.lineIndent===qa?ld=0:Ra.lineIndent<qa&&(ld=-1)),ld===1)for(;readTagProperty(Ra)||readAnchorProperty(Ra);)skipSeparationSpace(Ra,!0,-1)?(cd=!0,od=rd,Ra.lineIndent>qa?ld=1:Ra.lineIndent===qa?ld=0:Ra.lineIndent<qa&&(ld=-1)):od=!1;if(od&&(od=cd||td),(ld===1||CONTEXT_BLOCK_OUT===Ja)&&(CONTEXT_FLOW_IN===Ja||CONTEXT_FLOW_OUT===Ja?Td=qa:Td=qa+1,kd=Ra.position-Ra.lineStart,ld===1?od&&(readBlockSequence(Ra,kd)||readBlockMapping(Ra,kd,Td))||readFlowCollection(Ra,Td)?ud=!0:(sd&&readBlockScalar(Ra,Td)||readSingleQuotedScalar(Ra,Td)||readDoubleQuotedScalar(Ra,Td)?ud=!0:readAlias(Ra)?(ud=!0,(Ra.tag!==null||Ra.anchor!==null)&&throwError(Ra,"alias node should not have any properties")):readPlainScalar(Ra,Td,CONTEXT_FLOW_IN===Ja)&&(ud=!0,Ra.tag===null&&(Ra.tag="?")),Ra.anchor!==null&&(Ra.anchorMap[Ra.anchor]=Ra.result)):ld===0&&(ud=od&&readBlockSequence(Ra,kd))),Ra.tag===null)Ra.anchor!==null&&(Ra.anchorMap[Ra.anchor]=Ra.result);else if(Ra.tag==="?"){for(Ra.result!==null&&Ra.kind!=="scalar"&&throwError(Ra,'unacceptable node kind for !<?> tag; it should be "scalar", not "'+Ra.kind+'"'),_d=0,yd=Ra.implicitTypes.length;_d<yd;_d+=1)if(Ed=Ra.implicitTypes[_d],Ed.resolve(Ra.result)){Ra.result=Ed.construct(Ra.result),Ra.tag=Ed.tag,Ra.anchor!==null&&(Ra.anchorMap[Ra.anchor]=Ra.result);break}}else if(Ra.tag!=="!"){if(_hasOwnProperty$1.call(Ra.typeMap[Ra.kind||"fallback"],Ra.tag))Ed=Ra.typeMap[Ra.kind||"fallback"][Ra.tag];else for(Ed=null,gd=Ra.typeMap.multi[Ra.kind||"fallback"],_d=0,yd=gd.length;_d<yd;_d+=1)if(Ra.tag.slice(0,gd[_d].tag.length)===gd[_d].tag){Ed=gd[_d];break}Ed||throwError(Ra,"unknown tag !<"+Ra.tag+">"),Ra.result!==null&&Ed.kind!==Ra.kind&&throwError(Ra,"unacceptable node kind for !<"+Ra.tag+'> tag; it should be "'+Ed.kind+'", not "'+Ra.kind+'"'),Ed.resolve(Ra.result,Ra.tag)?(Ra.result=Ed.construct(Ra.result,Ra.tag),Ra.anchor!==null&&(Ra.anchorMap[Ra.anchor]=Ra.result)):throwError(Ra,"cannot resolve a node with !<"+Ra.tag+"> explicit tag")}return Ra.listener!==null&&Ra.listener("close",Ra),Ra.tag!==null||Ra.anchor!==null||ud}__name(composeNode,"composeNode");function readDocument(Ra){var qa=Ra.position,Ja,ed,td,rd=!1,sd;for(Ra.version=null,Ra.checkLineBreaks=Ra.legacy,Ra.tagMap=Object.create(null),Ra.anchorMap=Object.create(null);(sd=Ra.input.charCodeAt(Ra.position))!==0&&(skipSeparationSpace(Ra,!0,-1),sd=Ra.input.charCodeAt(Ra.position),!(Ra.lineIndent>0||sd!==37));){for(rd=!0,sd=Ra.input.charCodeAt(++Ra.position),Ja=Ra.position;sd!==0&&!is_WS_OR_EOL(sd);)sd=Ra.input.charCodeAt(++Ra.position);for(ed=Ra.input.slice(Ja,Ra.position),td=[],ed.length<1&&throwError(Ra,"directive name must not be less than one character in length");sd!==0;){for(;is_WHITE_SPACE(sd);)sd=Ra.input.charCodeAt(++Ra.position);if(sd===35){do sd=Ra.input.charCodeAt(++Ra.position);while(sd!==0&&!is_EOL(sd));break}if(is_EOL(sd))break;for(Ja=Ra.position;sd!==0&&!is_WS_OR_EOL(sd);)sd=Ra.input.charCodeAt(++Ra.position);td.push(Ra.input.slice(Ja,Ra.position))}sd!==0&&readLineBreak(Ra),_hasOwnProperty$1.call(directiveHandlers,ed)?directiveHandlers[ed](Ra,ed,td):throwWarning(Ra,'unknown document directive "'+ed+'"')}if(skipSeparationSpace(Ra,!0,-1),Ra.lineIndent===0&&Ra.input.charCodeAt(Ra.position)===45&&Ra.input.charCodeAt(Ra.position+1)===45&&Ra.input.charCodeAt(Ra.position+2)===45?(Ra.position+=3,skipSeparationSpace(Ra,!0,-1)):rd&&throwError(Ra,"directives end mark is expected"),composeNode(Ra,Ra.lineIndent-1,CONTEXT_BLOCK_OUT,!1,!0),skipSeparationSpace(Ra,!0,-1),Ra.checkLineBreaks&&PATTERN_NON_ASCII_LINE_BREAKS.test(Ra.input.slice(qa,Ra.position))&&throwWarning(Ra,"non-ASCII line breaks are interpreted as content"),Ra.documents.push(Ra.result),Ra.position===Ra.lineStart&&testDocumentSeparator(Ra)){Ra.input.charCodeAt(Ra.position)===46&&(Ra.position+=3,skipSeparationSpace(Ra,!0,-1));return}if(Ra.position<Ra.length-1)throwError(Ra,"end of the stream or a document separator is expected");else return}__name(readDocument,"readDocument");function loadDocuments(Ra,qa){Ra=String(Ra),qa=qa||{},Ra.length!==0&&(Ra.charCodeAt(Ra.length-1)!==10&&Ra.charCodeAt(Ra.length-1)!==13&&(Ra+=`
|
||
`),Ra.charCodeAt(0)===65279&&(Ra=Ra.slice(1)));var Ja=new State$1(Ra,qa),ed=Ra.indexOf("\0");for(ed!==-1&&(Ja.position=ed,throwError(Ja,"null byte is not allowed in input")),Ja.input+="\0";Ja.input.charCodeAt(Ja.position)===32;)Ja.lineIndent+=1,Ja.position+=1;for(;Ja.position<Ja.length-1;)readDocument(Ja);return Ja.documents}__name(loadDocuments,"loadDocuments");function loadAll$1(Ra,qa,Ja){qa!==null&&typeof qa=="object"&&typeof Ja>"u"&&(Ja=qa,qa=null);var ed=loadDocuments(Ra,Ja);if(typeof qa!="function")return ed;for(var td=0,rd=ed.length;td<rd;td+=1)qa(ed[td])}__name(loadAll$1,"loadAll$1");function load$1(Ra,qa){var Ja=loadDocuments(Ra,qa);if(Ja.length!==0){if(Ja.length===1)return Ja[0];throw new exception("expected a single document in the stream, but found more")}}__name(load$1,"load$1");var loadAll_1=loadAll$1,load_1=load$1,loader25={loadAll:loadAll_1,load:load_1},_toString=Object.prototype.toString,_hasOwnProperty=Object.prototype.hasOwnProperty,CHAR_BOM=65279,CHAR_TAB=9,CHAR_LINE_FEED=10,CHAR_CARRIAGE_RETURN=13,CHAR_SPACE=32,CHAR_EXCLAMATION=33,CHAR_DOUBLE_QUOTE=34,CHAR_SHARP=35,CHAR_PERCENT=37,CHAR_AMPERSAND=38,CHAR_SINGLE_QUOTE=39,CHAR_ASTERISK=42,CHAR_COMMA=44,CHAR_MINUS=45,CHAR_COLON=58,CHAR_EQUALS=61,CHAR_GREATER_THAN=62,CHAR_QUESTION=63,CHAR_COMMERCIAL_AT=64,CHAR_LEFT_SQUARE_BRACKET=91,CHAR_RIGHT_SQUARE_BRACKET=93,CHAR_GRAVE_ACCENT=96,CHAR_LEFT_CURLY_BRACKET=123,CHAR_VERTICAL_LINE=124,CHAR_RIGHT_CURLY_BRACKET=125,ESCAPE_SEQUENCES={};ESCAPE_SEQUENCES[0]="\\0";ESCAPE_SEQUENCES[7]="\\a";ESCAPE_SEQUENCES[8]="\\b";ESCAPE_SEQUENCES[9]="\\t";ESCAPE_SEQUENCES[10]="\\n";ESCAPE_SEQUENCES[11]="\\v";ESCAPE_SEQUENCES[12]="\\f";ESCAPE_SEQUENCES[13]="\\r";ESCAPE_SEQUENCES[27]="\\e";ESCAPE_SEQUENCES[34]='\\"';ESCAPE_SEQUENCES[92]="\\\\";ESCAPE_SEQUENCES[133]="\\N";ESCAPE_SEQUENCES[160]="\\_";ESCAPE_SEQUENCES[8232]="\\L";ESCAPE_SEQUENCES[8233]="\\P";var DEPRECATED_BOOLEANS_SYNTAX=["y","Y","yes","Yes","YES","on","On","ON","n","N","no","No","NO","off","Off","OFF"],DEPRECATED_BASE60_SYNTAX=/^[-+]?[0-9_]+(?::[0-9_]+)+(?:\.[0-9_]*)?$/;function compileStyleMap(Ra,qa){var Ja,ed,td,rd,sd,od,ld;if(qa===null)return{};for(Ja={},ed=Object.keys(qa),td=0,rd=ed.length;td<rd;td+=1)sd=ed[td],od=String(qa[sd]),sd.slice(0,2)==="!!"&&(sd="tag:yaml.org,2002:"+sd.slice(2)),ld=Ra.compiledTypeMap.fallback[sd],ld&&_hasOwnProperty.call(ld.styleAliases,od)&&(od=ld.styleAliases[od]),Ja[sd]=od;return Ja}__name(compileStyleMap,"compileStyleMap");function encodeHex(Ra){var qa,Ja,ed;if(qa=Ra.toString(16).toUpperCase(),Ra<=255)Ja="x",ed=2;else if(Ra<=65535)Ja="u",ed=4;else if(Ra<=4294967295)Ja="U",ed=8;else throw new exception("code point within a string may not be greater than 0xFFFFFFFF");return"\\"+Ja+common.repeat("0",ed-qa.length)+qa}__name(encodeHex,"encodeHex");var QUOTING_TYPE_SINGLE=1,QUOTING_TYPE_DOUBLE=2;function State(Ra){this.schema=Ra.schema||_default$1,this.indent=Math.max(1,Ra.indent||2),this.noArrayIndent=Ra.noArrayIndent||!1,this.skipInvalid=Ra.skipInvalid||!1,this.flowLevel=common.isNothing(Ra.flowLevel)?-1:Ra.flowLevel,this.styleMap=compileStyleMap(this.schema,Ra.styles||null),this.sortKeys=Ra.sortKeys||!1,this.lineWidth=Ra.lineWidth||80,this.noRefs=Ra.noRefs||!1,this.noCompatMode=Ra.noCompatMode||!1,this.condenseFlow=Ra.condenseFlow||!1,this.quotingType=Ra.quotingType==='"'?QUOTING_TYPE_DOUBLE:QUOTING_TYPE_SINGLE,this.forceQuotes=Ra.forceQuotes||!1,this.replacer=typeof Ra.replacer=="function"?Ra.replacer:null,this.implicitTypes=this.schema.compiledImplicit,this.explicitTypes=this.schema.compiledExplicit,this.tag=null,this.result="",this.duplicates=[],this.usedDuplicates=null}__name(State,"State");function indentString(Ra,qa){for(var Ja=common.repeat(" ",qa),ed=0,td=-1,rd="",sd,od=Ra.length;ed<od;)td=Ra.indexOf(`
|
||
`,ed),td===-1?(sd=Ra.slice(ed),ed=od):(sd=Ra.slice(ed,td+1),ed=td+1),sd.length&&sd!==`
|
||
`&&(rd+=Ja),rd+=sd;return rd}__name(indentString,"indentString");function generateNextLine(Ra,qa){return`
|
||
`+common.repeat(" ",Ra.indent*qa)}__name(generateNextLine,"generateNextLine");function testImplicitResolving(Ra,qa){var Ja,ed,td;for(Ja=0,ed=Ra.implicitTypes.length;Ja<ed;Ja+=1)if(td=Ra.implicitTypes[Ja],td.resolve(qa))return!0;return!1}__name(testImplicitResolving,"testImplicitResolving");function isWhitespace$1(Ra){return Ra===CHAR_SPACE||Ra===CHAR_TAB}__name(isWhitespace$1,"isWhitespace");function isPrintable(Ra){return 32<=Ra&&Ra<=126||161<=Ra&&Ra<=55295&&Ra!==8232&&Ra!==8233||57344<=Ra&&Ra<=65533&&Ra!==CHAR_BOM||65536<=Ra&&Ra<=1114111}__name(isPrintable,"isPrintable");function isNsCharOrWhitespace(Ra){return isPrintable(Ra)&&Ra!==CHAR_BOM&&Ra!==CHAR_CARRIAGE_RETURN&&Ra!==CHAR_LINE_FEED}__name(isNsCharOrWhitespace,"isNsCharOrWhitespace");function isPlainSafe(Ra,qa,Ja){var ed=isNsCharOrWhitespace(Ra),td=ed&&!isWhitespace$1(Ra);return(Ja?ed:ed&&Ra!==CHAR_COMMA&&Ra!==CHAR_LEFT_SQUARE_BRACKET&&Ra!==CHAR_RIGHT_SQUARE_BRACKET&&Ra!==CHAR_LEFT_CURLY_BRACKET&&Ra!==CHAR_RIGHT_CURLY_BRACKET)&&Ra!==CHAR_SHARP&&!(qa===CHAR_COLON&&!td)||isNsCharOrWhitespace(qa)&&!isWhitespace$1(qa)&&Ra===CHAR_SHARP||qa===CHAR_COLON&&td}__name(isPlainSafe,"isPlainSafe");function isPlainSafeFirst(Ra){return isPrintable(Ra)&&Ra!==CHAR_BOM&&!isWhitespace$1(Ra)&&Ra!==CHAR_MINUS&&Ra!==CHAR_QUESTION&&Ra!==CHAR_COLON&&Ra!==CHAR_COMMA&&Ra!==CHAR_LEFT_SQUARE_BRACKET&&Ra!==CHAR_RIGHT_SQUARE_BRACKET&&Ra!==CHAR_LEFT_CURLY_BRACKET&&Ra!==CHAR_RIGHT_CURLY_BRACKET&&Ra!==CHAR_SHARP&&Ra!==CHAR_AMPERSAND&&Ra!==CHAR_ASTERISK&&Ra!==CHAR_EXCLAMATION&&Ra!==CHAR_VERTICAL_LINE&&Ra!==CHAR_EQUALS&&Ra!==CHAR_GREATER_THAN&&Ra!==CHAR_SINGLE_QUOTE&&Ra!==CHAR_DOUBLE_QUOTE&&Ra!==CHAR_PERCENT&&Ra!==CHAR_COMMERCIAL_AT&&Ra!==CHAR_GRAVE_ACCENT}__name(isPlainSafeFirst,"isPlainSafeFirst");function isPlainSafeLast(Ra){return!isWhitespace$1(Ra)&&Ra!==CHAR_COLON}__name(isPlainSafeLast,"isPlainSafeLast");function codePointAt(Ra,qa){var Ja=Ra.charCodeAt(qa),ed;return Ja>=55296&&Ja<=56319&&qa+1<Ra.length&&(ed=Ra.charCodeAt(qa+1),ed>=56320&&ed<=57343)?(Ja-55296)*1024+ed-56320+65536:Ja}__name(codePointAt,"codePointAt");function needIndentIndicator(Ra){var qa=/^\n* /;return qa.test(Ra)}__name(needIndentIndicator,"needIndentIndicator");var STYLE_PLAIN=1,STYLE_SINGLE=2,STYLE_LITERAL=3,STYLE_FOLDED=4,STYLE_DOUBLE=5;function chooseScalarStyle(Ra,qa,Ja,ed,td,rd,sd,od){var ld,cd=0,ud=null,_d=!1,yd=!1,gd=ed!==-1,Ed=-1,Td=isPlainSafeFirst(codePointAt(Ra,0))&&isPlainSafeLast(codePointAt(Ra,Ra.length-1));if(qa||sd)for(ld=0;ld<Ra.length;cd>=65536?ld+=2:ld++){if(cd=codePointAt(Ra,ld),!isPrintable(cd))return STYLE_DOUBLE;Td=Td&&isPlainSafe(cd,ud,od),ud=cd}else{for(ld=0;ld<Ra.length;cd>=65536?ld+=2:ld++){if(cd=codePointAt(Ra,ld),cd===CHAR_LINE_FEED)_d=!0,gd&&(yd=yd||ld-Ed-1>ed&&Ra[Ed+1]!==" ",Ed=ld);else if(!isPrintable(cd))return STYLE_DOUBLE;Td=Td&&isPlainSafe(cd,ud,od),ud=cd}yd=yd||gd&&ld-Ed-1>ed&&Ra[Ed+1]!==" "}return!_d&&!yd?Td&&!sd&&!td(Ra)?STYLE_PLAIN:rd===QUOTING_TYPE_DOUBLE?STYLE_DOUBLE:STYLE_SINGLE:Ja>9&&needIndentIndicator(Ra)?STYLE_DOUBLE:sd?rd===QUOTING_TYPE_DOUBLE?STYLE_DOUBLE:STYLE_SINGLE:yd?STYLE_FOLDED:STYLE_LITERAL}__name(chooseScalarStyle,"chooseScalarStyle");function writeScalar(Ra,qa,Ja,ed,td){Ra.dump=function(){if(qa.length===0)return Ra.quotingType===QUOTING_TYPE_DOUBLE?'""':"''";if(!Ra.noCompatMode&&(DEPRECATED_BOOLEANS_SYNTAX.indexOf(qa)!==-1||DEPRECATED_BASE60_SYNTAX.test(qa)))return Ra.quotingType===QUOTING_TYPE_DOUBLE?'"'+qa+'"':"'"+qa+"'";var rd=Ra.indent*Math.max(1,Ja),sd=Ra.lineWidth===-1?-1:Math.max(Math.min(Ra.lineWidth,40),Ra.lineWidth-rd),od=ed||Ra.flowLevel>-1&&Ja>=Ra.flowLevel;function ld(cd){return testImplicitResolving(Ra,cd)}switch(__name(ld,"testAmbiguity"),chooseScalarStyle(qa,od,Ra.indent,sd,ld,Ra.quotingType,Ra.forceQuotes&&!ed,td)){case STYLE_PLAIN:return qa;case STYLE_SINGLE:return"'"+qa.replace(/'/g,"''")+"'";case STYLE_LITERAL:return"|"+blockHeader(qa,Ra.indent)+dropEndingNewline(indentString(qa,rd));case STYLE_FOLDED:return">"+blockHeader(qa,Ra.indent)+dropEndingNewline(indentString(foldString(qa,sd),rd));case STYLE_DOUBLE:return'"'+escapeString(qa)+'"';default:throw new exception("impossible error: invalid scalar style")}}()}__name(writeScalar,"writeScalar");function blockHeader(Ra,qa){var Ja=needIndentIndicator(Ra)?String(qa):"",ed=Ra[Ra.length-1]===`
|
||
`,td=ed&&(Ra[Ra.length-2]===`
|
||
`||Ra===`
|
||
`),rd=td?"+":ed?"":"-";return Ja+rd+`
|
||
`}__name(blockHeader,"blockHeader");function dropEndingNewline(Ra){return Ra[Ra.length-1]===`
|
||
`?Ra.slice(0,-1):Ra}__name(dropEndingNewline,"dropEndingNewline");function foldString(Ra,qa){for(var Ja=/(\n+)([^\n]*)/g,ed=function(){var cd=Ra.indexOf(`
|
||
`);return cd=cd!==-1?cd:Ra.length,Ja.lastIndex=cd,foldLine(Ra.slice(0,cd),qa)}(),td=Ra[0]===`
|
||
`||Ra[0]===" ",rd,sd;sd=Ja.exec(Ra);){var od=sd[1],ld=sd[2];rd=ld[0]===" ",ed+=od+(!td&&!rd&&ld!==""?`
|
||
`:"")+foldLine(ld,qa),td=rd}return ed}__name(foldString,"foldString");function foldLine(Ra,qa){if(Ra===""||Ra[0]===" ")return Ra;for(var Ja=/ [^ ]/g,ed,td=0,rd,sd=0,od=0,ld="";ed=Ja.exec(Ra);)od=ed.index,od-td>qa&&(rd=sd>td?sd:od,ld+=`
|
||
`+Ra.slice(td,rd),td=rd+1),sd=od;return ld+=`
|
||
`,Ra.length-td>qa&&sd>td?ld+=Ra.slice(td,sd)+`
|
||
`+Ra.slice(sd+1):ld+=Ra.slice(td),ld.slice(1)}__name(foldLine,"foldLine");function escapeString(Ra){for(var qa="",Ja=0,ed,td=0;td<Ra.length;Ja>=65536?td+=2:td++)Ja=codePointAt(Ra,td),ed=ESCAPE_SEQUENCES[Ja],!ed&&isPrintable(Ja)?(qa+=Ra[td],Ja>=65536&&(qa+=Ra[td+1])):qa+=ed||encodeHex(Ja);return qa}__name(escapeString,"escapeString");function writeFlowSequence(Ra,qa,Ja){var ed="",td=Ra.tag,rd,sd,od;for(rd=0,sd=Ja.length;rd<sd;rd+=1)od=Ja[rd],Ra.replacer&&(od=Ra.replacer.call(Ja,String(rd),od)),(writeNode(Ra,qa,od,!1,!1)||typeof od>"u"&&writeNode(Ra,qa,null,!1,!1))&&(ed!==""&&(ed+=","+(Ra.condenseFlow?"":" ")),ed+=Ra.dump);Ra.tag=td,Ra.dump="["+ed+"]"}__name(writeFlowSequence,"writeFlowSequence");function writeBlockSequence(Ra,qa,Ja,ed){var td="",rd=Ra.tag,sd,od,ld;for(sd=0,od=Ja.length;sd<od;sd+=1)ld=Ja[sd],Ra.replacer&&(ld=Ra.replacer.call(Ja,String(sd),ld)),(writeNode(Ra,qa+1,ld,!0,!0,!1,!0)||typeof ld>"u"&&writeNode(Ra,qa+1,null,!0,!0,!1,!0))&&((!ed||td!=="")&&(td+=generateNextLine(Ra,qa)),Ra.dump&&CHAR_LINE_FEED===Ra.dump.charCodeAt(0)?td+="-":td+="- ",td+=Ra.dump);Ra.tag=rd,Ra.dump=td||"[]"}__name(writeBlockSequence,"writeBlockSequence");function writeFlowMapping(Ra,qa,Ja){var ed="",td=Ra.tag,rd=Object.keys(Ja),sd,od,ld,cd,ud;for(sd=0,od=rd.length;sd<od;sd+=1)ud="",ed!==""&&(ud+=", "),Ra.condenseFlow&&(ud+='"'),ld=rd[sd],cd=Ja[ld],Ra.replacer&&(cd=Ra.replacer.call(Ja,ld,cd)),writeNode(Ra,qa,ld,!1,!1)&&(Ra.dump.length>1024&&(ud+="? "),ud+=Ra.dump+(Ra.condenseFlow?'"':"")+":"+(Ra.condenseFlow?"":" "),writeNode(Ra,qa,cd,!1,!1)&&(ud+=Ra.dump,ed+=ud));Ra.tag=td,Ra.dump="{"+ed+"}"}__name(writeFlowMapping,"writeFlowMapping");function writeBlockMapping(Ra,qa,Ja,ed){var td="",rd=Ra.tag,sd=Object.keys(Ja),od,ld,cd,ud,_d,yd;if(Ra.sortKeys===!0)sd.sort();else if(typeof Ra.sortKeys=="function")sd.sort(Ra.sortKeys);else if(Ra.sortKeys)throw new exception("sortKeys must be a boolean or a function");for(od=0,ld=sd.length;od<ld;od+=1)yd="",(!ed||td!=="")&&(yd+=generateNextLine(Ra,qa)),cd=sd[od],ud=Ja[cd],Ra.replacer&&(ud=Ra.replacer.call(Ja,cd,ud)),writeNode(Ra,qa+1,cd,!0,!0,!0)&&(_d=Ra.tag!==null&&Ra.tag!=="?"||Ra.dump&&Ra.dump.length>1024,_d&&(Ra.dump&&CHAR_LINE_FEED===Ra.dump.charCodeAt(0)?yd+="?":yd+="? "),yd+=Ra.dump,_d&&(yd+=generateNextLine(Ra,qa)),writeNode(Ra,qa+1,ud,!0,_d)&&(Ra.dump&&CHAR_LINE_FEED===Ra.dump.charCodeAt(0)?yd+=":":yd+=": ",yd+=Ra.dump,td+=yd));Ra.tag=rd,Ra.dump=td||"{}"}__name(writeBlockMapping,"writeBlockMapping");function detectType2(Ra,qa,Ja){var ed,td,rd,sd,od,ld;for(td=Ja?Ra.explicitTypes:Ra.implicitTypes,rd=0,sd=td.length;rd<sd;rd+=1)if(od=td[rd],(od.instanceOf||od.predicate)&&(!od.instanceOf||typeof qa=="object"&&qa instanceof od.instanceOf)&&(!od.predicate||od.predicate(qa))){if(Ja?od.multi&&od.representName?Ra.tag=od.representName(qa):Ra.tag=od.tag:Ra.tag="?",od.represent){if(ld=Ra.styleMap[od.tag]||od.defaultStyle,_toString.call(od.represent)==="[object Function]")ed=od.represent(qa,ld);else if(_hasOwnProperty.call(od.represent,ld))ed=od.represent[ld](qa,ld);else throw new exception("!<"+od.tag+'> tag resolver accepts not "'+ld+'" style');Ra.dump=ed}return!0}return!1}__name(detectType2,"detectType");function writeNode(Ra,qa,Ja,ed,td,rd,sd){Ra.tag=null,Ra.dump=Ja,detectType2(Ra,Ja,!1)||detectType2(Ra,Ja,!0);var od=_toString.call(Ra.dump),ld=ed,cd;ed&&(ed=Ra.flowLevel<0||Ra.flowLevel>qa);var ud=od==="[object Object]"||od==="[object Array]",_d,yd;if(ud&&(_d=Ra.duplicates.indexOf(Ja),yd=_d!==-1),(Ra.tag!==null&&Ra.tag!=="?"||yd||Ra.indent!==2&&qa>0)&&(td=!1),yd&&Ra.usedDuplicates[_d])Ra.dump="*ref_"+_d;else{if(ud&&yd&&!Ra.usedDuplicates[_d]&&(Ra.usedDuplicates[_d]=!0),od==="[object Object]")ed&&Object.keys(Ra.dump).length!==0?(writeBlockMapping(Ra,qa,Ra.dump,td),yd&&(Ra.dump="&ref_"+_d+Ra.dump)):(writeFlowMapping(Ra,qa,Ra.dump),yd&&(Ra.dump="&ref_"+_d+" "+Ra.dump));else if(od==="[object Array]")ed&&Ra.dump.length!==0?(Ra.noArrayIndent&&!sd&&qa>0?writeBlockSequence(Ra,qa-1,Ra.dump,td):writeBlockSequence(Ra,qa,Ra.dump,td),yd&&(Ra.dump="&ref_"+_d+Ra.dump)):(writeFlowSequence(Ra,qa,Ra.dump),yd&&(Ra.dump="&ref_"+_d+" "+Ra.dump));else if(od==="[object String]")Ra.tag!=="?"&&writeScalar(Ra,Ra.dump,qa,rd,ld);else{if(od==="[object Undefined]")return!1;if(Ra.skipInvalid)return!1;throw new exception("unacceptable kind of an object to dump "+od)}Ra.tag!==null&&Ra.tag!=="?"&&(cd=encodeURI(Ra.tag[0]==="!"?Ra.tag.slice(1):Ra.tag).replace(/!/g,"%21"),Ra.tag[0]==="!"?cd="!"+cd:cd.slice(0,18)==="tag:yaml.org,2002:"?cd="!!"+cd.slice(18):cd="!<"+cd+">",Ra.dump=cd+" "+Ra.dump)}return!0}__name(writeNode,"writeNode");function getDuplicateReferences(Ra,qa){var Ja=[],ed=[],td,rd;for(inspectNode(Ra,Ja,ed),td=0,rd=ed.length;td<rd;td+=1)qa.duplicates.push(Ja[ed[td]]);qa.usedDuplicates=new Array(rd)}__name(getDuplicateReferences,"getDuplicateReferences");function inspectNode(Ra,qa,Ja){var ed,td,rd;if(Ra!==null&&typeof Ra=="object")if(td=qa.indexOf(Ra),td!==-1)Ja.indexOf(td)===-1&&Ja.push(td);else if(qa.push(Ra),Array.isArray(Ra))for(td=0,rd=Ra.length;td<rd;td+=1)inspectNode(Ra[td],qa,Ja);else for(ed=Object.keys(Ra),td=0,rd=ed.length;td<rd;td+=1)inspectNode(Ra[ed[td]],qa,Ja)}__name(inspectNode,"inspectNode");function dump$1(Ra,qa){qa=qa||{};var Ja=new State(qa);Ja.noRefs||getDuplicateReferences(Ra,Ja);var ed=Ra;return Ja.replacer&&(ed=Ja.replacer.call({"":ed},"",ed)),writeNode(Ja,0,ed,!0,!0)?Ja.dump+`
|
||
`:""}__name(dump$1,"dump$1");function renamed(Ra,qa){return function(){throw new Error("Function yaml."+Ra+" is removed in js-yaml 4. Use yaml."+qa+" instead, which is now safe by default.")}}__name(renamed,"renamed");var JSON_SCHEMA=json,load$2=loader25.load;function extractFrontMatter(Ra){const qa=Ra.match(frontMatterRegex);if(!qa)return{text:Ra,metadata:{}};let Ja=load$2(qa[1],{schema:JSON_SCHEMA})??{};Ja=typeof Ja=="object"&&!Array.isArray(Ja)?Ja:{};const ed={};return Ja.displayMode&&(ed.displayMode=Ja.displayMode.toString()),Ja.title&&(ed.title=Ja.title.toString()),Ja.config&&(ed.config=Ja.config),{text:Ra.slice(qa[0].length),metadata:ed}}__name(extractFrontMatter,"extractFrontMatter");var cleanupText=__name(Ra=>Ra.replace(/\r\n?/g,`
|
||
`).replace(/<(\w+)([^>]*)>/g,(qa,Ja,ed)=>"<"+Ja+ed.replace(/="([^"]*)"/g,"='$1'")+">"),"cleanupText"),processFrontmatter=__name(Ra=>{const{text:qa,metadata:Ja}=extractFrontMatter(Ra),{displayMode:ed,title:td,config:rd={}}=Ja;return ed&&(rd.gantt||(rd.gantt={}),rd.gantt.displayMode=ed),{title:td,config:rd,text:qa}},"processFrontmatter"),processDirectives=__name(Ra=>{const qa=utils_default.detectInit(Ra)??{},Ja=utils_default.detectDirective(Ra,"wrap");return Array.isArray(Ja)?qa.wrap=Ja.some(({type:ed})=>ed==="wrap"):(Ja==null?void 0:Ja.type)==="wrap"&&(qa.wrap=!0),{text:removeDirectives(Ra),directive:qa}},"processDirectives");function preprocessDiagram(Ra){const qa=cleanupText(Ra),Ja=processFrontmatter(qa),ed=processDirectives(Ja.text),td=cleanAndMerge(Ja.config,ed.directive);return Ra=cleanupComments(ed.text),{code:Ra,title:Ja.title,config:td}}__name(preprocessDiagram,"preprocessDiagram");function toBase64$1(Ra){const qa=new TextEncoder().encode(Ra),Ja=Array.from(qa,ed=>String.fromCodePoint(ed)).join("");return btoa(Ja)}__name(toBase64$1,"toBase64");var MAX_TEXTLENGTH=5e4,MAX_TEXTLENGTH_EXCEEDED_MSG="graph TB;a[Maximum text size in diagram exceeded];style a fill:#faa",SECURITY_LVL_SANDBOX="sandbox",SECURITY_LVL_LOOSE="loose",XMLNS_SVG_STD="http://www.w3.org/2000/svg",XMLNS_XLINK_STD="http://www.w3.org/1999/xlink",XMLNS_XHTML_STD="http://www.w3.org/1999/xhtml",IFRAME_WIDTH="100%",IFRAME_HEIGHT="100%",IFRAME_STYLES="border:0;margin:0;",IFRAME_BODY_STYLE="margin:0",IFRAME_SANDBOX_OPTS="allow-top-navigation-by-user-activation allow-popups",IFRAME_NOT_SUPPORTED_MSG='The "iframe" tag is not supported by your browser.',DOMPURIFY_TAGS=["foreignobject"],DOMPURIFY_ATTR=["dominant-baseline"];function processAndSetConfigs(Ra){const qa=preprocessDiagram(Ra);return reset(),addDirective(qa.config??{}),qa}__name(processAndSetConfigs,"processAndSetConfigs");async function parse$4(Ra,qa){addDiagrams();try{const{code:Ja}=processAndSetConfigs(Ra);return{diagramType:(await getDiagramFromText(Ja)).type}}catch(Ja){if(qa!=null&&qa.suppressErrors)return!1;throw Ja}}__name(parse$4,"parse");var cssImportantStyles=__name((Ra,qa,Ja=[])=>`
|
||
.${Ra} ${qa} { ${Ja.join(" !important; ")} !important; }`,"cssImportantStyles"),createCssStyles=__name((Ra,qa=new Map)=>{var ed;let Ja="";if(Ra.themeCSS!==void 0&&(Ja+=`
|
||
${Ra.themeCSS}`),Ra.fontFamily!==void 0&&(Ja+=`
|
||
:root { --mermaid-font-family: ${Ra.fontFamily}}`),Ra.altFontFamily!==void 0&&(Ja+=`
|
||
:root { --mermaid-alt-font-family: ${Ra.altFontFamily}}`),qa instanceof Map){const od=Ra.htmlLabels??((ed=Ra.flowchart)==null?void 0:ed.htmlLabels)?["> *","span"]:["rect","polygon","ellipse","circle","path"];qa.forEach(ld=>{isEmpty$1(ld.styles)||od.forEach(cd=>{Ja+=cssImportantStyles(ld.id,cd,ld.styles)}),isEmpty$1(ld.textStyles)||(Ja+=cssImportantStyles(ld.id,"tspan",((ld==null?void 0:ld.textStyles)||[]).map(cd=>cd.replace("color","fill"))))})}return Ja},"createCssStyles"),createUserStyles=__name((Ra,qa,Ja,ed)=>{const td=createCssStyles(Ra,Ja),rd=styles_default(qa,td,Ra.themeVariables);return serialize(compile$2(`${ed}{${rd}}`),stringify$4)},"createUserStyles"),cleanUpSvgCode=__name((Ra="",qa,Ja)=>{let ed=Ra;return!Ja&&!qa&&(ed=ed.replace(/marker-end="url\([\d+./:=?A-Za-z-]*?#/g,'marker-end="url(#')),ed=decodeEntities(ed),ed=ed.replace(/<br>/g,"<br/>"),ed},"cleanUpSvgCode"),putIntoIFrame=__name((Ra="",qa)=>{var td,rd;const Ja=(rd=(td=qa==null?void 0:qa.viewBox)==null?void 0:td.baseVal)!=null&&rd.height?qa.viewBox.baseVal.height+"px":IFRAME_HEIGHT,ed=toBase64$1(`<body style="${IFRAME_BODY_STYLE}">${Ra}</body>`);return`<iframe style="width:${IFRAME_WIDTH};height:${Ja};${IFRAME_STYLES}" src="data:text/html;charset=UTF-8;base64,${ed}" sandbox="${IFRAME_SANDBOX_OPTS}">
|
||
${IFRAME_NOT_SUPPORTED_MSG}
|
||
</iframe>`},"putIntoIFrame"),appendDivSvgG=__name((Ra,qa,Ja,ed,td)=>{const rd=Ra.append("div");rd.attr("id",Ja),ed&&rd.attr("style",ed);const sd=rd.append("svg").attr("id",qa).attr("width","100%").attr("xmlns",XMLNS_SVG_STD);return td&&sd.attr("xmlns:xlink",td),sd.append("g"),Ra},"appendDivSvgG");function sandboxedIframe(Ra,qa){return Ra.append("iframe").attr("id",qa).attr("style","width: 100%; height: 100%;").attr("sandbox","")}__name(sandboxedIframe,"sandboxedIframe");var removeExistingElements=__name((Ra,qa,Ja,ed)=>{var td,rd,sd;(td=Ra.getElementById(qa))==null||td.remove(),(rd=Ra.getElementById(Ja))==null||rd.remove(),(sd=Ra.getElementById(ed))==null||sd.remove()},"removeExistingElements"),render=__name(async function(Ra,qa,Ja){var Jd,Zd,pf,Xd,hf,_f;addDiagrams();const ed=processAndSetConfigs(qa);qa=ed.code;const td=getConfig$1();log$1.debug(td),qa.length>((td==null?void 0:td.maxTextSize)??MAX_TEXTLENGTH)&&(qa=MAX_TEXTLENGTH_EXCEEDED_MSG);const rd="#"+Ra,sd="i"+Ra,od="#"+sd,ld="d"+Ra,cd="#"+ld,ud=__name(()=>{const Lf=select(yd?od:cd).node();Lf&&"remove"in Lf&&Lf.remove()},"removeTempElements");let _d=select("body");const yd=td.securityLevel===SECURITY_LVL_SANDBOX,gd=td.securityLevel===SECURITY_LVL_LOOSE,Ed=td.fontFamily;if(Ja!==void 0){if(Ja&&(Ja.innerHTML=""),yd){const xf=sandboxedIframe(select(Ja),sd);_d=select(xf.nodes()[0].contentDocument.body),_d.node().style.margin=0}else _d=select(Ja);appendDivSvgG(_d,Ra,ld,`font-family: ${Ed}`,XMLNS_XLINK_STD)}else{if(removeExistingElements(document,Ra,ld,sd),yd){const xf=sandboxedIframe(select("body"),sd);_d=select(xf.nodes()[0].contentDocument.body),_d.node().style.margin=0}else _d=select("body");appendDivSvgG(_d,Ra,ld)}let Td,kd;try{Td=await Diagram.fromText(qa,{title:ed.title})}catch(xf){if(td.suppressErrorRendering)throw ud(),xf;Td=await Diagram.fromText("error"),kd=xf}const Rd=_d.select(cd).node(),Nd=Td.type,Id=Rd.firstChild,Md=Id.firstChild,Ld=(Zd=(Jd=Td.renderer).getClasses)==null?void 0:Zd.call(Jd,qa,Td),Pd=createUserStyles(td,Nd,Ld,rd),qd=document.createElement("style");qd.innerHTML=Pd,Id.insertBefore(qd,Md);try{await Td.renderer.draw(qa,Ra,version,Td)}catch(xf){throw td.suppressErrorRendering?ud():errorRenderer_default.draw(qa,Ra,version),xf}const Yd=_d.select(`${cd} svg`),Ud=(Xd=(pf=Td.db).getAccTitle)==null?void 0:Xd.call(pf),Hd=(_f=(hf=Td.db).getAccDescription)==null?void 0:_f.call(hf);addA11yInfo(Nd,Yd,Ud,Hd),_d.select(`[id="${Ra}"]`).selectAll("foreignobject > *").attr("xmlns",XMLNS_XHTML_STD);let Vd=_d.select(cd).node().innerHTML;if(log$1.debug("config.arrowMarkerAbsolute",td.arrowMarkerAbsolute),Vd=cleanUpSvgCode(Vd,yd,evaluate(td.arrowMarkerAbsolute)),yd){const xf=_d.select(cd+" svg").node();Vd=putIntoIFrame(Vd,xf)}else gd||(Vd=purify.sanitize(Vd,{ADD_TAGS:DOMPURIFY_TAGS,ADD_ATTR:DOMPURIFY_ATTR}));if(attachFunctions(),kd)throw kd;return ud(),{diagramType:Nd,svg:Vd,bindFunctions:Td.db.bindFunctions}},"render");function initialize(Ra={}){var ed;const qa=assignWithDepth_default({},Ra);qa!=null&&qa.fontFamily&&!((ed=qa.themeVariables)!=null&&ed.fontFamily)&&(qa.themeVariables||(qa.themeVariables={}),qa.themeVariables.fontFamily=qa.fontFamily),saveConfigFromInitialize(qa),qa!=null&&qa.theme&&qa.theme in themes_default?qa.themeVariables=themes_default[qa.theme].getThemeVariables(qa.themeVariables):qa&&(qa.themeVariables=themes_default.default.getThemeVariables(qa.themeVariables));const Ja=typeof qa=="object"?setSiteConfig(qa):getSiteConfig();setLogLevel(Ja.logLevel),addDiagrams()}__name(initialize,"initialize");var getDiagramFromText=__name((Ra,qa={})=>{const{code:Ja}=preprocessDiagram(Ra);return Diagram.fromText(Ja,qa)},"getDiagramFromText");function addA11yInfo(Ra,qa,Ja,ed){setA11yDiagramInfo(qa,Ra),addSVGa11yTitleDescription(qa,Ja,ed,qa.attr("id"))}__name(addA11yInfo,"addA11yInfo");var mermaidAPI=Object.freeze({render,parse:parse$4,getDiagramFromText,initialize,getConfig:getConfig$1,setConfig,getSiteConfig,updateSiteConfig,reset:__name(()=>{reset()},"reset"),globalReset:__name(()=>{reset(defaultConfig)},"globalReset"),defaultConfig});setLogLevel(getConfig$1().logLevel);reset(getConfig$1());var handleError=__name((Ra,qa,Ja)=>{log$1.warn(Ra),isDetailedError(Ra)?(Ja&&Ja(Ra.str,Ra.hash),qa.push({...Ra,message:Ra.str,error:Ra})):(Ja&&Ja(Ra),Ra instanceof Error&&qa.push({str:Ra.message,message:Ra.message,hash:Ra.name,error:Ra}))},"handleError"),run=__name(async function(Ra={querySelector:".mermaid"}){try{await runThrowsErrors(Ra)}catch(qa){if(isDetailedError(qa)&&log$1.error(qa.str),mermaid.parseError&&mermaid.parseError(qa),!Ra.suppressErrors)throw log$1.error("Use the suppressErrors option to suppress these errors"),qa}},"run"),runThrowsErrors=__name(async function({postRenderCallback:Ra,querySelector:qa,nodes:Ja}={querySelector:".mermaid"}){const ed=mermaidAPI.getConfig();log$1.debug(`${Ra?"":"No "}Callback function found`);let td;if(Ja)td=Ja;else if(qa)td=document.querySelectorAll(qa);else throw new Error("Nodes and querySelector are both undefined");log$1.debug(`Found ${td.length} diagrams`),(ed==null?void 0:ed.startOnLoad)!==void 0&&(log$1.debug("Start On Load: "+(ed==null?void 0:ed.startOnLoad)),mermaidAPI.updateSiteConfig({startOnLoad:ed==null?void 0:ed.startOnLoad}));const rd=new utils_default.InitIDGenerator(ed.deterministicIds,ed.deterministicIDSeed);let sd;const od=[];for(const ld of Array.from(td)){if(log$1.info("Rendering diagram: "+ld.id),ld.getAttribute("data-processed"))continue;ld.setAttribute("data-processed","true");const cd=`mermaid-${rd.next()}`;sd=ld.innerHTML,sd=dedent(utils_default.entityDecode(sd)).trim().replace(/<br\s*\/?>/gi,"<br/>");const ud=utils_default.detectInit(sd);ud&&log$1.debug("Detected early reinit: ",ud);try{const{svg:_d,bindFunctions:yd}=await render2(cd,sd,ld);ld.innerHTML=_d,Ra&&await Ra(cd),yd&&yd(ld)}catch(_d){handleError(_d,od,mermaid.parseError)}}if(od.length>0)throw od[0]},"runThrowsErrors"),initialize2=__name(function(Ra){mermaidAPI.initialize(Ra)},"initialize"),init=__name(async function(Ra,qa,Ja){log$1.warn("mermaid.init is deprecated. Please use run instead."),Ra&&initialize2(Ra);const ed={postRenderCallback:Ja,querySelector:".mermaid"};typeof qa=="string"?ed.querySelector=qa:qa&&(qa instanceof HTMLElement?ed.nodes=[qa]:ed.nodes=qa),await run(ed)},"init"),registerExternalDiagrams=__name(async(Ra,{lazyLoad:qa=!0}={})=>{addDiagrams(),registerLazyLoadedDiagrams(...Ra),qa===!1&&await loadRegisteredDiagrams()},"registerExternalDiagrams"),contentLoaded=__name(function(){if(mermaid.startOnLoad){const{startOnLoad:Ra}=mermaidAPI.getConfig();Ra&&mermaid.run().catch(qa=>log$1.error("Mermaid failed to initialize",qa))}},"contentLoaded");typeof document<"u"&&window.addEventListener("load",contentLoaded,!1);var setParseErrorHandler=__name(function(Ra){mermaid.parseError=Ra},"setParseErrorHandler"),executionQueue=[],executionQueueRunning=!1,executeQueue=__name(async()=>{if(!executionQueueRunning){for(executionQueueRunning=!0;executionQueue.length>0;){const Ra=executionQueue.shift();if(Ra)try{await Ra()}catch(qa){log$1.error("Error executing queue",qa)}}executionQueueRunning=!1}},"executeQueue"),parse2=__name(async(Ra,qa)=>new Promise((Ja,ed)=>{const td=__name(()=>new Promise((rd,sd)=>{mermaidAPI.parse(Ra,qa).then(od=>{rd(od),Ja(od)},od=>{var ld;log$1.error("Error parsing",od),(ld=mermaid.parseError)==null||ld.call(mermaid,od),sd(od),ed(od)})}),"performCall");executionQueue.push(td),executeQueue().catch(ed)}),"parse"),render2=__name((Ra,qa,Ja)=>new Promise((ed,td)=>{const rd=__name(()=>new Promise((sd,od)=>{mermaidAPI.render(Ra,qa,Ja).then(ld=>{sd(ld),ed(ld)},ld=>{var cd;log$1.error("Error parsing",ld),(cd=mermaid.parseError)==null||cd.call(mermaid,ld),od(ld),td(ld)})}),"performCall");executionQueue.push(rd),executeQueue().catch(td)}),"render"),mermaid={startOnLoad:!0,mermaidAPI,parse:parse2,render:render2,init,run,registerExternalDiagrams,registerLayoutLoaders,initialize:initialize2,parseError:void 0,contentLoaded,setParseErrorHandler,detectType,registerIconPacks},mermaid_default=mermaid;/*! Check if previously processed *//*!
|
||
* Wait for document loaded before starting the execution
|
||
*//*! Bundled license information:
|
||
|
||
js-yaml/dist/js-yaml.mjs:
|
||
(*! js-yaml 4.1.0 https://github.com/nodeca/js-yaml @license MIT *)
|
||
*/marked$1.use(markedKatex({throwOnError:!1,output:"html",nonStandard:!0}));function buildTheme({theme:Ra,fonts:qa,size:Ja}){const ed=toMerged(Ra.base,{"font-family":qa,"font-size":Ja}),td=rd=>Object.fromEntries(Object.entries(rd).map(([sd,od])=>[sd,toMerged(ed,od)]));return{...td(Ra.inline),...td(Ra.block)}}function buildAddition(){return`
|
||
<style>
|
||
.preview-wrapper pre::before {
|
||
position: absolute;
|
||
top: 0;
|
||
right: 0;
|
||
color: #ccc;
|
||
text-align: center;
|
||
font-size: 0.8em;
|
||
padding: 5px 10px 0;
|
||
line-height: 15px;
|
||
height: 15px;
|
||
font-weight: 600;
|
||
}
|
||
</style>
|
||
`}function getStyles(Ra,qa,Ja=""){const ed=Ra[qa];return ed?`style="${Object.entries(ed).map(([rd,sd])=>`${rd}:${sd}`).join(";")}${Ja}"`:""}function buildFootnoteArray(Ra){return Ra.map(([qa,Ja,ed])=>ed===Ja?`<code style="font-size: 90%; opacity: 0.6;">[${qa}]</code>: <i style="word-break: break-all">${Ja}</i><br/>`:`<code style="font-size: 90%; opacity: 0.6;">[${qa}]</code> ${Ja}: <i style="word-break: break-all">${ed}</i><br/>`).join(`
|
||
`)}function transform$1(Ra,qa,Ja){const ed=Ra.split("-");for(const td of ed){if(td==="alt"&&qa)return qa;if(td==="title"&&Ja)return Ja}return""}function initRenderer(Ra){const qa=[];let Ja=0,ed=buildTheme(Ra),td=0,rd=0,sd=!1;function od(Ed,Td=""){return getStyles(ed,Ed,Td)}function ld(Ed,Td,kd){if(!Td)return"";const Rd=kd??Ed;return`<${Rd} ${od(Ed)}>${Td}</${Rd}>`}function cd(Ed,Td){return qa.push([++Ja,Ed,Td]),Ja}function ud(Ed){qa.length=0,Ja=0,_d(Ed)}function _d(Ed){Ra={...Ra,...Ed},ed=buildTheme(Ra)}const yd=()=>qa.length?ld("h4","引用链接")+ld("footnotes",buildFootnoteArray(qa),"p"):"",gd={heading({tokens:Ed,depth:Td}){const kd=this.parser.parseInline(Ed),Rd=`h${Td}`;return ld(Rd,kd)},paragraph({tokens:Ed}){const Td=this.parser.parseInline(Ed),kd=Td.includes("<figure")&&Td.includes("<img"),Rd=Td.trim()==="";return kd||Rd?Td:ld("p",Td)},blockquote({tokens:Ed}){let Td=this.parser.parse(Ed);return Td=Td.replace(/<p.*?>/g,`<p ${od("blockquote_p")}>`),ld("blockquote",Td)},code({text:Ed,lang:Td=""}){if(Td.startsWith("mermaid"))return clearTimeout(td),td=setTimeout(()=>{mermaid_default.run()},0),`<pre class="mermaid">${Ed}</pre>`;const kd=Td.split(" ")[0],Rd=HighlightJS.getLanguage(kd)?kd:"plaintext";let Nd=HighlightJS.highlight(Ed,{language:Rd}).value;return Nd=Nd.replace(/\r\n/g,"<br/>").replace(/\n/g,"<br/>").replace(/(>[^<]+)|(^[^<]+)/g,Id=>Id.replace(/\s/g," ")),`<pre class="hljs code__pre" ${od("code_pre")}><code class="language-${Td}" ${od("code")}>${Nd}</code></pre>`},codespan({text:Ed}){return ld("codespan",Ed,"code")},listitem(Ed){const Td=sd?`${rd+1}. `:"• ",kd=Ed.tokens.map(Rd=>this[Rd.type](Rd)).join("");return ld("listitem",`${Td}${kd}`,"li")},list({ordered:Ed,items:Td}){const kd=[];for(let Nd=0;Nd<Td.length;Nd++){sd=Ed,rd=Nd;const Id=Td[Nd];kd.push(this.listitem(Id))}return ld(Ed?"ol":"ul",kd.join(""))},image({href:Ed,title:Td,text:kd}){const Rd=ld("figcaption",transform$1(Ra.legend,kd,Td)),Nd=od("figure"),Id=od("image");return`<figure ${Nd}><img ${Id} src="${Ed}" title="${Td}" alt="${kd}"/>${Rd}</figure>`},link({href:Ed,title:Td,text:kd}){if(Ed.startsWith("https://mp.weixin.qq.com"))return`<a href="${Ed}" title="${Td||kd}" ${od("wx_link")}>${kd}</a>`;if(Ed===kd)return kd;if(Ra.status){const Rd=cd(Td||kd,Ed);return`<span ${od("link")}>${kd}<sup>[${Rd}]</sup></span>`}return ld("link",kd,"span")},strong({tokens:Ed}){return ld("strong",this.parser.parseInline(Ed))},em({tokens:Ed}){return ld("em",this.parser.parseInline(Ed),"span")},table({header:Ed,rows:Td}){const kd=Ed.map(Nd=>this.tablecell(Nd)).join(""),Rd=Td.map(Nd=>{const Id=Nd.map(Md=>this.tablecell(Md)).join("");return ld("tr",Id)}).join("");return`
|
||
<section style="padding:0 8px; max-width: 100%; overflow: auto">
|
||
<table class="preview-table">
|
||
<thead ${od("thead")}>${kd}</thead>
|
||
<tbody>${Rd}</tbody>
|
||
</table>
|
||
</section>
|
||
`},tablecell(Ed){const Td=this.parser.parseInline(Ed.tokens);return ld("td",Td)},hr(){return ld("hr","")}};return marked$1.use({renderer:gd}),{buildAddition,buildFootnotes:yd,setOptions:_d,reset:ud}}const DEFAULT_CONTENT=`# 探索 Markdown 的奇妙世界
|
||
|
||
欢迎来到 Markdown 的奇妙世界!无论你是写作爱好者、开发者、博主,还是想要简单记录点什么的人,Markdown 都能成为你新的好伙伴。它不仅让写作变得简单明了,还能轻松地将内容转化为漂亮的网页格式。今天,我们将全面探讨 Markdown 的基础和进阶语法,让你在这个过程中充分享受写作的乐趣!
|
||
|
||
Markdown 是一种轻量级标记语言,用于格式化纯文本。它以简单、直观的语法而著称,可以快速地生成 HTML。Markdown 是写作与代码的完美结合,既简单又强大。
|
||
|
||
## Markdown 基础语法
|
||
|
||
### 1. 标题:让你的内容层次分明
|
||
|
||
用 \`#\` 号来创建标题。标题从 \`#\` 开始,我们最多支持 4 个 \`#\` 号,对应 4 级标题。
|
||
|
||
\`\`\`markdown
|
||
# 一级标题
|
||
|
||
## 二级标题
|
||
|
||
### 三级标题
|
||
|
||
#### 四级标题
|
||
\`\`\`
|
||
|
||
以上代码将渲染出一组层次分明的标题,使你的文章井井有条。
|
||
|
||
> 标准 Markdown 支持 1 ~ 6 级标题,此编辑器也不例外,不过我们只准备了四个级别样式,同时也是不推荐文章超过四级标题。
|
||
|
||
### 2. 段落与换行:自然流畅
|
||
|
||
Markdown 中的段落就是一行接一行的文本。要创建新段落,只需在两行文本之间空一行。
|
||
|
||
### 3. 字体样式:强调你的文字
|
||
|
||
- **粗体**:用两个星号或下划线包裹文字,如 \`**粗体**\` 或 \`__粗体__\`。
|
||
- _斜体_:用一个星号或下划线包裹文字,如 \`*斜体*\` 或 \`_斜体_\`。
|
||
- ~~删除线~~:用两个波浪线包裹文字,如 \`~~删除线~~\`。
|
||
|
||
这些简单的标记可以让你的内容更有层次感和重点突出。
|
||
|
||
### 4. 列表:整洁有序
|
||
|
||
- **无序列表**:用 \`-\`、\`*\` 或 \`+\` 加空格开始一行。
|
||
- **有序列表**:使用数字加点号(\`1.\`、\`2.\`)开始一行。
|
||
|
||
在列表中嵌套其他内容?只需缩进即可实现嵌套效果。
|
||
|
||
- 无序列表项 1
|
||
1. 嵌套有序列表项 1
|
||
2. 嵌套有序列表项 2
|
||
- 无序列表项 2
|
||
|
||
1. 有序列表项 1
|
||
2. 有序列表项 2
|
||
|
||
### 5. 链接与图片:丰富内容
|
||
|
||
- **链接**:用方括号和圆括号创建链接 \`[显示文本](链接地址)\`。
|
||
- **图片**:和链接类似,只需在前面加上 \`!\`,如 \`![描述文本](图片链接)\`。
|
||
|
||
[访问 Doocs](https://github.com/doocs)
|
||
|
||
![doocs](https://cdn-doocs.oss-cn-shenzhen.aliyuncs.com/gh/doocs/md/images/logo-2.png)
|
||
|
||
轻松实现富媒体内容展示!
|
||
|
||
> 因微信公众号平台不支持除公众号文章以外的链接,故其他平台的链接,会呈现链接色泽但不能点击。
|
||
|
||
> 对于这些链接请注意明文书写,或点击左上角「格式->微信外链接转底部引用」开启引用,这样就可以在底部观察到链接指向。
|
||
|
||
### 6. 引用:引用名言或引人深思的句子
|
||
|
||
使用 \`>\` 来创建引用,只需在文本前面加上它。多层引用?在前一层 \`>\` 后再加一个就行。
|
||
|
||
> 这是一个引用
|
||
>
|
||
> > 这是一个嵌套引用
|
||
|
||
这让你的引用更加富有层次感。
|
||
|
||
### 7. 代码块:展示你的代码
|
||
|
||
- **行内代码**:用反引号包裹,如 \`code\`。
|
||
- **代码块**:用三个反引号包裹,并指定语言,如:
|
||
|
||
\`\`\`js
|
||
console.log("Hello, Doocs!");
|
||
\`\`\`
|
||
|
||
语法高亮让你的代码更易读。
|
||
|
||
### 8. 分割线:分割内容
|
||
|
||
用三个或更多的 \`-\`、\`*\` 或 \`_\` 来创建分割线。
|
||
|
||
---
|
||
|
||
为你的内容添加视觉分隔。
|
||
|
||
### 9. 表格:清晰展示数据
|
||
|
||
Markdown 支持简单的表格,用 \`|\` 和 \`-\` 分隔单元格和表头。
|
||
|
||
| 项目人员 | 邮箱 | 微信号 |
|
||
| ------------------------------------------- | ---------------------- | ------------ |
|
||
| [yanglbme](https://github.com/yanglbme) | contact@yanglibin.info | YLB0109 |
|
||
| [YangFong](https://github.com/YangFong) | yangfong2022@gmail.com | yq2419731931 |
|
||
| [thinkasany](https://github.com/thinkasany) | thinkasany@gmail.com | thinkasany |
|
||
|
||
这样的表格让数据展示更为清爽!
|
||
|
||
> 手动编写标记太麻烦?我们提供了便捷方式。左上方点击「编辑->插入表格」,即可快速实现表格渲染。
|
||
|
||
## Markdown 进阶技巧
|
||
|
||
### 1. LaTeX 公式:完美展示数学表达式
|
||
|
||
Markdown 允许嵌入 LaTeX 语法展示数学公式:
|
||
|
||
- **行内公式**:用 \`$\` 包裹公式,如 $E = mc^2$。
|
||
- **块级公式**:用 \`$$\` 包裹公式,如:
|
||
|
||
$$
|
||
\\begin{aligned}
|
||
d_{i, j} &\\leftarrow d_{i, j} + 1 \\\\
|
||
d_{i, y + 1} &\\leftarrow d_{i, y + 1} - 1 \\\\
|
||
d_{x + 1, j} &\\leftarrow d_{x + 1, j} - 1 \\\\
|
||
d_{x + 1, y + 1} &\\leftarrow d_{x + 1, y + 1} + 1
|
||
\\end{aligned}
|
||
$$
|
||
|
||
这是展示复杂数学表达的利器!
|
||
|
||
### 2. Mermaid 流程图:可视化流程
|
||
|
||
Mermaid 是强大的可视化工具,可以在 Markdown 中创建流程图、时序图等。
|
||
|
||
\`\`\`mermaid
|
||
graph TD;
|
||
A-->B;
|
||
A-->C;
|
||
B-->D;
|
||
C-->D;
|
||
\`\`\`
|
||
|
||
\`\`\`mermaid
|
||
pie
|
||
title Key elements in Product X
|
||
"Calcium" : 42.96
|
||
"Potassium" : 50.05
|
||
"Magnesium" : 10.01
|
||
"Iron" : 5
|
||
\`\`\`
|
||
|
||
\`\`\`mermaid
|
||
pie
|
||
title 为什么总是宅在家里?
|
||
"喜欢宅" : 45
|
||
"天气太热" : 70
|
||
"穷" : 500
|
||
"没人约" : 95
|
||
\`\`\`
|
||
|
||
这种方式不仅能直观展示流程,还能提升文档的专业性。
|
||
|
||
> 更多用法,参见:[Mermaid User Guide](https://mermaid.js.org/intro/getting-started.html)。
|
||
|
||
## 结语
|
||
|
||
Markdown 是一种简单、强大且易于掌握的标记语言,通过学习基础和进阶语法,你可以快速创作内容并有效传达信息。无论是技术文档、个人博客还是项目说明,Markdown 都是你的得力助手。希望这篇文章能够带你全面了解 Markdown 的潜力,让你的写作更加丰富多彩!
|
||
|
||
现在,拿起 Markdown 编辑器,开始创作吧!探索 Markdown 的世界,你会发现它远比想象中更精彩!
|
||
|
||
#### 推荐阅读
|
||
|
||
- [阿里又一个 20k+ stars 开源项目诞生,恭喜 fastjson!](https://mp.weixin.qq.com/s/RNKDCK2KoyeuMeEs6GUrow)
|
||
- [刷掉 90% 候选人的互联网大厂海量数据面试题(附题解 + 方法总结)](https://mp.weixin.qq.com/s/rjGqxUvrEqJNlo09GrT1Dw)
|
||
- [好用!期待已久的文本块功能究竟如何在 Java 13 中发挥作用?](https://mp.weixin.qq.com/s/kalGv5T8AZGxTnLHr2wDsA)
|
||
- [2019 GitHub 开源贡献排行榜新鲜出炉!微软谷歌领头,阿里跻身前 12!](https://mp.weixin.qq.com/s/_q812aGD1b9QvZ2WFI0Qgw)
|
||
|
||
---
|
||
|
||
<center>
|
||
<img src="https://cdn-doocs.oss-cn-shenzhen.aliyuncs.com/gh/doocs/md/images/1648303220922-7e14aefa-816e-44c1-8604-ade709ca1c69.png" style="width: 100px;">
|
||
</center>
|
||
`,DEFAULT_CSS_CONTENT=`/**
|
||
* 按 Alt/Option + Shift + F 可格式化
|
||
* 如需使用主题色,请使用 var(--md-primary-color) 代替颜色值
|
||
* 如:color: var(--md-primary-color);
|
||
*
|
||
* 召集令:如果你有好看的主题样式,欢迎分享,让更多人能够使用到你的主题。
|
||
* 提交区:https://github.com/doocs/md/issues/363
|
||
*/
|
||
/* 一级标题样式 */
|
||
h1 {
|
||
}
|
||
/* 二级标题样式 */
|
||
h2 {
|
||
}
|
||
/* 三级标题样式 */
|
||
h3 {
|
||
}
|
||
/* 四级标题样式 */
|
||
h4 {
|
||
}
|
||
/* 图片样式 */
|
||
image {
|
||
}
|
||
/* 引用样式 */
|
||
blockquote {
|
||
}
|
||
/* 引用段落样式 */
|
||
blockquote_p {
|
||
}
|
||
/* 段落样式 */
|
||
p {
|
||
}
|
||
/* 分割线样式 */
|
||
hr {
|
||
}
|
||
/* 行内代码样式 */
|
||
codespan {
|
||
}
|
||
/* 粗体样式 */
|
||
strong {
|
||
}
|
||
/* 链接样式 */
|
||
link {
|
||
}
|
||
/* 微信链接样式 */
|
||
wx_link {
|
||
}
|
||
/* 有序列表样式 */
|
||
ol {
|
||
}
|
||
/* 无序列表样式 */
|
||
ul {
|
||
}
|
||
/* 列表项样式 */
|
||
li {
|
||
}
|
||
/* 代码块样式 */
|
||
code {
|
||
}
|
||
`;var cheerio$2={exports:{}},lib$e={},extendStatics=function(Ra,qa){return extendStatics=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(Ja,ed){Ja.__proto__=ed}||function(Ja,ed){for(var td in ed)Object.prototype.hasOwnProperty.call(ed,td)&&(Ja[td]=ed[td])},extendStatics(Ra,qa)};function __extends$4(Ra,qa){if(typeof qa!="function"&&qa!==null)throw new TypeError("Class extends value "+String(qa)+" is not a constructor or null");extendStatics(Ra,qa);function Ja(){this.constructor=Ra}Ra.prototype=qa===null?Object.create(qa):(Ja.prototype=qa.prototype,new Ja)}var __assign$7=function(){return __assign$7=Object.assign||function(qa){for(var Ja,ed=1,td=arguments.length;ed<td;ed++){Ja=arguments[ed];for(var rd in Ja)Object.prototype.hasOwnProperty.call(Ja,rd)&&(qa[rd]=Ja[rd])}return qa},__assign$7.apply(this,arguments)};function __rest$1(Ra,qa){var Ja={};for(var ed in Ra)Object.prototype.hasOwnProperty.call(Ra,ed)&&qa.indexOf(ed)<0&&(Ja[ed]=Ra[ed]);if(Ra!=null&&typeof Object.getOwnPropertySymbols=="function")for(var td=0,ed=Object.getOwnPropertySymbols(Ra);td<ed.length;td++)qa.indexOf(ed[td])<0&&Object.prototype.propertyIsEnumerable.call(Ra,ed[td])&&(Ja[ed[td]]=Ra[ed[td]]);return Ja}function __decorate(Ra,qa,Ja,ed){var td=arguments.length,rd=td<3?qa:ed===null?ed=Object.getOwnPropertyDescriptor(qa,Ja):ed,sd;if(typeof Reflect=="object"&&typeof Reflect.decorate=="function")rd=Reflect.decorate(Ra,qa,Ja,ed);else for(var od=Ra.length-1;od>=0;od--)(sd=Ra[od])&&(rd=(td<3?sd(rd):td>3?sd(qa,Ja,rd):sd(qa,Ja))||rd);return td>3&&rd&&Object.defineProperty(qa,Ja,rd),rd}function __param(Ra,qa){return function(Ja,ed){qa(Ja,ed,Ra)}}function __esDecorate(Ra,qa,Ja,ed,td,rd){function sd(Rd){if(Rd!==void 0&&typeof Rd!="function")throw new TypeError("Function expected");return Rd}for(var od=ed.kind,ld=od==="getter"?"get":od==="setter"?"set":"value",cd=!qa&&Ra?ed.static?Ra:Ra.prototype:null,ud=qa||(cd?Object.getOwnPropertyDescriptor(cd,ed.name):{}),_d,yd=!1,gd=Ja.length-1;gd>=0;gd--){var Ed={};for(var Td in ed)Ed[Td]=Td==="access"?{}:ed[Td];for(var Td in ed.access)Ed.access[Td]=ed.access[Td];Ed.addInitializer=function(Rd){if(yd)throw new TypeError("Cannot add initializers after decoration has completed");rd.push(sd(Rd||null))};var kd=(0,Ja[gd])(od==="accessor"?{get:ud.get,set:ud.set}:ud[ld],Ed);if(od==="accessor"){if(kd===void 0)continue;if(kd===null||typeof kd!="object")throw new TypeError("Object expected");(_d=sd(kd.get))&&(ud.get=_d),(_d=sd(kd.set))&&(ud.set=_d),(_d=sd(kd.init))&&td.unshift(_d)}else(_d=sd(kd))&&(od==="field"?td.unshift(_d):ud[ld]=_d)}cd&&Object.defineProperty(cd,ed.name,ud),yd=!0}function __runInitializers(Ra,qa,Ja){for(var ed=arguments.length>2,td=0;td<qa.length;td++)Ja=ed?qa[td].call(Ra,Ja):qa[td].call(Ra);return ed?Ja:void 0}function __propKey(Ra){return typeof Ra=="symbol"?Ra:"".concat(Ra)}function __setFunctionName(Ra,qa,Ja){return typeof qa=="symbol"&&(qa=qa.description?"[".concat(qa.description,"]"):""),Object.defineProperty(Ra,"name",{configurable:!0,value:Ja?"".concat(Ja," ",qa):qa})}function __metadata(Ra,qa){if(typeof Reflect=="object"&&typeof Reflect.metadata=="function")return Reflect.metadata(Ra,qa)}function __awaiter$8(Ra,qa,Ja,ed){function td(rd){return rd instanceof Ja?rd:new Ja(function(sd){sd(rd)})}return new(Ja||(Ja=Promise))(function(rd,sd){function od(ud){try{cd(ed.next(ud))}catch(_d){sd(_d)}}function ld(ud){try{cd(ed.throw(ud))}catch(_d){sd(_d)}}function cd(ud){ud.done?rd(ud.value):td(ud.value).then(od,ld)}cd((ed=ed.apply(Ra,qa||[])).next())})}function __generator$8(Ra,qa){var Ja={label:0,sent:function(){if(rd[0]&1)throw rd[1];return rd[1]},trys:[],ops:[]},ed,td,rd,sd=Object.create((typeof Iterator=="function"?Iterator:Object).prototype);return sd.next=od(0),sd.throw=od(1),sd.return=od(2),typeof Symbol=="function"&&(sd[Symbol.iterator]=function(){return this}),sd;function od(cd){return function(ud){return ld([cd,ud])}}function ld(cd){if(ed)throw new TypeError("Generator is already executing.");for(;sd&&(sd=0,cd[0]&&(Ja=0)),Ja;)try{if(ed=1,td&&(rd=cd[0]&2?td.return:cd[0]?td.throw||((rd=td.return)&&rd.call(td),0):td.next)&&!(rd=rd.call(td,cd[1])).done)return rd;switch(td=0,rd&&(cd=[cd[0]&2,rd.value]),cd[0]){case 0:case 1:rd=cd;break;case 4:return Ja.label++,{value:cd[1],done:!1};case 5:Ja.label++,td=cd[1],cd=[0];continue;case 7:cd=Ja.ops.pop(),Ja.trys.pop();continue;default:if(rd=Ja.trys,!(rd=rd.length>0&&rd[rd.length-1])&&(cd[0]===6||cd[0]===2)){Ja=0;continue}if(cd[0]===3&&(!rd||cd[1]>rd[0]&&cd[1]<rd[3])){Ja.label=cd[1];break}if(cd[0]===6&&Ja.label<rd[1]){Ja.label=rd[1],rd=cd;break}if(rd&&Ja.label<rd[2]){Ja.label=rd[2],Ja.ops.push(cd);break}rd[2]&&Ja.ops.pop(),Ja.trys.pop();continue}cd=qa.call(Ra,Ja)}catch(ud){cd=[6,ud],td=0}finally{ed=rd=0}if(cd[0]&5)throw cd[1];return{value:cd[0]?cd[1]:void 0,done:!0}}}var __createBinding=Object.create?function(Ra,qa,Ja,ed){ed===void 0&&(ed=Ja);var td=Object.getOwnPropertyDescriptor(qa,Ja);(!td||("get"in td?!qa.__esModule:td.writable||td.configurable))&&(td={enumerable:!0,get:function(){return qa[Ja]}}),Object.defineProperty(Ra,ed,td)}:function(Ra,qa,Ja,ed){ed===void 0&&(ed=Ja),Ra[ed]=qa[Ja]};function __exportStar(Ra,qa){for(var Ja in Ra)Ja!=="default"&&!Object.prototype.hasOwnProperty.call(qa,Ja)&&__createBinding(qa,Ra,Ja)}function __values(Ra){var qa=typeof Symbol=="function"&&Symbol.iterator,Ja=qa&&Ra[qa],ed=0;if(Ja)return Ja.call(Ra);if(Ra&&typeof Ra.length=="number")return{next:function(){return Ra&&ed>=Ra.length&&(Ra=void 0),{value:Ra&&Ra[ed++],done:!Ra}}};throw new TypeError(qa?"Object is not iterable.":"Symbol.iterator is not defined.")}function __read$4(Ra,qa){var Ja=typeof Symbol=="function"&&Ra[Symbol.iterator];if(!Ja)return Ra;var ed=Ja.call(Ra),td,rd=[],sd;try{for(;(qa===void 0||qa-- >0)&&!(td=ed.next()).done;)rd.push(td.value)}catch(od){sd={error:od}}finally{try{td&&!td.done&&(Ja=ed.return)&&Ja.call(ed)}finally{if(sd)throw sd.error}}return rd}function __spread$4(){for(var Ra=[],qa=0;qa<arguments.length;qa++)Ra=Ra.concat(__read$4(arguments[qa]));return Ra}function __spreadArrays(){for(var Ra=0,qa=0,Ja=arguments.length;qa<Ja;qa++)Ra+=arguments[qa].length;for(var ed=Array(Ra),td=0,qa=0;qa<Ja;qa++)for(var rd=arguments[qa],sd=0,od=rd.length;sd<od;sd++,td++)ed[td]=rd[sd];return ed}function __spreadArray(Ra,qa,Ja){if(Ja||arguments.length===2)for(var ed=0,td=qa.length,rd;ed<td;ed++)(rd||!(ed in qa))&&(rd||(rd=Array.prototype.slice.call(qa,0,ed)),rd[ed]=qa[ed]);return Ra.concat(rd||Array.prototype.slice.call(qa))}function __await(Ra){return this instanceof __await?(this.v=Ra,this):new __await(Ra)}function __asyncGenerator(Ra,qa,Ja){if(!Symbol.asyncIterator)throw new TypeError("Symbol.asyncIterator is not defined.");var ed=Ja.apply(Ra,qa||[]),td,rd=[];return td=Object.create((typeof AsyncIterator=="function"?AsyncIterator:Object).prototype),od("next"),od("throw"),od("return",sd),td[Symbol.asyncIterator]=function(){return this},td;function sd(gd){return function(Ed){return Promise.resolve(Ed).then(gd,_d)}}function od(gd,Ed){ed[gd]&&(td[gd]=function(Td){return new Promise(function(kd,Rd){rd.push([gd,Td,kd,Rd])>1||ld(gd,Td)})},Ed&&(td[gd]=Ed(td[gd])))}function ld(gd,Ed){try{cd(ed[gd](Ed))}catch(Td){yd(rd[0][3],Td)}}function cd(gd){gd.value instanceof __await?Promise.resolve(gd.value.v).then(ud,_d):yd(rd[0][2],gd)}function ud(gd){ld("next",gd)}function _d(gd){ld("throw",gd)}function yd(gd,Ed){gd(Ed),rd.shift(),rd.length&&ld(rd[0][0],rd[0][1])}}function __asyncDelegator(Ra){var qa,Ja;return qa={},ed("next"),ed("throw",function(td){throw td}),ed("return"),qa[Symbol.iterator]=function(){return this},qa;function ed(td,rd){qa[td]=Ra[td]?function(sd){return(Ja=!Ja)?{value:__await(Ra[td](sd)),done:!1}:rd?rd(sd):sd}:rd}}function __asyncValues(Ra){if(!Symbol.asyncIterator)throw new TypeError("Symbol.asyncIterator is not defined.");var qa=Ra[Symbol.asyncIterator],Ja;return qa?qa.call(Ra):(Ra=typeof __values=="function"?__values(Ra):Ra[Symbol.iterator](),Ja={},ed("next"),ed("throw"),ed("return"),Ja[Symbol.asyncIterator]=function(){return this},Ja);function ed(rd){Ja[rd]=Ra[rd]&&function(sd){return new Promise(function(od,ld){sd=Ra[rd](sd),td(od,ld,sd.done,sd.value)})}}function td(rd,sd,od,ld){Promise.resolve(ld).then(function(cd){rd({value:cd,done:od})},sd)}}function __makeTemplateObject(Ra,qa){return Object.defineProperty?Object.defineProperty(Ra,"raw",{value:qa}):Ra.raw=qa,Ra}var __setModuleDefault=Object.create?function(Ra,qa){Object.defineProperty(Ra,"default",{enumerable:!0,value:qa})}:function(Ra,qa){Ra.default=qa};function __importStar(Ra){if(Ra&&Ra.__esModule)return Ra;var qa={};if(Ra!=null)for(var Ja in Ra)Ja!=="default"&&Object.prototype.hasOwnProperty.call(Ra,Ja)&&__createBinding(qa,Ra,Ja);return __setModuleDefault(qa,Ra),qa}function __importDefault(Ra){return Ra&&Ra.__esModule?Ra:{default:Ra}}function __classPrivateFieldGet(Ra,qa,Ja,ed){if(Ja==="a"&&!ed)throw new TypeError("Private accessor was defined without a getter");if(typeof qa=="function"?Ra!==qa||!ed:!qa.has(Ra))throw new TypeError("Cannot read private member from an object whose class did not declare it");return Ja==="m"?ed:Ja==="a"?ed.call(Ra):ed?ed.value:qa.get(Ra)}function __classPrivateFieldSet(Ra,qa,Ja,ed,td){if(ed==="m")throw new TypeError("Private method is not writable");if(ed==="a"&&!td)throw new TypeError("Private accessor was defined without a setter");if(typeof qa=="function"?Ra!==qa||!td:!qa.has(Ra))throw new TypeError("Cannot write private member to an object whose class did not declare it");return ed==="a"?td.call(Ra,Ja):td?td.value=Ja:qa.set(Ra,Ja),Ja}function __classPrivateFieldIn(Ra,qa){if(qa===null||typeof qa!="object"&&typeof qa!="function")throw new TypeError("Cannot use 'in' operator on non-object");return typeof Ra=="function"?qa===Ra:Ra.has(qa)}function __addDisposableResource(Ra,qa,Ja){if(qa!=null){if(typeof qa!="object"&&typeof qa!="function")throw new TypeError("Object expected.");var ed,td;if(Ja){if(!Symbol.asyncDispose)throw new TypeError("Symbol.asyncDispose is not defined.");ed=qa[Symbol.asyncDispose]}if(ed===void 0){if(!Symbol.dispose)throw new TypeError("Symbol.dispose is not defined.");ed=qa[Symbol.dispose],Ja&&(td=ed)}if(typeof ed!="function")throw new TypeError("Object not disposable.");td&&(ed=function(){try{td.call(this)}catch(rd){return Promise.reject(rd)}}),Ra.stack.push({value:qa,dispose:ed,async:Ja})}else Ja&&Ra.stack.push({async:!0});return qa}var _SuppressedError=typeof SuppressedError=="function"?SuppressedError:function(Ra,qa,Ja){var ed=new Error(Ja);return ed.name="SuppressedError",ed.error=Ra,ed.suppressed=qa,ed};function __disposeResources(Ra){function qa(rd){Ra.error=Ra.hasError?new _SuppressedError(rd,Ra.error,"An error was suppressed during disposal."):rd,Ra.hasError=!0}var Ja,ed=0;function td(){for(;Ja=Ra.stack.pop();)try{if(!Ja.async&&ed===1)return ed=0,Ra.stack.push(Ja),Promise.resolve().then(td);if(Ja.dispose){var rd=Ja.dispose.call(Ja.value);if(Ja.async)return ed|=2,Promise.resolve(rd).then(td,function(sd){return qa(sd),td()})}else ed|=1}catch(sd){qa(sd)}if(ed===1)return Ra.hasError?Promise.reject(Ra.error):Promise.resolve();if(Ra.hasError)throw Ra.error}return td()}const tslib_es6={__extends:__extends$4,__assign:__assign$7,__rest:__rest$1,__decorate,__param,__metadata,__awaiter:__awaiter$8,__generator:__generator$8,__createBinding,__exportStar,__values,__read:__read$4,__spread:__spread$4,__spreadArrays,__spreadArray,__await,__asyncGenerator,__asyncDelegator,__asyncValues,__makeTemplateObject,__importStar,__importDefault,__classPrivateFieldGet,__classPrivateFieldSet,__classPrivateFieldIn,__addDisposableResource,__disposeResources},tslib_es6$1=Object.freeze(Object.defineProperty({__proto__:null,__addDisposableResource,get __assign(){return __assign$7},__asyncDelegator,__asyncGenerator,__asyncValues,__await,__awaiter:__awaiter$8,__classPrivateFieldGet,__classPrivateFieldIn,__classPrivateFieldSet,__createBinding,__decorate,__disposeResources,__esDecorate,__exportStar,__extends:__extends$4,__generator:__generator$8,__importDefault,__importStar,__makeTemplateObject,__metadata,__param,__propKey,__read:__read$4,__rest:__rest$1,__runInitializers,__setFunctionName,__spread:__spread$4,__spreadArray,__spreadArrays,__values,default:tslib_es6},Symbol.toStringTag,{value:"Module"})),require$$0$6=getAugmentedNamespace(tslib_es6$1);var types$1={},hasRequiredTypes;function requireTypes(){return hasRequiredTypes||(hasRequiredTypes=1,Object.defineProperty(types$1,"__esModule",{value:!0})),types$1}var load={},options={},hasRequiredOptions;function requireOptions(){if(hasRequiredOptions)return options;hasRequiredOptions=1,Object.defineProperty(options,"__esModule",{value:!0}),options.flatten=void 0;var Ra=require$$0$6,qa={xml:!1,decodeEntities:!0};options.default=qa;var Ja={_useHtmlParser2:!0,xmlMode:!0};function ed(td){return td!=null&&td.xml?typeof td.xml=="boolean"?Ja:Ra.__assign(Ra.__assign({},Ja),td.xml):td??void 0}return options.flatten=ed,options}var _static={},lib$d={},SelectorType;(function(Ra){Ra.Attribute="attribute",Ra.Pseudo="pseudo",Ra.PseudoElement="pseudo-element",Ra.Tag="tag",Ra.Universal="universal",Ra.Adjacent="adjacent",Ra.Child="child",Ra.Descendant="descendant",Ra.Parent="parent",Ra.Sibling="sibling",Ra.ColumnCombinator="column-combinator"})(SelectorType||(SelectorType={}));const IgnoreCaseMode={Unknown:null,QuirksMode:"quirks",IgnoreCase:!0,CaseSensitive:!1};var AttributeAction;(function(Ra){Ra.Any="any",Ra.Element="element",Ra.End="end",Ra.Equals="equals",Ra.Exists="exists",Ra.Hyphen="hyphen",Ra.Not="not",Ra.Start="start"})(AttributeAction||(AttributeAction={}));const reName=/^[^\\#]?(?:\\(?:[\da-f]{1,6}\s?|.)|[\w\-\u00b0-\uFFFF])+/,reEscape=/\\([\da-f]{1,6}\s?|(\s)|.)/gi,actionTypes=new Map([[126,AttributeAction.Element],[94,AttributeAction.Start],[36,AttributeAction.End],[42,AttributeAction.Any],[33,AttributeAction.Not],[124,AttributeAction.Hyphen]]),unpackPseudos=new Set(["has","not","matches","is","where","host","host-context"]);function isTraversal(Ra){switch(Ra.type){case SelectorType.Adjacent:case SelectorType.Child:case SelectorType.Descendant:case SelectorType.Parent:case SelectorType.Sibling:case SelectorType.ColumnCombinator:return!0;default:return!1}}const stripQuotesFromPseudos=new Set(["contains","icontains"]);function funescape(Ra,qa,Ja){const ed=parseInt(qa,16)-65536;return ed!==ed||Ja?qa:ed<0?String.fromCharCode(ed+65536):String.fromCharCode(ed>>10|55296,ed&1023|56320)}function unescapeCSS(Ra){return Ra.replace(reEscape,funescape)}function isQuote(Ra){return Ra===39||Ra===34}function isWhitespace(Ra){return Ra===32||Ra===9||Ra===10||Ra===12||Ra===13}function parse$3(Ra){const qa=[],Ja=parseSelector(qa,`${Ra}`,0);if(Ja<Ra.length)throw new Error(`Unmatched selector: ${Ra.slice(Ja)}`);return qa}function parseSelector(Ra,qa,Ja){let ed=[];function td(yd){const gd=qa.slice(Ja+yd).match(reName);if(!gd)throw new Error(`Expected name, found ${qa.slice(Ja)}`);const[Ed]=gd;return Ja+=yd+Ed.length,unescapeCSS(Ed)}function rd(yd){for(Ja+=yd;Ja<qa.length&&isWhitespace(qa.charCodeAt(Ja));)Ja++}function sd(){Ja+=1;const yd=Ja;let gd=1;for(;gd>0&&Ja<qa.length;Ja++)qa.charCodeAt(Ja)===40&&!od(Ja)?gd++:qa.charCodeAt(Ja)===41&&!od(Ja)&&gd--;if(gd)throw new Error("Parenthesis not matched");return unescapeCSS(qa.slice(yd,Ja-1))}function od(yd){let gd=0;for(;qa.charCodeAt(--yd)===92;)gd++;return(gd&1)===1}function ld(){if(ed.length>0&&isTraversal(ed[ed.length-1]))throw new Error("Did not expect successive traversals.")}function cd(yd){if(ed.length>0&&ed[ed.length-1].type===SelectorType.Descendant){ed[ed.length-1].type=yd;return}ld(),ed.push({type:yd})}function ud(yd,gd){ed.push({type:SelectorType.Attribute,name:yd,action:gd,value:td(1),namespace:null,ignoreCase:"quirks"})}function _d(){if(ed.length&&ed[ed.length-1].type===SelectorType.Descendant&&ed.pop(),ed.length===0)throw new Error("Empty sub-selector");Ra.push(ed)}if(rd(0),qa.length===Ja)return Ja;e:for(;Ja<qa.length;){const yd=qa.charCodeAt(Ja);switch(yd){case 32:case 9:case 10:case 12:case 13:{(ed.length===0||ed[0].type!==SelectorType.Descendant)&&(ld(),ed.push({type:SelectorType.Descendant})),rd(1);break}case 62:{cd(SelectorType.Child),rd(1);break}case 60:{cd(SelectorType.Parent),rd(1);break}case 126:{cd(SelectorType.Sibling),rd(1);break}case 43:{cd(SelectorType.Adjacent),rd(1);break}case 46:{ud("class",AttributeAction.Element);break}case 35:{ud("id",AttributeAction.Equals);break}case 91:{rd(1);let gd,Ed=null;qa.charCodeAt(Ja)===124?gd=td(1):qa.startsWith("*|",Ja)?(Ed="*",gd=td(2)):(gd=td(0),qa.charCodeAt(Ja)===124&&qa.charCodeAt(Ja+1)!==61&&(Ed=gd,gd=td(1))),rd(0);let Td=AttributeAction.Exists;const kd=actionTypes.get(qa.charCodeAt(Ja));if(kd){if(Td=kd,qa.charCodeAt(Ja+1)!==61)throw new Error("Expected `=`");rd(2)}else qa.charCodeAt(Ja)===61&&(Td=AttributeAction.Equals,rd(1));let Rd="",Nd=null;if(Td!=="exists"){if(isQuote(qa.charCodeAt(Ja))){const Ld=qa.charCodeAt(Ja);let Pd=Ja+1;for(;Pd<qa.length&&(qa.charCodeAt(Pd)!==Ld||od(Pd));)Pd+=1;if(qa.charCodeAt(Pd)!==Ld)throw new Error("Attribute value didn't end");Rd=unescapeCSS(qa.slice(Ja+1,Pd)),Ja=Pd+1}else{const Ld=Ja;for(;Ja<qa.length&&(!isWhitespace(qa.charCodeAt(Ja))&&qa.charCodeAt(Ja)!==93||od(Ja));)Ja+=1;Rd=unescapeCSS(qa.slice(Ld,Ja))}rd(0);const Md=qa.charCodeAt(Ja)|32;Md===115?(Nd=!1,rd(1)):Md===105&&(Nd=!0,rd(1))}if(qa.charCodeAt(Ja)!==93)throw new Error("Attribute selector didn't terminate");Ja+=1;const Id={type:SelectorType.Attribute,name:gd,action:Td,value:Rd,namespace:Ed,ignoreCase:Nd};ed.push(Id);break}case 58:{if(qa.charCodeAt(Ja+1)===58){ed.push({type:SelectorType.PseudoElement,name:td(2).toLowerCase(),data:qa.charCodeAt(Ja)===40?sd():null});continue}const gd=td(1).toLowerCase();let Ed=null;if(qa.charCodeAt(Ja)===40)if(unpackPseudos.has(gd)){if(isQuote(qa.charCodeAt(Ja+1)))throw new Error(`Pseudo-selector ${gd} cannot be quoted`);if(Ed=[],Ja=parseSelector(Ed,qa,Ja+1),qa.charCodeAt(Ja)!==41)throw new Error(`Missing closing parenthesis in :${gd} (${qa})`);Ja+=1}else{if(Ed=sd(),stripQuotesFromPseudos.has(gd)){const Td=Ed.charCodeAt(0);Td===Ed.charCodeAt(Ed.length-1)&&isQuote(Td)&&(Ed=Ed.slice(1,-1))}Ed=unescapeCSS(Ed)}ed.push({type:SelectorType.Pseudo,name:gd,data:Ed});break}case 44:{_d(),ed=[],rd(1);break}default:{if(qa.startsWith("/*",Ja)){const Td=qa.indexOf("*/",Ja+2);if(Td<0)throw new Error("Comment was not terminated");Ja=Td+2,ed.length===0&&rd(0);break}let gd=null,Ed;if(yd===42)Ja+=1,Ed="*";else if(yd===124){if(Ed="",qa.charCodeAt(Ja+1)===124){cd(SelectorType.ColumnCombinator),rd(2);break}}else if(reName.test(qa.slice(Ja)))Ed=td(0);else break e;qa.charCodeAt(Ja)===124&&qa.charCodeAt(Ja+1)!==124&&(gd=Ed,qa.charCodeAt(Ja+1)===42?(Ed="*",Ja+=2):Ed=td(1)),ed.push(Ed==="*"?{type:SelectorType.Universal,namespace:gd}:{type:SelectorType.Tag,name:Ed,namespace:gd})}}}return _d(),Ja}const attribValChars=["\\",'"'],pseudoValChars=[...attribValChars,"(",")"],charsToEscapeInAttributeValue=new Set(attribValChars.map(Ra=>Ra.charCodeAt(0))),charsToEscapeInPseudoValue=new Set(pseudoValChars.map(Ra=>Ra.charCodeAt(0))),charsToEscapeInName=new Set([...pseudoValChars,"~","^","$","*","+","!","|",":","[","]"," ","."].map(Ra=>Ra.charCodeAt(0)));function stringify$3(Ra){return Ra.map(qa=>qa.map(stringifyToken).join("")).join(", ")}function stringifyToken(Ra,qa,Ja){switch(Ra.type){case SelectorType.Child:return qa===0?"> ":" > ";case SelectorType.Parent:return qa===0?"< ":" < ";case SelectorType.Sibling:return qa===0?"~ ":" ~ ";case SelectorType.Adjacent:return qa===0?"+ ":" + ";case SelectorType.Descendant:return" ";case SelectorType.ColumnCombinator:return qa===0?"|| ":" || ";case SelectorType.Universal:return Ra.namespace==="*"&&qa+1<Ja.length&&"name"in Ja[qa+1]?"":`${getNamespace(Ra.namespace)}*`;case SelectorType.Tag:return getNamespacedName(Ra);case SelectorType.PseudoElement:return`::${escapeName(Ra.name,charsToEscapeInName)}${Ra.data===null?"":`(${escapeName(Ra.data,charsToEscapeInPseudoValue)})`}`;case SelectorType.Pseudo:return`:${escapeName(Ra.name,charsToEscapeInName)}${Ra.data===null?"":`(${typeof Ra.data=="string"?escapeName(Ra.data,charsToEscapeInPseudoValue):stringify$3(Ra.data)})`}`;case SelectorType.Attribute:{if(Ra.name==="id"&&Ra.action===AttributeAction.Equals&&Ra.ignoreCase==="quirks"&&!Ra.namespace)return`#${escapeName(Ra.value,charsToEscapeInName)}`;if(Ra.name==="class"&&Ra.action===AttributeAction.Element&&Ra.ignoreCase==="quirks"&&!Ra.namespace)return`.${escapeName(Ra.value,charsToEscapeInName)}`;const ed=getNamespacedName(Ra);return Ra.action===AttributeAction.Exists?`[${ed}]`:`[${ed}${getActionValue(Ra.action)}="${escapeName(Ra.value,charsToEscapeInAttributeValue)}"${Ra.ignoreCase===null?"":Ra.ignoreCase?" i":" s"}]`}}}function getActionValue(Ra){switch(Ra){case AttributeAction.Equals:return"";case AttributeAction.Element:return"~";case AttributeAction.Start:return"^";case AttributeAction.End:return"$";case AttributeAction.Any:return"*";case AttributeAction.Not:return"!";case AttributeAction.Hyphen:return"|";case AttributeAction.Exists:throw new Error("Shouldn't be here")}}function getNamespacedName(Ra){return`${getNamespace(Ra.namespace)}${escapeName(Ra.name,charsToEscapeInName)}`}function getNamespace(Ra){return Ra!==null?`${Ra==="*"?"*":escapeName(Ra,charsToEscapeInName)}|`:""}function escapeName(Ra,qa){let Ja=0,ed="";for(let td=0;td<Ra.length;td++)qa.has(Ra.charCodeAt(td))&&(ed+=`${Ra.slice(Ja,td)}\\${Ra.charAt(td)}`,Ja=td+1);return ed.length>0?ed+Ra.slice(Ja):Ra}const es$2=Object.freeze(Object.defineProperty({__proto__:null,get AttributeAction(){return AttributeAction},IgnoreCaseMode,get SelectorType(){return SelectorType},isTraversal,parse:parse$3,stringify:stringify$3},Symbol.toStringTag,{value:"Module"})),require$$0$5=getAugmentedNamespace(es$2);var lib$c={},lib$b={},stringify$2={},lib$a={},lib$9={},hasRequiredLib$b;function requireLib$b(){return hasRequiredLib$b||(hasRequiredLib$b=1,function(Ra){Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.Doctype=Ra.CDATA=Ra.Tag=Ra.Style=Ra.Script=Ra.Comment=Ra.Directive=Ra.Text=Ra.Root=Ra.isTag=Ra.ElementType=void 0;var qa;(function(ed){ed.Root="root",ed.Text="text",ed.Directive="directive",ed.Comment="comment",ed.Script="script",ed.Style="style",ed.Tag="tag",ed.CDATA="cdata",ed.Doctype="doctype"})(qa=Ra.ElementType||(Ra.ElementType={}));function Ja(ed){return ed.type===qa.Tag||ed.type===qa.Script||ed.type===qa.Style}Ra.isTag=Ja,Ra.Root=qa.Root,Ra.Text=qa.Text,Ra.Directive=qa.Directive,Ra.Comment=qa.Comment,Ra.Script=qa.Script,Ra.Style=qa.Style,Ra.Tag=qa.Tag,Ra.CDATA=qa.CDATA,Ra.Doctype=qa.Doctype}(lib$9)),lib$9}var node={},hasRequiredNode;function requireNode(){if(hasRequiredNode)return node;hasRequiredNode=1;var Ra=commonjsGlobal&&commonjsGlobal.__extends||function(){var Ld=function(Pd,qd){return Ld=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(Yd,Ud){Yd.__proto__=Ud}||function(Yd,Ud){for(var Hd in Ud)Object.prototype.hasOwnProperty.call(Ud,Hd)&&(Yd[Hd]=Ud[Hd])},Ld(Pd,qd)};return function(Pd,qd){if(typeof qd!="function"&&qd!==null)throw new TypeError("Class extends value "+String(qd)+" is not a constructor or null");Ld(Pd,qd);function Yd(){this.constructor=Pd}Pd.prototype=qd===null?Object.create(qd):(Yd.prototype=qd.prototype,new Yd)}}(),qa=commonjsGlobal&&commonjsGlobal.__assign||function(){return qa=Object.assign||function(Ld){for(var Pd,qd=1,Yd=arguments.length;qd<Yd;qd++){Pd=arguments[qd];for(var Ud in Pd)Object.prototype.hasOwnProperty.call(Pd,Ud)&&(Ld[Ud]=Pd[Ud])}return Ld},qa.apply(this,arguments)};Object.defineProperty(node,"__esModule",{value:!0}),node.cloneNode=node.hasChildren=node.isDocument=node.isDirective=node.isComment=node.isText=node.isCDATA=node.isTag=node.Element=node.Document=node.NodeWithChildren=node.ProcessingInstruction=node.Comment=node.Text=node.DataNode=node.Node=void 0;var Ja=requireLib$b(),ed=new Map([[Ja.ElementType.Tag,1],[Ja.ElementType.Script,1],[Ja.ElementType.Style,1],[Ja.ElementType.Directive,1],[Ja.ElementType.Text,3],[Ja.ElementType.CDATA,4],[Ja.ElementType.Comment,8],[Ja.ElementType.Root,9]]),td=function(){function Ld(Pd){this.type=Pd,this.parent=null,this.prev=null,this.next=null,this.startIndex=null,this.endIndex=null}return Object.defineProperty(Ld.prototype,"nodeType",{get:function(){var Pd;return(Pd=ed.get(this.type))!==null&&Pd!==void 0?Pd:1},enumerable:!1,configurable:!0}),Object.defineProperty(Ld.prototype,"parentNode",{get:function(){return this.parent},set:function(Pd){this.parent=Pd},enumerable:!1,configurable:!0}),Object.defineProperty(Ld.prototype,"previousSibling",{get:function(){return this.prev},set:function(Pd){this.prev=Pd},enumerable:!1,configurable:!0}),Object.defineProperty(Ld.prototype,"nextSibling",{get:function(){return this.next},set:function(Pd){this.next=Pd},enumerable:!1,configurable:!0}),Ld.prototype.cloneNode=function(Pd){return Pd===void 0&&(Pd=!1),Id(this,Pd)},Ld}();node.Node=td;var rd=function(Ld){Ra(Pd,Ld);function Pd(qd,Yd){var Ud=Ld.call(this,qd)||this;return Ud.data=Yd,Ud}return Object.defineProperty(Pd.prototype,"nodeValue",{get:function(){return this.data},set:function(qd){this.data=qd},enumerable:!1,configurable:!0}),Pd}(td);node.DataNode=rd;var sd=function(Ld){Ra(Pd,Ld);function Pd(qd){return Ld.call(this,Ja.ElementType.Text,qd)||this}return Pd}(rd);node.Text=sd;var od=function(Ld){Ra(Pd,Ld);function Pd(qd){return Ld.call(this,Ja.ElementType.Comment,qd)||this}return Pd}(rd);node.Comment=od;var ld=function(Ld){Ra(Pd,Ld);function Pd(qd,Yd){var Ud=Ld.call(this,Ja.ElementType.Directive,Yd)||this;return Ud.name=qd,Ud}return Pd}(rd);node.ProcessingInstruction=ld;var cd=function(Ld){Ra(Pd,Ld);function Pd(qd,Yd){var Ud=Ld.call(this,qd)||this;return Ud.children=Yd,Ud}return Object.defineProperty(Pd.prototype,"firstChild",{get:function(){var qd;return(qd=this.children[0])!==null&&qd!==void 0?qd:null},enumerable:!1,configurable:!0}),Object.defineProperty(Pd.prototype,"lastChild",{get:function(){return this.children.length>0?this.children[this.children.length-1]:null},enumerable:!1,configurable:!0}),Object.defineProperty(Pd.prototype,"childNodes",{get:function(){return this.children},set:function(qd){this.children=qd},enumerable:!1,configurable:!0}),Pd}(td);node.NodeWithChildren=cd;var ud=function(Ld){Ra(Pd,Ld);function Pd(qd){return Ld.call(this,Ja.ElementType.Root,qd)||this}return Pd}(cd);node.Document=ud;var _d=function(Ld){Ra(Pd,Ld);function Pd(qd,Yd,Ud,Hd){Ud===void 0&&(Ud=[]),Hd===void 0&&(Hd=qd==="script"?Ja.ElementType.Script:qd==="style"?Ja.ElementType.Style:Ja.ElementType.Tag);var Vd=Ld.call(this,Hd,Ud)||this;return Vd.name=qd,Vd.attribs=Yd,Vd}return Object.defineProperty(Pd.prototype,"tagName",{get:function(){return this.name},set:function(qd){this.name=qd},enumerable:!1,configurable:!0}),Object.defineProperty(Pd.prototype,"attributes",{get:function(){var qd=this;return Object.keys(this.attribs).map(function(Yd){var Ud,Hd;return{name:Yd,value:qd.attribs[Yd],namespace:(Ud=qd["x-attribsNamespace"])===null||Ud===void 0?void 0:Ud[Yd],prefix:(Hd=qd["x-attribsPrefix"])===null||Hd===void 0?void 0:Hd[Yd]}})},enumerable:!1,configurable:!0}),Pd}(cd);node.Element=_d;function yd(Ld){return(0,Ja.isTag)(Ld)}node.isTag=yd;function gd(Ld){return Ld.type===Ja.ElementType.CDATA}node.isCDATA=gd;function Ed(Ld){return Ld.type===Ja.ElementType.Text}node.isText=Ed;function Td(Ld){return Ld.type===Ja.ElementType.Comment}node.isComment=Td;function kd(Ld){return Ld.type===Ja.ElementType.Directive}node.isDirective=kd;function Rd(Ld){return Ld.type===Ja.ElementType.Root}node.isDocument=Rd;function Nd(Ld){return Object.prototype.hasOwnProperty.call(Ld,"children")}node.hasChildren=Nd;function Id(Ld,Pd){Pd===void 0&&(Pd=!1);var qd;if(Ed(Ld))qd=new sd(Ld.data);else if(Td(Ld))qd=new od(Ld.data);else if(yd(Ld)){var Yd=Pd?Md(Ld.children):[],Ud=new _d(Ld.name,qa({},Ld.attribs),Yd);Yd.forEach(function(Zd){return Zd.parent=Ud}),Ld.namespace!=null&&(Ud.namespace=Ld.namespace),Ld["x-attribsNamespace"]&&(Ud["x-attribsNamespace"]=qa({},Ld["x-attribsNamespace"])),Ld["x-attribsPrefix"]&&(Ud["x-attribsPrefix"]=qa({},Ld["x-attribsPrefix"])),qd=Ud}else if(gd(Ld)){var Yd=Pd?Md(Ld.children):[],Hd=new cd(Ja.ElementType.CDATA,Yd);Yd.forEach(function(pf){return pf.parent=Hd}),qd=Hd}else if(Rd(Ld)){var Yd=Pd?Md(Ld.children):[],Vd=new ud(Yd);Yd.forEach(function(pf){return pf.parent=Vd}),Ld["x-mode"]&&(Vd["x-mode"]=Ld["x-mode"]),qd=Vd}else if(kd(Ld)){var Jd=new ld(Ld.name,Ld.data);Ld["x-name"]!=null&&(Jd["x-name"]=Ld["x-name"],Jd["x-publicId"]=Ld["x-publicId"],Jd["x-systemId"]=Ld["x-systemId"]),qd=Jd}else throw new Error("Not implemented yet: ".concat(Ld.type));return qd.startIndex=Ld.startIndex,qd.endIndex=Ld.endIndex,Ld.sourceCodeLocation!=null&&(qd.sourceCodeLocation=Ld.sourceCodeLocation),qd}node.cloneNode=Id;function Md(Ld){for(var Pd=Ld.map(function(Yd){return Id(Yd,!0)}),qd=1;qd<Pd.length;qd++)Pd[qd].prev=Pd[qd-1],Pd[qd-1].next=Pd[qd];return Pd}return node}var hasRequiredLib$a;function requireLib$a(){return hasRequiredLib$a||(hasRequiredLib$a=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__createBinding||(Object.create?function(ld,cd,ud,_d){_d===void 0&&(_d=ud);var yd=Object.getOwnPropertyDescriptor(cd,ud);(!yd||("get"in yd?!cd.__esModule:yd.writable||yd.configurable))&&(yd={enumerable:!0,get:function(){return cd[ud]}}),Object.defineProperty(ld,_d,yd)}:function(ld,cd,ud,_d){_d===void 0&&(_d=ud),ld[_d]=cd[ud]}),Ja=commonjsGlobal&&commonjsGlobal.__exportStar||function(ld,cd){for(var ud in ld)ud!=="default"&&!Object.prototype.hasOwnProperty.call(cd,ud)&&qa(cd,ld,ud)};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.DomHandler=void 0;var ed=requireLib$b(),td=requireNode();Ja(requireNode(),Ra);var rd=/\s+/g,sd={normalizeWhitespace:!1,withStartIndices:!1,withEndIndices:!1,xmlMode:!1},od=function(){function ld(cd,ud,_d){this.dom=[],this.root=new td.Document(this.dom),this.done=!1,this.tagStack=[this.root],this.lastNode=null,this.parser=null,typeof ud=="function"&&(_d=ud,ud=sd),typeof cd=="object"&&(ud=cd,cd=void 0),this.callback=cd??null,this.options=ud??sd,this.elementCB=_d??null}return ld.prototype.onparserinit=function(cd){this.parser=cd},ld.prototype.onreset=function(){this.dom=[],this.root=new td.Document(this.dom),this.done=!1,this.tagStack=[this.root],this.lastNode=null,this.parser=null},ld.prototype.onend=function(){this.done||(this.done=!0,this.parser=null,this.handleCallback(null))},ld.prototype.onerror=function(cd){this.handleCallback(cd)},ld.prototype.onclosetag=function(){this.lastNode=null;var cd=this.tagStack.pop();this.options.withEndIndices&&(cd.endIndex=this.parser.endIndex),this.elementCB&&this.elementCB(cd)},ld.prototype.onopentag=function(cd,ud){var _d=this.options.xmlMode?ed.ElementType.Tag:void 0,yd=new td.Element(cd,ud,void 0,_d);this.addNode(yd),this.tagStack.push(yd)},ld.prototype.ontext=function(cd){var ud=this.options.normalizeWhitespace,_d=this.lastNode;if(_d&&_d.type===ed.ElementType.Text)ud?_d.data=(_d.data+cd).replace(rd," "):_d.data+=cd,this.options.withEndIndices&&(_d.endIndex=this.parser.endIndex);else{ud&&(cd=cd.replace(rd," "));var yd=new td.Text(cd);this.addNode(yd),this.lastNode=yd}},ld.prototype.oncomment=function(cd){if(this.lastNode&&this.lastNode.type===ed.ElementType.Comment){this.lastNode.data+=cd;return}var ud=new td.Comment(cd);this.addNode(ud),this.lastNode=ud},ld.prototype.oncommentend=function(){this.lastNode=null},ld.prototype.oncdatastart=function(){var cd=new td.Text(""),ud=new td.NodeWithChildren(ed.ElementType.CDATA,[cd]);this.addNode(ud),cd.parent=ud,this.lastNode=cd},ld.prototype.oncdataend=function(){this.lastNode=null},ld.prototype.onprocessinginstruction=function(cd,ud){var _d=new td.ProcessingInstruction(cd,ud);this.addNode(_d)},ld.prototype.handleCallback=function(cd){if(typeof this.callback=="function")this.callback(cd,this.dom);else if(cd)throw cd},ld.prototype.addNode=function(cd){var ud=this.tagStack[this.tagStack.length-1],_d=ud.children[ud.children.length-1];this.options.withStartIndices&&(cd.startIndex=this.parser.startIndex),this.options.withEndIndices&&(cd.endIndex=this.parser.endIndex),ud.children.push(cd),_d&&(cd.prev=_d,_d.next=cd),cd.parent=ud,this.lastNode=null},ld}();Ra.DomHandler=od,Ra.default=od}(lib$a)),lib$a}var lib$8={},lib$7={},decode$1={};const Aacute$3="Á",aacute$3="á",Abreve$1="Ă",abreve$1="ă",ac$3="∾",acd$1="∿",acE$1="∾̳",Acirc$3="Â",acirc$3="â",acute$3="´",Acy$1="А",acy$1="а",AElig$3="Æ",aelig$3="æ",af$2="",Afr$1="𝔄",afr$1="𝔞",Agrave$3="À",agrave$3="à",alefsym$1="ℵ",aleph$1="ℵ",Alpha$1="Α",alpha$1="α",Amacr$1="Ā",amacr$1="ā",amalg$1="⨿",amp$5="&",AMP$3="&",andand$1="⩕",And$1="⩓",and$1="∧",andd$1="⩜",andslope$1="⩘",andv$1="⩚",ang$1="∠",ange$1="⦤",angle$1="∠",angmsdaa$1="⦨",angmsdab$1="⦩",angmsdac$1="⦪",angmsdad$1="⦫",angmsdae$1="⦬",angmsdaf$1="⦭",angmsdag$1="⦮",angmsdah$1="⦯",angmsd$1="∡",angrt$1="∟",angrtvb$1="⊾",angrtvbd$1="⦝",angsph$1="∢",angst$1="Å",angzarr$1="⍼",Aogon$1="Ą",aogon$1="ą",Aopf$1="𝔸",aopf$1="𝕒",apacir$1="⩯",ap$3="≈",apE$1="⩰",ape$1="≊",apid$1="≋",apos$3="'",ApplyFunction$1="",approx$1="≈",approxeq$1="≊",Aring$3="Å",aring$3="å",Ascr$1="𝒜",ascr$1="𝒶",Assign$1="≔",ast$1="*",asymp$1="≈",asympeq$1="≍",Atilde$3="Ã",atilde$3="ã",Auml$3="Ä",auml$3="ä",awconint$1="∳",awint$1="⨑",backcong$1="≌",backepsilon$1="϶",backprime$1="‵",backsim$1="∽",backsimeq$1="⋍",Backslash$1="∖",Barv$1="⫧",barvee$1="⊽",barwed$1="⌅",Barwed$1="⌆",barwedge$1="⌅",bbrk$1="⎵",bbrktbrk$1="⎶",bcong$1="≌",Bcy$1="Б",bcy$1="б",bdquo$1="„",becaus$1="∵",because$1="∵",Because$1="∵",bemptyv$1="⦰",bepsi$1="϶",bernou$1="ℬ",Bernoullis$1="ℬ",Beta$1="Β",beta$1="β",beth$1="ℶ",between$1="≬",Bfr$1="𝔅",bfr$1="𝔟",bigcap$1="⋂",bigcirc$1="◯",bigcup$1="⋃",bigodot$1="⨀",bigoplus$1="⨁",bigotimes$1="⨂",bigsqcup$1="⨆",bigstar$1="★",bigtriangledown$1="▽",bigtriangleup$1="△",biguplus$1="⨄",bigvee$1="⋁",bigwedge$1="⋀",bkarow$1="⤍",blacklozenge$1="⧫",blacksquare$1="▪",blacktriangle$1="▴",blacktriangledown$1="▾",blacktriangleleft$1="◂",blacktriangleright$1="▸",blank$1="␣",blk12$1="▒",blk14$1="░",blk34$1="▓",block$1="█",bne$1="=⃥",bnequiv$1="≡⃥",bNot$1="⫭",bnot$1="⌐",Bopf$1="𝔹",bopf$1="𝕓",bot$1="⊥",bottom$1="⊥",bowtie$1="⋈",boxbox$1="⧉",boxdl$1="┐",boxdL$1="╕",boxDl$1="╖",boxDL$1="╗",boxdr$1="┌",boxdR$1="╒",boxDr$1="╓",boxDR$1="╔",boxh$1="─",boxH$1="═",boxhd$1="┬",boxHd$1="╤",boxhD$1="╥",boxHD$1="╦",boxhu$1="┴",boxHu$1="╧",boxhU$1="╨",boxHU$1="╩",boxminus$1="⊟",boxplus$1="⊞",boxtimes$1="⊠",boxul$1="┘",boxuL$1="╛",boxUl$1="╜",boxUL$1="╝",boxur$1="└",boxuR$1="╘",boxUr$1="╙",boxUR$1="╚",boxv$1="│",boxV$1="║",boxvh$1="┼",boxvH$1="╪",boxVh$1="╫",boxVH$1="╬",boxvl$1="┤",boxvL$1="╡",boxVl$1="╢",boxVL$1="╣",boxvr$1="├",boxvR$1="╞",boxVr$1="╟",boxVR$1="╠",bprime$1="‵",breve$1="˘",Breve$1="˘",brvbar$3="¦",bscr$1="𝒷",Bscr$1="ℬ",bsemi$1="⁏",bsim$1="∽",bsime$1="⋍",bsolb$1="⧅",bsol$1="\\",bsolhsub$1="⟈",bull$1="•",bullet$1="•",bump$1="≎",bumpE$1="⪮",bumpe$1="≏",Bumpeq$1="≎",bumpeq$1="≏",Cacute$1="Ć",cacute$1="ć",capand$1="⩄",capbrcup$1="⩉",capcap$1="⩋",cap$1="∩",Cap$1="⋒",capcup$1="⩇",capdot$1="⩀",CapitalDifferentialD$1="ⅅ",caps$1="∩︀",caret$1="⁁",caron$1="ˇ",Cayleys$1="ℭ",ccaps$1="⩍",Ccaron$1="Č",ccaron$1="č",Ccedil$3="Ç",ccedil$3="ç",Ccirc$1="Ĉ",ccirc$1="ĉ",Cconint$1="∰",ccups$1="⩌",ccupssm$1="⩐",Cdot$1="Ċ",cdot$1="ċ",cedil$3="¸",Cedilla$1="¸",cemptyv$1="⦲",cent$3="¢",centerdot$1="·",CenterDot$1="·",cfr$1="𝔠",Cfr$1="ℭ",CHcy$1="Ч",chcy$1="ч",check$1="✓",checkmark$1="✓",Chi$1="Χ",chi$1="χ",circ$1="ˆ",circeq$1="≗",circlearrowleft$1="↺",circlearrowright$1="↻",circledast$1="⊛",circledcirc$1="⊚",circleddash$1="⊝",CircleDot$1="⊙",circledR$1="®",circledS$1="Ⓢ",CircleMinus$1="⊖",CirclePlus$1="⊕",CircleTimes$1="⊗",cir$1="○",cirE$1="⧃",cire$1="≗",cirfnint$1="⨐",cirmid$1="⫯",cirscir$1="⧂",ClockwiseContourIntegral$1="∲",CloseCurlyDoubleQuote$1="”",CloseCurlyQuote$1="’",clubs$1="♣",clubsuit$1="♣",colon$1=":",Colon$1="∷",Colone$1="⩴",colone$1="≔",coloneq$1="≔",comma$1=",",commat$1="@",comp$1="∁",compfn$1="∘",complement$1="∁",complexes$1="ℂ",cong$1="≅",congdot$1="⩭",Congruent$1="≡",conint$1="∮",Conint$1="∯",ContourIntegral$1="∮",copf$1="𝕔",Copf$1="ℂ",coprod$1="∐",Coproduct$1="∐",copy$3="©",COPY$3="©",copysr$1="℗",CounterClockwiseContourIntegral$1="∳",crarr$1="↵",cross$1="✗",Cross$1="⨯",Cscr$1="𝒞",cscr$1="𝒸",csub$1="⫏",csube$1="⫑",csup$1="⫐",csupe$1="⫒",ctdot$1="⋯",cudarrl$1="⤸",cudarrr$1="⤵",cuepr$1="⋞",cuesc$1="⋟",cularr$1="↶",cularrp$1="⤽",cupbrcap$1="⩈",cupcap$1="⩆",CupCap$1="≍",cup$1="∪",Cup$1="⋓",cupcup$1="⩊",cupdot$1="⊍",cupor$1="⩅",cups$1="∪︀",curarr$1="↷",curarrm$1="⤼",curlyeqprec$1="⋞",curlyeqsucc$1="⋟",curlyvee$1="⋎",curlywedge$1="⋏",curren$3="¤",curvearrowleft$1="↶",curvearrowright$1="↷",cuvee$1="⋎",cuwed$1="⋏",cwconint$1="∲",cwint$1="∱",cylcty$1="⌭",dagger$1="†",Dagger$1="‡",daleth$1="ℸ",darr$1="↓",Darr$1="↡",dArr$1="⇓",dash$1="‐",Dashv$1="⫤",dashv$1="⊣",dbkarow$1="⤏",dblac$1="˝",Dcaron$1="Ď",dcaron$1="ď",Dcy$1="Д",dcy$1="д",ddagger$1="‡",ddarr$1="⇊",DD$1="ⅅ",dd$1="ⅆ",DDotrahd$1="⤑",ddotseq$1="⩷",deg$3="°",Del$1="∇",Delta$1="Δ",delta$1="δ",demptyv$1="⦱",dfisht$1="⥿",Dfr$1="𝔇",dfr$1="𝔡",dHar$1="⥥",dharl$1="⇃",dharr$1="⇂",DiacriticalAcute$1="´",DiacriticalDot$1="˙",DiacriticalDoubleAcute$1="˝",DiacriticalGrave$1="`",DiacriticalTilde$1="˜",diam$1="⋄",diamond$1="⋄",Diamond$1="⋄",diamondsuit$1="♦",diams$1="♦",die$1="¨",DifferentialD$1="ⅆ",digamma$1="ϝ",disin$1="⋲",div$1="÷",divide$3="÷",divideontimes$1="⋇",divonx$1="⋇",DJcy$1="Ђ",djcy$1="ђ",dlcorn$1="⌞",dlcrop$1="⌍",dollar$1="$",Dopf$1="𝔻",dopf$1="𝕕",Dot$1="¨",dot$1="˙",DotDot$1="⃜",doteq$1="≐",doteqdot$1="≑",DotEqual$1="≐",dotminus$1="∸",dotplus$1="∔",dotsquare$1="⊡",doublebarwedge$1="⌆",DoubleContourIntegral$1="∯",DoubleDot$1="¨",DoubleDownArrow$1="⇓",DoubleLeftArrow$1="⇐",DoubleLeftRightArrow$1="⇔",DoubleLeftTee$1="⫤",DoubleLongLeftArrow$1="⟸",DoubleLongLeftRightArrow$1="⟺",DoubleLongRightArrow$1="⟹",DoubleRightArrow$1="⇒",DoubleRightTee$1="⊨",DoubleUpArrow$1="⇑",DoubleUpDownArrow$1="⇕",DoubleVerticalBar$1="∥",DownArrowBar$1="⤓",downarrow$1="↓",DownArrow$1="↓",Downarrow$1="⇓",DownArrowUpArrow$1="⇵",DownBreve$1="̑",downdownarrows$1="⇊",downharpoonleft$1="⇃",downharpoonright$1="⇂",DownLeftRightVector$1="⥐",DownLeftTeeVector$1="⥞",DownLeftVectorBar$1="⥖",DownLeftVector$1="↽",DownRightTeeVector$1="⥟",DownRightVectorBar$1="⥗",DownRightVector$1="⇁",DownTeeArrow$1="↧",DownTee$1="⊤",drbkarow$1="⤐",drcorn$1="⌟",drcrop$1="⌌",Dscr$1="𝒟",dscr$1="𝒹",DScy$1="Ѕ",dscy$1="ѕ",dsol$1="⧶",Dstrok$1="Đ",dstrok$1="đ",dtdot$1="⋱",dtri$1="▿",dtrif$1="▾",duarr$1="⇵",duhar$1="⥯",dwangle$1="⦦",DZcy$1="Џ",dzcy$1="џ",dzigrarr$1="⟿",Eacute$3="É",eacute$3="é",easter$1="⩮",Ecaron$1="Ě",ecaron$1="ě",Ecirc$3="Ê",ecirc$3="ê",ecir$1="≖",ecolon$1="≕",Ecy$1="Э",ecy$1="э",eDDot$1="⩷",Edot$1="Ė",edot$1="ė",eDot$1="≑",ee$4="ⅇ",efDot$1="≒",Efr$1="𝔈",efr$1="𝔢",eg$1="⪚",Egrave$3="È",egrave$3="è",egs$1="⪖",egsdot$1="⪘",el$4="⪙",Element$2="∈",elinters$1="⏧",ell$1="ℓ",els$1="⪕",elsdot$1="⪗",Emacr$1="Ē",emacr$1="ē",empty$1="∅",emptyset$1="∅",EmptySmallSquare$1="◻",emptyv$1="∅",EmptyVerySmallSquare$1="▫",emsp13$1=" ",emsp14$1=" ",emsp$1=" ",ENG$1="Ŋ",eng$1="ŋ",ensp$1=" ",Eogon$1="Ę",eogon$1="ę",Eopf$1="𝔼",eopf$1="𝕖",epar$1="⋕",eparsl$1="⧣",eplus$1="⩱",epsi$1="ε",Epsilon$1="Ε",epsilon$1="ε",epsiv$1="ϵ",eqcirc$1="≖",eqcolon$1="≕",eqsim$1="≂",eqslantgtr$1="⪖",eqslantless$1="⪕",Equal$1="⩵",equals$1="=",EqualTilde$1="≂",equest$1="≟",Equilibrium$1="⇌",equiv$1="≡",equivDD$1="⩸",eqvparsl$1="⧥",erarr$1="⥱",erDot$1="≓",escr$1="ℯ",Escr$1="ℰ",esdot$1="≐",Esim$1="⩳",esim$1="≂",Eta$1="Η",eta$1="η",ETH$3="Ð",eth$3="ð",Euml$3="Ë",euml$3="ë",euro$1="€",excl$1="!",exist$1="∃",Exists$1="∃",expectation$1="ℰ",exponentiale$1="ⅇ",ExponentialE$1="ⅇ",fallingdotseq$1="≒",Fcy$1="Ф",fcy$1="ф",female$1="♀",ffilig$1="ffi",fflig$1="ff",ffllig$1="ffl",Ffr$1="𝔉",ffr$1="𝔣",filig$1="fi",FilledSmallSquare$1="◼",FilledVerySmallSquare$1="▪",fjlig$1="fj",flat$1="♭",fllig$1="fl",fltns$1="▱",fnof$1="ƒ",Fopf$1="𝔽",fopf$1="𝕗",forall$1="∀",ForAll$1="∀",fork$1="⋔",forkv$1="⫙",Fouriertrf$1="ℱ",fpartint$1="⨍",frac12$3="½",frac13$1="⅓",frac14$3="¼",frac15$1="⅕",frac16$1="⅙",frac18$1="⅛",frac23$1="⅔",frac25$1="⅖",frac34$3="¾",frac35$1="⅗",frac38$1="⅜",frac45$1="⅘",frac56$1="⅚",frac58$1="⅝",frac78$1="⅞",frasl$1="⁄",frown$1="⌢",fscr$1="𝒻",Fscr$1="ℱ",gacute$1="ǵ",Gamma$1="Γ",gamma$1="γ",Gammad$1="Ϝ",gammad$1="ϝ",gap$1="⪆",Gbreve$1="Ğ",gbreve$1="ğ",Gcedil$1="Ģ",Gcirc$1="Ĝ",gcirc$1="ĝ",Gcy$1="Г",gcy$1="г",Gdot$1="Ġ",gdot$1="ġ",ge$6="≥",gE$1="≧",gEl$1="⪌",gel$1="⋛",geq$1="≥",geqq$1="≧",geqslant$1="⩾",gescc$1="⪩",ges$1="⩾",gesdot$1="⪀",gesdoto$1="⪂",gesdotol$1="⪄",gesl$1="⋛︀",gesles$1="⪔",Gfr$1="𝔊",gfr$1="𝔤",gg$1="≫",Gg$1="⋙",ggg$1="⋙",gimel$1="ℷ",GJcy$1="Ѓ",gjcy$1="ѓ",gla$1="⪥",gl$4="≷",glE$1="⪒",glj$1="⪤",gnap$1="⪊",gnapprox$1="⪊",gne$1="⪈",gnE$1="≩",gneq$1="⪈",gneqq$1="≩",gnsim$1="⋧",Gopf$1="𝔾",gopf$1="𝕘",grave$1="`",GreaterEqual$1="≥",GreaterEqualLess$1="⋛",GreaterFullEqual$1="≧",GreaterGreater$1="⪢",GreaterLess$1="≷",GreaterSlantEqual$1="⩾",GreaterTilde$1="≳",Gscr$1="𝒢",gscr$1="ℊ",gsim$1="≳",gsime$1="⪎",gsiml$1="⪐",gtcc$1="⪧",gtcir$1="⩺",gt$8=">",GT$3=">",Gt$5="≫",gtdot$1="⋗",gtlPar$1="⦕",gtquest$1="⩼",gtrapprox$1="⪆",gtrarr$1="⥸",gtrdot$1="⋗",gtreqless$1="⋛",gtreqqless$1="⪌",gtrless$1="≷",gtrsim$1="≳",gvertneqq$1="≩︀",gvnE$1="≩︀",Hacek$1="ˇ",hairsp$1=" ",half$1="½",hamilt$1="ℋ",HARDcy$1="Ъ",hardcy$1="ъ",harrcir$1="⥈",harr$1="↔",hArr$1="⇔",harrw$1="↭",Hat$1="^",hbar$1="ℏ",Hcirc$1="Ĥ",hcirc$1="ĥ",hearts$1="♥",heartsuit$1="♥",hellip$1="…",hercon$1="⊹",hfr$1="𝔥",Hfr$1="ℌ",HilbertSpace$1="ℋ",hksearow$1="⤥",hkswarow$1="⤦",hoarr$1="⇿",homtht$1="∻",hookleftarrow$1="↩",hookrightarrow$1="↪",hopf$1="𝕙",Hopf$1="ℍ",horbar$1="―",HorizontalLine$1="─",hscr$1="𝒽",Hscr$1="ℋ",hslash$1="ℏ",Hstrok$1="Ħ",hstrok$1="ħ",HumpDownHump$1="≎",HumpEqual$1="≏",hybull$1="⁃",hyphen$1="‐",Iacute$3="Í",iacute$3="í",ic$3="",Icirc$3="Î",icirc$3="î",Icy$1="И",icy$1="и",Idot$1="İ",IEcy$1="Е",iecy$1="е",iexcl$3="¡",iff$1="⇔",ifr$1="𝔦",Ifr$1="ℑ",Igrave$3="Ì",igrave$3="ì",ii$6="ⅈ",iiiint$1="⨌",iiint$1="∭",iinfin$1="⧜",iiota$1="℩",IJlig$1="IJ",ijlig$1="ij",Imacr$1="Ī",imacr$1="ī",image$1="ℑ",ImaginaryI$1="ⅈ",imagline$1="ℐ",imagpart$1="ℑ",imath$1="ı",Im$1="ℑ",imof$1="⊷",imped$1="Ƶ",Implies$1="⇒",incare$1="℅",infin$1="∞",infintie$1="⧝",inodot$1="ı",intcal$1="⊺",int$1="∫",Int$1="∬",integers$1="ℤ",Integral$1="∫",intercal$1="⊺",Intersection$1="⋂",intlarhk$1="⨗",intprod$1="⨼",InvisibleComma$1="",InvisibleTimes$1="",IOcy$1="Ё",iocy$1="ё",Iogon$1="Į",iogon$1="į",Iopf$1="𝕀",iopf$1="𝕚",Iota$1="Ι",iota$1="ι",iprod$1="⨼",iquest$3="¿",iscr$1="𝒾",Iscr$1="ℐ",isin$1="∈",isindot$1="⋵",isinE$1="⋹",isins$1="⋴",isinsv$1="⋳",isinv$1="∈",it$4="",Itilde$1="Ĩ",itilde$1="ĩ",Iukcy$1="І",iukcy$1="і",Iuml$3="Ï",iuml$3="ï",Jcirc$1="Ĵ",jcirc$1="ĵ",Jcy$1="Й",jcy$1="й",Jfr$1="𝔍",jfr$1="𝔧",jmath$1="ȷ",Jopf$1="𝕁",jopf$1="𝕛",Jscr$1="𝒥",jscr$1="𝒿",Jsercy$1="Ј",jsercy$1="ј",Jukcy$1="Є",jukcy$1="є",Kappa$1="Κ",kappa$1="κ",kappav$1="ϰ",Kcedil$1="Ķ",kcedil$1="ķ",Kcy$1="К",kcy$1="к",Kfr$1="𝔎",kfr$1="𝔨",kgreen$1="ĸ",KHcy$1="Х",khcy$1="х",KJcy$1="Ќ",kjcy$1="ќ",Kopf$1="𝕂",kopf$1="𝕜",Kscr$1="𝒦",kscr$1="𝓀",lAarr$1="⇚",Lacute$1="Ĺ",lacute$1="ĺ",laemptyv$1="⦴",lagran$1="ℒ",Lambda$1="Λ",lambda$1="λ",lang$1="⟨",Lang$1="⟪",langd$1="⦑",langle$1="⟨",lap$1="⪅",Laplacetrf$1="ℒ",laquo$3="«",larrb$1="⇤",larrbfs$1="⤟",larr$1="←",Larr$1="↞",lArr$1="⇐",larrfs$1="⤝",larrhk$1="↩",larrlp$1="↫",larrpl$1="⤹",larrsim$1="⥳",larrtl$1="↢",latail$1="⤙",lAtail$1="⤛",lat$1="⪫",late$1="⪭",lates$1="⪭︀",lbarr$1="⤌",lBarr$1="⤎",lbbrk$1="❲",lbrace$1="{",lbrack$1="[",lbrke$1="⦋",lbrksld$1="⦏",lbrkslu$1="⦍",Lcaron$1="Ľ",lcaron$1="ľ",Lcedil$1="Ļ",lcedil$1="ļ",lceil$1="⌈",lcub$1="{",Lcy$1="Л",lcy$1="л",ldca$1="⤶",ldquo$1="“",ldquor$1="„",ldrdhar$1="⥧",ldrushar$1="⥋",ldsh$1="↲",le$5="≤",lE$1="≦",LeftAngleBracket$1="⟨",LeftArrowBar$1="⇤",leftarrow$1="←",LeftArrow$1="←",Leftarrow$1="⇐",LeftArrowRightArrow$1="⇆",leftarrowtail$1="↢",LeftCeiling$1="⌈",LeftDoubleBracket$1="⟦",LeftDownTeeVector$1="⥡",LeftDownVectorBar$1="⥙",LeftDownVector$1="⇃",LeftFloor$1="⌊",leftharpoondown$1="↽",leftharpoonup$1="↼",leftleftarrows$1="⇇",leftrightarrow$1="↔",LeftRightArrow$1="↔",Leftrightarrow$1="⇔",leftrightarrows$1="⇆",leftrightharpoons$1="⇋",leftrightsquigarrow$1="↭",LeftRightVector$1="⥎",LeftTeeArrow$1="↤",LeftTee$1="⊣",LeftTeeVector$1="⥚",leftthreetimes$1="⋋",LeftTriangleBar$1="⧏",LeftTriangle$1="⊲",LeftTriangleEqual$1="⊴",LeftUpDownVector$1="⥑",LeftUpTeeVector$1="⥠",LeftUpVectorBar$1="⥘",LeftUpVector$1="↿",LeftVectorBar$1="⥒",LeftVector$1="↼",lEg$1="⪋",leg$1="⋚",leq$1="≤",leqq$1="≦",leqslant$1="⩽",lescc$1="⪨",les$1="⩽",lesdot$1="⩿",lesdoto$1="⪁",lesdotor$1="⪃",lesg$1="⋚︀",lesges$1="⪓",lessapprox$1="⪅",lessdot$1="⋖",lesseqgtr$1="⋚",lesseqqgtr$1="⪋",LessEqualGreater$1="⋚",LessFullEqual$1="≦",LessGreater$1="≶",lessgtr$1="≶",LessLess$1="⪡",lesssim$1="≲",LessSlantEqual$1="⩽",LessTilde$1="≲",lfisht$1="⥼",lfloor$1="⌊",Lfr$1="𝔏",lfr$1="𝔩",lg$1="≶",lgE$1="⪑",lHar$1="⥢",lhard$1="↽",lharu$1="↼",lharul$1="⥪",lhblk$1="▄",LJcy$1="Љ",ljcy$1="љ",llarr$1="⇇",ll$4="≪",Ll$5="⋘",llcorner$1="⌞",Lleftarrow$1="⇚",llhard$1="⥫",lltri$1="◺",Lmidot$1="Ŀ",lmidot$1="ŀ",lmoustache$1="⎰",lmoust$1="⎰",lnap$1="⪉",lnapprox$1="⪉",lne$1="⪇",lnE$1="≨",lneq$1="⪇",lneqq$1="≨",lnsim$1="⋦",loang$1="⟬",loarr$1="⇽",lobrk$1="⟦",longleftarrow$1="⟵",LongLeftArrow$1="⟵",Longleftarrow$1="⟸",longleftrightarrow$1="⟷",LongLeftRightArrow$1="⟷",Longleftrightarrow$1="⟺",longmapsto$1="⟼",longrightarrow$1="⟶",LongRightArrow$1="⟶",Longrightarrow$1="⟹",looparrowleft$1="↫",looparrowright$1="↬",lopar$1="⦅",Lopf$1="𝕃",lopf$1="𝕝",loplus$1="⨭",lotimes$1="⨴",lowast$1="∗",lowbar$1="_",LowerLeftArrow$1="↙",LowerRightArrow$1="↘",loz$1="◊",lozenge$1="◊",lozf$1="⧫",lpar$1="(",lparlt$1="⦓",lrarr$1="⇆",lrcorner$1="⌟",lrhar$1="⇋",lrhard$1="⥭",lrm$1="",lrtri$1="⊿",lsaquo$1="‹",lscr$1="𝓁",Lscr$1="ℒ",lsh$1="↰",Lsh$1="↰",lsim$1="≲",lsime$1="⪍",lsimg$1="⪏",lsqb$1="[",lsquo$1="‘",lsquor$1="‚",Lstrok$1="Ł",lstrok$1="ł",ltcc$1="⪦",ltcir$1="⩹",lt$8="<",LT$3="<",Lt$4="≪",ltdot$1="⋖",lthree$1="⋋",ltimes$1="⋉",ltlarr$1="⥶",ltquest$1="⩻",ltri$1="◃",ltrie$1="⊴",ltrif$1="◂",ltrPar$1="⦖",lurdshar$1="⥊",luruhar$1="⥦",lvertneqq$1="≨︀",lvnE$1="≨︀",macr$3="¯",male$1="♂",malt$1="✠",maltese$1="✠",map$3="↦",mapsto$1="↦",mapstodown$1="↧",mapstoleft$1="↤",mapstoup$1="↥",marker$1="▮",mcomma$1="⨩",Mcy$1="М",mcy$1="м",mdash$1="—",mDDot$1="∺",measuredangle$1="∡",MediumSpace$1=" ",Mellintrf$1="ℳ",Mfr$1="𝔐",mfr$1="𝔪",mho$1="℧",micro$3="µ",midast$1="*",midcir$1="⫰",mid$1="∣",middot$3="·",minusb$1="⊟",minus$1="−",minusd$1="∸",minusdu$1="⨪",MinusPlus$1="∓",mlcp$1="⫛",mldr$1="…",mnplus$1="∓",models$1="⊧",Mopf$1="𝕄",mopf$1="𝕞",mp$2="∓",mscr$1="𝓂",Mscr$1="ℳ",mstpos$1="∾",Mu$4="Μ",mu$4="μ",multimap$1="⊸",mumap$1="⊸",nabla$1="∇",Nacute$1="Ń",nacute$1="ń",nang$1="∠⃒",nap$1="≉",napE$1="⩰̸",napid$1="≋̸",napos$1="ʼn",napprox$1="≉",natural$1="♮",naturals$1="ℕ",natur$1="♮",nbsp$3=" ",nbump$1="≎̸",nbumpe$1="≏̸",ncap$1="⩃",Ncaron$1="Ň",ncaron$1="ň",Ncedil$1="Ņ",ncedil$1="ņ",ncong$1="≇",ncongdot$1="⩭̸",ncup$1="⩂",Ncy$1="Н",ncy$1="н",ndash$1="–",nearhk$1="⤤",nearr$1="↗",neArr$1="⇗",nearrow$1="↗",ne$5="≠",nedot$1="≐̸",NegativeMediumSpace$1="",NegativeThickSpace$1="",NegativeThinSpace$1="",NegativeVeryThinSpace$1="",nequiv$1="≢",nesear$1="⤨",nesim$1="≂̸",NestedGreaterGreater$1="≫",NestedLessLess$1="≪",NewLine$1=`
|
||
`,nexist$1="∄",nexists$1="∄",Nfr$1="𝔑",nfr$1="𝔫",ngE$1="≧̸",nge$1="≱",ngeq$1="≱",ngeqq$1="≧̸",ngeqslant$1="⩾̸",nges$1="⩾̸",nGg$1="⋙̸",ngsim$1="≵",nGt$1="≫⃒",ngt$1="≯",ngtr$1="≯",nGtv$1="≫̸",nharr$1="↮",nhArr$1="⇎",nhpar$1="⫲",ni$6="∋",nis$1="⋼",nisd$1="⋺",niv$1="∋",NJcy$1="Њ",njcy$1="њ",nlarr$1="↚",nlArr$1="⇍",nldr$1="‥",nlE$1="≦̸",nle$1="≰",nleftarrow$1="↚",nLeftarrow$1="⇍",nleftrightarrow$1="↮",nLeftrightarrow$1="⇎",nleq$1="≰",nleqq$1="≦̸",nleqslant$1="⩽̸",nles$1="⩽̸",nless$1="≮",nLl$1="⋘̸",nlsim$1="≴",nLt$1="≪⃒",nlt$1="≮",nltri$1="⋪",nltrie$1="⋬",nLtv$1="≪̸",nmid$1="∤",NoBreak$1="",NonBreakingSpace$1=" ",nopf$1="𝕟",Nopf$1="ℕ",Not$1="⫬",not$3="¬",NotCongruent$1="≢",NotCupCap$1="≭",NotDoubleVerticalBar$1="∦",NotElement$1="∉",NotEqual$1="≠",NotEqualTilde$1="≂̸",NotExists$1="∄",NotGreater$1="≯",NotGreaterEqual$1="≱",NotGreaterFullEqual$1="≧̸",NotGreaterGreater$1="≫̸",NotGreaterLess$1="≹",NotGreaterSlantEqual$1="⩾̸",NotGreaterTilde$1="≵",NotHumpDownHump$1="≎̸",NotHumpEqual$1="≏̸",notin$1="∉",notindot$1="⋵̸",notinE$1="⋹̸",notinva$1="∉",notinvb$1="⋷",notinvc$1="⋶",NotLeftTriangleBar$1="⧏̸",NotLeftTriangle$1="⋪",NotLeftTriangleEqual$1="⋬",NotLess$1="≮",NotLessEqual$1="≰",NotLessGreater$1="≸",NotLessLess$1="≪̸",NotLessSlantEqual$1="⩽̸",NotLessTilde$1="≴",NotNestedGreaterGreater$1="⪢̸",NotNestedLessLess$1="⪡̸",notni$1="∌",notniva$1="∌",notnivb$1="⋾",notnivc$1="⋽",NotPrecedes$1="⊀",NotPrecedesEqual$1="⪯̸",NotPrecedesSlantEqual$1="⋠",NotReverseElement$1="∌",NotRightTriangleBar$1="⧐̸",NotRightTriangle$1="⋫",NotRightTriangleEqual$1="⋭",NotSquareSubset$1="⊏̸",NotSquareSubsetEqual$1="⋢",NotSquareSuperset$1="⊐̸",NotSquareSupersetEqual$1="⋣",NotSubset$1="⊂⃒",NotSubsetEqual$1="⊈",NotSucceeds$1="⊁",NotSucceedsEqual$1="⪰̸",NotSucceedsSlantEqual$1="⋡",NotSucceedsTilde$1="≿̸",NotSuperset$1="⊃⃒",NotSupersetEqual$1="⊉",NotTilde$1="≁",NotTildeEqual$1="≄",NotTildeFullEqual$1="≇",NotTildeTilde$1="≉",NotVerticalBar$1="∤",nparallel$1="∦",npar$1="∦",nparsl$1="⫽⃥",npart$1="∂̸",npolint$1="⨔",npr$1="⊀",nprcue$1="⋠",nprec$1="⊀",npreceq$1="⪯̸",npre$1="⪯̸",nrarrc$1="⤳̸",nrarr$1="↛",nrArr$1="⇏",nrarrw$1="↝̸",nrightarrow$1="↛",nRightarrow$1="⇏",nrtri$1="⋫",nrtrie$1="⋭",nsc$1="⊁",nsccue$1="⋡",nsce$1="⪰̸",Nscr$1="𝒩",nscr$1="𝓃",nshortmid$1="∤",nshortparallel$1="∦",nsim$1="≁",nsime$1="≄",nsimeq$1="≄",nsmid$1="∤",nspar$1="∦",nsqsube$1="⋢",nsqsupe$1="⋣",nsub$1="⊄",nsubE$1="⫅̸",nsube$1="⊈",nsubset$1="⊂⃒",nsubseteq$1="⊈",nsubseteqq$1="⫅̸",nsucc$1="⊁",nsucceq$1="⪰̸",nsup$1="⊅",nsupE$1="⫆̸",nsupe$1="⊉",nsupset$1="⊃⃒",nsupseteq$1="⊉",nsupseteqq$1="⫆̸",ntgl$1="≹",Ntilde$3="Ñ",ntilde$3="ñ",ntlg$1="≸",ntriangleleft$1="⋪",ntrianglelefteq$1="⋬",ntriangleright$1="⋫",ntrianglerighteq$1="⋭",Nu$4="Ν",nu$6="ν",num$1="#",numero$1="№",numsp$1=" ",nvap$1="≍⃒",nvdash$1="⊬",nvDash$1="⊭",nVdash$1="⊮",nVDash$1="⊯",nvge$1="≥⃒",nvgt$1=">⃒",nvHarr$1="⤄",nvinfin$1="⧞",nvlArr$1="⤂",nvle$1="≤⃒",nvlt$1="<⃒",nvltrie$1="⊴⃒",nvrArr$1="⤃",nvrtrie$1="⊵⃒",nvsim$1="∼⃒",nwarhk$1="⤣",nwarr$1="↖",nwArr$1="⇖",nwarrow$1="↖",nwnear$1="⤧",Oacute$3="Ó",oacute$3="ó",oast$1="⊛",Ocirc$3="Ô",ocirc$3="ô",ocir$1="⊚",Ocy$1="О",ocy$1="о",odash$1="⊝",Odblac$1="Ő",odblac$1="ő",odiv$1="⨸",odot$1="⊙",odsold$1="⦼",OElig$1="Œ",oelig$1="œ",ofcir$1="⦿",Ofr$1="𝔒",ofr$1="𝔬",ogon$1="˛",Ograve$3="Ò",ograve$3="ò",ogt$1="⧁",ohbar$1="⦵",ohm$1="Ω",oint$1="∮",olarr$1="↺",olcir$1="⦾",olcross$1="⦻",oline$1="‾",olt$1="⧀",Omacr$1="Ō",omacr$1="ō",Omega$1="Ω",omega$1="ω",Omicron$1="Ο",omicron$1="ο",omid$1="⦶",ominus$1="⊖",Oopf$1="𝕆",oopf$1="𝕠",opar$1="⦷",OpenCurlyDoubleQuote$1="“",OpenCurlyQuote$1="‘",operp$1="⦹",oplus$1="⊕",orarr$1="↻",Or$5="⩔",or$4="∨",ord$1="⩝",order$1="ℴ",orderof$1="ℴ",ordf$3="ª",ordm$3="º",origof$1="⊶",oror$1="⩖",orslope$1="⩗",orv$1="⩛",oS$1="Ⓢ",Oscr$1="𝒪",oscr$1="ℴ",Oslash$3="Ø",oslash$3="ø",osol$1="⊘",Otilde$3="Õ",otilde$3="õ",otimesas$1="⨶",Otimes$1="⨷",otimes$1="⊗",Ouml$3="Ö",ouml$3="ö",ovbar$1="⌽",OverBar$1="‾",OverBrace$1="⏞",OverBracket$1="⎴",OverParenthesis$1="⏜",para$3="¶",parallel$2="∥",par$1="∥",parsim$1="⫳",parsl$1="⫽",part$1="∂",PartialD$1="∂",Pcy$1="П",pcy$1="п",percnt$1="%",period$1=".",permil$1="‰",perp$1="⊥",pertenk$1="‱",Pfr$1="𝔓",pfr$1="𝔭",Phi$1="Φ",phi$1="φ",phiv$1="ϕ",phmmat$1="ℳ",phone$1="☎",Pi$4="Π",pi$6="π",pitchfork$1="⋔",piv$1="ϖ",planck$1="ℏ",planckh$1="ℎ",plankv$1="ℏ",plusacir$1="⨣",plusb$1="⊞",pluscir$1="⨢",plus$1="+",plusdo$1="∔",plusdu$1="⨥",pluse$1="⩲",PlusMinus$1="±",plusmn$3="±",plussim$1="⨦",plustwo$1="⨧",pm$1="±",Poincareplane$1="ℌ",pointint$1="⨕",popf$1="𝕡",Popf$1="ℙ",pound$3="£",prap$1="⪷",Pr$5="⪻",pr$4="≺",prcue$1="≼",precapprox$1="⪷",prec$1="≺",preccurlyeq$1="≼",Precedes$1="≺",PrecedesEqual$1="⪯",PrecedesSlantEqual$1="≼",PrecedesTilde$1="≾",preceq$1="⪯",precnapprox$1="⪹",precneqq$1="⪵",precnsim$1="⋨",pre$1="⪯",prE$1="⪳",precsim$1="≾",prime$1="′",Prime$1="″",primes$1="ℙ",prnap$1="⪹",prnE$1="⪵",prnsim$1="⋨",prod$1="∏",Product$1="∏",profalar$1="⌮",profline$1="⌒",profsurf$1="⌓",prop$1="∝",Proportional$1="∝",Proportion$1="∷",propto$1="∝",prsim$1="≾",prurel$1="⊰",Pscr$1="𝒫",pscr$1="𝓅",Psi$1="Ψ",psi$1="ψ",puncsp$1=" ",Qfr$1="𝔔",qfr$1="𝔮",qint$1="⨌",qopf$1="𝕢",Qopf$1="ℚ",qprime$1="⁗",Qscr$1="𝒬",qscr$1="𝓆",quaternions$1="ℍ",quatint$1="⨖",quest$1="?",questeq$1="≟",quot$5='"',QUOT$3='"',rAarr$1="⇛",race$3="∽̱",Racute$1="Ŕ",racute$1="ŕ",radic$1="√",raemptyv$1="⦳",rang$1="⟩",Rang$1="⟫",rangd$1="⦒",range$3="⦥",rangle$1="⟩",raquo$3="»",rarrap$1="⥵",rarrb$1="⇥",rarrbfs$1="⤠",rarrc$1="⤳",rarr$1="→",Rarr$1="↠",rArr$1="⇒",rarrfs$1="⤞",rarrhk$1="↪",rarrlp$1="↬",rarrpl$1="⥅",rarrsim$1="⥴",Rarrtl$1="⤖",rarrtl$1="↣",rarrw$1="↝",ratail$1="⤚",rAtail$1="⤜",ratio$1="∶",rationals$1="ℚ",rbarr$1="⤍",rBarr$1="⤏",RBarr$1="⤐",rbbrk$1="❳",rbrace$1="}",rbrack$1="]",rbrke$1="⦌",rbrksld$1="⦎",rbrkslu$1="⦐",Rcaron$1="Ř",rcaron$1="ř",Rcedil$1="Ŗ",rcedil$1="ŗ",rceil$1="⌉",rcub$1="}",Rcy$1="Р",rcy$1="р",rdca$1="⤷",rdldhar$1="⥩",rdquo$1="”",rdquor$1="”",rdsh$1="↳",real$1="ℜ",realine$1="ℛ",realpart$1="ℜ",reals$1="ℝ",Re$7="ℜ",rect$1="▭",reg$3="®",REG$3="®",ReverseElement$1="∋",ReverseEquilibrium$1="⇋",ReverseUpEquilibrium$1="⥯",rfisht$1="⥽",rfloor$1="⌋",rfr$1="𝔯",Rfr$1="ℜ",rHar$1="⥤",rhard$1="⇁",rharu$1="⇀",rharul$1="⥬",Rho$1="Ρ",rho$1="ρ",rhov$1="ϱ",RightAngleBracket$1="⟩",RightArrowBar$1="⇥",rightarrow$1="→",RightArrow$1="→",Rightarrow$1="⇒",RightArrowLeftArrow$1="⇄",rightarrowtail$1="↣",RightCeiling$1="⌉",RightDoubleBracket$1="⟧",RightDownTeeVector$1="⥝",RightDownVectorBar$1="⥕",RightDownVector$1="⇂",RightFloor$1="⌋",rightharpoondown$1="⇁",rightharpoonup$1="⇀",rightleftarrows$1="⇄",rightleftharpoons$1="⇌",rightrightarrows$1="⇉",rightsquigarrow$1="↝",RightTeeArrow$1="↦",RightTee$1="⊢",RightTeeVector$1="⥛",rightthreetimes$1="⋌",RightTriangleBar$1="⧐",RightTriangle$1="⊳",RightTriangleEqual$1="⊵",RightUpDownVector$1="⥏",RightUpTeeVector$1="⥜",RightUpVectorBar$1="⥔",RightUpVector$1="↾",RightVectorBar$1="⥓",RightVector$1="⇀",ring$1="˚",risingdotseq$1="≓",rlarr$1="⇄",rlhar$1="⇌",rlm$1="",rmoustache$1="⎱",rmoust$1="⎱",rnmid$1="⫮",roang$1="⟭",roarr$1="⇾",robrk$1="⟧",ropar$1="⦆",ropf$1="𝕣",Ropf$1="ℝ",roplus$1="⨮",rotimes$1="⨵",RoundImplies$1="⥰",rpar$1=")",rpargt$1="⦔",rppolint$1="⨒",rrarr$1="⇉",Rrightarrow$1="⇛",rsaquo$1="›",rscr$1="𝓇",Rscr$1="ℛ",rsh$1="↱",Rsh$1="↱",rsqb$1="]",rsquo$1="’",rsquor$1="’",rthree$1="⋌",rtimes$1="⋊",rtri$1="▹",rtrie$1="⊵",rtrif$1="▸",rtriltri$1="⧎",RuleDelayed$1="⧴",ruluhar$1="⥨",rx$1="℞",Sacute$1="Ś",sacute$1="ś",sbquo$1="‚",scap$1="⪸",Scaron$1="Š",scaron$1="š",Sc$2="⪼",sc$3="≻",sccue$1="≽",sce$1="⪰",scE$1="⪴",Scedil$1="Ş",scedil$1="ş",Scirc$1="Ŝ",scirc$1="ŝ",scnap$1="⪺",scnE$1="⪶",scnsim$1="⋩",scpolint$1="⨓",scsim$1="≿",Scy$1="С",scy$1="с",sdotb$1="⊡",sdot$1="⋅",sdote$1="⩦",searhk$1="⤥",searr$1="↘",seArr$1="⇘",searrow$1="↘",sect$3="§",semi$1=";",seswar$1="⤩",setminus$1="∖",setmn$1="∖",sext$1="✶",Sfr$1="𝔖",sfr$1="𝔰",sfrown$1="⌢",sharp$1="♯",SHCHcy$1="Щ",shchcy$1="щ",SHcy$1="Ш",shcy$1="ш",ShortDownArrow$1="↓",ShortLeftArrow$1="←",shortmid$1="∣",shortparallel$1="∥",ShortRightArrow$1="→",ShortUpArrow$1="↑",shy$3="",Sigma$1="Σ",sigma$1="σ",sigmaf$1="ς",sigmav$1="ς",sim$1="∼",simdot$1="⩪",sime$1="≃",simeq$1="≃",simg$1="⪞",simgE$1="⪠",siml$1="⪝",simlE$1="⪟",simne$1="≆",simplus$1="⨤",simrarr$1="⥲",slarr$1="←",SmallCircle$1="∘",smallsetminus$1="∖",smashp$1="⨳",smeparsl$1="⧤",smid$1="∣",smile$1="⌣",smt$1="⪪",smte$1="⪬",smtes$1="⪬︀",SOFTcy$1="Ь",softcy$1="ь",solbar$1="⌿",solb$1="⧄",sol$1="/",Sopf$1="𝕊",sopf$1="𝕤",spades$1="♠",spadesuit$1="♠",spar$1="∥",sqcap$1="⊓",sqcaps$1="⊓︀",sqcup$1="⊔",sqcups$1="⊔︀",Sqrt$1="√",sqsub$1="⊏",sqsube$1="⊑",sqsubset$1="⊏",sqsubseteq$1="⊑",sqsup$1="⊐",sqsupe$1="⊒",sqsupset$1="⊐",sqsupseteq$1="⊒",square$1="□",Square$1="□",SquareIntersection$1="⊓",SquareSubset$1="⊏",SquareSubsetEqual$1="⊑",SquareSuperset$1="⊐",SquareSupersetEqual$1="⊒",SquareUnion$1="⊔",squarf$1="▪",squ$1="□",squf$1="▪",srarr$1="→",Sscr$1="𝒮",sscr$1="𝓈",ssetmn$1="∖",ssmile$1="⌣",sstarf$1="⋆",Star$1="⋆",star$1="☆",starf$1="★",straightepsilon$1="ϵ",straightphi$1="ϕ",strns$1="¯",sub$1="⊂",Sub$1="⋐",subdot$1="⪽",subE$1="⫅",sube$1="⊆",subedot$1="⫃",submult$1="⫁",subnE$1="⫋",subne$1="⊊",subplus$1="⪿",subrarr$1="⥹",subset$1="⊂",Subset$1="⋐",subseteq$1="⊆",subseteqq$1="⫅",SubsetEqual$1="⊆",subsetneq$1="⊊",subsetneqq$1="⫋",subsim$1="⫇",subsub$1="⫕",subsup$1="⫓",succapprox$1="⪸",succ$1="≻",succcurlyeq$1="≽",Succeeds$1="≻",SucceedsEqual$1="⪰",SucceedsSlantEqual$1="≽",SucceedsTilde$1="≿",succeq$1="⪰",succnapprox$1="⪺",succneqq$1="⪶",succnsim$1="⋩",succsim$1="≿",SuchThat$1="∋",sum$2="∑",Sum$1="∑",sung$1="♪",sup1$3="¹",sup2$3="²",sup3$3="³",sup$1="⊃",Sup$1="⋑",supdot$1="⪾",supdsub$1="⫘",supE$1="⫆",supe$1="⊇",supedot$1="⫄",Superset$1="⊃",SupersetEqual$1="⊇",suphsol$1="⟉",suphsub$1="⫗",suplarr$1="⥻",supmult$1="⫂",supnE$1="⫌",supne$1="⊋",supplus$1="⫀",supset$1="⊃",Supset$1="⋑",supseteq$1="⊇",supseteqq$1="⫆",supsetneq$1="⊋",supsetneqq$1="⫌",supsim$1="⫈",supsub$1="⫔",supsup$1="⫖",swarhk$1="⤦",swarr$1="↙",swArr$1="⇙",swarrow$1="↙",swnwar$1="⤪",szlig$3="ß",Tab$1=" ",target$1="⌖",Tau$1="Τ",tau$1="τ",tbrk$1="⎴",Tcaron$1="Ť",tcaron$1="ť",Tcedil$1="Ţ",tcedil$1="ţ",Tcy$1="Т",tcy$1="т",tdot$1="⃛",telrec$1="⌕",Tfr$1="𝔗",tfr$1="𝔱",there4$1="∴",therefore$1="∴",Therefore$1="∴",Theta$1="Θ",theta$1="θ",thetasym$1="ϑ",thetav$1="ϑ",thickapprox$1="≈",thicksim$1="∼",ThickSpace$1=" ",ThinSpace$1=" ",thinsp$1=" ",thkap$1="≈",thksim$1="∼",THORN$3="Þ",thorn$3="þ",tilde$1="˜",Tilde$1="∼",TildeEqual$1="≃",TildeFullEqual$1="≅",TildeTilde$1="≈",timesbar$1="⨱",timesb$1="⊠",times$4="×",timesd$1="⨰",tint$1="∭",toea$1="⤨",topbot$1="⌶",topcir$1="⫱",top$1="⊤",Topf$1="𝕋",topf$1="𝕥",topfork$1="⫚",tosa$1="⤩",tprime$1="‴",trade$1="™",TRADE$1="™",triangle$1="▵",triangledown$1="▿",triangleleft$1="◃",trianglelefteq$1="⊴",triangleq$1="≜",triangleright$1="▹",trianglerighteq$1="⊵",tridot$1="◬",trie$1="≜",triminus$1="⨺",TripleDot$1="⃛",triplus$1="⨹",trisb$1="⧍",tritime$1="⨻",trpezium$1="⏢",Tscr$1="𝒯",tscr$1="𝓉",TScy$1="Ц",tscy$1="ц",TSHcy$1="Ћ",tshcy$1="ћ",Tstrok$1="Ŧ",tstrok$1="ŧ",twixt$1="≬",twoheadleftarrow$1="↞",twoheadrightarrow$1="↠",Uacute$3="Ú",uacute$3="ú",uarr$1="↑",Uarr$1="↟",uArr$1="⇑",Uarrocir$1="⥉",Ubrcy$1="Ў",ubrcy$1="ў",Ubreve$1="Ŭ",ubreve$1="ŭ",Ucirc$3="Û",ucirc$3="û",Ucy$1="У",ucy$1="у",udarr$1="⇅",Udblac$1="Ű",udblac$1="ű",udhar$1="⥮",ufisht$1="⥾",Ufr$1="𝔘",ufr$1="𝔲",Ugrave$3="Ù",ugrave$3="ù",uHar$1="⥣",uharl$1="↿",uharr$1="↾",uhblk$1="▀",ulcorn$1="⌜",ulcorner$1="⌜",ulcrop$1="⌏",ultri$1="◸",Umacr$1="Ū",umacr$1="ū",uml$3="¨",UnderBar$1="_",UnderBrace$1="⏟",UnderBracket$1="⎵",UnderParenthesis$1="⏝",Union$1="⋃",UnionPlus$1="⊎",Uogon$1="Ų",uogon$1="ų",Uopf$1="𝕌",uopf$1="𝕦",UpArrowBar$1="⤒",uparrow$1="↑",UpArrow$1="↑",Uparrow$1="⇑",UpArrowDownArrow$1="⇅",updownarrow$1="↕",UpDownArrow$1="↕",Updownarrow$1="⇕",UpEquilibrium$1="⥮",upharpoonleft$1="↿",upharpoonright$1="↾",uplus$1="⊎",UpperLeftArrow$1="↖",UpperRightArrow$1="↗",upsi$1="υ",Upsi$1="ϒ",upsih$1="ϒ",Upsilon$1="Υ",upsilon$1="υ",UpTeeArrow$1="↥",UpTee$1="⊥",upuparrows$1="⇈",urcorn$1="⌝",urcorner$1="⌝",urcrop$1="⌎",Uring$1="Ů",uring$1="ů",urtri$1="◹",Uscr$1="𝒰",uscr$1="𝓊",utdot$1="⋰",Utilde$1="Ũ",utilde$1="ũ",utri$1="▵",utrif$1="▴",uuarr$1="⇈",Uuml$3="Ü",uuml$3="ü",uwangle$1="⦧",vangrt$1="⦜",varepsilon$1="ϵ",varkappa$1="ϰ",varnothing$1="∅",varphi$1="ϕ",varpi$1="ϖ",varpropto$1="∝",varr$1="↕",vArr$1="⇕",varrho$1="ϱ",varsigma$1="ς",varsubsetneq$1="⊊︀",varsubsetneqq$1="⫋︀",varsupsetneq$1="⊋︀",varsupsetneqq$1="⫌︀",vartheta$1="ϑ",vartriangleleft$1="⊲",vartriangleright$1="⊳",vBar$1="⫨",Vbar$1="⫫",vBarv$1="⫩",Vcy$1="В",vcy$1="в",vdash$1="⊢",vDash$1="⊨",Vdash$1="⊩",VDash$1="⊫",Vdashl$1="⫦",veebar$1="⊻",vee$1="∨",Vee$1="⋁",veeeq$1="≚",vellip$1="⋮",verbar$1="|",Verbar$1="‖",vert$1="|",Vert$1="‖",VerticalBar$1="∣",VerticalLine$1="|",VerticalSeparator$1="❘",VerticalTilde$1="≀",VeryThinSpace$1=" ",Vfr$1="𝔙",vfr$1="𝔳",vltri$1="⊲",vnsub$1="⊂⃒",vnsup$1="⊃⃒",Vopf$1="𝕍",vopf$1="𝕧",vprop$1="∝",vrtri$1="⊳",Vscr$1="𝒱",vscr$1="𝓋",vsubnE$1="⫋︀",vsubne$1="⊊︀",vsupnE$1="⫌︀",vsupne$1="⊋︀",Vvdash$1="⊪",vzigzag$1="⦚",Wcirc$1="Ŵ",wcirc$1="ŵ",wedbar$1="⩟",wedge$1="∧",Wedge$1="⋀",wedgeq$1="≙",weierp$1="℘",Wfr$1="𝔚",wfr$1="𝔴",Wopf$1="𝕎",wopf$1="𝕨",wp$2="℘",wr$5="≀",wreath$1="≀",Wscr$1="𝒲",wscr$1="𝓌",xcap$1="⋂",xcirc$1="◯",xcup$1="⋃",xdtri$1="▽",Xfr$1="𝔛",xfr$1="𝔵",xharr$1="⟷",xhArr$1="⟺",Xi$3="Ξ",xi$4="ξ",xlarr$1="⟵",xlArr$1="⟸",xmap$1="⟼",xnis$1="⋻",xodot$1="⨀",Xopf$1="𝕏",xopf$1="𝕩",xoplus$1="⨁",xotime$1="⨂",xrarr$1="⟶",xrArr$1="⟹",Xscr$1="𝒳",xscr$1="𝓍",xsqcup$1="⨆",xuplus$1="⨄",xutri$1="△",xvee$1="⋁",xwedge$1="⋀",Yacute$3="Ý",yacute$3="ý",YAcy$1="Я",yacy$1="я",Ycirc$1="Ŷ",ycirc$1="ŷ",Ycy$1="Ы",ycy$1="ы",yen$3="¥",Yfr$1="𝔜",yfr$1="𝔶",YIcy$1="Ї",yicy$1="ї",Yopf$1="𝕐",yopf$1="𝕪",Yscr$1="𝒴",yscr$1="𝓎",YUcy$1="Ю",yucy$1="ю",yuml$3="ÿ",Yuml$1="Ÿ",Zacute$1="Ź",zacute$1="ź",Zcaron$1="Ž",zcaron$1="ž",Zcy$1="З",zcy$1="з",Zdot$1="Ż",zdot$1="ż",zeetrf$1="ℨ",ZeroWidthSpace$1="",Zeta$1="Ζ",zeta$1="ζ",zfr$1="𝔷",Zfr$1="ℨ",ZHcy$1="Ж",zhcy$1="ж",zigrarr$1="⇝",zopf$1="𝕫",Zopf$1="ℤ",Zscr$1="𝒵",zscr$1="𝓏",zwj$1="",zwnj$1="",require$$1$2={Aacute:Aacute$3,aacute:aacute$3,Abreve:Abreve$1,abreve:abreve$1,ac:ac$3,acd:acd$1,acE:acE$1,Acirc:Acirc$3,acirc:acirc$3,acute:acute$3,Acy:Acy$1,acy:acy$1,AElig:AElig$3,aelig:aelig$3,af:af$2,Afr:Afr$1,afr:afr$1,Agrave:Agrave$3,agrave:agrave$3,alefsym:alefsym$1,aleph:aleph$1,Alpha:Alpha$1,alpha:alpha$1,Amacr:Amacr$1,amacr:amacr$1,amalg:amalg$1,amp:amp$5,AMP:AMP$3,andand:andand$1,And:And$1,and:and$1,andd:andd$1,andslope:andslope$1,andv:andv$1,ang:ang$1,ange:ange$1,angle:angle$1,angmsdaa:angmsdaa$1,angmsdab:angmsdab$1,angmsdac:angmsdac$1,angmsdad:angmsdad$1,angmsdae:angmsdae$1,angmsdaf:angmsdaf$1,angmsdag:angmsdag$1,angmsdah:angmsdah$1,angmsd:angmsd$1,angrt:angrt$1,angrtvb:angrtvb$1,angrtvbd:angrtvbd$1,angsph:angsph$1,angst:angst$1,angzarr:angzarr$1,Aogon:Aogon$1,aogon:aogon$1,Aopf:Aopf$1,aopf:aopf$1,apacir:apacir$1,ap:ap$3,apE:apE$1,ape:ape$1,apid:apid$1,apos:apos$3,ApplyFunction:ApplyFunction$1,approx:approx$1,approxeq:approxeq$1,Aring:Aring$3,aring:aring$3,Ascr:Ascr$1,ascr:ascr$1,Assign:Assign$1,ast:ast$1,asymp:asymp$1,asympeq:asympeq$1,Atilde:Atilde$3,atilde:atilde$3,Auml:Auml$3,auml:auml$3,awconint:awconint$1,awint:awint$1,backcong:backcong$1,backepsilon:backepsilon$1,backprime:backprime$1,backsim:backsim$1,backsimeq:backsimeq$1,Backslash:Backslash$1,Barv:Barv$1,barvee:barvee$1,barwed:barwed$1,Barwed:Barwed$1,barwedge:barwedge$1,bbrk:bbrk$1,bbrktbrk:bbrktbrk$1,bcong:bcong$1,Bcy:Bcy$1,bcy:bcy$1,bdquo:bdquo$1,becaus:becaus$1,because:because$1,Because:Because$1,bemptyv:bemptyv$1,bepsi:bepsi$1,bernou:bernou$1,Bernoullis:Bernoullis$1,Beta:Beta$1,beta:beta$1,beth:beth$1,between:between$1,Bfr:Bfr$1,bfr:bfr$1,bigcap:bigcap$1,bigcirc:bigcirc$1,bigcup:bigcup$1,bigodot:bigodot$1,bigoplus:bigoplus$1,bigotimes:bigotimes$1,bigsqcup:bigsqcup$1,bigstar:bigstar$1,bigtriangledown:bigtriangledown$1,bigtriangleup:bigtriangleup$1,biguplus:biguplus$1,bigvee:bigvee$1,bigwedge:bigwedge$1,bkarow:bkarow$1,blacklozenge:blacklozenge$1,blacksquare:blacksquare$1,blacktriangle:blacktriangle$1,blacktriangledown:blacktriangledown$1,blacktriangleleft:blacktriangleleft$1,blacktriangleright:blacktriangleright$1,blank:blank$1,blk12:blk12$1,blk14:blk14$1,blk34:blk34$1,block:block$1,bne:bne$1,bnequiv:bnequiv$1,bNot:bNot$1,bnot:bnot$1,Bopf:Bopf$1,bopf:bopf$1,bot:bot$1,bottom:bottom$1,bowtie:bowtie$1,boxbox:boxbox$1,boxdl:boxdl$1,boxdL:boxdL$1,boxDl:boxDl$1,boxDL:boxDL$1,boxdr:boxdr$1,boxdR:boxdR$1,boxDr:boxDr$1,boxDR:boxDR$1,boxh:boxh$1,boxH:boxH$1,boxhd:boxhd$1,boxHd:boxHd$1,boxhD:boxhD$1,boxHD:boxHD$1,boxhu:boxhu$1,boxHu:boxHu$1,boxhU:boxhU$1,boxHU:boxHU$1,boxminus:boxminus$1,boxplus:boxplus$1,boxtimes:boxtimes$1,boxul:boxul$1,boxuL:boxuL$1,boxUl:boxUl$1,boxUL:boxUL$1,boxur:boxur$1,boxuR:boxuR$1,boxUr:boxUr$1,boxUR:boxUR$1,boxv:boxv$1,boxV:boxV$1,boxvh:boxvh$1,boxvH:boxvH$1,boxVh:boxVh$1,boxVH:boxVH$1,boxvl:boxvl$1,boxvL:boxvL$1,boxVl:boxVl$1,boxVL:boxVL$1,boxvr:boxvr$1,boxvR:boxvR$1,boxVr:boxVr$1,boxVR:boxVR$1,bprime:bprime$1,breve:breve$1,Breve:Breve$1,brvbar:brvbar$3,bscr:bscr$1,Bscr:Bscr$1,bsemi:bsemi$1,bsim:bsim$1,bsime:bsime$1,bsolb:bsolb$1,bsol:bsol$1,bsolhsub:bsolhsub$1,bull:bull$1,bullet:bullet$1,bump:bump$1,bumpE:bumpE$1,bumpe:bumpe$1,Bumpeq:Bumpeq$1,bumpeq:bumpeq$1,Cacute:Cacute$1,cacute:cacute$1,capand:capand$1,capbrcup:capbrcup$1,capcap:capcap$1,cap:cap$1,Cap:Cap$1,capcup:capcup$1,capdot:capdot$1,CapitalDifferentialD:CapitalDifferentialD$1,caps:caps$1,caret:caret$1,caron:caron$1,Cayleys:Cayleys$1,ccaps:ccaps$1,Ccaron:Ccaron$1,ccaron:ccaron$1,Ccedil:Ccedil$3,ccedil:ccedil$3,Ccirc:Ccirc$1,ccirc:ccirc$1,Cconint:Cconint$1,ccups:ccups$1,ccupssm:ccupssm$1,Cdot:Cdot$1,cdot:cdot$1,cedil:cedil$3,Cedilla:Cedilla$1,cemptyv:cemptyv$1,cent:cent$3,centerdot:centerdot$1,CenterDot:CenterDot$1,cfr:cfr$1,Cfr:Cfr$1,CHcy:CHcy$1,chcy:chcy$1,check:check$1,checkmark:checkmark$1,Chi:Chi$1,chi:chi$1,circ:circ$1,circeq:circeq$1,circlearrowleft:circlearrowleft$1,circlearrowright:circlearrowright$1,circledast:circledast$1,circledcirc:circledcirc$1,circleddash:circleddash$1,CircleDot:CircleDot$1,circledR:circledR$1,circledS:circledS$1,CircleMinus:CircleMinus$1,CirclePlus:CirclePlus$1,CircleTimes:CircleTimes$1,cir:cir$1,cirE:cirE$1,cire:cire$1,cirfnint:cirfnint$1,cirmid:cirmid$1,cirscir:cirscir$1,ClockwiseContourIntegral:ClockwiseContourIntegral$1,CloseCurlyDoubleQuote:CloseCurlyDoubleQuote$1,CloseCurlyQuote:CloseCurlyQuote$1,clubs:clubs$1,clubsuit:clubsuit$1,colon:colon$1,Colon:Colon$1,Colone:Colone$1,colone:colone$1,coloneq:coloneq$1,comma:comma$1,commat:commat$1,comp:comp$1,compfn:compfn$1,complement:complement$1,complexes:complexes$1,cong:cong$1,congdot:congdot$1,Congruent:Congruent$1,conint:conint$1,Conint:Conint$1,ContourIntegral:ContourIntegral$1,copf:copf$1,Copf:Copf$1,coprod:coprod$1,Coproduct:Coproduct$1,copy:copy$3,COPY:COPY$3,copysr:copysr$1,CounterClockwiseContourIntegral:CounterClockwiseContourIntegral$1,crarr:crarr$1,cross:cross$1,Cross:Cross$1,Cscr:Cscr$1,cscr:cscr$1,csub:csub$1,csube:csube$1,csup:csup$1,csupe:csupe$1,ctdot:ctdot$1,cudarrl:cudarrl$1,cudarrr:cudarrr$1,cuepr:cuepr$1,cuesc:cuesc$1,cularr:cularr$1,cularrp:cularrp$1,cupbrcap:cupbrcap$1,cupcap:cupcap$1,CupCap:CupCap$1,cup:cup$1,Cup:Cup$1,cupcup:cupcup$1,cupdot:cupdot$1,cupor:cupor$1,cups:cups$1,curarr:curarr$1,curarrm:curarrm$1,curlyeqprec:curlyeqprec$1,curlyeqsucc:curlyeqsucc$1,curlyvee:curlyvee$1,curlywedge:curlywedge$1,curren:curren$3,curvearrowleft:curvearrowleft$1,curvearrowright:curvearrowright$1,cuvee:cuvee$1,cuwed:cuwed$1,cwconint:cwconint$1,cwint:cwint$1,cylcty:cylcty$1,dagger:dagger$1,Dagger:Dagger$1,daleth:daleth$1,darr:darr$1,Darr:Darr$1,dArr:dArr$1,dash:dash$1,Dashv:Dashv$1,dashv:dashv$1,dbkarow:dbkarow$1,dblac:dblac$1,Dcaron:Dcaron$1,dcaron:dcaron$1,Dcy:Dcy$1,dcy:dcy$1,ddagger:ddagger$1,ddarr:ddarr$1,DD:DD$1,dd:dd$1,DDotrahd:DDotrahd$1,ddotseq:ddotseq$1,deg:deg$3,Del:Del$1,Delta:Delta$1,delta:delta$1,demptyv:demptyv$1,dfisht:dfisht$1,Dfr:Dfr$1,dfr:dfr$1,dHar:dHar$1,dharl:dharl$1,dharr:dharr$1,DiacriticalAcute:DiacriticalAcute$1,DiacriticalDot:DiacriticalDot$1,DiacriticalDoubleAcute:DiacriticalDoubleAcute$1,DiacriticalGrave:DiacriticalGrave$1,DiacriticalTilde:DiacriticalTilde$1,diam:diam$1,diamond:diamond$1,Diamond:Diamond$1,diamondsuit:diamondsuit$1,diams:diams$1,die:die$1,DifferentialD:DifferentialD$1,digamma:digamma$1,disin:disin$1,div:div$1,divide:divide$3,divideontimes:divideontimes$1,divonx:divonx$1,DJcy:DJcy$1,djcy:djcy$1,dlcorn:dlcorn$1,dlcrop:dlcrop$1,dollar:dollar$1,Dopf:Dopf$1,dopf:dopf$1,Dot:Dot$1,dot:dot$1,DotDot:DotDot$1,doteq:doteq$1,doteqdot:doteqdot$1,DotEqual:DotEqual$1,dotminus:dotminus$1,dotplus:dotplus$1,dotsquare:dotsquare$1,doublebarwedge:doublebarwedge$1,DoubleContourIntegral:DoubleContourIntegral$1,DoubleDot:DoubleDot$1,DoubleDownArrow:DoubleDownArrow$1,DoubleLeftArrow:DoubleLeftArrow$1,DoubleLeftRightArrow:DoubleLeftRightArrow$1,DoubleLeftTee:DoubleLeftTee$1,DoubleLongLeftArrow:DoubleLongLeftArrow$1,DoubleLongLeftRightArrow:DoubleLongLeftRightArrow$1,DoubleLongRightArrow:DoubleLongRightArrow$1,DoubleRightArrow:DoubleRightArrow$1,DoubleRightTee:DoubleRightTee$1,DoubleUpArrow:DoubleUpArrow$1,DoubleUpDownArrow:DoubleUpDownArrow$1,DoubleVerticalBar:DoubleVerticalBar$1,DownArrowBar:DownArrowBar$1,downarrow:downarrow$1,DownArrow:DownArrow$1,Downarrow:Downarrow$1,DownArrowUpArrow:DownArrowUpArrow$1,DownBreve:DownBreve$1,downdownarrows:downdownarrows$1,downharpoonleft:downharpoonleft$1,downharpoonright:downharpoonright$1,DownLeftRightVector:DownLeftRightVector$1,DownLeftTeeVector:DownLeftTeeVector$1,DownLeftVectorBar:DownLeftVectorBar$1,DownLeftVector:DownLeftVector$1,DownRightTeeVector:DownRightTeeVector$1,DownRightVectorBar:DownRightVectorBar$1,DownRightVector:DownRightVector$1,DownTeeArrow:DownTeeArrow$1,DownTee:DownTee$1,drbkarow:drbkarow$1,drcorn:drcorn$1,drcrop:drcrop$1,Dscr:Dscr$1,dscr:dscr$1,DScy:DScy$1,dscy:dscy$1,dsol:dsol$1,Dstrok:Dstrok$1,dstrok:dstrok$1,dtdot:dtdot$1,dtri:dtri$1,dtrif:dtrif$1,duarr:duarr$1,duhar:duhar$1,dwangle:dwangle$1,DZcy:DZcy$1,dzcy:dzcy$1,dzigrarr:dzigrarr$1,Eacute:Eacute$3,eacute:eacute$3,easter:easter$1,Ecaron:Ecaron$1,ecaron:ecaron$1,Ecirc:Ecirc$3,ecirc:ecirc$3,ecir:ecir$1,ecolon:ecolon$1,Ecy:Ecy$1,ecy:ecy$1,eDDot:eDDot$1,Edot:Edot$1,edot:edot$1,eDot:eDot$1,ee:ee$4,efDot:efDot$1,Efr:Efr$1,efr:efr$1,eg:eg$1,Egrave:Egrave$3,egrave:egrave$3,egs:egs$1,egsdot:egsdot$1,el:el$4,Element:Element$2,elinters:elinters$1,ell:ell$1,els:els$1,elsdot:elsdot$1,Emacr:Emacr$1,emacr:emacr$1,empty:empty$1,emptyset:emptyset$1,EmptySmallSquare:EmptySmallSquare$1,emptyv:emptyv$1,EmptyVerySmallSquare:EmptyVerySmallSquare$1,emsp13:emsp13$1,emsp14:emsp14$1,emsp:emsp$1,ENG:ENG$1,eng:eng$1,ensp:ensp$1,Eogon:Eogon$1,eogon:eogon$1,Eopf:Eopf$1,eopf:eopf$1,epar:epar$1,eparsl:eparsl$1,eplus:eplus$1,epsi:epsi$1,Epsilon:Epsilon$1,epsilon:epsilon$1,epsiv:epsiv$1,eqcirc:eqcirc$1,eqcolon:eqcolon$1,eqsim:eqsim$1,eqslantgtr:eqslantgtr$1,eqslantless:eqslantless$1,Equal:Equal$1,equals:equals$1,EqualTilde:EqualTilde$1,equest:equest$1,Equilibrium:Equilibrium$1,equiv:equiv$1,equivDD:equivDD$1,eqvparsl:eqvparsl$1,erarr:erarr$1,erDot:erDot$1,escr:escr$1,Escr:Escr$1,esdot:esdot$1,Esim:Esim$1,esim:esim$1,Eta:Eta$1,eta:eta$1,ETH:ETH$3,eth:eth$3,Euml:Euml$3,euml:euml$3,euro:euro$1,excl:excl$1,exist:exist$1,Exists:Exists$1,expectation:expectation$1,exponentiale:exponentiale$1,ExponentialE:ExponentialE$1,fallingdotseq:fallingdotseq$1,Fcy:Fcy$1,fcy:fcy$1,female:female$1,ffilig:ffilig$1,fflig:fflig$1,ffllig:ffllig$1,Ffr:Ffr$1,ffr:ffr$1,filig:filig$1,FilledSmallSquare:FilledSmallSquare$1,FilledVerySmallSquare:FilledVerySmallSquare$1,fjlig:fjlig$1,flat:flat$1,fllig:fllig$1,fltns:fltns$1,fnof:fnof$1,Fopf:Fopf$1,fopf:fopf$1,forall:forall$1,ForAll:ForAll$1,fork:fork$1,forkv:forkv$1,Fouriertrf:Fouriertrf$1,fpartint:fpartint$1,frac12:frac12$3,frac13:frac13$1,frac14:frac14$3,frac15:frac15$1,frac16:frac16$1,frac18:frac18$1,frac23:frac23$1,frac25:frac25$1,frac34:frac34$3,frac35:frac35$1,frac38:frac38$1,frac45:frac45$1,frac56:frac56$1,frac58:frac58$1,frac78:frac78$1,frasl:frasl$1,frown:frown$1,fscr:fscr$1,Fscr:Fscr$1,gacute:gacute$1,Gamma:Gamma$1,gamma:gamma$1,Gammad:Gammad$1,gammad:gammad$1,gap:gap$1,Gbreve:Gbreve$1,gbreve:gbreve$1,Gcedil:Gcedil$1,Gcirc:Gcirc$1,gcirc:gcirc$1,Gcy:Gcy$1,gcy:gcy$1,Gdot:Gdot$1,gdot:gdot$1,ge:ge$6,gE:gE$1,gEl:gEl$1,gel:gel$1,geq:geq$1,geqq:geqq$1,geqslant:geqslant$1,gescc:gescc$1,ges:ges$1,gesdot:gesdot$1,gesdoto:gesdoto$1,gesdotol:gesdotol$1,gesl:gesl$1,gesles:gesles$1,Gfr:Gfr$1,gfr:gfr$1,gg:gg$1,Gg:Gg$1,ggg:ggg$1,gimel:gimel$1,GJcy:GJcy$1,gjcy:gjcy$1,gla:gla$1,gl:gl$4,glE:glE$1,glj:glj$1,gnap:gnap$1,gnapprox:gnapprox$1,gne:gne$1,gnE:gnE$1,gneq:gneq$1,gneqq:gneqq$1,gnsim:gnsim$1,Gopf:Gopf$1,gopf:gopf$1,grave:grave$1,GreaterEqual:GreaterEqual$1,GreaterEqualLess:GreaterEqualLess$1,GreaterFullEqual:GreaterFullEqual$1,GreaterGreater:GreaterGreater$1,GreaterLess:GreaterLess$1,GreaterSlantEqual:GreaterSlantEqual$1,GreaterTilde:GreaterTilde$1,Gscr:Gscr$1,gscr:gscr$1,gsim:gsim$1,gsime:gsime$1,gsiml:gsiml$1,gtcc:gtcc$1,gtcir:gtcir$1,gt:gt$8,GT:GT$3,Gt:Gt$5,gtdot:gtdot$1,gtlPar:gtlPar$1,gtquest:gtquest$1,gtrapprox:gtrapprox$1,gtrarr:gtrarr$1,gtrdot:gtrdot$1,gtreqless:gtreqless$1,gtreqqless:gtreqqless$1,gtrless:gtrless$1,gtrsim:gtrsim$1,gvertneqq:gvertneqq$1,gvnE:gvnE$1,Hacek:Hacek$1,hairsp:hairsp$1,half:half$1,hamilt:hamilt$1,HARDcy:HARDcy$1,hardcy:hardcy$1,harrcir:harrcir$1,harr:harr$1,hArr:hArr$1,harrw:harrw$1,Hat:Hat$1,hbar:hbar$1,Hcirc:Hcirc$1,hcirc:hcirc$1,hearts:hearts$1,heartsuit:heartsuit$1,hellip:hellip$1,hercon:hercon$1,hfr:hfr$1,Hfr:Hfr$1,HilbertSpace:HilbertSpace$1,hksearow:hksearow$1,hkswarow:hkswarow$1,hoarr:hoarr$1,homtht:homtht$1,hookleftarrow:hookleftarrow$1,hookrightarrow:hookrightarrow$1,hopf:hopf$1,Hopf:Hopf$1,horbar:horbar$1,HorizontalLine:HorizontalLine$1,hscr:hscr$1,Hscr:Hscr$1,hslash:hslash$1,Hstrok:Hstrok$1,hstrok:hstrok$1,HumpDownHump:HumpDownHump$1,HumpEqual:HumpEqual$1,hybull:hybull$1,hyphen:hyphen$1,Iacute:Iacute$3,iacute:iacute$3,ic:ic$3,Icirc:Icirc$3,icirc:icirc$3,Icy:Icy$1,icy:icy$1,Idot:Idot$1,IEcy:IEcy$1,iecy:iecy$1,iexcl:iexcl$3,iff:iff$1,ifr:ifr$1,Ifr:Ifr$1,Igrave:Igrave$3,igrave:igrave$3,ii:ii$6,iiiint:iiiint$1,iiint:iiint$1,iinfin:iinfin$1,iiota:iiota$1,IJlig:IJlig$1,ijlig:ijlig$1,Imacr:Imacr$1,imacr:imacr$1,image:image$1,ImaginaryI:ImaginaryI$1,imagline:imagline$1,imagpart:imagpart$1,imath:imath$1,Im:Im$1,imof:imof$1,imped:imped$1,Implies:Implies$1,incare:incare$1,in:"∈",infin:infin$1,infintie:infintie$1,inodot:inodot$1,intcal:intcal$1,int:int$1,Int:Int$1,integers:integers$1,Integral:Integral$1,intercal:intercal$1,Intersection:Intersection$1,intlarhk:intlarhk$1,intprod:intprod$1,InvisibleComma:InvisibleComma$1,InvisibleTimes:InvisibleTimes$1,IOcy:IOcy$1,iocy:iocy$1,Iogon:Iogon$1,iogon:iogon$1,Iopf:Iopf$1,iopf:iopf$1,Iota:Iota$1,iota:iota$1,iprod:iprod$1,iquest:iquest$3,iscr:iscr$1,Iscr:Iscr$1,isin:isin$1,isindot:isindot$1,isinE:isinE$1,isins:isins$1,isinsv:isinsv$1,isinv:isinv$1,it:it$4,Itilde:Itilde$1,itilde:itilde$1,Iukcy:Iukcy$1,iukcy:iukcy$1,Iuml:Iuml$3,iuml:iuml$3,Jcirc:Jcirc$1,jcirc:jcirc$1,Jcy:Jcy$1,jcy:jcy$1,Jfr:Jfr$1,jfr:jfr$1,jmath:jmath$1,Jopf:Jopf$1,jopf:jopf$1,Jscr:Jscr$1,jscr:jscr$1,Jsercy:Jsercy$1,jsercy:jsercy$1,Jukcy:Jukcy$1,jukcy:jukcy$1,Kappa:Kappa$1,kappa:kappa$1,kappav:kappav$1,Kcedil:Kcedil$1,kcedil:kcedil$1,Kcy:Kcy$1,kcy:kcy$1,Kfr:Kfr$1,kfr:kfr$1,kgreen:kgreen$1,KHcy:KHcy$1,khcy:khcy$1,KJcy:KJcy$1,kjcy:kjcy$1,Kopf:Kopf$1,kopf:kopf$1,Kscr:Kscr$1,kscr:kscr$1,lAarr:lAarr$1,Lacute:Lacute$1,lacute:lacute$1,laemptyv:laemptyv$1,lagran:lagran$1,Lambda:Lambda$1,lambda:lambda$1,lang:lang$1,Lang:Lang$1,langd:langd$1,langle:langle$1,lap:lap$1,Laplacetrf:Laplacetrf$1,laquo:laquo$3,larrb:larrb$1,larrbfs:larrbfs$1,larr:larr$1,Larr:Larr$1,lArr:lArr$1,larrfs:larrfs$1,larrhk:larrhk$1,larrlp:larrlp$1,larrpl:larrpl$1,larrsim:larrsim$1,larrtl:larrtl$1,latail:latail$1,lAtail:lAtail$1,lat:lat$1,late:late$1,lates:lates$1,lbarr:lbarr$1,lBarr:lBarr$1,lbbrk:lbbrk$1,lbrace:lbrace$1,lbrack:lbrack$1,lbrke:lbrke$1,lbrksld:lbrksld$1,lbrkslu:lbrkslu$1,Lcaron:Lcaron$1,lcaron:lcaron$1,Lcedil:Lcedil$1,lcedil:lcedil$1,lceil:lceil$1,lcub:lcub$1,Lcy:Lcy$1,lcy:lcy$1,ldca:ldca$1,ldquo:ldquo$1,ldquor:ldquor$1,ldrdhar:ldrdhar$1,ldrushar:ldrushar$1,ldsh:ldsh$1,le:le$5,lE:lE$1,LeftAngleBracket:LeftAngleBracket$1,LeftArrowBar:LeftArrowBar$1,leftarrow:leftarrow$1,LeftArrow:LeftArrow$1,Leftarrow:Leftarrow$1,LeftArrowRightArrow:LeftArrowRightArrow$1,leftarrowtail:leftarrowtail$1,LeftCeiling:LeftCeiling$1,LeftDoubleBracket:LeftDoubleBracket$1,LeftDownTeeVector:LeftDownTeeVector$1,LeftDownVectorBar:LeftDownVectorBar$1,LeftDownVector:LeftDownVector$1,LeftFloor:LeftFloor$1,leftharpoondown:leftharpoondown$1,leftharpoonup:leftharpoonup$1,leftleftarrows:leftleftarrows$1,leftrightarrow:leftrightarrow$1,LeftRightArrow:LeftRightArrow$1,Leftrightarrow:Leftrightarrow$1,leftrightarrows:leftrightarrows$1,leftrightharpoons:leftrightharpoons$1,leftrightsquigarrow:leftrightsquigarrow$1,LeftRightVector:LeftRightVector$1,LeftTeeArrow:LeftTeeArrow$1,LeftTee:LeftTee$1,LeftTeeVector:LeftTeeVector$1,leftthreetimes:leftthreetimes$1,LeftTriangleBar:LeftTriangleBar$1,LeftTriangle:LeftTriangle$1,LeftTriangleEqual:LeftTriangleEqual$1,LeftUpDownVector:LeftUpDownVector$1,LeftUpTeeVector:LeftUpTeeVector$1,LeftUpVectorBar:LeftUpVectorBar$1,LeftUpVector:LeftUpVector$1,LeftVectorBar:LeftVectorBar$1,LeftVector:LeftVector$1,lEg:lEg$1,leg:leg$1,leq:leq$1,leqq:leqq$1,leqslant:leqslant$1,lescc:lescc$1,les:les$1,lesdot:lesdot$1,lesdoto:lesdoto$1,lesdotor:lesdotor$1,lesg:lesg$1,lesges:lesges$1,lessapprox:lessapprox$1,lessdot:lessdot$1,lesseqgtr:lesseqgtr$1,lesseqqgtr:lesseqqgtr$1,LessEqualGreater:LessEqualGreater$1,LessFullEqual:LessFullEqual$1,LessGreater:LessGreater$1,lessgtr:lessgtr$1,LessLess:LessLess$1,lesssim:lesssim$1,LessSlantEqual:LessSlantEqual$1,LessTilde:LessTilde$1,lfisht:lfisht$1,lfloor:lfloor$1,Lfr:Lfr$1,lfr:lfr$1,lg:lg$1,lgE:lgE$1,lHar:lHar$1,lhard:lhard$1,lharu:lharu$1,lharul:lharul$1,lhblk:lhblk$1,LJcy:LJcy$1,ljcy:ljcy$1,llarr:llarr$1,ll:ll$4,Ll:Ll$5,llcorner:llcorner$1,Lleftarrow:Lleftarrow$1,llhard:llhard$1,lltri:lltri$1,Lmidot:Lmidot$1,lmidot:lmidot$1,lmoustache:lmoustache$1,lmoust:lmoust$1,lnap:lnap$1,lnapprox:lnapprox$1,lne:lne$1,lnE:lnE$1,lneq:lneq$1,lneqq:lneqq$1,lnsim:lnsim$1,loang:loang$1,loarr:loarr$1,lobrk:lobrk$1,longleftarrow:longleftarrow$1,LongLeftArrow:LongLeftArrow$1,Longleftarrow:Longleftarrow$1,longleftrightarrow:longleftrightarrow$1,LongLeftRightArrow:LongLeftRightArrow$1,Longleftrightarrow:Longleftrightarrow$1,longmapsto:longmapsto$1,longrightarrow:longrightarrow$1,LongRightArrow:LongRightArrow$1,Longrightarrow:Longrightarrow$1,looparrowleft:looparrowleft$1,looparrowright:looparrowright$1,lopar:lopar$1,Lopf:Lopf$1,lopf:lopf$1,loplus:loplus$1,lotimes:lotimes$1,lowast:lowast$1,lowbar:lowbar$1,LowerLeftArrow:LowerLeftArrow$1,LowerRightArrow:LowerRightArrow$1,loz:loz$1,lozenge:lozenge$1,lozf:lozf$1,lpar:lpar$1,lparlt:lparlt$1,lrarr:lrarr$1,lrcorner:lrcorner$1,lrhar:lrhar$1,lrhard:lrhard$1,lrm:lrm$1,lrtri:lrtri$1,lsaquo:lsaquo$1,lscr:lscr$1,Lscr:Lscr$1,lsh:lsh$1,Lsh:Lsh$1,lsim:lsim$1,lsime:lsime$1,lsimg:lsimg$1,lsqb:lsqb$1,lsquo:lsquo$1,lsquor:lsquor$1,Lstrok:Lstrok$1,lstrok:lstrok$1,ltcc:ltcc$1,ltcir:ltcir$1,lt:lt$8,LT:LT$3,Lt:Lt$4,ltdot:ltdot$1,lthree:lthree$1,ltimes:ltimes$1,ltlarr:ltlarr$1,ltquest:ltquest$1,ltri:ltri$1,ltrie:ltrie$1,ltrif:ltrif$1,ltrPar:ltrPar$1,lurdshar:lurdshar$1,luruhar:luruhar$1,lvertneqq:lvertneqq$1,lvnE:lvnE$1,macr:macr$3,male:male$1,malt:malt$1,maltese:maltese$1,Map:"⤅",map:map$3,mapsto:mapsto$1,mapstodown:mapstodown$1,mapstoleft:mapstoleft$1,mapstoup:mapstoup$1,marker:marker$1,mcomma:mcomma$1,Mcy:Mcy$1,mcy:mcy$1,mdash:mdash$1,mDDot:mDDot$1,measuredangle:measuredangle$1,MediumSpace:MediumSpace$1,Mellintrf:Mellintrf$1,Mfr:Mfr$1,mfr:mfr$1,mho:mho$1,micro:micro$3,midast:midast$1,midcir:midcir$1,mid:mid$1,middot:middot$3,minusb:minusb$1,minus:minus$1,minusd:minusd$1,minusdu:minusdu$1,MinusPlus:MinusPlus$1,mlcp:mlcp$1,mldr:mldr$1,mnplus:mnplus$1,models:models$1,Mopf:Mopf$1,mopf:mopf$1,mp:mp$2,mscr:mscr$1,Mscr:Mscr$1,mstpos:mstpos$1,Mu:Mu$4,mu:mu$4,multimap:multimap$1,mumap:mumap$1,nabla:nabla$1,Nacute:Nacute$1,nacute:nacute$1,nang:nang$1,nap:nap$1,napE:napE$1,napid:napid$1,napos:napos$1,napprox:napprox$1,natural:natural$1,naturals:naturals$1,natur:natur$1,nbsp:nbsp$3,nbump:nbump$1,nbumpe:nbumpe$1,ncap:ncap$1,Ncaron:Ncaron$1,ncaron:ncaron$1,Ncedil:Ncedil$1,ncedil:ncedil$1,ncong:ncong$1,ncongdot:ncongdot$1,ncup:ncup$1,Ncy:Ncy$1,ncy:ncy$1,ndash:ndash$1,nearhk:nearhk$1,nearr:nearr$1,neArr:neArr$1,nearrow:nearrow$1,ne:ne$5,nedot:nedot$1,NegativeMediumSpace:NegativeMediumSpace$1,NegativeThickSpace:NegativeThickSpace$1,NegativeThinSpace:NegativeThinSpace$1,NegativeVeryThinSpace:NegativeVeryThinSpace$1,nequiv:nequiv$1,nesear:nesear$1,nesim:nesim$1,NestedGreaterGreater:NestedGreaterGreater$1,NestedLessLess:NestedLessLess$1,NewLine:NewLine$1,nexist:nexist$1,nexists:nexists$1,Nfr:Nfr$1,nfr:nfr$1,ngE:ngE$1,nge:nge$1,ngeq:ngeq$1,ngeqq:ngeqq$1,ngeqslant:ngeqslant$1,nges:nges$1,nGg:nGg$1,ngsim:ngsim$1,nGt:nGt$1,ngt:ngt$1,ngtr:ngtr$1,nGtv:nGtv$1,nharr:nharr$1,nhArr:nhArr$1,nhpar:nhpar$1,ni:ni$6,nis:nis$1,nisd:nisd$1,niv:niv$1,NJcy:NJcy$1,njcy:njcy$1,nlarr:nlarr$1,nlArr:nlArr$1,nldr:nldr$1,nlE:nlE$1,nle:nle$1,nleftarrow:nleftarrow$1,nLeftarrow:nLeftarrow$1,nleftrightarrow:nleftrightarrow$1,nLeftrightarrow:nLeftrightarrow$1,nleq:nleq$1,nleqq:nleqq$1,nleqslant:nleqslant$1,nles:nles$1,nless:nless$1,nLl:nLl$1,nlsim:nlsim$1,nLt:nLt$1,nlt:nlt$1,nltri:nltri$1,nltrie:nltrie$1,nLtv:nLtv$1,nmid:nmid$1,NoBreak:NoBreak$1,NonBreakingSpace:NonBreakingSpace$1,nopf:nopf$1,Nopf:Nopf$1,Not:Not$1,not:not$3,NotCongruent:NotCongruent$1,NotCupCap:NotCupCap$1,NotDoubleVerticalBar:NotDoubleVerticalBar$1,NotElement:NotElement$1,NotEqual:NotEqual$1,NotEqualTilde:NotEqualTilde$1,NotExists:NotExists$1,NotGreater:NotGreater$1,NotGreaterEqual:NotGreaterEqual$1,NotGreaterFullEqual:NotGreaterFullEqual$1,NotGreaterGreater:NotGreaterGreater$1,NotGreaterLess:NotGreaterLess$1,NotGreaterSlantEqual:NotGreaterSlantEqual$1,NotGreaterTilde:NotGreaterTilde$1,NotHumpDownHump:NotHumpDownHump$1,NotHumpEqual:NotHumpEqual$1,notin:notin$1,notindot:notindot$1,notinE:notinE$1,notinva:notinva$1,notinvb:notinvb$1,notinvc:notinvc$1,NotLeftTriangleBar:NotLeftTriangleBar$1,NotLeftTriangle:NotLeftTriangle$1,NotLeftTriangleEqual:NotLeftTriangleEqual$1,NotLess:NotLess$1,NotLessEqual:NotLessEqual$1,NotLessGreater:NotLessGreater$1,NotLessLess:NotLessLess$1,NotLessSlantEqual:NotLessSlantEqual$1,NotLessTilde:NotLessTilde$1,NotNestedGreaterGreater:NotNestedGreaterGreater$1,NotNestedLessLess:NotNestedLessLess$1,notni:notni$1,notniva:notniva$1,notnivb:notnivb$1,notnivc:notnivc$1,NotPrecedes:NotPrecedes$1,NotPrecedesEqual:NotPrecedesEqual$1,NotPrecedesSlantEqual:NotPrecedesSlantEqual$1,NotReverseElement:NotReverseElement$1,NotRightTriangleBar:NotRightTriangleBar$1,NotRightTriangle:NotRightTriangle$1,NotRightTriangleEqual:NotRightTriangleEqual$1,NotSquareSubset:NotSquareSubset$1,NotSquareSubsetEqual:NotSquareSubsetEqual$1,NotSquareSuperset:NotSquareSuperset$1,NotSquareSupersetEqual:NotSquareSupersetEqual$1,NotSubset:NotSubset$1,NotSubsetEqual:NotSubsetEqual$1,NotSucceeds:NotSucceeds$1,NotSucceedsEqual:NotSucceedsEqual$1,NotSucceedsSlantEqual:NotSucceedsSlantEqual$1,NotSucceedsTilde:NotSucceedsTilde$1,NotSuperset:NotSuperset$1,NotSupersetEqual:NotSupersetEqual$1,NotTilde:NotTilde$1,NotTildeEqual:NotTildeEqual$1,NotTildeFullEqual:NotTildeFullEqual$1,NotTildeTilde:NotTildeTilde$1,NotVerticalBar:NotVerticalBar$1,nparallel:nparallel$1,npar:npar$1,nparsl:nparsl$1,npart:npart$1,npolint:npolint$1,npr:npr$1,nprcue:nprcue$1,nprec:nprec$1,npreceq:npreceq$1,npre:npre$1,nrarrc:nrarrc$1,nrarr:nrarr$1,nrArr:nrArr$1,nrarrw:nrarrw$1,nrightarrow:nrightarrow$1,nRightarrow:nRightarrow$1,nrtri:nrtri$1,nrtrie:nrtrie$1,nsc:nsc$1,nsccue:nsccue$1,nsce:nsce$1,Nscr:Nscr$1,nscr:nscr$1,nshortmid:nshortmid$1,nshortparallel:nshortparallel$1,nsim:nsim$1,nsime:nsime$1,nsimeq:nsimeq$1,nsmid:nsmid$1,nspar:nspar$1,nsqsube:nsqsube$1,nsqsupe:nsqsupe$1,nsub:nsub$1,nsubE:nsubE$1,nsube:nsube$1,nsubset:nsubset$1,nsubseteq:nsubseteq$1,nsubseteqq:nsubseteqq$1,nsucc:nsucc$1,nsucceq:nsucceq$1,nsup:nsup$1,nsupE:nsupE$1,nsupe:nsupe$1,nsupset:nsupset$1,nsupseteq:nsupseteq$1,nsupseteqq:nsupseteqq$1,ntgl:ntgl$1,Ntilde:Ntilde$3,ntilde:ntilde$3,ntlg:ntlg$1,ntriangleleft:ntriangleleft$1,ntrianglelefteq:ntrianglelefteq$1,ntriangleright:ntriangleright$1,ntrianglerighteq:ntrianglerighteq$1,Nu:Nu$4,nu:nu$6,num:num$1,numero:numero$1,numsp:numsp$1,nvap:nvap$1,nvdash:nvdash$1,nvDash:nvDash$1,nVdash:nVdash$1,nVDash:nVDash$1,nvge:nvge$1,nvgt:nvgt$1,nvHarr:nvHarr$1,nvinfin:nvinfin$1,nvlArr:nvlArr$1,nvle:nvle$1,nvlt:nvlt$1,nvltrie:nvltrie$1,nvrArr:nvrArr$1,nvrtrie:nvrtrie$1,nvsim:nvsim$1,nwarhk:nwarhk$1,nwarr:nwarr$1,nwArr:nwArr$1,nwarrow:nwarrow$1,nwnear:nwnear$1,Oacute:Oacute$3,oacute:oacute$3,oast:oast$1,Ocirc:Ocirc$3,ocirc:ocirc$3,ocir:ocir$1,Ocy:Ocy$1,ocy:ocy$1,odash:odash$1,Odblac:Odblac$1,odblac:odblac$1,odiv:odiv$1,odot:odot$1,odsold:odsold$1,OElig:OElig$1,oelig:oelig$1,ofcir:ofcir$1,Ofr:Ofr$1,ofr:ofr$1,ogon:ogon$1,Ograve:Ograve$3,ograve:ograve$3,ogt:ogt$1,ohbar:ohbar$1,ohm:ohm$1,oint:oint$1,olarr:olarr$1,olcir:olcir$1,olcross:olcross$1,oline:oline$1,olt:olt$1,Omacr:Omacr$1,omacr:omacr$1,Omega:Omega$1,omega:omega$1,Omicron:Omicron$1,omicron:omicron$1,omid:omid$1,ominus:ominus$1,Oopf:Oopf$1,oopf:oopf$1,opar:opar$1,OpenCurlyDoubleQuote:OpenCurlyDoubleQuote$1,OpenCurlyQuote:OpenCurlyQuote$1,operp:operp$1,oplus:oplus$1,orarr:orarr$1,Or:Or$5,or:or$4,ord:ord$1,order:order$1,orderof:orderof$1,ordf:ordf$3,ordm:ordm$3,origof:origof$1,oror:oror$1,orslope:orslope$1,orv:orv$1,oS:oS$1,Oscr:Oscr$1,oscr:oscr$1,Oslash:Oslash$3,oslash:oslash$3,osol:osol$1,Otilde:Otilde$3,otilde:otilde$3,otimesas:otimesas$1,Otimes:Otimes$1,otimes:otimes$1,Ouml:Ouml$3,ouml:ouml$3,ovbar:ovbar$1,OverBar:OverBar$1,OverBrace:OverBrace$1,OverBracket:OverBracket$1,OverParenthesis:OverParenthesis$1,para:para$3,parallel:parallel$2,par:par$1,parsim:parsim$1,parsl:parsl$1,part:part$1,PartialD:PartialD$1,Pcy:Pcy$1,pcy:pcy$1,percnt:percnt$1,period:period$1,permil:permil$1,perp:perp$1,pertenk:pertenk$1,Pfr:Pfr$1,pfr:pfr$1,Phi:Phi$1,phi:phi$1,phiv:phiv$1,phmmat:phmmat$1,phone:phone$1,Pi:Pi$4,pi:pi$6,pitchfork:pitchfork$1,piv:piv$1,planck:planck$1,planckh:planckh$1,plankv:plankv$1,plusacir:plusacir$1,plusb:plusb$1,pluscir:pluscir$1,plus:plus$1,plusdo:plusdo$1,plusdu:plusdu$1,pluse:pluse$1,PlusMinus:PlusMinus$1,plusmn:plusmn$3,plussim:plussim$1,plustwo:plustwo$1,pm:pm$1,Poincareplane:Poincareplane$1,pointint:pointint$1,popf:popf$1,Popf:Popf$1,pound:pound$3,prap:prap$1,Pr:Pr$5,pr:pr$4,prcue:prcue$1,precapprox:precapprox$1,prec:prec$1,preccurlyeq:preccurlyeq$1,Precedes:Precedes$1,PrecedesEqual:PrecedesEqual$1,PrecedesSlantEqual:PrecedesSlantEqual$1,PrecedesTilde:PrecedesTilde$1,preceq:preceq$1,precnapprox:precnapprox$1,precneqq:precneqq$1,precnsim:precnsim$1,pre:pre$1,prE:prE$1,precsim:precsim$1,prime:prime$1,Prime:Prime$1,primes:primes$1,prnap:prnap$1,prnE:prnE$1,prnsim:prnsim$1,prod:prod$1,Product:Product$1,profalar:profalar$1,profline:profline$1,profsurf:profsurf$1,prop:prop$1,Proportional:Proportional$1,Proportion:Proportion$1,propto:propto$1,prsim:prsim$1,prurel:prurel$1,Pscr:Pscr$1,pscr:pscr$1,Psi:Psi$1,psi:psi$1,puncsp:puncsp$1,Qfr:Qfr$1,qfr:qfr$1,qint:qint$1,qopf:qopf$1,Qopf:Qopf$1,qprime:qprime$1,Qscr:Qscr$1,qscr:qscr$1,quaternions:quaternions$1,quatint:quatint$1,quest:quest$1,questeq:questeq$1,quot:quot$5,QUOT:QUOT$3,rAarr:rAarr$1,race:race$3,Racute:Racute$1,racute:racute$1,radic:radic$1,raemptyv:raemptyv$1,rang:rang$1,Rang:Rang$1,rangd:rangd$1,range:range$3,rangle:rangle$1,raquo:raquo$3,rarrap:rarrap$1,rarrb:rarrb$1,rarrbfs:rarrbfs$1,rarrc:rarrc$1,rarr:rarr$1,Rarr:Rarr$1,rArr:rArr$1,rarrfs:rarrfs$1,rarrhk:rarrhk$1,rarrlp:rarrlp$1,rarrpl:rarrpl$1,rarrsim:rarrsim$1,Rarrtl:Rarrtl$1,rarrtl:rarrtl$1,rarrw:rarrw$1,ratail:ratail$1,rAtail:rAtail$1,ratio:ratio$1,rationals:rationals$1,rbarr:rbarr$1,rBarr:rBarr$1,RBarr:RBarr$1,rbbrk:rbbrk$1,rbrace:rbrace$1,rbrack:rbrack$1,rbrke:rbrke$1,rbrksld:rbrksld$1,rbrkslu:rbrkslu$1,Rcaron:Rcaron$1,rcaron:rcaron$1,Rcedil:Rcedil$1,rcedil:rcedil$1,rceil:rceil$1,rcub:rcub$1,Rcy:Rcy$1,rcy:rcy$1,rdca:rdca$1,rdldhar:rdldhar$1,rdquo:rdquo$1,rdquor:rdquor$1,rdsh:rdsh$1,real:real$1,realine:realine$1,realpart:realpart$1,reals:reals$1,Re:Re$7,rect:rect$1,reg:reg$3,REG:REG$3,ReverseElement:ReverseElement$1,ReverseEquilibrium:ReverseEquilibrium$1,ReverseUpEquilibrium:ReverseUpEquilibrium$1,rfisht:rfisht$1,rfloor:rfloor$1,rfr:rfr$1,Rfr:Rfr$1,rHar:rHar$1,rhard:rhard$1,rharu:rharu$1,rharul:rharul$1,Rho:Rho$1,rho:rho$1,rhov:rhov$1,RightAngleBracket:RightAngleBracket$1,RightArrowBar:RightArrowBar$1,rightarrow:rightarrow$1,RightArrow:RightArrow$1,Rightarrow:Rightarrow$1,RightArrowLeftArrow:RightArrowLeftArrow$1,rightarrowtail:rightarrowtail$1,RightCeiling:RightCeiling$1,RightDoubleBracket:RightDoubleBracket$1,RightDownTeeVector:RightDownTeeVector$1,RightDownVectorBar:RightDownVectorBar$1,RightDownVector:RightDownVector$1,RightFloor:RightFloor$1,rightharpoondown:rightharpoondown$1,rightharpoonup:rightharpoonup$1,rightleftarrows:rightleftarrows$1,rightleftharpoons:rightleftharpoons$1,rightrightarrows:rightrightarrows$1,rightsquigarrow:rightsquigarrow$1,RightTeeArrow:RightTeeArrow$1,RightTee:RightTee$1,RightTeeVector:RightTeeVector$1,rightthreetimes:rightthreetimes$1,RightTriangleBar:RightTriangleBar$1,RightTriangle:RightTriangle$1,RightTriangleEqual:RightTriangleEqual$1,RightUpDownVector:RightUpDownVector$1,RightUpTeeVector:RightUpTeeVector$1,RightUpVectorBar:RightUpVectorBar$1,RightUpVector:RightUpVector$1,RightVectorBar:RightVectorBar$1,RightVector:RightVector$1,ring:ring$1,risingdotseq:risingdotseq$1,rlarr:rlarr$1,rlhar:rlhar$1,rlm:rlm$1,rmoustache:rmoustache$1,rmoust:rmoust$1,rnmid:rnmid$1,roang:roang$1,roarr:roarr$1,robrk:robrk$1,ropar:ropar$1,ropf:ropf$1,Ropf:Ropf$1,roplus:roplus$1,rotimes:rotimes$1,RoundImplies:RoundImplies$1,rpar:rpar$1,rpargt:rpargt$1,rppolint:rppolint$1,rrarr:rrarr$1,Rrightarrow:Rrightarrow$1,rsaquo:rsaquo$1,rscr:rscr$1,Rscr:Rscr$1,rsh:rsh$1,Rsh:Rsh$1,rsqb:rsqb$1,rsquo:rsquo$1,rsquor:rsquor$1,rthree:rthree$1,rtimes:rtimes$1,rtri:rtri$1,rtrie:rtrie$1,rtrif:rtrif$1,rtriltri:rtriltri$1,RuleDelayed:RuleDelayed$1,ruluhar:ruluhar$1,rx:rx$1,Sacute:Sacute$1,sacute:sacute$1,sbquo:sbquo$1,scap:scap$1,Scaron:Scaron$1,scaron:scaron$1,Sc:Sc$2,sc:sc$3,sccue:sccue$1,sce:sce$1,scE:scE$1,Scedil:Scedil$1,scedil:scedil$1,Scirc:Scirc$1,scirc:scirc$1,scnap:scnap$1,scnE:scnE$1,scnsim:scnsim$1,scpolint:scpolint$1,scsim:scsim$1,Scy:Scy$1,scy:scy$1,sdotb:sdotb$1,sdot:sdot$1,sdote:sdote$1,searhk:searhk$1,searr:searr$1,seArr:seArr$1,searrow:searrow$1,sect:sect$3,semi:semi$1,seswar:seswar$1,setminus:setminus$1,setmn:setmn$1,sext:sext$1,Sfr:Sfr$1,sfr:sfr$1,sfrown:sfrown$1,sharp:sharp$1,SHCHcy:SHCHcy$1,shchcy:shchcy$1,SHcy:SHcy$1,shcy:shcy$1,ShortDownArrow:ShortDownArrow$1,ShortLeftArrow:ShortLeftArrow$1,shortmid:shortmid$1,shortparallel:shortparallel$1,ShortRightArrow:ShortRightArrow$1,ShortUpArrow:ShortUpArrow$1,shy:shy$3,Sigma:Sigma$1,sigma:sigma$1,sigmaf:sigmaf$1,sigmav:sigmav$1,sim:sim$1,simdot:simdot$1,sime:sime$1,simeq:simeq$1,simg:simg$1,simgE:simgE$1,siml:siml$1,simlE:simlE$1,simne:simne$1,simplus:simplus$1,simrarr:simrarr$1,slarr:slarr$1,SmallCircle:SmallCircle$1,smallsetminus:smallsetminus$1,smashp:smashp$1,smeparsl:smeparsl$1,smid:smid$1,smile:smile$1,smt:smt$1,smte:smte$1,smtes:smtes$1,SOFTcy:SOFTcy$1,softcy:softcy$1,solbar:solbar$1,solb:solb$1,sol:sol$1,Sopf:Sopf$1,sopf:sopf$1,spades:spades$1,spadesuit:spadesuit$1,spar:spar$1,sqcap:sqcap$1,sqcaps:sqcaps$1,sqcup:sqcup$1,sqcups:sqcups$1,Sqrt:Sqrt$1,sqsub:sqsub$1,sqsube:sqsube$1,sqsubset:sqsubset$1,sqsubseteq:sqsubseteq$1,sqsup:sqsup$1,sqsupe:sqsupe$1,sqsupset:sqsupset$1,sqsupseteq:sqsupseteq$1,square:square$1,Square:Square$1,SquareIntersection:SquareIntersection$1,SquareSubset:SquareSubset$1,SquareSubsetEqual:SquareSubsetEqual$1,SquareSuperset:SquareSuperset$1,SquareSupersetEqual:SquareSupersetEqual$1,SquareUnion:SquareUnion$1,squarf:squarf$1,squ:squ$1,squf:squf$1,srarr:srarr$1,Sscr:Sscr$1,sscr:sscr$1,ssetmn:ssetmn$1,ssmile:ssmile$1,sstarf:sstarf$1,Star:Star$1,star:star$1,starf:starf$1,straightepsilon:straightepsilon$1,straightphi:straightphi$1,strns:strns$1,sub:sub$1,Sub:Sub$1,subdot:subdot$1,subE:subE$1,sube:sube$1,subedot:subedot$1,submult:submult$1,subnE:subnE$1,subne:subne$1,subplus:subplus$1,subrarr:subrarr$1,subset:subset$1,Subset:Subset$1,subseteq:subseteq$1,subseteqq:subseteqq$1,SubsetEqual:SubsetEqual$1,subsetneq:subsetneq$1,subsetneqq:subsetneqq$1,subsim:subsim$1,subsub:subsub$1,subsup:subsup$1,succapprox:succapprox$1,succ:succ$1,succcurlyeq:succcurlyeq$1,Succeeds:Succeeds$1,SucceedsEqual:SucceedsEqual$1,SucceedsSlantEqual:SucceedsSlantEqual$1,SucceedsTilde:SucceedsTilde$1,succeq:succeq$1,succnapprox:succnapprox$1,succneqq:succneqq$1,succnsim:succnsim$1,succsim:succsim$1,SuchThat:SuchThat$1,sum:sum$2,Sum:Sum$1,sung:sung$1,sup1:sup1$3,sup2:sup2$3,sup3:sup3$3,sup:sup$1,Sup:Sup$1,supdot:supdot$1,supdsub:supdsub$1,supE:supE$1,supe:supe$1,supedot:supedot$1,Superset:Superset$1,SupersetEqual:SupersetEqual$1,suphsol:suphsol$1,suphsub:suphsub$1,suplarr:suplarr$1,supmult:supmult$1,supnE:supnE$1,supne:supne$1,supplus:supplus$1,supset:supset$1,Supset:Supset$1,supseteq:supseteq$1,supseteqq:supseteqq$1,supsetneq:supsetneq$1,supsetneqq:supsetneqq$1,supsim:supsim$1,supsub:supsub$1,supsup:supsup$1,swarhk:swarhk$1,swarr:swarr$1,swArr:swArr$1,swarrow:swarrow$1,swnwar:swnwar$1,szlig:szlig$3,Tab:Tab$1,target:target$1,Tau:Tau$1,tau:tau$1,tbrk:tbrk$1,Tcaron:Tcaron$1,tcaron:tcaron$1,Tcedil:Tcedil$1,tcedil:tcedil$1,Tcy:Tcy$1,tcy:tcy$1,tdot:tdot$1,telrec:telrec$1,Tfr:Tfr$1,tfr:tfr$1,there4:there4$1,therefore:therefore$1,Therefore:Therefore$1,Theta:Theta$1,theta:theta$1,thetasym:thetasym$1,thetav:thetav$1,thickapprox:thickapprox$1,thicksim:thicksim$1,ThickSpace:ThickSpace$1,ThinSpace:ThinSpace$1,thinsp:thinsp$1,thkap:thkap$1,thksim:thksim$1,THORN:THORN$3,thorn:thorn$3,tilde:tilde$1,Tilde:Tilde$1,TildeEqual:TildeEqual$1,TildeFullEqual:TildeFullEqual$1,TildeTilde:TildeTilde$1,timesbar:timesbar$1,timesb:timesb$1,times:times$4,timesd:timesd$1,tint:tint$1,toea:toea$1,topbot:topbot$1,topcir:topcir$1,top:top$1,Topf:Topf$1,topf:topf$1,topfork:topfork$1,tosa:tosa$1,tprime:tprime$1,trade:trade$1,TRADE:TRADE$1,triangle:triangle$1,triangledown:triangledown$1,triangleleft:triangleleft$1,trianglelefteq:trianglelefteq$1,triangleq:triangleq$1,triangleright:triangleright$1,trianglerighteq:trianglerighteq$1,tridot:tridot$1,trie:trie$1,triminus:triminus$1,TripleDot:TripleDot$1,triplus:triplus$1,trisb:trisb$1,tritime:tritime$1,trpezium:trpezium$1,Tscr:Tscr$1,tscr:tscr$1,TScy:TScy$1,tscy:tscy$1,TSHcy:TSHcy$1,tshcy:tshcy$1,Tstrok:Tstrok$1,tstrok:tstrok$1,twixt:twixt$1,twoheadleftarrow:twoheadleftarrow$1,twoheadrightarrow:twoheadrightarrow$1,Uacute:Uacute$3,uacute:uacute$3,uarr:uarr$1,Uarr:Uarr$1,uArr:uArr$1,Uarrocir:Uarrocir$1,Ubrcy:Ubrcy$1,ubrcy:ubrcy$1,Ubreve:Ubreve$1,ubreve:ubreve$1,Ucirc:Ucirc$3,ucirc:ucirc$3,Ucy:Ucy$1,ucy:ucy$1,udarr:udarr$1,Udblac:Udblac$1,udblac:udblac$1,udhar:udhar$1,ufisht:ufisht$1,Ufr:Ufr$1,ufr:ufr$1,Ugrave:Ugrave$3,ugrave:ugrave$3,uHar:uHar$1,uharl:uharl$1,uharr:uharr$1,uhblk:uhblk$1,ulcorn:ulcorn$1,ulcorner:ulcorner$1,ulcrop:ulcrop$1,ultri:ultri$1,Umacr:Umacr$1,umacr:umacr$1,uml:uml$3,UnderBar:UnderBar$1,UnderBrace:UnderBrace$1,UnderBracket:UnderBracket$1,UnderParenthesis:UnderParenthesis$1,Union:Union$1,UnionPlus:UnionPlus$1,Uogon:Uogon$1,uogon:uogon$1,Uopf:Uopf$1,uopf:uopf$1,UpArrowBar:UpArrowBar$1,uparrow:uparrow$1,UpArrow:UpArrow$1,Uparrow:Uparrow$1,UpArrowDownArrow:UpArrowDownArrow$1,updownarrow:updownarrow$1,UpDownArrow:UpDownArrow$1,Updownarrow:Updownarrow$1,UpEquilibrium:UpEquilibrium$1,upharpoonleft:upharpoonleft$1,upharpoonright:upharpoonright$1,uplus:uplus$1,UpperLeftArrow:UpperLeftArrow$1,UpperRightArrow:UpperRightArrow$1,upsi:upsi$1,Upsi:Upsi$1,upsih:upsih$1,Upsilon:Upsilon$1,upsilon:upsilon$1,UpTeeArrow:UpTeeArrow$1,UpTee:UpTee$1,upuparrows:upuparrows$1,urcorn:urcorn$1,urcorner:urcorner$1,urcrop:urcrop$1,Uring:Uring$1,uring:uring$1,urtri:urtri$1,Uscr:Uscr$1,uscr:uscr$1,utdot:utdot$1,Utilde:Utilde$1,utilde:utilde$1,utri:utri$1,utrif:utrif$1,uuarr:uuarr$1,Uuml:Uuml$3,uuml:uuml$3,uwangle:uwangle$1,vangrt:vangrt$1,varepsilon:varepsilon$1,varkappa:varkappa$1,varnothing:varnothing$1,varphi:varphi$1,varpi:varpi$1,varpropto:varpropto$1,varr:varr$1,vArr:vArr$1,varrho:varrho$1,varsigma:varsigma$1,varsubsetneq:varsubsetneq$1,varsubsetneqq:varsubsetneqq$1,varsupsetneq:varsupsetneq$1,varsupsetneqq:varsupsetneqq$1,vartheta:vartheta$1,vartriangleleft:vartriangleleft$1,vartriangleright:vartriangleright$1,vBar:vBar$1,Vbar:Vbar$1,vBarv:vBarv$1,Vcy:Vcy$1,vcy:vcy$1,vdash:vdash$1,vDash:vDash$1,Vdash:Vdash$1,VDash:VDash$1,Vdashl:Vdashl$1,veebar:veebar$1,vee:vee$1,Vee:Vee$1,veeeq:veeeq$1,vellip:vellip$1,verbar:verbar$1,Verbar:Verbar$1,vert:vert$1,Vert:Vert$1,VerticalBar:VerticalBar$1,VerticalLine:VerticalLine$1,VerticalSeparator:VerticalSeparator$1,VerticalTilde:VerticalTilde$1,VeryThinSpace:VeryThinSpace$1,Vfr:Vfr$1,vfr:vfr$1,vltri:vltri$1,vnsub:vnsub$1,vnsup:vnsup$1,Vopf:Vopf$1,vopf:vopf$1,vprop:vprop$1,vrtri:vrtri$1,Vscr:Vscr$1,vscr:vscr$1,vsubnE:vsubnE$1,vsubne:vsubne$1,vsupnE:vsupnE$1,vsupne:vsupne$1,Vvdash:Vvdash$1,vzigzag:vzigzag$1,Wcirc:Wcirc$1,wcirc:wcirc$1,wedbar:wedbar$1,wedge:wedge$1,Wedge:Wedge$1,wedgeq:wedgeq$1,weierp:weierp$1,Wfr:Wfr$1,wfr:wfr$1,Wopf:Wopf$1,wopf:wopf$1,wp:wp$2,wr:wr$5,wreath:wreath$1,Wscr:Wscr$1,wscr:wscr$1,xcap:xcap$1,xcirc:xcirc$1,xcup:xcup$1,xdtri:xdtri$1,Xfr:Xfr$1,xfr:xfr$1,xharr:xharr$1,xhArr:xhArr$1,Xi:Xi$3,xi:xi$4,xlarr:xlarr$1,xlArr:xlArr$1,xmap:xmap$1,xnis:xnis$1,xodot:xodot$1,Xopf:Xopf$1,xopf:xopf$1,xoplus:xoplus$1,xotime:xotime$1,xrarr:xrarr$1,xrArr:xrArr$1,Xscr:Xscr$1,xscr:xscr$1,xsqcup:xsqcup$1,xuplus:xuplus$1,xutri:xutri$1,xvee:xvee$1,xwedge:xwedge$1,Yacute:Yacute$3,yacute:yacute$3,YAcy:YAcy$1,yacy:yacy$1,Ycirc:Ycirc$1,ycirc:ycirc$1,Ycy:Ycy$1,ycy:ycy$1,yen:yen$3,Yfr:Yfr$1,yfr:yfr$1,YIcy:YIcy$1,yicy:yicy$1,Yopf:Yopf$1,yopf:yopf$1,Yscr:Yscr$1,yscr:yscr$1,YUcy:YUcy$1,yucy:yucy$1,yuml:yuml$3,Yuml:Yuml$1,Zacute:Zacute$1,zacute:zacute$1,Zcaron:Zcaron$1,zcaron:zcaron$1,Zcy:Zcy$1,zcy:zcy$1,Zdot:Zdot$1,zdot:zdot$1,zeetrf:zeetrf$1,ZeroWidthSpace:ZeroWidthSpace$1,Zeta:Zeta$1,zeta:zeta$1,zfr:zfr$1,Zfr:Zfr$1,ZHcy:ZHcy$1,zhcy:zhcy$1,zigrarr:zigrarr$1,zopf:zopf$1,Zopf:Zopf$1,Zscr:Zscr$1,zscr:zscr$1,zwj:zwj$1,zwnj:zwnj$1},Aacute$2="Á",aacute$2="á",Acirc$2="Â",acirc$2="â",acute$2="´",AElig$2="Æ",aelig$2="æ",Agrave$2="À",agrave$2="à",amp$4="&",AMP$2="&",Aring$2="Å",aring$2="å",Atilde$2="Ã",atilde$2="ã",Auml$2="Ä",auml$2="ä",brvbar$2="¦",Ccedil$2="Ç",ccedil$2="ç",cedil$2="¸",cent$2="¢",copy$2="©",COPY$2="©",curren$2="¤",deg$2="°",divide$2="÷",Eacute$2="É",eacute$2="é",Ecirc$2="Ê",ecirc$2="ê",Egrave$2="È",egrave$2="è",ETH$2="Ð",eth$2="ð",Euml$2="Ë",euml$2="ë",frac12$2="½",frac14$2="¼",frac34$2="¾",gt$7=">",GT$2=">",Iacute$2="Í",iacute$2="í",Icirc$2="Î",icirc$2="î",iexcl$2="¡",Igrave$2="Ì",igrave$2="ì",iquest$2="¿",Iuml$2="Ï",iuml$2="ï",laquo$2="«",lt$7="<",LT$2="<",macr$2="¯",micro$2="µ",middot$2="·",nbsp$2=" ",not$2="¬",Ntilde$2="Ñ",ntilde$2="ñ",Oacute$2="Ó",oacute$2="ó",Ocirc$2="Ô",ocirc$2="ô",Ograve$2="Ò",ograve$2="ò",ordf$2="ª",ordm$2="º",Oslash$2="Ø",oslash$2="ø",Otilde$2="Õ",otilde$2="õ",Ouml$2="Ö",ouml$2="ö",para$2="¶",plusmn$2="±",pound$2="£",quot$4='"',QUOT$2='"',raquo$2="»",reg$2="®",REG$2="®",sect$2="§",shy$2="",sup1$2="¹",sup2$2="²",sup3$2="³",szlig$2="ß",THORN$2="Þ",thorn$2="þ",times$3="×",Uacute$2="Ú",uacute$2="ú",Ucirc$2="Û",ucirc$2="û",Ugrave$2="Ù",ugrave$2="ù",uml$2="¨",Uuml$2="Ü",uuml$2="ü",Yacute$2="Ý",yacute$2="ý",yen$2="¥",yuml$2="ÿ",require$$1$1={Aacute:Aacute$2,aacute:aacute$2,Acirc:Acirc$2,acirc:acirc$2,acute:acute$2,AElig:AElig$2,aelig:aelig$2,Agrave:Agrave$2,agrave:agrave$2,amp:amp$4,AMP:AMP$2,Aring:Aring$2,aring:aring$2,Atilde:Atilde$2,atilde:atilde$2,Auml:Auml$2,auml:auml$2,brvbar:brvbar$2,Ccedil:Ccedil$2,ccedil:ccedil$2,cedil:cedil$2,cent:cent$2,copy:copy$2,COPY:COPY$2,curren:curren$2,deg:deg$2,divide:divide$2,Eacute:Eacute$2,eacute:eacute$2,Ecirc:Ecirc$2,ecirc:ecirc$2,Egrave:Egrave$2,egrave:egrave$2,ETH:ETH$2,eth:eth$2,Euml:Euml$2,euml:euml$2,frac12:frac12$2,frac14:frac14$2,frac34:frac34$2,gt:gt$7,GT:GT$2,Iacute:Iacute$2,iacute:iacute$2,Icirc:Icirc$2,icirc:icirc$2,iexcl:iexcl$2,Igrave:Igrave$2,igrave:igrave$2,iquest:iquest$2,Iuml:Iuml$2,iuml:iuml$2,laquo:laquo$2,lt:lt$7,LT:LT$2,macr:macr$2,micro:micro$2,middot:middot$2,nbsp:nbsp$2,not:not$2,Ntilde:Ntilde$2,ntilde:ntilde$2,Oacute:Oacute$2,oacute:oacute$2,Ocirc:Ocirc$2,ocirc:ocirc$2,Ograve:Ograve$2,ograve:ograve$2,ordf:ordf$2,ordm:ordm$2,Oslash:Oslash$2,oslash:oslash$2,Otilde:Otilde$2,otilde:otilde$2,Ouml:Ouml$2,ouml:ouml$2,para:para$2,plusmn:plusmn$2,pound:pound$2,quot:quot$4,QUOT:QUOT$2,raquo:raquo$2,reg:reg$2,REG:REG$2,sect:sect$2,shy:shy$2,sup1:sup1$2,sup2:sup2$2,sup3:sup3$2,szlig:szlig$2,THORN:THORN$2,thorn:thorn$2,times:times$3,Uacute:Uacute$2,uacute:uacute$2,Ucirc:Ucirc$2,ucirc:ucirc$2,Ugrave:Ugrave$2,ugrave:ugrave$2,uml:uml$2,Uuml:Uuml$2,uuml:uuml$2,Yacute:Yacute$2,yacute:yacute$2,yen:yen$2,yuml:yuml$2},amp$3="&",apos$2="'",gt$6=">",lt$6="<",quot$3='"',require$$0$4={amp:amp$3,apos:apos$2,gt:gt$6,lt:lt$6,quot:quot$3};var decode_codepoint$1={};const require$$0$3={0:65533,128:8364,130:8218,131:402,132:8222,133:8230,134:8224,135:8225,136:710,137:8240,138:352,139:8249,140:338,142:381,145:8216,146:8217,147:8220,148:8221,149:8226,150:8211,151:8212,152:732,153:8482,154:353,155:8250,156:339,158:382,159:376};var hasRequiredDecode_codepoint$1;function requireDecode_codepoint$1(){if(hasRequiredDecode_codepoint$1)return decode_codepoint$1;hasRequiredDecode_codepoint$1=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(td){return td&&td.__esModule?td:{default:td}};Object.defineProperty(decode_codepoint$1,"__esModule",{value:!0});var qa=Ra(require$$0$3),Ja=String.fromCodePoint||function(td){var rd="";return td>65535&&(td-=65536,rd+=String.fromCharCode(td>>>10&1023|55296),td=56320|td&1023),rd+=String.fromCharCode(td),rd};function ed(td){return td>=55296&&td<=57343||td>1114111?"<22>":(td in qa.default&&(td=qa.default[td]),Ja(td))}return decode_codepoint$1.default=ed,decode_codepoint$1}var hasRequiredDecode;function requireDecode(){if(hasRequiredDecode)return decode$1;hasRequiredDecode=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(cd){return cd&&cd.__esModule?cd:{default:cd}};Object.defineProperty(decode$1,"__esModule",{value:!0}),decode$1.decodeHTML=decode$1.decodeHTMLStrict=decode$1.decodeXML=void 0;var qa=Ra(require$$1$2),Ja=Ra(require$$1$1),ed=Ra(require$$0$4),td=Ra(requireDecode_codepoint$1()),rd=/&(?:[a-zA-Z0-9]+|#[xX][\da-fA-F]+|#\d+);/g;decode$1.decodeXML=sd(ed.default),decode$1.decodeHTMLStrict=sd(qa.default);function sd(cd){var ud=ld(cd);return function(_d){return String(_d).replace(rd,ud)}}var od=function(cd,ud){return cd<ud?1:-1};decode$1.decodeHTML=function(){for(var cd=Object.keys(Ja.default).sort(od),ud=Object.keys(qa.default).sort(od),_d=0,yd=0;_d<ud.length;_d++)cd[yd]===ud[_d]?(ud[_d]+=";?",yd++):ud[_d]+=";";var gd=new RegExp("&(?:"+ud.join("|")+"|#[xX][\\da-fA-F]+;?|#\\d+;?)","g"),Ed=ld(qa.default);function Td(kd){return kd.substr(-1)!==";"&&(kd+=";"),Ed(kd)}return function(kd){return String(kd).replace(gd,Td)}}();function ld(cd){return function(_d){if(_d.charAt(1)==="#"){var yd=_d.charAt(2);return yd==="X"||yd==="x"?td.default(parseInt(_d.substr(3),16)):td.default(parseInt(_d.substr(2),10))}return cd[_d.slice(1,-1)]||_d}}return decode$1}var encode$3={},hasRequiredEncode;function requireEncode(){if(hasRequiredEncode)return encode$3;hasRequiredEncode=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(Rd){return Rd&&Rd.__esModule?Rd:{default:Rd}};Object.defineProperty(encode$3,"__esModule",{value:!0}),encode$3.escapeUTF8=encode$3.escape=encode$3.encodeNonAsciiHTML=encode$3.encodeHTML=encode$3.encodeXML=void 0;var qa=Ra(require$$0$4),Ja=od(qa.default),ed=ld(Ja);encode$3.encodeXML=kd(Ja);var td=Ra(require$$1$2),rd=od(td.default),sd=ld(rd);encode$3.encodeHTML=yd(rd,sd),encode$3.encodeNonAsciiHTML=kd(rd);function od(Rd){return Object.keys(Rd).sort().reduce(function(Nd,Id){return Nd[Rd[Id]]="&"+Id+";",Nd},{})}function ld(Rd){for(var Nd=[],Id=[],Md=0,Ld=Object.keys(Rd);Md<Ld.length;Md++){var Pd=Ld[Md];Pd.length===1?Nd.push("\\"+Pd):Id.push(Pd)}Nd.sort();for(var qd=0;qd<Nd.length-1;qd++){for(var Yd=qd;Yd<Nd.length-1&&Nd[Yd].charCodeAt(1)+1===Nd[Yd+1].charCodeAt(1);)Yd+=1;var Ud=1+Yd-qd;Ud<3||Nd.splice(qd,Ud,Nd[qd]+"-"+Nd[Yd])}return Id.unshift("["+Nd.join("")+"]"),new RegExp(Id.join("|"),"g")}var cd=/(?:[\x80-\uD7FF\uE000-\uFFFF]|[\uD800-\uDBFF][\uDC00-\uDFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF])/g,ud=String.prototype.codePointAt!=null?function(Rd){return Rd.codePointAt(0)}:function(Rd){return(Rd.charCodeAt(0)-55296)*1024+Rd.charCodeAt(1)-56320+65536};function _d(Rd){return"&#x"+(Rd.length>1?ud(Rd):Rd.charCodeAt(0)).toString(16).toUpperCase()+";"}function yd(Rd,Nd){return function(Id){return Id.replace(Nd,function(Md){return Rd[Md]}).replace(cd,_d)}}var gd=new RegExp(ed.source+"|"+cd.source,"g");function Ed(Rd){return Rd.replace(gd,_d)}encode$3.escape=Ed;function Td(Rd){return Rd.replace(ed,_d)}encode$3.escapeUTF8=Td;function kd(Rd){return function(Nd){return Nd.replace(gd,function(Id){return Rd[Id]||_d(Id)})}}return encode$3}var hasRequiredLib$9;function requireLib$9(){return hasRequiredLib$9||(hasRequiredLib$9=1,function(Ra){Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.decodeXMLStrict=Ra.decodeHTML5Strict=Ra.decodeHTML4Strict=Ra.decodeHTML5=Ra.decodeHTML4=Ra.decodeHTMLStrict=Ra.decodeHTML=Ra.decodeXML=Ra.encodeHTML5=Ra.encodeHTML4=Ra.escapeUTF8=Ra.escape=Ra.encodeNonAsciiHTML=Ra.encodeHTML=Ra.encodeXML=Ra.encode=Ra.decodeStrict=Ra.decode=void 0;var qa=requireDecode(),Ja=requireEncode();function ed(ld,cd){return(!cd||cd<=0?qa.decodeXML:qa.decodeHTML)(ld)}Ra.decode=ed;function td(ld,cd){return(!cd||cd<=0?qa.decodeXML:qa.decodeHTMLStrict)(ld)}Ra.decodeStrict=td;function rd(ld,cd){return(!cd||cd<=0?Ja.encodeXML:Ja.encodeHTML)(ld)}Ra.encode=rd;var sd=requireEncode();Object.defineProperty(Ra,"encodeXML",{enumerable:!0,get:function(){return sd.encodeXML}}),Object.defineProperty(Ra,"encodeHTML",{enumerable:!0,get:function(){return sd.encodeHTML}}),Object.defineProperty(Ra,"encodeNonAsciiHTML",{enumerable:!0,get:function(){return sd.encodeNonAsciiHTML}}),Object.defineProperty(Ra,"escape",{enumerable:!0,get:function(){return sd.escape}}),Object.defineProperty(Ra,"escapeUTF8",{enumerable:!0,get:function(){return sd.escapeUTF8}}),Object.defineProperty(Ra,"encodeHTML4",{enumerable:!0,get:function(){return sd.encodeHTML}}),Object.defineProperty(Ra,"encodeHTML5",{enumerable:!0,get:function(){return sd.encodeHTML}});var od=requireDecode();Object.defineProperty(Ra,"decodeXML",{enumerable:!0,get:function(){return od.decodeXML}}),Object.defineProperty(Ra,"decodeHTML",{enumerable:!0,get:function(){return od.decodeHTML}}),Object.defineProperty(Ra,"decodeHTMLStrict",{enumerable:!0,get:function(){return od.decodeHTMLStrict}}),Object.defineProperty(Ra,"decodeHTML4",{enumerable:!0,get:function(){return od.decodeHTML}}),Object.defineProperty(Ra,"decodeHTML5",{enumerable:!0,get:function(){return od.decodeHTML}}),Object.defineProperty(Ra,"decodeHTML4Strict",{enumerable:!0,get:function(){return od.decodeHTMLStrict}}),Object.defineProperty(Ra,"decodeHTML5Strict",{enumerable:!0,get:function(){return od.decodeHTMLStrict}}),Object.defineProperty(Ra,"decodeXMLStrict",{enumerable:!0,get:function(){return od.decodeXML}})}(lib$7)),lib$7}var foreignNames={},hasRequiredForeignNames;function requireForeignNames(){return hasRequiredForeignNames||(hasRequiredForeignNames=1,Object.defineProperty(foreignNames,"__esModule",{value:!0}),foreignNames.attributeNames=foreignNames.elementNames=void 0,foreignNames.elementNames=new Map([["altglyph","altGlyph"],["altglyphdef","altGlyphDef"],["altglyphitem","altGlyphItem"],["animatecolor","animateColor"],["animatemotion","animateMotion"],["animatetransform","animateTransform"],["clippath","clipPath"],["feblend","feBlend"],["fecolormatrix","feColorMatrix"],["fecomponenttransfer","feComponentTransfer"],["fecomposite","feComposite"],["feconvolvematrix","feConvolveMatrix"],["fediffuselighting","feDiffuseLighting"],["fedisplacementmap","feDisplacementMap"],["fedistantlight","feDistantLight"],["fedropshadow","feDropShadow"],["feflood","feFlood"],["fefunca","feFuncA"],["fefuncb","feFuncB"],["fefuncg","feFuncG"],["fefuncr","feFuncR"],["fegaussianblur","feGaussianBlur"],["feimage","feImage"],["femerge","feMerge"],["femergenode","feMergeNode"],["femorphology","feMorphology"],["feoffset","feOffset"],["fepointlight","fePointLight"],["fespecularlighting","feSpecularLighting"],["fespotlight","feSpotLight"],["fetile","feTile"],["feturbulence","feTurbulence"],["foreignobject","foreignObject"],["glyphref","glyphRef"],["lineargradient","linearGradient"],["radialgradient","radialGradient"],["textpath","textPath"]]),foreignNames.attributeNames=new Map([["definitionurl","definitionURL"],["attributename","attributeName"],["attributetype","attributeType"],["basefrequency","baseFrequency"],["baseprofile","baseProfile"],["calcmode","calcMode"],["clippathunits","clipPathUnits"],["diffuseconstant","diffuseConstant"],["edgemode","edgeMode"],["filterunits","filterUnits"],["glyphref","glyphRef"],["gradienttransform","gradientTransform"],["gradientunits","gradientUnits"],["kernelmatrix","kernelMatrix"],["kernelunitlength","kernelUnitLength"],["keypoints","keyPoints"],["keysplines","keySplines"],["keytimes","keyTimes"],["lengthadjust","lengthAdjust"],["limitingconeangle","limitingConeAngle"],["markerheight","markerHeight"],["markerunits","markerUnits"],["markerwidth","markerWidth"],["maskcontentunits","maskContentUnits"],["maskunits","maskUnits"],["numoctaves","numOctaves"],["pathlength","pathLength"],["patterncontentunits","patternContentUnits"],["patterntransform","patternTransform"],["patternunits","patternUnits"],["pointsatx","pointsAtX"],["pointsaty","pointsAtY"],["pointsatz","pointsAtZ"],["preservealpha","preserveAlpha"],["preserveaspectratio","preserveAspectRatio"],["primitiveunits","primitiveUnits"],["refx","refX"],["refy","refY"],["repeatcount","repeatCount"],["repeatdur","repeatDur"],["requiredextensions","requiredExtensions"],["requiredfeatures","requiredFeatures"],["specularconstant","specularConstant"],["specularexponent","specularExponent"],["spreadmethod","spreadMethod"],["startoffset","startOffset"],["stddeviation","stdDeviation"],["stitchtiles","stitchTiles"],["surfacescale","surfaceScale"],["systemlanguage","systemLanguage"],["tablevalues","tableValues"],["targetx","targetX"],["targety","targetY"],["textlength","textLength"],["viewbox","viewBox"],["viewtarget","viewTarget"],["xchannelselector","xChannelSelector"],["ychannelselector","yChannelSelector"],["zoomandpan","zoomAndPan"]])),foreignNames}var hasRequiredLib$8;function requireLib$8(){if(hasRequiredLib$8)return lib$8;hasRequiredLib$8=1;var Ra=commonjsGlobal&&commonjsGlobal.__assign||function(){return Ra=Object.assign||function(Id){for(var Md,Ld=1,Pd=arguments.length;Ld<Pd;Ld++){Md=arguments[Ld];for(var qd in Md)Object.prototype.hasOwnProperty.call(Md,qd)&&(Id[qd]=Md[qd])}return Id},Ra.apply(this,arguments)},qa=commonjsGlobal&&commonjsGlobal.__createBinding||(Object.create?function(Id,Md,Ld,Pd){Pd===void 0&&(Pd=Ld),Object.defineProperty(Id,Pd,{enumerable:!0,get:function(){return Md[Ld]}})}:function(Id,Md,Ld,Pd){Pd===void 0&&(Pd=Ld),Id[Pd]=Md[Ld]}),Ja=commonjsGlobal&&commonjsGlobal.__setModuleDefault||(Object.create?function(Id,Md){Object.defineProperty(Id,"default",{enumerable:!0,value:Md})}:function(Id,Md){Id.default=Md}),ed=commonjsGlobal&&commonjsGlobal.__importStar||function(Id){if(Id&&Id.__esModule)return Id;var Md={};if(Id!=null)for(var Ld in Id)Ld!=="default"&&Object.prototype.hasOwnProperty.call(Id,Ld)&&qa(Md,Id,Ld);return Ja(Md,Id),Md};Object.defineProperty(lib$8,"__esModule",{value:!0});var td=ed(requireLib$b()),rd=requireLib$9(),sd=requireForeignNames(),od=new Set(["style","script","xmp","iframe","noembed","noframes","plaintext","noscript"]);function ld(Id,Md){if(Id)return Object.keys(Id).map(function(Ld){var Pd,qd,Yd=(Pd=Id[Ld])!==null&&Pd!==void 0?Pd:"";return Md.xmlMode==="foreign"&&(Ld=(qd=sd.attributeNames.get(Ld))!==null&&qd!==void 0?qd:Ld),!Md.emptyAttrs&&!Md.xmlMode&&Yd===""?Ld:Ld+'="'+(Md.decodeEntities!==!1?rd.encodeXML(Yd):Yd.replace(/"/g,"""))+'"'}).join(" ")}var cd=new Set(["area","base","basefont","br","col","command","embed","frame","hr","img","input","isindex","keygen","link","meta","param","source","track","wbr"]);function ud(Id,Md){Md===void 0&&(Md={});for(var Ld=("length"in Id)?Id:[Id],Pd="",qd=0;qd<Ld.length;qd++)Pd+=_d(Ld[qd],Md);return Pd}lib$8.default=ud;function _d(Id,Md){switch(Id.type){case td.Root:return ud(Id.children,Md);case td.Directive:case td.Doctype:return Td(Id);case td.Comment:return Nd(Id);case td.CDATA:return Rd(Id);case td.Script:case td.Style:case td.Tag:return Ed(Id,Md);case td.Text:return kd(Id,Md)}}var yd=new Set(["mi","mo","mn","ms","mtext","annotation-xml","foreignObject","desc","title"]),gd=new Set(["svg","math"]);function Ed(Id,Md){var Ld;Md.xmlMode==="foreign"&&(Id.name=(Ld=sd.elementNames.get(Id.name))!==null&&Ld!==void 0?Ld:Id.name,Id.parent&&yd.has(Id.parent.name)&&(Md=Ra(Ra({},Md),{xmlMode:!1}))),!Md.xmlMode&&gd.has(Id.name)&&(Md=Ra(Ra({},Md),{xmlMode:"foreign"}));var Pd="<"+Id.name,qd=ld(Id.attribs,Md);return qd&&(Pd+=" "+qd),Id.children.length===0&&(Md.xmlMode?Md.selfClosingTags!==!1:Md.selfClosingTags&&cd.has(Id.name))?(Md.xmlMode||(Pd+=" "),Pd+="/>"):(Pd+=">",Id.children.length>0&&(Pd+=ud(Id.children,Md)),(Md.xmlMode||!cd.has(Id.name))&&(Pd+="</"+Id.name+">")),Pd}function Td(Id){return"<"+Id.data+">"}function kd(Id,Md){var Ld=Id.data||"";return Md.decodeEntities!==!1&&!(!Md.xmlMode&&Id.parent&&od.has(Id.parent.name))&&(Ld=rd.encodeXML(Ld)),Ld}function Rd(Id){return"<![CDATA["+Id.children[0].data+"]]>"}function Nd(Id){return"<!--"+Id.data+"-->"}return lib$8}var hasRequiredStringify;function requireStringify(){if(hasRequiredStringify)return stringify$2;hasRequiredStringify=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(cd){return cd&&cd.__esModule?cd:{default:cd}};Object.defineProperty(stringify$2,"__esModule",{value:!0}),stringify$2.innerText=stringify$2.textContent=stringify$2.getText=stringify$2.getInnerHTML=stringify$2.getOuterHTML=void 0;var qa=requireLib$a(),Ja=Ra(requireLib$8()),ed=requireLib$b();function td(cd,ud){return(0,Ja.default)(cd,ud)}stringify$2.getOuterHTML=td;function rd(cd,ud){return(0,qa.hasChildren)(cd)?cd.children.map(function(_d){return td(_d,ud)}).join(""):""}stringify$2.getInnerHTML=rd;function sd(cd){return Array.isArray(cd)?cd.map(sd).join(""):(0,qa.isTag)(cd)?cd.name==="br"?`
|
||
`:sd(cd.children):(0,qa.isCDATA)(cd)?sd(cd.children):(0,qa.isText)(cd)?cd.data:""}stringify$2.getText=sd;function od(cd){return Array.isArray(cd)?cd.map(od).join(""):(0,qa.hasChildren)(cd)&&!(0,qa.isComment)(cd)?od(cd.children):(0,qa.isText)(cd)?cd.data:""}stringify$2.textContent=od;function ld(cd){return Array.isArray(cd)?cd.map(ld).join(""):(0,qa.hasChildren)(cd)&&(cd.type===ed.ElementType.Tag||(0,qa.isCDATA)(cd))?ld(cd.children):(0,qa.isText)(cd)?cd.data:""}return stringify$2.innerText=ld,stringify$2}var traversal={},hasRequiredTraversal;function requireTraversal(){if(hasRequiredTraversal)return traversal;hasRequiredTraversal=1,Object.defineProperty(traversal,"__esModule",{value:!0}),traversal.prevElementSibling=traversal.nextElementSibling=traversal.getName=traversal.hasAttrib=traversal.getAttributeValue=traversal.getSiblings=traversal.getParent=traversal.getChildren=void 0;var Ra=requireLib$a(),qa=[];function Ja(ud){var _d;return(_d=ud.children)!==null&&_d!==void 0?_d:qa}traversal.getChildren=Ja;function ed(ud){return ud.parent||null}traversal.getParent=ed;function td(ud){var _d,yd,gd=ed(ud);if(gd!=null)return Ja(gd);for(var Ed=[ud],Td=ud.prev,kd=ud.next;Td!=null;)Ed.unshift(Td),_d=Td,Td=_d.prev;for(;kd!=null;)Ed.push(kd),yd=kd,kd=yd.next;return Ed}traversal.getSiblings=td;function rd(ud,_d){var yd;return(yd=ud.attribs)===null||yd===void 0?void 0:yd[_d]}traversal.getAttributeValue=rd;function sd(ud,_d){return ud.attribs!=null&&Object.prototype.hasOwnProperty.call(ud.attribs,_d)&&ud.attribs[_d]!=null}traversal.hasAttrib=sd;function od(ud){return ud.name}traversal.getName=od;function ld(ud){for(var _d,yd=ud.next;yd!==null&&!(0,Ra.isTag)(yd);)_d=yd,yd=_d.next;return yd}traversal.nextElementSibling=ld;function cd(ud){for(var _d,yd=ud.prev;yd!==null&&!(0,Ra.isTag)(yd);)_d=yd,yd=_d.prev;return yd}return traversal.prevElementSibling=cd,traversal}var manipulation$1={},hasRequiredManipulation$1;function requireManipulation$1(){if(hasRequiredManipulation$1)return manipulation$1;hasRequiredManipulation$1=1,Object.defineProperty(manipulation$1,"__esModule",{value:!0}),manipulation$1.prepend=manipulation$1.prependChild=manipulation$1.append=manipulation$1.appendChild=manipulation$1.replaceElement=manipulation$1.removeElement=void 0;function Ra(sd){if(sd.prev&&(sd.prev.next=sd.next),sd.next&&(sd.next.prev=sd.prev),sd.parent){var od=sd.parent.children;od.splice(od.lastIndexOf(sd),1)}}manipulation$1.removeElement=Ra;function qa(sd,od){var ld=od.prev=sd.prev;ld&&(ld.next=od);var cd=od.next=sd.next;cd&&(cd.prev=od);var ud=od.parent=sd.parent;if(ud){var _d=ud.children;_d[_d.lastIndexOf(sd)]=od}}manipulation$1.replaceElement=qa;function Ja(sd,od){if(Ra(od),od.next=null,od.parent=sd,sd.children.push(od)>1){var ld=sd.children[sd.children.length-2];ld.next=od,od.prev=ld}else od.prev=null}manipulation$1.appendChild=Ja;function ed(sd,od){Ra(od);var ld=sd.parent,cd=sd.next;if(od.next=cd,od.prev=sd,sd.next=od,od.parent=ld,cd){if(cd.prev=od,ld){var ud=ld.children;ud.splice(ud.lastIndexOf(cd),0,od)}}else ld&&ld.children.push(od)}manipulation$1.append=ed;function td(sd,od){if(Ra(od),od.parent=sd,od.prev=null,sd.children.unshift(od)!==1){var ld=sd.children[1];ld.prev=od,od.next=ld}else od.next=null}manipulation$1.prependChild=td;function rd(sd,od){Ra(od);var ld=sd.parent;if(ld){var cd=ld.children;cd.splice(cd.indexOf(sd),0,od)}sd.prev&&(sd.prev.next=od),od.parent=ld,od.prev=sd.prev,od.next=sd,sd.prev=od}return manipulation$1.prepend=rd,manipulation$1}var querying={},hasRequiredQuerying;function requireQuerying(){if(hasRequiredQuerying)return querying;hasRequiredQuerying=1,Object.defineProperty(querying,"__esModule",{value:!0}),querying.findAll=querying.existsOne=querying.findOne=querying.findOneChild=querying.find=querying.filter=void 0;var Ra=requireLib$a();function qa(od,ld,cd,ud){return cd===void 0&&(cd=!0),ud===void 0&&(ud=1/0),Array.isArray(ld)||(ld=[ld]),Ja(od,ld,cd,ud)}querying.filter=qa;function Ja(od,ld,cd,ud){for(var _d=[],yd=0,gd=ld;yd<gd.length;yd++){var Ed=gd[yd];if(od(Ed)&&(_d.push(Ed),--ud<=0))break;if(cd&&(0,Ra.hasChildren)(Ed)&&Ed.children.length>0){var Td=Ja(od,Ed.children,cd,ud);if(_d.push.apply(_d,Td),ud-=Td.length,ud<=0)break}}return _d}querying.find=Ja;function ed(od,ld){return ld.find(od)}querying.findOneChild=ed;function td(od,ld,cd){cd===void 0&&(cd=!0);for(var ud=null,_d=0;_d<ld.length&&!ud;_d++){var yd=ld[_d];if((0,Ra.isTag)(yd))od(yd)?ud=yd:cd&&yd.children.length>0&&(ud=td(od,yd.children));else continue}return ud}querying.findOne=td;function rd(od,ld){return ld.some(function(cd){return(0,Ra.isTag)(cd)&&(od(cd)||cd.children.length>0&&rd(od,cd.children))})}querying.existsOne=rd;function sd(od,ld){for(var cd,ud=[],_d=ld.filter(Ra.isTag),yd;yd=_d.shift();){var gd=(cd=yd.children)===null||cd===void 0?void 0:cd.filter(Ra.isTag);gd&&gd.length>0&&_d.unshift.apply(_d,gd),od(yd)&&ud.push(yd)}return ud}return querying.findAll=sd,querying}var legacy={},hasRequiredLegacy;function requireLegacy(){if(hasRequiredLegacy)return legacy;hasRequiredLegacy=1,Object.defineProperty(legacy,"__esModule",{value:!0}),legacy.getElementsByTagType=legacy.getElementsByTagName=legacy.getElementById=legacy.getElements=legacy.testElement=void 0;var Ra=requireLib$a(),qa=requireQuerying(),Ja={tag_name:function(_d){return typeof _d=="function"?function(yd){return(0,Ra.isTag)(yd)&&_d(yd.name)}:_d==="*"?Ra.isTag:function(yd){return(0,Ra.isTag)(yd)&&yd.name===_d}},tag_type:function(_d){return typeof _d=="function"?function(yd){return _d(yd.type)}:function(yd){return yd.type===_d}},tag_contains:function(_d){return typeof _d=="function"?function(yd){return(0,Ra.isText)(yd)&&_d(yd.data)}:function(yd){return(0,Ra.isText)(yd)&&yd.data===_d}}};function ed(_d,yd){return typeof yd=="function"?function(gd){return(0,Ra.isTag)(gd)&&yd(gd.attribs[_d])}:function(gd){return(0,Ra.isTag)(gd)&&gd.attribs[_d]===yd}}function td(_d,yd){return function(gd){return _d(gd)||yd(gd)}}function rd(_d){var yd=Object.keys(_d).map(function(gd){var Ed=_d[gd];return Object.prototype.hasOwnProperty.call(Ja,gd)?Ja[gd](Ed):ed(gd,Ed)});return yd.length===0?null:yd.reduce(td)}function sd(_d,yd){var gd=rd(_d);return gd?gd(yd):!0}legacy.testElement=sd;function od(_d,yd,gd,Ed){Ed===void 0&&(Ed=1/0);var Td=rd(_d);return Td?(0,qa.filter)(Td,yd,gd,Ed):[]}legacy.getElements=od;function ld(_d,yd,gd){return gd===void 0&&(gd=!0),Array.isArray(yd)||(yd=[yd]),(0,qa.findOne)(ed("id",_d),yd,gd)}legacy.getElementById=ld;function cd(_d,yd,gd,Ed){return gd===void 0&&(gd=!0),Ed===void 0&&(Ed=1/0),(0,qa.filter)(Ja.tag_name(_d),yd,gd,Ed)}legacy.getElementsByTagName=cd;function ud(_d,yd,gd,Ed){return gd===void 0&&(gd=!0),Ed===void 0&&(Ed=1/0),(0,qa.filter)(Ja.tag_type(_d),yd,gd,Ed)}return legacy.getElementsByTagType=ud,legacy}var helpers$1={},hasRequiredHelpers$1;function requireHelpers$1(){if(hasRequiredHelpers$1)return helpers$1;hasRequiredHelpers$1=1,Object.defineProperty(helpers$1,"__esModule",{value:!0}),helpers$1.uniqueSort=helpers$1.compareDocumentPosition=helpers$1.removeSubsets=void 0;var Ra=requireLib$a();function qa(td){for(var rd=td.length;--rd>=0;){var sd=td[rd];if(rd>0&&td.lastIndexOf(sd,rd-1)>=0){td.splice(rd,1);continue}for(var od=sd.parent;od;od=od.parent)if(td.includes(od)){td.splice(rd,1);break}}return td}helpers$1.removeSubsets=qa;function Ja(td,rd){var sd=[],od=[];if(td===rd)return 0;for(var ld=(0,Ra.hasChildren)(td)?td:td.parent;ld;)sd.unshift(ld),ld=ld.parent;for(ld=(0,Ra.hasChildren)(rd)?rd:rd.parent;ld;)od.unshift(ld),ld=ld.parent;for(var cd=Math.min(sd.length,od.length),ud=0;ud<cd&&sd[ud]===od[ud];)ud++;if(ud===0)return 1;var _d=sd[ud-1],yd=_d.children,gd=sd[ud],Ed=od[ud];return yd.indexOf(gd)>yd.indexOf(Ed)?_d===rd?20:4:_d===td?10:2}helpers$1.compareDocumentPosition=Ja;function ed(td){return td=td.filter(function(rd,sd,od){return!od.includes(rd,sd+1)}),td.sort(function(rd,sd){var od=Ja(rd,sd);return od&2?-1:od&4?1:0}),td}return helpers$1.uniqueSort=ed,helpers$1}var feeds={},hasRequiredFeeds;function requireFeeds(){if(hasRequiredFeeds)return feeds;hasRequiredFeeds=1,Object.defineProperty(feeds,"__esModule",{value:!0}),feeds.getFeed=void 0;var Ra=requireStringify(),qa=requireLegacy();function Ja(yd){var gd=ld(_d,yd);return gd?gd.name==="feed"?ed(gd):td(gd):null}feeds.getFeed=Ja;function ed(yd){var gd,Ed=yd.children,Td={type:"atom",items:(0,qa.getElementsByTagName)("entry",Ed).map(function(Nd){var Id,Md=Nd.children,Ld={media:od(Md)};ud(Ld,"id","id",Md),ud(Ld,"title","title",Md);var Pd=(Id=ld("link",Md))===null||Id===void 0?void 0:Id.attribs.href;Pd&&(Ld.link=Pd);var qd=cd("summary",Md)||cd("content",Md);qd&&(Ld.description=qd);var Yd=cd("updated",Md);return Yd&&(Ld.pubDate=new Date(Yd)),Ld})};ud(Td,"id","id",Ed),ud(Td,"title","title",Ed);var kd=(gd=ld("link",Ed))===null||gd===void 0?void 0:gd.attribs.href;kd&&(Td.link=kd),ud(Td,"description","subtitle",Ed);var Rd=cd("updated",Ed);return Rd&&(Td.updated=new Date(Rd)),ud(Td,"author","email",Ed,!0),Td}function td(yd){var gd,Ed,Td=(Ed=(gd=ld("channel",yd.children))===null||gd===void 0?void 0:gd.children)!==null&&Ed!==void 0?Ed:[],kd={type:yd.name.substr(0,3),id:"",items:(0,qa.getElementsByTagName)("item",yd.children).map(function(Nd){var Id=Nd.children,Md={media:od(Id)};ud(Md,"id","guid",Id),ud(Md,"title","title",Id),ud(Md,"link","link",Id),ud(Md,"description","description",Id);var Ld=cd("pubDate",Id);return Ld&&(Md.pubDate=new Date(Ld)),Md})};ud(kd,"title","title",Td),ud(kd,"link","link",Td),ud(kd,"description","description",Td);var Rd=cd("lastBuildDate",Td);return Rd&&(kd.updated=new Date(Rd)),ud(kd,"author","managingEditor",Td,!0),kd}var rd=["url","type","lang"],sd=["fileSize","bitrate","framerate","samplingrate","channels","duration","height","width"];function od(yd){return(0,qa.getElementsByTagName)("media:content",yd).map(function(gd){for(var Ed=gd.attribs,Td={medium:Ed.medium,isDefault:!!Ed.isDefault},kd=0,Rd=rd;kd<Rd.length;kd++){var Nd=Rd[kd];Ed[Nd]&&(Td[Nd]=Ed[Nd])}for(var Id=0,Md=sd;Id<Md.length;Id++){var Nd=Md[Id];Ed[Nd]&&(Td[Nd]=parseInt(Ed[Nd],10))}return Ed.expression&&(Td.expression=Ed.expression),Td})}function ld(yd,gd){return(0,qa.getElementsByTagName)(yd,gd,!0,1)[0]}function cd(yd,gd,Ed){return Ed===void 0&&(Ed=!1),(0,Ra.textContent)((0,qa.getElementsByTagName)(yd,gd,Ed,1)).trim()}function ud(yd,gd,Ed,Td,kd){kd===void 0&&(kd=!1);var Rd=cd(Ed,Td,kd);Rd&&(yd[gd]=Rd)}function _d(yd){return yd==="rss"||yd==="feed"||yd==="rdf:RDF"}return feeds}var hasRequiredLib$7;function requireLib$7(){return hasRequiredLib$7||(hasRequiredLib$7=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__createBinding||(Object.create?function(td,rd,sd,od){od===void 0&&(od=sd),Object.defineProperty(td,od,{enumerable:!0,get:function(){return rd[sd]}})}:function(td,rd,sd,od){od===void 0&&(od=sd),td[od]=rd[sd]}),Ja=commonjsGlobal&&commonjsGlobal.__exportStar||function(td,rd){for(var sd in td)sd!=="default"&&!Object.prototype.hasOwnProperty.call(rd,sd)&&qa(rd,td,sd)};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.hasChildren=Ra.isDocument=Ra.isComment=Ra.isText=Ra.isCDATA=Ra.isTag=void 0,Ja(requireStringify(),Ra),Ja(requireTraversal(),Ra),Ja(requireManipulation$1(),Ra),Ja(requireQuerying(),Ra),Ja(requireLegacy(),Ra),Ja(requireHelpers$1(),Ra),Ja(requireFeeds(),Ra);var ed=requireLib$a();Object.defineProperty(Ra,"isTag",{enumerable:!0,get:function(){return ed.isTag}}),Object.defineProperty(Ra,"isCDATA",{enumerable:!0,get:function(){return ed.isCDATA}}),Object.defineProperty(Ra,"isText",{enumerable:!0,get:function(){return ed.isText}}),Object.defineProperty(Ra,"isComment",{enumerable:!0,get:function(){return ed.isComment}}),Object.defineProperty(Ra,"isDocument",{enumerable:!0,get:function(){return ed.isDocument}}),Object.defineProperty(Ra,"hasChildren",{enumerable:!0,get:function(){return ed.hasChildren}})}(lib$b)),lib$b}var boolbase,hasRequiredBoolbase;function requireBoolbase(){return hasRequiredBoolbase||(hasRequiredBoolbase=1,boolbase={trueFunc:function(){return!0},falseFunc:function(){return!1}}),boolbase}var compile$1={},sort={},procedure={},hasRequiredProcedure;function requireProcedure(){return hasRequiredProcedure||(hasRequiredProcedure=1,function(Ra){Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.isTraversal=Ra.procedure=void 0,Ra.procedure={universal:50,tag:30,attribute:1,pseudo:0,"pseudo-element":0,"column-combinator":-1,descendant:-1,child:-1,parent:-1,sibling:-1,adjacent:-1,_flexibleDescendant:-1};function qa(Ja){return Ra.procedure[Ja.type]<0}Ra.isTraversal=qa}(procedure)),procedure}var hasRequiredSort;function requireSort(){if(hasRequiredSort)return sort;hasRequiredSort=1,Object.defineProperty(sort,"__esModule",{value:!0});var Ra=require$$0$5,qa=requireProcedure(),Ja={exists:10,equals:8,not:7,start:6,end:6,any:5,hyphen:4,element:4};function ed(rd){for(var sd=rd.map(td),od=1;od<rd.length;od++){var ld=sd[od];if(!(ld<0))for(var cd=od-1;cd>=0&&ld<sd[cd];cd--){var ud=rd[cd+1];rd[cd+1]=rd[cd],rd[cd]=ud,sd[cd+1]=sd[cd],sd[cd]=ld}}}sort.default=ed;function td(rd){var sd=qa.procedure[rd.type];if(rd.type===Ra.SelectorType.Attribute)sd=Ja[rd.action],sd===Ja.equals&&rd.name==="id"&&(sd=9),rd.ignoreCase&&(sd>>=1);else if(rd.type===Ra.SelectorType.Pseudo)if(!rd.data)sd=3;else if(rd.name==="has"||rd.name==="contains")sd=0;else if(Array.isArray(rd.data)){sd=0;for(var od=0;od<rd.data.length;od++)if(rd.data[od].length===1){var ld=td(rd.data[od][0]);if(ld===0){sd=0;break}ld>sd&&(sd=ld)}rd.data.length>1&&sd>0&&(sd-=1)}else sd=1;return sd}return sort}var general={},attributes$1={},hasRequiredAttributes$1;function requireAttributes$1(){if(hasRequiredAttributes$1)return attributes$1;hasRequiredAttributes$1=1,Object.defineProperty(attributes$1,"__esModule",{value:!0}),attributes$1.attributeRules=void 0;var Ra=requireBoolbase(),qa=/[-[\]{}()*+?.,\\^$|#\s]/g;function Ja(rd){return rd.replace(qa,"\\$&")}var ed=new Set(["accept","accept-charset","align","alink","axis","bgcolor","charset","checked","clear","codetype","color","compact","declare","defer","dir","direction","disabled","enctype","face","frame","hreflang","http-equiv","lang","language","link","media","method","multiple","nohref","noresize","noshade","nowrap","readonly","rel","rev","rules","scope","scrolling","selected","shape","target","text","type","valign","valuetype","vlink"]);function td(rd,sd){return typeof rd.ignoreCase=="boolean"?rd.ignoreCase:rd.ignoreCase==="quirks"?!!sd.quirksMode:!sd.xmlMode&&ed.has(rd.name)}return attributes$1.attributeRules={equals:function(rd,sd,od){var ld=od.adapter,cd=sd.name,ud=sd.value;return td(sd,od)?(ud=ud.toLowerCase(),function(_d){var yd=ld.getAttributeValue(_d,cd);return yd!=null&&yd.length===ud.length&&yd.toLowerCase()===ud&&rd(_d)}):function(_d){return ld.getAttributeValue(_d,cd)===ud&&rd(_d)}},hyphen:function(rd,sd,od){var ld=od.adapter,cd=sd.name,ud=sd.value,_d=ud.length;return td(sd,od)?(ud=ud.toLowerCase(),function(gd){var Ed=ld.getAttributeValue(gd,cd);return Ed!=null&&(Ed.length===_d||Ed.charAt(_d)==="-")&&Ed.substr(0,_d).toLowerCase()===ud&&rd(gd)}):function(gd){var Ed=ld.getAttributeValue(gd,cd);return Ed!=null&&(Ed.length===_d||Ed.charAt(_d)==="-")&&Ed.substr(0,_d)===ud&&rd(gd)}},element:function(rd,sd,od){var ld=od.adapter,cd=sd.name,ud=sd.value;if(/\s/.test(ud))return Ra.falseFunc;var _d=new RegExp("(?:^|\\s)".concat(Ja(ud),"(?:$|\\s)"),td(sd,od)?"i":"");return function(gd){var Ed=ld.getAttributeValue(gd,cd);return Ed!=null&&Ed.length>=ud.length&&_d.test(Ed)&&rd(gd)}},exists:function(rd,sd,od){var ld=sd.name,cd=od.adapter;return function(ud){return cd.hasAttrib(ud,ld)&&rd(ud)}},start:function(rd,sd,od){var ld=od.adapter,cd=sd.name,ud=sd.value,_d=ud.length;return _d===0?Ra.falseFunc:td(sd,od)?(ud=ud.toLowerCase(),function(yd){var gd=ld.getAttributeValue(yd,cd);return gd!=null&&gd.length>=_d&&gd.substr(0,_d).toLowerCase()===ud&&rd(yd)}):function(yd){var gd;return!!(!((gd=ld.getAttributeValue(yd,cd))===null||gd===void 0)&&gd.startsWith(ud))&&rd(yd)}},end:function(rd,sd,od){var ld=od.adapter,cd=sd.name,ud=sd.value,_d=-ud.length;return _d===0?Ra.falseFunc:td(sd,od)?(ud=ud.toLowerCase(),function(yd){var gd;return((gd=ld.getAttributeValue(yd,cd))===null||gd===void 0?void 0:gd.substr(_d).toLowerCase())===ud&&rd(yd)}):function(yd){var gd;return!!(!((gd=ld.getAttributeValue(yd,cd))===null||gd===void 0)&&gd.endsWith(ud))&&rd(yd)}},any:function(rd,sd,od){var ld=od.adapter,cd=sd.name,ud=sd.value;if(ud==="")return Ra.falseFunc;if(td(sd,od)){var _d=new RegExp(Ja(ud),"i");return function(gd){var Ed=ld.getAttributeValue(gd,cd);return Ed!=null&&Ed.length>=ud.length&&_d.test(Ed)&&rd(gd)}}return function(yd){var gd;return!!(!((gd=ld.getAttributeValue(yd,cd))===null||gd===void 0)&&gd.includes(ud))&&rd(yd)}},not:function(rd,sd,od){var ld=od.adapter,cd=sd.name,ud=sd.value;return ud===""?function(_d){return!!ld.getAttributeValue(_d,cd)&&rd(_d)}:td(sd,od)?(ud=ud.toLowerCase(),function(_d){var yd=ld.getAttributeValue(_d,cd);return(yd==null||yd.length!==ud.length||yd.toLowerCase()!==ud)&&rd(_d)}):function(_d){return ld.getAttributeValue(_d,cd)!==ud&&rd(_d)}}},attributes$1}var pseudoSelectors={},filters={},lib$6={},parse$2={},hasRequiredParse$1;function requireParse$1(){if(hasRequiredParse$1)return parse$2;hasRequiredParse$1=1,Object.defineProperty(parse$2,"__esModule",{value:!0}),parse$2.parse=void 0;var Ra=new Set([9,10,12,13,32]),qa=48,Ja=57;function ed(td){if(td=td.trim().toLowerCase(),td==="even")return[2,0];if(td==="odd")return[2,1];var rd=0,sd=0,od=cd(),ld=ud();if(rd<td.length&&td.charAt(rd)==="n"&&(rd++,sd=od*(ld??1),_d(),rd<td.length?(od=cd(),_d(),ld=ud()):od=ld=0),ld===null||rd<td.length)throw new Error("n-th rule couldn't be parsed ('".concat(td,"')"));return[sd,od*ld];function cd(){return td.charAt(rd)==="-"?(rd++,-1):(td.charAt(rd)==="+"&&rd++,1)}function ud(){for(var yd=rd,gd=0;rd<td.length&&td.charCodeAt(rd)>=qa&&td.charCodeAt(rd)<=Ja;)gd=gd*10+(td.charCodeAt(rd)-qa),rd++;return rd===yd?null:gd}function _d(){for(;rd<td.length&&Ra.has(td.charCodeAt(rd));)rd++}}return parse$2.parse=ed,parse$2}var compile={},hasRequiredCompile$1;function requireCompile$1(){if(hasRequiredCompile$1)return compile;hasRequiredCompile$1=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(td){return td&&td.__esModule?td:{default:td}};Object.defineProperty(compile,"__esModule",{value:!0}),compile.generate=compile.compile=void 0;var qa=Ra(requireBoolbase());function Ja(td){var rd=td[0],sd=td[1]-1;if(sd<0&&rd<=0)return qa.default.falseFunc;if(rd===-1)return function(cd){return cd<=sd};if(rd===0)return function(cd){return cd===sd};if(rd===1)return sd<0?qa.default.trueFunc:function(cd){return cd>=sd};var od=Math.abs(rd),ld=(sd%od+od)%od;return rd>1?function(cd){return cd>=sd&&cd%od===ld}:function(cd){return cd<=sd&&cd%od===ld}}compile.compile=Ja;function ed(td){var rd=td[0],sd=td[1]-1,od=0;if(rd<0){var ld=-rd,cd=(sd%ld+ld)%ld;return function(){var ud=cd+ld*od++;return ud>sd?null:ud}}return rd===0?sd<0?function(){return null}:function(){return od++===0?sd:null}:(sd<0&&(sd+=rd*Math.ceil(-sd/rd)),function(){return rd*od+++sd})}return compile.generate=ed,compile}var hasRequiredLib$6;function requireLib$6(){return hasRequiredLib$6||(hasRequiredLib$6=1,function(Ra){Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.sequence=Ra.generate=Ra.compile=Ra.parse=void 0;var qa=requireParse$1();Object.defineProperty(Ra,"parse",{enumerable:!0,get:function(){return qa.parse}});var Ja=requireCompile$1();Object.defineProperty(Ra,"compile",{enumerable:!0,get:function(){return Ja.compile}}),Object.defineProperty(Ra,"generate",{enumerable:!0,get:function(){return Ja.generate}});function ed(rd){return(0,Ja.compile)((0,qa.parse)(rd))}Ra.default=ed;function td(rd){return(0,Ja.generate)((0,qa.parse)(rd))}Ra.sequence=td}(lib$6)),lib$6}var hasRequiredFilters;function requireFilters(){return hasRequiredFilters||(hasRequiredFilters=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__importDefault||function(sd){return sd&&sd.__esModule?sd:{default:sd}};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.filters=void 0;var Ja=qa(requireLib$6()),ed=requireBoolbase();function td(sd,od){return function(ld){var cd=od.getParent(ld);return cd!=null&&od.isTag(cd)&&sd(ld)}}Ra.filters={contains:function(sd,od,ld){var cd=ld.adapter;return function(_d){return sd(_d)&&cd.getText(_d).includes(od)}},icontains:function(sd,od,ld){var cd=ld.adapter,ud=od.toLowerCase();return function(yd){return sd(yd)&&cd.getText(yd).toLowerCase().includes(ud)}},"nth-child":function(sd,od,ld){var cd=ld.adapter,ud=ld.equals,_d=(0,Ja.default)(od);return _d===ed.falseFunc?ed.falseFunc:_d===ed.trueFunc?td(sd,cd):function(gd){for(var Ed=cd.getSiblings(gd),Td=0,kd=0;kd<Ed.length&&!ud(gd,Ed[kd]);kd++)cd.isTag(Ed[kd])&&Td++;return _d(Td)&&sd(gd)}},"nth-last-child":function(sd,od,ld){var cd=ld.adapter,ud=ld.equals,_d=(0,Ja.default)(od);return _d===ed.falseFunc?ed.falseFunc:_d===ed.trueFunc?td(sd,cd):function(gd){for(var Ed=cd.getSiblings(gd),Td=0,kd=Ed.length-1;kd>=0&&!ud(gd,Ed[kd]);kd--)cd.isTag(Ed[kd])&&Td++;return _d(Td)&&sd(gd)}},"nth-of-type":function(sd,od,ld){var cd=ld.adapter,ud=ld.equals,_d=(0,Ja.default)(od);return _d===ed.falseFunc?ed.falseFunc:_d===ed.trueFunc?td(sd,cd):function(gd){for(var Ed=cd.getSiblings(gd),Td=0,kd=0;kd<Ed.length;kd++){var Rd=Ed[kd];if(ud(gd,Rd))break;cd.isTag(Rd)&&cd.getName(Rd)===cd.getName(gd)&&Td++}return _d(Td)&&sd(gd)}},"nth-last-of-type":function(sd,od,ld){var cd=ld.adapter,ud=ld.equals,_d=(0,Ja.default)(od);return _d===ed.falseFunc?ed.falseFunc:_d===ed.trueFunc?td(sd,cd):function(gd){for(var Ed=cd.getSiblings(gd),Td=0,kd=Ed.length-1;kd>=0;kd--){var Rd=Ed[kd];if(ud(gd,Rd))break;cd.isTag(Rd)&&cd.getName(Rd)===cd.getName(gd)&&Td++}return _d(Td)&&sd(gd)}},root:function(sd,od,ld){var cd=ld.adapter;return function(ud){var _d=cd.getParent(ud);return(_d==null||!cd.isTag(_d))&&sd(ud)}},scope:function(sd,od,ld,cd){var ud=ld.equals;return!cd||cd.length===0?Ra.filters.root(sd,od,ld):cd.length===1?function(_d){return ud(cd[0],_d)&&sd(_d)}:function(_d){return cd.includes(_d)&&sd(_d)}},hover:rd("isHovered"),visited:rd("isVisited"),active:rd("isActive")};function rd(sd){return function(ld,cd,ud){var _d=ud.adapter,yd=_d[sd];return typeof yd!="function"?ed.falseFunc:function(Ed){return yd(Ed)&&ld(Ed)}}}}(filters)),filters}var pseudos={},hasRequiredPseudos;function requirePseudos(){if(hasRequiredPseudos)return pseudos;hasRequiredPseudos=1,Object.defineProperty(pseudos,"__esModule",{value:!0}),pseudos.verifyPseudoArgs=pseudos.pseudos=void 0,pseudos.pseudos={empty:function(qa,Ja){var ed=Ja.adapter;return!ed.getChildren(qa).some(function(td){return ed.isTag(td)||ed.getText(td)!==""})},"first-child":function(qa,Ja){var ed=Ja.adapter,td=Ja.equals,rd=ed.getSiblings(qa).find(function(sd){return ed.isTag(sd)});return rd!=null&&td(qa,rd)},"last-child":function(qa,Ja){for(var ed=Ja.adapter,td=Ja.equals,rd=ed.getSiblings(qa),sd=rd.length-1;sd>=0;sd--){if(td(qa,rd[sd]))return!0;if(ed.isTag(rd[sd]))break}return!1},"first-of-type":function(qa,Ja){for(var ed=Ja.adapter,td=Ja.equals,rd=ed.getSiblings(qa),sd=ed.getName(qa),od=0;od<rd.length;od++){var ld=rd[od];if(td(qa,ld))return!0;if(ed.isTag(ld)&&ed.getName(ld)===sd)break}return!1},"last-of-type":function(qa,Ja){for(var ed=Ja.adapter,td=Ja.equals,rd=ed.getSiblings(qa),sd=ed.getName(qa),od=rd.length-1;od>=0;od--){var ld=rd[od];if(td(qa,ld))return!0;if(ed.isTag(ld)&&ed.getName(ld)===sd)break}return!1},"only-of-type":function(qa,Ja){var ed=Ja.adapter,td=Ja.equals,rd=ed.getName(qa);return ed.getSiblings(qa).every(function(sd){return td(qa,sd)||!ed.isTag(sd)||ed.getName(sd)!==rd})},"only-child":function(qa,Ja){var ed=Ja.adapter,td=Ja.equals;return ed.getSiblings(qa).every(function(rd){return td(qa,rd)||!ed.isTag(rd)})}};function Ra(qa,Ja,ed){if(ed===null){if(qa.length>2)throw new Error("pseudo-selector :".concat(Ja," requires an argument"))}else if(qa.length===2)throw new Error("pseudo-selector :".concat(Ja," doesn't have any arguments"))}return pseudos.verifyPseudoArgs=Ra,pseudos}var aliases={},hasRequiredAliases;function requireAliases(){return hasRequiredAliases||(hasRequiredAliases=1,Object.defineProperty(aliases,"__esModule",{value:!0}),aliases.aliases=void 0,aliases.aliases={"any-link":":is(a, area, link)[href]",link:":any-link:not(:visited)",disabled:`:is(
|
||
:is(button, input, select, textarea, optgroup, option)[disabled],
|
||
optgroup[disabled] > option,
|
||
fieldset[disabled]:not(fieldset[disabled] legend:first-of-type *)
|
||
)`,enabled:":not(:disabled)",checked:":is(:is(input[type=radio], input[type=checkbox])[checked], option:selected)",required:":is(input, select, textarea)[required]",optional:":is(input, select, textarea):not([required])",selected:"option:is([selected], select:not([multiple]):not(:has(> option[selected])) > :first-of-type)",checkbox:"[type=checkbox]",file:"[type=file]",password:"[type=password]",radio:"[type=radio]",reset:"[type=reset]",image:"[type=image]",submit:"[type=submit]",parent:":not(:empty)",header:":is(h1, h2, h3, h4, h5, h6)",button:":is(button, input[type=button])",input:":is(input, textarea, select, button)",text:"input:is(:not([type!='']), [type=text])"}),aliases}var subselects={},hasRequiredSubselects;function requireSubselects(){return hasRequiredSubselects||(hasRequiredSubselects=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__spreadArray||function(od,ld,cd){if(cd||arguments.length===2)for(var ud=0,_d=ld.length,yd;ud<_d;ud++)(yd||!(ud in ld))&&(yd||(yd=Array.prototype.slice.call(ld,0,ud)),yd[ud]=ld[ud]);return od.concat(yd||Array.prototype.slice.call(ld))};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.subselects=Ra.getNextSiblings=Ra.ensureIsTag=Ra.PLACEHOLDER_ELEMENT=void 0;var Ja=requireBoolbase(),ed=requireProcedure();Ra.PLACEHOLDER_ELEMENT={};function td(od,ld){return od===Ja.falseFunc?Ja.falseFunc:function(cd){return ld.isTag(cd)&&od(cd)}}Ra.ensureIsTag=td;function rd(od,ld){var cd=ld.getSiblings(od);if(cd.length<=1)return[];var ud=cd.indexOf(od);return ud<0||ud===cd.length-1?[]:cd.slice(ud+1).filter(ld.isTag)}Ra.getNextSiblings=rd;var sd=function(od,ld,cd,ud,_d){var yd={xmlMode:!!cd.xmlMode,adapter:cd.adapter,equals:cd.equals},gd=_d(ld,yd,ud);return function(Ed){return gd(Ed)&&od(Ed)}};Ra.subselects={is:sd,matches:sd,where:sd,not:function(od,ld,cd,ud,_d){var yd={xmlMode:!!cd.xmlMode,adapter:cd.adapter,equals:cd.equals},gd=_d(ld,yd,ud);return gd===Ja.falseFunc?od:gd===Ja.trueFunc?Ja.falseFunc:function(Td){return!gd(Td)&&od(Td)}},has:function(od,ld,cd,ud,_d){var yd=cd.adapter,gd={xmlMode:!!cd.xmlMode,adapter:yd,equals:cd.equals},Ed=ld.some(function(Id){return Id.some(ed.isTraversal)})?[Ra.PLACEHOLDER_ELEMENT]:void 0,Td=_d(ld,gd,Ed);if(Td===Ja.falseFunc)return Ja.falseFunc;if(Td===Ja.trueFunc)return function(Id){return yd.getChildren(Id).some(yd.isTag)&&od(Id)};var kd=td(Td,yd),Rd=Td.shouldTestNextSiblings,Nd=Rd===void 0?!1:Rd;return Ed?function(Id){Ed[0]=Id;var Md=yd.getChildren(Id),Ld=Nd?qa(qa([],Md,!0),rd(Id,yd),!0):Md;return od(Id)&&yd.existsOne(kd,Ld)}:function(Id){return od(Id)&&yd.existsOne(kd,yd.getChildren(Id))}}}}(subselects)),subselects}var hasRequiredPseudoSelectors;function requirePseudoSelectors(){return hasRequiredPseudoSelectors||(hasRequiredPseudoSelectors=1,function(Ra){Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.compilePseudoSelector=Ra.aliases=Ra.pseudos=Ra.filters=void 0;var qa=requireBoolbase(),Ja=require$$0$5,ed=requireFilters();Object.defineProperty(Ra,"filters",{enumerable:!0,get:function(){return ed.filters}});var td=requirePseudos();Object.defineProperty(Ra,"pseudos",{enumerable:!0,get:function(){return td.pseudos}});var rd=requireAliases();Object.defineProperty(Ra,"aliases",{enumerable:!0,get:function(){return rd.aliases}});var sd=requireSubselects();function od(ld,cd,ud,_d,yd){var gd=cd.name,Ed=cd.data;if(Array.isArray(Ed))return sd.subselects[gd](ld,Ed,ud,_d,yd);if(gd in rd.aliases){if(Ed!=null)throw new Error("Pseudo ".concat(gd," doesn't have any arguments"));var Td=(0,Ja.parse)(rd.aliases[gd]);return sd.subselects.is(ld,Td,ud,_d,yd)}if(gd in ed.filters)return ed.filters[gd](ld,Ed,ud,_d);if(gd in td.pseudos){var kd=td.pseudos[gd];return(0,td.verifyPseudoArgs)(kd,gd,Ed),kd===qa.falseFunc?qa.falseFunc:ld===qa.trueFunc?function(Rd){return kd(Rd,ud,Ed)}:function(Rd){return kd(Rd,ud,Ed)&&ld(Rd)}}throw new Error("unmatched pseudo-class :".concat(gd))}Ra.compilePseudoSelector=od}(pseudoSelectors)),pseudoSelectors}var hasRequiredGeneral;function requireGeneral(){if(hasRequiredGeneral)return general;hasRequiredGeneral=1,Object.defineProperty(general,"__esModule",{value:!0}),general.compileGeneralSelector=void 0;var Ra=requireAttributes$1(),qa=requirePseudoSelectors(),Ja=require$$0$5;function ed(td,rd,sd,od,ld){var cd=sd.adapter,ud=sd.equals;switch(rd.type){case Ja.SelectorType.PseudoElement:throw new Error("Pseudo-elements are not supported by css-select");case Ja.SelectorType.ColumnCombinator:throw new Error("Column combinators are not yet supported by css-select");case Ja.SelectorType.Attribute:{if(rd.namespace!=null)throw new Error("Namespaced attributes are not yet supported by css-select");return(!sd.xmlMode||sd.lowerCaseAttributeNames)&&(rd.name=rd.name.toLowerCase()),Ra.attributeRules[rd.action](td,rd,sd)}case Ja.SelectorType.Pseudo:return(0,qa.compilePseudoSelector)(td,rd,sd,od,ld);case Ja.SelectorType.Tag:{if(rd.namespace!=null)throw new Error("Namespaced tag names are not yet supported by css-select");var _d=rd.name;return(!sd.xmlMode||sd.lowerCaseTags)&&(_d=_d.toLowerCase()),function(Ed){return cd.getName(Ed)===_d&&td(Ed)}}case Ja.SelectorType.Descendant:{if(sd.cacheResults===!1||typeof WeakSet>"u")return function(Ed){for(var Td=Ed;Td=cd.getParent(Td);)if(cd.isTag(Td)&&td(Td))return!0;return!1};var yd=new WeakSet;return function(Ed){for(var Td=Ed;Td=cd.getParent(Td);)if(!yd.has(Td)){if(cd.isTag(Td)&&td(Td))return!0;yd.add(Td)}return!1}}case"_flexibleDescendant":return function(Ed){var Td=Ed;do if(cd.isTag(Td)&&td(Td))return!0;while(Td=cd.getParent(Td));return!1};case Ja.SelectorType.Parent:return function(Ed){return cd.getChildren(Ed).some(function(Td){return cd.isTag(Td)&&td(Td)})};case Ja.SelectorType.Child:return function(Ed){var Td=cd.getParent(Ed);return Td!=null&&cd.isTag(Td)&&td(Td)};case Ja.SelectorType.Sibling:return function(Ed){for(var Td=cd.getSiblings(Ed),kd=0;kd<Td.length;kd++){var Rd=Td[kd];if(ud(Ed,Rd))break;if(cd.isTag(Rd)&&td(Rd))return!0}return!1};case Ja.SelectorType.Adjacent:return cd.prevElementSibling?function(Ed){var Td=cd.prevElementSibling(Ed);return Td!=null&&td(Td)}:function(Ed){for(var Td=cd.getSiblings(Ed),kd,Rd=0;Rd<Td.length;Rd++){var Nd=Td[Rd];if(ud(Ed,Nd))break;cd.isTag(Nd)&&(kd=Nd)}return!!kd&&td(kd)};case Ja.SelectorType.Universal:{if(rd.namespace!=null&&rd.namespace!=="*")throw new Error("Namespaced universal selectors are not yet supported by css-select");return td}}}return general.compileGeneralSelector=ed,general}var hasRequiredCompile;function requireCompile(){if(hasRequiredCompile)return compile$1;hasRequiredCompile=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(Rd){return Rd&&Rd.__esModule?Rd:{default:Rd}};Object.defineProperty(compile$1,"__esModule",{value:!0}),compile$1.compileToken=compile$1.compileUnsafe=compile$1.compile=void 0;var qa=require$$0$5,Ja=requireBoolbase(),ed=Ra(requireSort()),td=requireProcedure(),rd=requireGeneral(),sd=requireSubselects();function od(Rd,Nd,Id){var Md=ld(Rd,Nd,Id);return(0,sd.ensureIsTag)(Md,Nd.adapter)}compile$1.compile=od;function ld(Rd,Nd,Id){var Md=typeof Rd=="string"?(0,qa.parse)(Rd):Rd;return Ed(Md,Nd,Id)}compile$1.compileUnsafe=ld;function cd(Rd){return Rd.type==="pseudo"&&(Rd.name==="scope"||Array.isArray(Rd.data)&&Rd.data.some(function(Nd){return Nd.some(cd)}))}var ud={type:qa.SelectorType.Descendant},_d={type:"_flexibleDescendant"},yd={type:qa.SelectorType.Pseudo,name:"scope",data:null};function gd(Rd,Nd,Id){for(var Md=Nd.adapter,Ld=!!(Id!=null&&Id.every(function(Ud){var Hd=Md.isTag(Ud)&&Md.getParent(Ud);return Ud===sd.PLACEHOLDER_ELEMENT||Hd&&Md.isTag(Hd)})),Pd=0,qd=Rd;Pd<qd.length;Pd++){var Yd=qd[Pd];if(!(Yd.length>0&&(0,td.isTraversal)(Yd[0])&&Yd[0].type!=="descendant"))if(Ld&&!Yd.some(cd))Yd.unshift(ud);else continue;Yd.unshift(yd)}}function Ed(Rd,Nd,Id){var Md;Rd=Rd.filter(function(Ud){return Ud.length>0}),Rd.forEach(ed.default),Id=(Md=Nd.context)!==null&&Md!==void 0?Md:Id;var Ld=Array.isArray(Id),Pd=Id&&(Array.isArray(Id)?Id:[Id]);gd(Rd,Nd,Pd);var qd=!1,Yd=Rd.map(function(Ud){if(Ud.length>=2){var Hd=Ud[0],Vd=Ud[1];Hd.type!=="pseudo"||Hd.name!=="scope"||(Ld&&Vd.type==="descendant"?Ud[1]=_d:(Vd.type==="adjacent"||Vd.type==="sibling")&&(qd=!0))}return Td(Ud,Nd,Pd)}).reduce(kd,Ja.falseFunc);return Yd.shouldTestNextSiblings=qd,Yd}compile$1.compileToken=Ed;function Td(Rd,Nd,Id){var Md;return Rd.reduce(function(Ld,Pd){return Ld===Ja.falseFunc?Ja.falseFunc:(0,rd.compileGeneralSelector)(Ld,Pd,Nd,Id,Ed)},(Md=Nd.rootFunc)!==null&&Md!==void 0?Md:Ja.trueFunc)}function kd(Rd,Nd){return Nd===Ja.falseFunc||Rd===Ja.trueFunc?Rd:Rd===Ja.falseFunc||Nd===Ja.trueFunc?Nd:function(Md){return Rd(Md)||Nd(Md)}}return compile$1}var hasRequiredLib$5;function requireLib$5(){return hasRequiredLib$5||(hasRequiredLib$5=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__createBinding||(Object.create?function(Rd,Nd,Id,Md){Md===void 0&&(Md=Id);var Ld=Object.getOwnPropertyDescriptor(Nd,Id);(!Ld||("get"in Ld?!Nd.__esModule:Ld.writable||Ld.configurable))&&(Ld={enumerable:!0,get:function(){return Nd[Id]}}),Object.defineProperty(Rd,Md,Ld)}:function(Rd,Nd,Id,Md){Md===void 0&&(Md=Id),Rd[Md]=Nd[Id]}),Ja=commonjsGlobal&&commonjsGlobal.__setModuleDefault||(Object.create?function(Rd,Nd){Object.defineProperty(Rd,"default",{enumerable:!0,value:Nd})}:function(Rd,Nd){Rd.default=Nd}),ed=commonjsGlobal&&commonjsGlobal.__importStar||function(Rd){if(Rd&&Rd.__esModule)return Rd;var Nd={};if(Rd!=null)for(var Id in Rd)Id!=="default"&&Object.prototype.hasOwnProperty.call(Rd,Id)&&qa(Nd,Rd,Id);return Ja(Nd,Rd),Nd};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.aliases=Ra.pseudos=Ra.filters=Ra.is=Ra.selectOne=Ra.selectAll=Ra.prepareContext=Ra._compileToken=Ra._compileUnsafe=Ra.compile=void 0;var td=ed(requireLib$7()),rd=requireBoolbase(),sd=requireCompile(),od=requireSubselects(),ld=function(Rd,Nd){return Rd===Nd},cd={adapter:td,equals:ld};function ud(Rd){var Nd,Id,Md,Ld,Pd=Rd??cd;return(Nd=Pd.adapter)!==null&&Nd!==void 0||(Pd.adapter=td),(Id=Pd.equals)!==null&&Id!==void 0||(Pd.equals=(Ld=(Md=Pd.adapter)===null||Md===void 0?void 0:Md.equals)!==null&&Ld!==void 0?Ld:ld),Pd}function _d(Rd){return function(Id,Md,Ld){var Pd=ud(Md);return Rd(Id,Pd,Ld)}}Ra.compile=_d(sd.compile),Ra._compileUnsafe=_d(sd.compileUnsafe),Ra._compileToken=_d(sd.compileToken);function yd(Rd){return function(Id,Md,Ld){var Pd=ud(Ld);typeof Id!="function"&&(Id=(0,sd.compileUnsafe)(Id,Pd,Md));var qd=gd(Md,Pd.adapter,Id.shouldTestNextSiblings);return Rd(Id,qd,Pd)}}function gd(Rd,Nd,Id){return Id===void 0&&(Id=!1),Id&&(Rd=Ed(Rd,Nd)),Array.isArray(Rd)?Nd.removeSubsets(Rd):Nd.getChildren(Rd)}Ra.prepareContext=gd;function Ed(Rd,Nd){for(var Id=Array.isArray(Rd)?Rd.slice(0):[Rd],Md=Id.length,Ld=0;Ld<Md;Ld++){var Pd=(0,od.getNextSiblings)(Id[Ld],Nd);Id.push.apply(Id,Pd)}return Id}Ra.selectAll=yd(function(Rd,Nd,Id){return Rd===rd.falseFunc||!Nd||Nd.length===0?[]:Id.adapter.findAll(Rd,Nd)}),Ra.selectOne=yd(function(Rd,Nd,Id){return Rd===rd.falseFunc||!Nd||Nd.length===0?null:Id.adapter.findOne(Rd,Nd)});function Td(Rd,Nd,Id){var Md=ud(Id);return(typeof Nd=="function"?Nd:(0,sd.compile)(Nd,Md))(Rd)}Ra.is=Td,Ra.default=Ra.selectAll;var kd=requirePseudoSelectors();Object.defineProperty(Ra,"filters",{enumerable:!0,get:function(){return kd.filters}}),Object.defineProperty(Ra,"pseudos",{enumerable:!0,get:function(){return kd.pseudos}}),Object.defineProperty(Ra,"aliases",{enumerable:!0,get:function(){return kd.aliases}})}(lib$c)),lib$c}var helpers={},positionals={},hasRequiredPositionals;function requirePositionals(){return hasRequiredPositionals||(hasRequiredPositionals=1,function(Ra){Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.getLimit=Ra.isFilter=Ra.filterNames=void 0,Ra.filterNames=new Set(["first","last","eq","gt","nth","lt","even","odd"]);function qa(ed){return ed.type!=="pseudo"?!1:Ra.filterNames.has(ed.name)?!0:ed.name==="not"&&Array.isArray(ed.data)?ed.data.some(function(td){return td.some(qa)}):!1}Ra.isFilter=qa;function Ja(ed,td){var rd=td!=null?parseInt(td,10):NaN;switch(ed){case"first":return 1;case"nth":case"eq":return isFinite(rd)?rd>=0?rd+1:1/0:0;case"lt":return isFinite(rd)?rd>=0?rd:1/0:0;case"gt":return isFinite(rd)?1/0:0;default:return 1/0}}Ra.getLimit=Ja}(positionals)),positionals}var hasRequiredHelpers;function requireHelpers(){if(hasRequiredHelpers)return helpers;hasRequiredHelpers=1,Object.defineProperty(helpers,"__esModule",{value:!0}),helpers.groupSelectors=helpers.getDocumentRoot=void 0;var Ra=requirePositionals();function qa(ed){for(;ed.parent;)ed=ed.parent;return ed}helpers.getDocumentRoot=qa;function Ja(ed){for(var td=[],rd=[],sd=0,od=ed;sd<od.length;sd++){var ld=od[sd];ld.some(Ra.isFilter)?td.push(ld):rd.push(ld)}return[rd,td]}return helpers.groupSelectors=Ja,helpers}var hasRequiredLib$4;function requireLib$4(){return hasRequiredLib$4||(hasRequiredLib$4=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__assign||function(){return qa=Object.assign||function(Zd){for(var pf,Xd=1,hf=arguments.length;Xd<hf;Xd++){pf=arguments[Xd];for(var _f in pf)Object.prototype.hasOwnProperty.call(pf,_f)&&(Zd[_f]=pf[_f])}return Zd},qa.apply(this,arguments)},Ja=commonjsGlobal&&commonjsGlobal.__createBinding||(Object.create?function(Zd,pf,Xd,hf){hf===void 0&&(hf=Xd);var _f=Object.getOwnPropertyDescriptor(pf,Xd);(!_f||("get"in _f?!pf.__esModule:_f.writable||_f.configurable))&&(_f={enumerable:!0,get:function(){return pf[Xd]}}),Object.defineProperty(Zd,hf,_f)}:function(Zd,pf,Xd,hf){hf===void 0&&(hf=Xd),Zd[hf]=pf[Xd]}),ed=commonjsGlobal&&commonjsGlobal.__setModuleDefault||(Object.create?function(Zd,pf){Object.defineProperty(Zd,"default",{enumerable:!0,value:pf})}:function(Zd,pf){Zd.default=pf}),td=commonjsGlobal&&commonjsGlobal.__importStar||function(Zd){if(Zd&&Zd.__esModule)return Zd;var pf={};if(Zd!=null)for(var Xd in Zd)Xd!=="default"&&Object.prototype.hasOwnProperty.call(Zd,Xd)&&Ja(pf,Zd,Xd);return ed(pf,Zd),pf},rd=commonjsGlobal&&commonjsGlobal.__spreadArray||function(Zd,pf,Xd){if(Xd||arguments.length===2)for(var hf=0,_f=pf.length,xf;hf<_f;hf++)(xf||!(hf in pf))&&(xf||(xf=Array.prototype.slice.call(pf,0,hf)),xf[hf]=pf[hf]);return Zd.concat(xf||Array.prototype.slice.call(pf))};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.select=Ra.filter=Ra.some=Ra.is=Ra.aliases=Ra.pseudos=Ra.filters=void 0;var sd=require$$0$5,od=requireLib$5(),ld=td(requireLib$7()),cd=requireHelpers(),ud=requirePositionals(),_d=requireLib$5();Object.defineProperty(Ra,"filters",{enumerable:!0,get:function(){return _d.filters}}),Object.defineProperty(Ra,"pseudos",{enumerable:!0,get:function(){return _d.pseudos}}),Object.defineProperty(Ra,"aliases",{enumerable:!0,get:function(){return _d.aliases}});var yd={type:sd.SelectorType.Pseudo,name:"scope",data:null},gd=qa({},yd),Ed={type:sd.SelectorType.Universal,namespace:null};function Td(Zd,pf,Xd){return Xd===void 0&&(Xd={}),kd([Zd],pf,Xd)}Ra.is=Td;function kd(Zd,pf,Xd){if(Xd===void 0&&(Xd={}),typeof pf=="function")return Zd.some(pf);var hf=(0,cd.groupSelectors)((0,sd.parse)(pf)),_f=hf[0],xf=hf[1];return _f.length>0&&Zd.some((0,od._compileToken)(_f,Xd))||xf.some(function(Lf){return Md(Lf,Zd,Xd).length>0})}Ra.some=kd;function Rd(Zd,pf,Xd,hf){var _f=typeof Xd=="string"?parseInt(Xd,10):NaN;switch(Zd){case"first":case"lt":return pf;case"last":return pf.length>0?[pf[pf.length-1]]:pf;case"nth":case"eq":return isFinite(_f)&&Math.abs(_f)<pf.length?[_f<0?pf[pf.length+_f]:pf[_f]]:[];case"gt":return isFinite(_f)?pf.slice(_f+1):[];case"even":return pf.filter(function(Lf,Wf){return Wf%2===0});case"odd":return pf.filter(function(Lf,Wf){return Wf%2===1});case"not":{var xf=new Set(Id(Xd,pf,hf));return pf.filter(function(Lf){return!xf.has(Lf)})}}}function Nd(Zd,pf,Xd){return Xd===void 0&&(Xd={}),Id((0,sd.parse)(Zd),pf,Xd)}Ra.filter=Nd;function Id(Zd,pf,Xd){if(pf.length===0)return[];var hf=(0,cd.groupSelectors)(Zd),_f=hf[0],xf=hf[1],Lf;if(_f.length){var Wf=Jd(pf,_f,Xd);if(xf.length===0)return Wf;Wf.length&&(Lf=new Set(Wf))}for(var Yf=0;Yf<xf.length&&(Lf==null?void 0:Lf.size)!==pf.length;Yf++){var If=xf[Yf],Sf=Lf?pf.filter(function(Kf){return ld.isTag(Kf)&&!Lf.has(Kf)}):pf;if(Sf.length===0)break;var Wf=Md(If,pf,Xd);if(Wf.length)if(Lf)Wf.forEach(function(Kf){return Lf.add(Kf)});else{if(Yf===xf.length-1)return Wf;Lf=new Set(Wf)}}return typeof Lf<"u"?Lf.size===pf.length?pf:pf.filter(function(wf){return Lf.has(wf)}):[]}function Md(Zd,pf,Xd){var hf;if(Zd.some(sd.isTraversal)){var _f=(hf=Xd.root)!==null&&hf!==void 0?hf:(0,cd.getDocumentRoot)(pf[0]),xf=rd(rd([],Zd,!0),[gd],!1);return Ud(_f,xf,Xd,!0,pf)}return Ud(pf,Zd,Xd,!1)}function Ld(Zd,pf,Xd){if(Xd===void 0&&(Xd={}),typeof Zd=="function")return Vd(pf,Zd);var hf=(0,cd.groupSelectors)((0,sd.parse)(Zd)),_f=hf[0],xf=hf[1],Lf=xf.map(function(Wf){return Ud(pf,Wf,Xd,!0)});return _f.length&&Lf.push(Hd(pf,_f,Xd,1/0)),Lf.length===0?[]:Lf.length===1?Lf[0]:ld.uniqueSort(Lf.reduce(function(Wf,Yf){return rd(rd([],Wf,!0),Yf,!0)}))}Ra.select=Ld;var Pd=new Set([sd.SelectorType.Descendant,sd.SelectorType.Adjacent]);function qd(Zd){return Zd!==yd&&Zd.type==="pseudo"&&(Zd.name==="scope"||Array.isArray(Zd.data)&&Zd.data.some(function(pf){return pf.some(qd)}))}function Yd(Zd,pf,Xd){return Xd&&Zd.some(qd)?qa(qa({},pf),{context:Xd}):pf}function Ud(Zd,pf,Xd,hf,_f){var xf=pf.findIndex(ud.isFilter),Lf=pf.slice(0,xf),Wf=pf[xf],Yf=(0,ud.getLimit)(Wf.name,Wf.data);if(Yf===0)return[];var If=Yd(Lf,Xd,_f),Sf=Lf.length===0&&!Array.isArray(Zd)?ld.getChildren(Zd).filter(ld.isTag):Lf.length===0||Lf.length===1&&Lf[0]===yd?(Array.isArray(Zd)?Zd:[Zd]).filter(ld.isTag):hf||Lf.some(sd.isTraversal)?Hd(Zd,[Lf],If,Yf):Jd(Zd,[Lf],If),wf=Sf.slice(0,Yf),Kf=Rd(Wf.name,wf,Wf.data,Xd);if(Kf.length===0||pf.length===xf+1)return Kf;var Gf=pf.slice(xf+1),gf=Gf.some(sd.isTraversal),mf=Yd(Gf,Xd,_f);return gf&&(Pd.has(Gf[0].type)&&Gf.unshift(Ed),Gf.unshift(yd)),Gf.some(ud.isFilter)?Ud(Kf,Gf,Xd,!1,_f):gf?Hd(Kf,[Gf],mf,1/0):Jd(Kf,[Gf],mf)}function Hd(Zd,pf,Xd,hf){if(hf===0)return[];var _f=(0,od._compileToken)(pf,Xd,Zd);return Vd(Zd,_f,hf)}function Vd(Zd,pf,Xd){Xd===void 0&&(Xd=1/0);var hf=(0,od.prepareContext)(Zd,ld,pf.shouldTestNextSiblings);return ld.find(function(_f){return ld.isTag(_f)&&pf(_f)},hf,!0,Xd)}function Jd(Zd,pf,Xd){var hf=(Array.isArray(Zd)?Zd:[Zd]).filter(ld.isTag);if(hf.length===0)return hf;var _f=(0,od._compileToken)(pf,Xd);return hf.filter(_f)}}(lib$d)),lib$d}var lib$5={},Parser={},Tokenizer={},decode_codepoint={};const require$$0$2={0:65533,128:8364,130:8218,131:402,132:8222,133:8230,134:8224,135:8225,136:710,137:8240,138:352,139:8249,140:338,142:381,145:8216,146:8217,147:8220,148:8221,149:8226,150:8211,151:8212,152:732,153:8482,154:353,155:8250,156:339,158:382,159:376};var hasRequiredDecode_codepoint;function requireDecode_codepoint(){if(hasRequiredDecode_codepoint)return decode_codepoint;hasRequiredDecode_codepoint=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(td){return td&&td.__esModule?td:{default:td}};Object.defineProperty(decode_codepoint,"__esModule",{value:!0});var qa=Ra(require$$0$2),Ja=String.fromCodePoint||function(td){var rd="";return td>65535&&(td-=65536,rd+=String.fromCharCode(td>>>10&1023|55296),td=56320|td&1023),rd+=String.fromCharCode(td),rd};function ed(td){return td>=55296&&td<=57343||td>1114111?"<22>":(td in qa.default&&(td=qa.default[td]),Ja(td))}return decode_codepoint.default=ed,decode_codepoint}const Aacute$1="Á",aacute$1="á",Abreve="Ă",abreve="ă",ac$2="∾",acd="∿",acE="∾̳",Acirc$1="Â",acirc$1="â",acute$1="´",Acy="А",acy="а",AElig$1="Æ",aelig$1="æ",af$1="",Afr="𝔄",afr="𝔞",Agrave$1="À",agrave$1="à",alefsym="ℵ",aleph="ℵ",Alpha="Α",alpha="α",Amacr="Ā",amacr="ā",amalg="⨿",amp$2="&",AMP$1="&",andand="⩕",And="⩓",and="∧",andd="⩜",andslope="⩘",andv="⩚",ang="∠",ange="⦤",angle="∠",angmsdaa="⦨",angmsdab="⦩",angmsdac="⦪",angmsdad="⦫",angmsdae="⦬",angmsdaf="⦭",angmsdag="⦮",angmsdah="⦯",angmsd="∡",angrt="∟",angrtvb="⊾",angrtvbd="⦝",angsph="∢",angst="Å",angzarr="⍼",Aogon="Ą",aogon="ą",Aopf="𝔸",aopf="𝕒",apacir="⩯",ap$2="≈",apE="⩰",ape="≊",apid="≋",apos$1="'",ApplyFunction="",approx="≈",approxeq="≊",Aring$1="Å",aring$1="å",Ascr="𝒜",ascr="𝒶",Assign="≔",ast="*",asymp="≈",asympeq="≍",Atilde$1="Ã",atilde$1="ã",Auml$1="Ä",auml$1="ä",awconint="∳",awint="⨑",backcong="≌",backepsilon="϶",backprime="‵",backsim="∽",backsimeq="⋍",Backslash="∖",Barv="⫧",barvee="⊽",barwed="⌅",Barwed="⌆",barwedge="⌅",bbrk="⎵",bbrktbrk="⎶",bcong="≌",Bcy="Б",bcy="б",bdquo="„",becaus="∵",because="∵",Because="∵",bemptyv="⦰",bepsi="϶",bernou="ℬ",Bernoullis="ℬ",Beta="Β",beta="β",beth="ℶ",between="≬",Bfr="𝔅",bfr="𝔟",bigcap="⋂",bigcirc="◯",bigcup="⋃",bigodot="⨀",bigoplus="⨁",bigotimes="⨂",bigsqcup="⨆",bigstar="★",bigtriangledown="▽",bigtriangleup="△",biguplus="⨄",bigvee="⋁",bigwedge="⋀",bkarow="⤍",blacklozenge="⧫",blacksquare="▪",blacktriangle="▴",blacktriangledown="▾",blacktriangleleft="◂",blacktriangleright="▸",blank="␣",blk12="▒",blk14="░",blk34="▓",block="█",bne="=⃥",bnequiv="≡⃥",bNot="⫭",bnot="⌐",Bopf="𝔹",bopf="𝕓",bot="⊥",bottom="⊥",bowtie="⋈",boxbox="⧉",boxdl="┐",boxdL="╕",boxDl="╖",boxDL="╗",boxdr="┌",boxdR="╒",boxDr="╓",boxDR="╔",boxh="─",boxH="═",boxhd="┬",boxHd="╤",boxhD="╥",boxHD="╦",boxhu="┴",boxHu="╧",boxhU="╨",boxHU="╩",boxminus="⊟",boxplus="⊞",boxtimes="⊠",boxul="┘",boxuL="╛",boxUl="╜",boxUL="╝",boxur="└",boxuR="╘",boxUr="╙",boxUR="╚",boxv="│",boxV="║",boxvh="┼",boxvH="╪",boxVh="╫",boxVH="╬",boxvl="┤",boxvL="╡",boxVl="╢",boxVL="╣",boxvr="├",boxvR="╞",boxVr="╟",boxVR="╠",bprime="‵",breve="˘",Breve="˘",brvbar$1="¦",bscr="𝒷",Bscr="ℬ",bsemi="⁏",bsim="∽",bsime="⋍",bsolb="⧅",bsol="\\",bsolhsub="⟈",bull="•",bullet="•",bump="≎",bumpE="⪮",bumpe="≏",Bumpeq="≎",bumpeq="≏",Cacute="Ć",cacute="ć",capand="⩄",capbrcup="⩉",capcap="⩋",cap="∩",Cap="⋒",capcup="⩇",capdot="⩀",CapitalDifferentialD="ⅅ",caps="∩︀",caret="⁁",caron="ˇ",Cayleys="ℭ",ccaps="⩍",Ccaron="Č",ccaron="č",Ccedil$1="Ç",ccedil$1="ç",Ccirc="Ĉ",ccirc="ĉ",Cconint="∰",ccups="⩌",ccupssm="⩐",Cdot="Ċ",cdot="ċ",cedil$1="¸",Cedilla="¸",cemptyv="⦲",cent$1="¢",centerdot="·",CenterDot="·",cfr="𝔠",Cfr="ℭ",CHcy="Ч",chcy="ч",check="✓",checkmark="✓",Chi="Χ",chi="χ",circ="ˆ",circeq="≗",circlearrowleft="↺",circlearrowright="↻",circledast="⊛",circledcirc="⊚",circleddash="⊝",CircleDot="⊙",circledR="®",circledS="Ⓢ",CircleMinus="⊖",CirclePlus="⊕",CircleTimes="⊗",cir="○",cirE="⧃",cire="≗",cirfnint="⨐",cirmid="⫯",cirscir="⧂",ClockwiseContourIntegral="∲",CloseCurlyDoubleQuote="”",CloseCurlyQuote="’",clubs="♣",clubsuit="♣",colon=":",Colon="∷",Colone="⩴",colone="≔",coloneq="≔",comma=",",commat="@",comp="∁",compfn="∘",complement="∁",complexes="ℂ",cong="≅",congdot="⩭",Congruent="≡",conint="∮",Conint="∯",ContourIntegral="∮",copf="𝕔",Copf="ℂ",coprod="∐",Coproduct="∐",copy$1="©",COPY$1="©",copysr="℗",CounterClockwiseContourIntegral="∳",crarr="↵",cross="✗",Cross="⨯",Cscr="𝒞",cscr="𝒸",csub="⫏",csube="⫑",csup="⫐",csupe="⫒",ctdot="⋯",cudarrl="⤸",cudarrr="⤵",cuepr="⋞",cuesc="⋟",cularr="↶",cularrp="⤽",cupbrcap="⩈",cupcap="⩆",CupCap="≍",cup="∪",Cup="⋓",cupcup="⩊",cupdot="⊍",cupor="⩅",cups="∪︀",curarr="↷",curarrm="⤼",curlyeqprec="⋞",curlyeqsucc="⋟",curlyvee="⋎",curlywedge="⋏",curren$1="¤",curvearrowleft="↶",curvearrowright="↷",cuvee="⋎",cuwed="⋏",cwconint="∲",cwint="∱",cylcty="⌭",dagger="†",Dagger="‡",daleth="ℸ",darr="↓",Darr="↡",dArr="⇓",dash="‐",Dashv="⫤",dashv="⊣",dbkarow="⤏",dblac="˝",Dcaron="Ď",dcaron="ď",Dcy="Д",dcy="д",ddagger="‡",ddarr="⇊",DD="ⅅ",dd="ⅆ",DDotrahd="⤑",ddotseq="⩷",deg$1="°",Del="∇",Delta="Δ",delta="δ",demptyv="⦱",dfisht="⥿",Dfr="𝔇",dfr="𝔡",dHar="⥥",dharl="⇃",dharr="⇂",DiacriticalAcute="´",DiacriticalDot="˙",DiacriticalDoubleAcute="˝",DiacriticalGrave="`",DiacriticalTilde="˜",diam="⋄",diamond="⋄",Diamond="⋄",diamondsuit="♦",diams="♦",die="¨",DifferentialD="ⅆ",digamma="ϝ",disin="⋲",div="÷",divide$1="÷",divideontimes="⋇",divonx="⋇",DJcy="Ђ",djcy="ђ",dlcorn="⌞",dlcrop="⌍",dollar="$",Dopf="𝔻",dopf="𝕕",Dot="¨",dot="˙",DotDot="⃜",doteq="≐",doteqdot="≑",DotEqual="≐",dotminus="∸",dotplus="∔",dotsquare="⊡",doublebarwedge="⌆",DoubleContourIntegral="∯",DoubleDot="¨",DoubleDownArrow="⇓",DoubleLeftArrow="⇐",DoubleLeftRightArrow="⇔",DoubleLeftTee="⫤",DoubleLongLeftArrow="⟸",DoubleLongLeftRightArrow="⟺",DoubleLongRightArrow="⟹",DoubleRightArrow="⇒",DoubleRightTee="⊨",DoubleUpArrow="⇑",DoubleUpDownArrow="⇕",DoubleVerticalBar="∥",DownArrowBar="⤓",downarrow="↓",DownArrow="↓",Downarrow="⇓",DownArrowUpArrow="⇵",DownBreve="̑",downdownarrows="⇊",downharpoonleft="⇃",downharpoonright="⇂",DownLeftRightVector="⥐",DownLeftTeeVector="⥞",DownLeftVectorBar="⥖",DownLeftVector="↽",DownRightTeeVector="⥟",DownRightVectorBar="⥗",DownRightVector="⇁",DownTeeArrow="↧",DownTee="⊤",drbkarow="⤐",drcorn="⌟",drcrop="⌌",Dscr="𝒟",dscr="𝒹",DScy="Ѕ",dscy="ѕ",dsol="⧶",Dstrok="Đ",dstrok="đ",dtdot="⋱",dtri="▿",dtrif="▾",duarr="⇵",duhar="⥯",dwangle="⦦",DZcy="Џ",dzcy="џ",dzigrarr="⟿",Eacute$1="É",eacute$1="é",easter="⩮",Ecaron="Ě",ecaron="ě",Ecirc$1="Ê",ecirc$1="ê",ecir="≖",ecolon="≕",Ecy="Э",ecy="э",eDDot="⩷",Edot="Ė",edot="ė",eDot="≑",ee$3="ⅇ",efDot="≒",Efr="𝔈",efr="𝔢",eg="⪚",Egrave$1="È",egrave$1="è",egs="⪖",egsdot="⪘",el$3="⪙",Element$1="∈",elinters="⏧",ell="ℓ",els="⪕",elsdot="⪗",Emacr="Ē",emacr="ē",empty="∅",emptyset="∅",EmptySmallSquare="◻",emptyv="∅",EmptyVerySmallSquare="▫",emsp13=" ",emsp14=" ",emsp=" ",ENG="Ŋ",eng="ŋ",ensp=" ",Eogon="Ę",eogon="ę",Eopf="𝔼",eopf="𝕖",epar="⋕",eparsl="⧣",eplus="⩱",epsi="ε",Epsilon="Ε",epsilon="ε",epsiv="ϵ",eqcirc="≖",eqcolon="≕",eqsim="≂",eqslantgtr="⪖",eqslantless="⪕",Equal="⩵",equals="=",EqualTilde="≂",equest="≟",Equilibrium="⇌",equiv="≡",equivDD="⩸",eqvparsl="⧥",erarr="⥱",erDot="≓",escr="ℯ",Escr="ℰ",esdot="≐",Esim="⩳",esim="≂",Eta="Η",eta="η",ETH$1="Ð",eth$1="ð",Euml$1="Ë",euml$1="ë",euro="€",excl="!",exist="∃",Exists="∃",expectation="ℰ",exponentiale="ⅇ",ExponentialE="ⅇ",fallingdotseq="≒",Fcy="Ф",fcy="ф",female="♀",ffilig="ffi",fflig="ff",ffllig="ffl",Ffr="𝔉",ffr="𝔣",filig="fi",FilledSmallSquare="◼",FilledVerySmallSquare="▪",fjlig="fj",flat="♭",fllig="fl",fltns="▱",fnof="ƒ",Fopf="𝔽",fopf="𝕗",forall="∀",ForAll="∀",fork="⋔",forkv="⫙",Fouriertrf="ℱ",fpartint="⨍",frac12$1="½",frac13="⅓",frac14$1="¼",frac15="⅕",frac16="⅙",frac18="⅛",frac23="⅔",frac25="⅖",frac34$1="¾",frac35="⅗",frac38="⅜",frac45="⅘",frac56="⅚",frac58="⅝",frac78="⅞",frasl="⁄",frown="⌢",fscr="𝒻",Fscr="ℱ",gacute="ǵ",Gamma="Γ",gamma="γ",Gammad="Ϝ",gammad="ϝ",gap="⪆",Gbreve="Ğ",gbreve="ğ",Gcedil="Ģ",Gcirc="Ĝ",gcirc="ĝ",Gcy="Г",gcy="г",Gdot="Ġ",gdot="ġ",ge$5="≥",gE="≧",gEl="⪌",gel="⋛",geq="≥",geqq="≧",geqslant="⩾",gescc="⪩",ges="⩾",gesdot="⪀",gesdoto="⪂",gesdotol="⪄",gesl="⋛︀",gesles="⪔",Gfr="𝔊",gfr="𝔤",gg="≫",Gg="⋙",ggg="⋙",gimel="ℷ",GJcy="Ѓ",gjcy="ѓ",gla="⪥",gl$3="≷",glE="⪒",glj="⪤",gnap="⪊",gnapprox="⪊",gne="⪈",gnE="≩",gneq="⪈",gneqq="≩",gnsim="⋧",Gopf="𝔾",gopf="𝕘",grave="`",GreaterEqual="≥",GreaterEqualLess="⋛",GreaterFullEqual="≧",GreaterGreater="⪢",GreaterLess="≷",GreaterSlantEqual="⩾",GreaterTilde="≳",Gscr="𝒢",gscr="ℊ",gsim="≳",gsime="⪎",gsiml="⪐",gtcc="⪧",gtcir="⩺",gt$5=">",GT$1=">",Gt$4="≫",gtdot="⋗",gtlPar="⦕",gtquest="⩼",gtrapprox="⪆",gtrarr="⥸",gtrdot="⋗",gtreqless="⋛",gtreqqless="⪌",gtrless="≷",gtrsim="≳",gvertneqq="≩︀",gvnE="≩︀",Hacek="ˇ",hairsp=" ",half="½",hamilt="ℋ",HARDcy="Ъ",hardcy="ъ",harrcir="⥈",harr="↔",hArr="⇔",harrw="↭",Hat="^",hbar="ℏ",Hcirc="Ĥ",hcirc="ĥ",hearts="♥",heartsuit="♥",hellip="…",hercon="⊹",hfr="𝔥",Hfr="ℌ",HilbertSpace="ℋ",hksearow="⤥",hkswarow="⤦",hoarr="⇿",homtht="∻",hookleftarrow="↩",hookrightarrow="↪",hopf="𝕙",Hopf="ℍ",horbar="―",HorizontalLine="─",hscr="𝒽",Hscr="ℋ",hslash="ℏ",Hstrok="Ħ",hstrok="ħ",HumpDownHump="≎",HumpEqual="≏",hybull="⁃",hyphen="‐",Iacute$1="Í",iacute$1="í",ic$2="",Icirc$1="Î",icirc$1="î",Icy="И",icy="и",Idot="İ",IEcy="Е",iecy="е",iexcl$1="¡",iff="⇔",ifr="𝔦",Ifr="ℑ",Igrave$1="Ì",igrave$1="ì",ii$5="ⅈ",iiiint="⨌",iiint="∭",iinfin="⧜",iiota="℩",IJlig="IJ",ijlig="ij",Imacr="Ī",imacr="ī",image="ℑ",ImaginaryI="ⅈ",imagline="ℐ",imagpart="ℑ",imath="ı",Im="ℑ",imof="⊷",imped="Ƶ",Implies="⇒",incare="℅",infin="∞",infintie="⧝",inodot="ı",intcal="⊺",int="∫",Int="∬",integers="ℤ",Integral="∫",intercal="⊺",Intersection="⋂",intlarhk="⨗",intprod="⨼",InvisibleComma="",InvisibleTimes="",IOcy="Ё",iocy="ё",Iogon="Į",iogon="į",Iopf="𝕀",iopf="𝕚",Iota="Ι",iota="ι",iprod="⨼",iquest$1="¿",iscr="𝒾",Iscr="ℐ",isin="∈",isindot="⋵",isinE="⋹",isins="⋴",isinsv="⋳",isinv="∈",it$3="",Itilde="Ĩ",itilde="ĩ",Iukcy="І",iukcy="і",Iuml$1="Ï",iuml$1="ï",Jcirc="Ĵ",jcirc="ĵ",Jcy="Й",jcy="й",Jfr="𝔍",jfr="𝔧",jmath="ȷ",Jopf="𝕁",jopf="𝕛",Jscr="𝒥",jscr="𝒿",Jsercy="Ј",jsercy="ј",Jukcy="Є",jukcy="є",Kappa="Κ",kappa="κ",kappav="ϰ",Kcedil="Ķ",kcedil="ķ",Kcy="К",kcy="к",Kfr="𝔎",kfr="𝔨",kgreen="ĸ",KHcy="Х",khcy="х",KJcy="Ќ",kjcy="ќ",Kopf="𝕂",kopf="𝕜",Kscr="𝒦",kscr="𝓀",lAarr="⇚",Lacute="Ĺ",lacute="ĺ",laemptyv="⦴",lagran="ℒ",Lambda="Λ",lambda="λ",lang="⟨",Lang="⟪",langd="⦑",langle="⟨",lap="⪅",Laplacetrf="ℒ",laquo$1="«",larrb="⇤",larrbfs="⤟",larr="←",Larr="↞",lArr="⇐",larrfs="⤝",larrhk="↩",larrlp="↫",larrpl="⤹",larrsim="⥳",larrtl="↢",latail="⤙",lAtail="⤛",lat="⪫",late="⪭",lates="⪭︀",lbarr="⤌",lBarr="⤎",lbbrk="❲",lbrace="{",lbrack="[",lbrke="⦋",lbrksld="⦏",lbrkslu="⦍",Lcaron="Ľ",lcaron="ľ",Lcedil="Ļ",lcedil="ļ",lceil="⌈",lcub="{",Lcy="Л",lcy="л",ldca="⤶",ldquo="“",ldquor="„",ldrdhar="⥧",ldrushar="⥋",ldsh="↲",le$4="≤",lE="≦",LeftAngleBracket="⟨",LeftArrowBar="⇤",leftarrow="←",LeftArrow="←",Leftarrow="⇐",LeftArrowRightArrow="⇆",leftarrowtail="↢",LeftCeiling="⌈",LeftDoubleBracket="⟦",LeftDownTeeVector="⥡",LeftDownVectorBar="⥙",LeftDownVector="⇃",LeftFloor="⌊",leftharpoondown="↽",leftharpoonup="↼",leftleftarrows="⇇",leftrightarrow="↔",LeftRightArrow="↔",Leftrightarrow="⇔",leftrightarrows="⇆",leftrightharpoons="⇋",leftrightsquigarrow="↭",LeftRightVector="⥎",LeftTeeArrow="↤",LeftTee="⊣",LeftTeeVector="⥚",leftthreetimes="⋋",LeftTriangleBar="⧏",LeftTriangle="⊲",LeftTriangleEqual="⊴",LeftUpDownVector="⥑",LeftUpTeeVector="⥠",LeftUpVectorBar="⥘",LeftUpVector="↿",LeftVectorBar="⥒",LeftVector="↼",lEg="⪋",leg="⋚",leq="≤",leqq="≦",leqslant="⩽",lescc="⪨",les="⩽",lesdot="⩿",lesdoto="⪁",lesdotor="⪃",lesg="⋚︀",lesges="⪓",lessapprox="⪅",lessdot="⋖",lesseqgtr="⋚",lesseqqgtr="⪋",LessEqualGreater="⋚",LessFullEqual="≦",LessGreater="≶",lessgtr="≶",LessLess="⪡",lesssim="≲",LessSlantEqual="⩽",LessTilde="≲",lfisht="⥼",lfloor="⌊",Lfr="𝔏",lfr="𝔩",lg="≶",lgE="⪑",lHar="⥢",lhard="↽",lharu="↼",lharul="⥪",lhblk="▄",LJcy="Љ",ljcy="љ",llarr="⇇",ll$3="≪",Ll$4="⋘",llcorner="⌞",Lleftarrow="⇚",llhard="⥫",lltri="◺",Lmidot="Ŀ",lmidot="ŀ",lmoustache="⎰",lmoust="⎰",lnap="⪉",lnapprox="⪉",lne="⪇",lnE="≨",lneq="⪇",lneqq="≨",lnsim="⋦",loang="⟬",loarr="⇽",lobrk="⟦",longleftarrow="⟵",LongLeftArrow="⟵",Longleftarrow="⟸",longleftrightarrow="⟷",LongLeftRightArrow="⟷",Longleftrightarrow="⟺",longmapsto="⟼",longrightarrow="⟶",LongRightArrow="⟶",Longrightarrow="⟹",looparrowleft="↫",looparrowright="↬",lopar="⦅",Lopf="𝕃",lopf="𝕝",loplus="⨭",lotimes="⨴",lowast="∗",lowbar="_",LowerLeftArrow="↙",LowerRightArrow="↘",loz="◊",lozenge="◊",lozf="⧫",lpar="(",lparlt="⦓",lrarr="⇆",lrcorner="⌟",lrhar="⇋",lrhard="⥭",lrm="",lrtri="⊿",lsaquo="‹",lscr="𝓁",Lscr="ℒ",lsh="↰",Lsh="↰",lsim="≲",lsime="⪍",lsimg="⪏",lsqb="[",lsquo="‘",lsquor="‚",Lstrok="Ł",lstrok="ł",ltcc="⪦",ltcir="⩹",lt$5="<",LT$1="<",Lt$3="≪",ltdot="⋖",lthree="⋋",ltimes="⋉",ltlarr="⥶",ltquest="⩻",ltri="◃",ltrie="⊴",ltrif="◂",ltrPar="⦖",lurdshar="⥊",luruhar="⥦",lvertneqq="≨︀",lvnE="≨︀",macr$1="¯",male="♂",malt="✠",maltese="✠",map$2="↦",mapsto="↦",mapstodown="↧",mapstoleft="↤",mapstoup="↥",marker="▮",mcomma="⨩",Mcy="М",mcy="м",mdash="—",mDDot="∺",measuredangle="∡",MediumSpace=" ",Mellintrf="ℳ",Mfr="𝔐",mfr="𝔪",mho="℧",micro$1="µ",midast="*",midcir="⫰",mid="∣",middot$1="·",minusb="⊟",minus="−",minusd="∸",minusdu="⨪",MinusPlus="∓",mlcp="⫛",mldr="…",mnplus="∓",models="⊧",Mopf="𝕄",mopf="𝕞",mp$1="∓",mscr="𝓂",Mscr="ℳ",mstpos="∾",Mu$3="Μ",mu$3="μ",multimap="⊸",mumap="⊸",nabla="∇",Nacute="Ń",nacute="ń",nang="∠⃒",nap="≉",napE="⩰̸",napid="≋̸",napos="ʼn",napprox="≉",natural="♮",naturals="ℕ",natur="♮",nbsp$1=" ",nbump="≎̸",nbumpe="≏̸",ncap="⩃",Ncaron="Ň",ncaron="ň",Ncedil="Ņ",ncedil="ņ",ncong="≇",ncongdot="⩭̸",ncup="⩂",Ncy="Н",ncy="н",ndash="–",nearhk="⤤",nearr="↗",neArr="⇗",nearrow="↗",ne$4="≠",nedot="≐̸",NegativeMediumSpace="",NegativeThickSpace="",NegativeThinSpace="",NegativeVeryThinSpace="",nequiv="≢",nesear="⤨",nesim="≂̸",NestedGreaterGreater="≫",NestedLessLess="≪",NewLine=`
|
||
`,nexist="∄",nexists="∄",Nfr="𝔑",nfr="𝔫",ngE="≧̸",nge="≱",ngeq="≱",ngeqq="≧̸",ngeqslant="⩾̸",nges="⩾̸",nGg="⋙̸",ngsim="≵",nGt="≫⃒",ngt="≯",ngtr="≯",nGtv="≫̸",nharr="↮",nhArr="⇎",nhpar="⫲",ni$5="∋",nis="⋼",nisd="⋺",niv="∋",NJcy="Њ",njcy="њ",nlarr="↚",nlArr="⇍",nldr="‥",nlE="≦̸",nle="≰",nleftarrow="↚",nLeftarrow="⇍",nleftrightarrow="↮",nLeftrightarrow="⇎",nleq="≰",nleqq="≦̸",nleqslant="⩽̸",nles="⩽̸",nless="≮",nLl="⋘̸",nlsim="≴",nLt="≪⃒",nlt="≮",nltri="⋪",nltrie="⋬",nLtv="≪̸",nmid="∤",NoBreak="",NonBreakingSpace=" ",nopf="𝕟",Nopf="ℕ",Not="⫬",not$1="¬",NotCongruent="≢",NotCupCap="≭",NotDoubleVerticalBar="∦",NotElement="∉",NotEqual="≠",NotEqualTilde="≂̸",NotExists="∄",NotGreater="≯",NotGreaterEqual="≱",NotGreaterFullEqual="≧̸",NotGreaterGreater="≫̸",NotGreaterLess="≹",NotGreaterSlantEqual="⩾̸",NotGreaterTilde="≵",NotHumpDownHump="≎̸",NotHumpEqual="≏̸",notin="∉",notindot="⋵̸",notinE="⋹̸",notinva="∉",notinvb="⋷",notinvc="⋶",NotLeftTriangleBar="⧏̸",NotLeftTriangle="⋪",NotLeftTriangleEqual="⋬",NotLess="≮",NotLessEqual="≰",NotLessGreater="≸",NotLessLess="≪̸",NotLessSlantEqual="⩽̸",NotLessTilde="≴",NotNestedGreaterGreater="⪢̸",NotNestedLessLess="⪡̸",notni="∌",notniva="∌",notnivb="⋾",notnivc="⋽",NotPrecedes="⊀",NotPrecedesEqual="⪯̸",NotPrecedesSlantEqual="⋠",NotReverseElement="∌",NotRightTriangleBar="⧐̸",NotRightTriangle="⋫",NotRightTriangleEqual="⋭",NotSquareSubset="⊏̸",NotSquareSubsetEqual="⋢",NotSquareSuperset="⊐̸",NotSquareSupersetEqual="⋣",NotSubset="⊂⃒",NotSubsetEqual="⊈",NotSucceeds="⊁",NotSucceedsEqual="⪰̸",NotSucceedsSlantEqual="⋡",NotSucceedsTilde="≿̸",NotSuperset="⊃⃒",NotSupersetEqual="⊉",NotTilde="≁",NotTildeEqual="≄",NotTildeFullEqual="≇",NotTildeTilde="≉",NotVerticalBar="∤",nparallel="∦",npar="∦",nparsl="⫽⃥",npart="∂̸",npolint="⨔",npr="⊀",nprcue="⋠",nprec="⊀",npreceq="⪯̸",npre="⪯̸",nrarrc="⤳̸",nrarr="↛",nrArr="⇏",nrarrw="↝̸",nrightarrow="↛",nRightarrow="⇏",nrtri="⋫",nrtrie="⋭",nsc="⊁",nsccue="⋡",nsce="⪰̸",Nscr="𝒩",nscr="𝓃",nshortmid="∤",nshortparallel="∦",nsim="≁",nsime="≄",nsimeq="≄",nsmid="∤",nspar="∦",nsqsube="⋢",nsqsupe="⋣",nsub="⊄",nsubE="⫅̸",nsube="⊈",nsubset="⊂⃒",nsubseteq="⊈",nsubseteqq="⫅̸",nsucc="⊁",nsucceq="⪰̸",nsup="⊅",nsupE="⫆̸",nsupe="⊉",nsupset="⊃⃒",nsupseteq="⊉",nsupseteqq="⫆̸",ntgl="≹",Ntilde$1="Ñ",ntilde$1="ñ",ntlg="≸",ntriangleleft="⋪",ntrianglelefteq="⋬",ntriangleright="⋫",ntrianglerighteq="⋭",Nu$3="Ν",nu$5="ν",num="#",numero="№",numsp=" ",nvap="≍⃒",nvdash="⊬",nvDash="⊭",nVdash="⊮",nVDash="⊯",nvge="≥⃒",nvgt=">⃒",nvHarr="⤄",nvinfin="⧞",nvlArr="⤂",nvle="≤⃒",nvlt="<⃒",nvltrie="⊴⃒",nvrArr="⤃",nvrtrie="⊵⃒",nvsim="∼⃒",nwarhk="⤣",nwarr="↖",nwArr="⇖",nwarrow="↖",nwnear="⤧",Oacute$1="Ó",oacute$1="ó",oast="⊛",Ocirc$1="Ô",ocirc$1="ô",ocir="⊚",Ocy="О",ocy="о",odash="⊝",Odblac="Ő",odblac="ő",odiv="⨸",odot="⊙",odsold="⦼",OElig="Œ",oelig="œ",ofcir="⦿",Ofr="𝔒",ofr="𝔬",ogon="˛",Ograve$1="Ò",ograve$1="ò",ogt="⧁",ohbar="⦵",ohm="Ω",oint="∮",olarr="↺",olcir="⦾",olcross="⦻",oline="‾",olt="⧀",Omacr="Ō",omacr="ō",Omega="Ω",omega="ω",Omicron="Ο",omicron="ο",omid="⦶",ominus="⊖",Oopf="𝕆",oopf="𝕠",opar="⦷",OpenCurlyDoubleQuote="“",OpenCurlyQuote="‘",operp="⦹",oplus="⊕",orarr="↻",Or$4="⩔",or$3="∨",ord="⩝",order="ℴ",orderof="ℴ",ordf$1="ª",ordm$1="º",origof="⊶",oror="⩖",orslope="⩗",orv="⩛",oS="Ⓢ",Oscr="𝒪",oscr="ℴ",Oslash$1="Ø",oslash$1="ø",osol="⊘",Otilde$1="Õ",otilde$1="õ",otimesas="⨶",Otimes="⨷",otimes="⊗",Ouml$1="Ö",ouml$1="ö",ovbar="⌽",OverBar="‾",OverBrace="⏞",OverBracket="⎴",OverParenthesis="⏜",para$1="¶",parallel$1="∥",par="∥",parsim="⫳",parsl="⫽",part="∂",PartialD="∂",Pcy="П",pcy="п",percnt="%",period=".",permil="‰",perp="⊥",pertenk="‱",Pfr="𝔓",pfr="𝔭",Phi="Φ",phi="φ",phiv="ϕ",phmmat="ℳ",phone="☎",Pi$3="Π",pi$5="π",pitchfork="⋔",piv="ϖ",planck="ℏ",planckh="ℎ",plankv="ℏ",plusacir="⨣",plusb="⊞",pluscir="⨢",plus="+",plusdo="∔",plusdu="⨥",pluse="⩲",PlusMinus="±",plusmn$1="±",plussim="⨦",plustwo="⨧",pm="±",Poincareplane="ℌ",pointint="⨕",popf="𝕡",Popf="ℙ",pound$1="£",prap="⪷",Pr$4="⪻",pr$3="≺",prcue="≼",precapprox="⪷",prec="≺",preccurlyeq="≼",Precedes="≺",PrecedesEqual="⪯",PrecedesSlantEqual="≼",PrecedesTilde="≾",preceq="⪯",precnapprox="⪹",precneqq="⪵",precnsim="⋨",pre="⪯",prE="⪳",precsim="≾",prime="′",Prime="″",primes="ℙ",prnap="⪹",prnE="⪵",prnsim="⋨",prod="∏",Product="∏",profalar="⌮",profline="⌒",profsurf="⌓",prop="∝",Proportional="∝",Proportion="∷",propto="∝",prsim="≾",prurel="⊰",Pscr="𝒫",pscr="𝓅",Psi="Ψ",psi="ψ",puncsp=" ",Qfr="𝔔",qfr="𝔮",qint="⨌",qopf="𝕢",Qopf="ℚ",qprime="⁗",Qscr="𝒬",qscr="𝓆",quaternions="ℍ",quatint="⨖",quest="?",questeq="≟",quot$2='"',QUOT$1='"',rAarr="⇛",race$2="∽̱",Racute="Ŕ",racute="ŕ",radic="√",raemptyv="⦳",rang="⟩",Rang="⟫",rangd="⦒",range$2="⦥",rangle="⟩",raquo$1="»",rarrap="⥵",rarrb="⇥",rarrbfs="⤠",rarrc="⤳",rarr="→",Rarr="↠",rArr="⇒",rarrfs="⤞",rarrhk="↪",rarrlp="↬",rarrpl="⥅",rarrsim="⥴",Rarrtl="⤖",rarrtl="↣",rarrw="↝",ratail="⤚",rAtail="⤜",ratio="∶",rationals="ℚ",rbarr="⤍",rBarr="⤏",RBarr="⤐",rbbrk="❳",rbrace="}",rbrack="]",rbrke="⦌",rbrksld="⦎",rbrkslu="⦐",Rcaron="Ř",rcaron="ř",Rcedil="Ŗ",rcedil="ŗ",rceil="⌉",rcub="}",Rcy="Р",rcy="р",rdca="⤷",rdldhar="⥩",rdquo="”",rdquor="”",rdsh="↳",real="ℜ",realine="ℛ",realpart="ℜ",reals="ℝ",Re$6="ℜ",rect="▭",reg$1="®",REG$1="®",ReverseElement="∋",ReverseEquilibrium="⇋",ReverseUpEquilibrium="⥯",rfisht="⥽",rfloor="⌋",rfr="𝔯",Rfr="ℜ",rHar="⥤",rhard="⇁",rharu="⇀",rharul="⥬",Rho="Ρ",rho="ρ",rhov="ϱ",RightAngleBracket="⟩",RightArrowBar="⇥",rightarrow="→",RightArrow="→",Rightarrow="⇒",RightArrowLeftArrow="⇄",rightarrowtail="↣",RightCeiling="⌉",RightDoubleBracket="⟧",RightDownTeeVector="⥝",RightDownVectorBar="⥕",RightDownVector="⇂",RightFloor="⌋",rightharpoondown="⇁",rightharpoonup="⇀",rightleftarrows="⇄",rightleftharpoons="⇌",rightrightarrows="⇉",rightsquigarrow="↝",RightTeeArrow="↦",RightTee="⊢",RightTeeVector="⥛",rightthreetimes="⋌",RightTriangleBar="⧐",RightTriangle="⊳",RightTriangleEqual="⊵",RightUpDownVector="⥏",RightUpTeeVector="⥜",RightUpVectorBar="⥔",RightUpVector="↾",RightVectorBar="⥓",RightVector="⇀",ring="˚",risingdotseq="≓",rlarr="⇄",rlhar="⇌",rlm="",rmoustache="⎱",rmoust="⎱",rnmid="⫮",roang="⟭",roarr="⇾",robrk="⟧",ropar="⦆",ropf="𝕣",Ropf="ℝ",roplus="⨮",rotimes="⨵",RoundImplies="⥰",rpar=")",rpargt="⦔",rppolint="⨒",rrarr="⇉",Rrightarrow="⇛",rsaquo="›",rscr="𝓇",Rscr="ℛ",rsh="↱",Rsh="↱",rsqb="]",rsquo="’",rsquor="’",rthree="⋌",rtimes="⋊",rtri="▹",rtrie="⊵",rtrif="▸",rtriltri="⧎",RuleDelayed="⧴",ruluhar="⥨",rx="℞",Sacute="Ś",sacute="ś",sbquo="‚",scap="⪸",Scaron="Š",scaron="š",Sc$1="⪼",sc$2="≻",sccue="≽",sce="⪰",scE="⪴",Scedil="Ş",scedil="ş",Scirc="Ŝ",scirc="ŝ",scnap="⪺",scnE="⪶",scnsim="⋩",scpolint="⨓",scsim="≿",Scy="С",scy="с",sdotb="⊡",sdot="⋅",sdote="⩦",searhk="⤥",searr="↘",seArr="⇘",searrow="↘",sect$1="§",semi=";",seswar="⤩",setminus="∖",setmn="∖",sext="✶",Sfr="𝔖",sfr="𝔰",sfrown="⌢",sharp="♯",SHCHcy="Щ",shchcy="щ",SHcy="Ш",shcy="ш",ShortDownArrow="↓",ShortLeftArrow="←",shortmid="∣",shortparallel="∥",ShortRightArrow="→",ShortUpArrow="↑",shy$1="",Sigma="Σ",sigma="σ",sigmaf="ς",sigmav="ς",sim="∼",simdot="⩪",sime="≃",simeq="≃",simg="⪞",simgE="⪠",siml="⪝",simlE="⪟",simne="≆",simplus="⨤",simrarr="⥲",slarr="←",SmallCircle="∘",smallsetminus="∖",smashp="⨳",smeparsl="⧤",smid="∣",smile="⌣",smt="⪪",smte="⪬",smtes="⪬︀",SOFTcy="Ь",softcy="ь",solbar="⌿",solb="⧄",sol="/",Sopf="𝕊",sopf="𝕤",spades="♠",spadesuit="♠",spar="∥",sqcap="⊓",sqcaps="⊓︀",sqcup="⊔",sqcups="⊔︀",Sqrt="√",sqsub="⊏",sqsube="⊑",sqsubset="⊏",sqsubseteq="⊑",sqsup="⊐",sqsupe="⊒",sqsupset="⊐",sqsupseteq="⊒",square="□",Square="□",SquareIntersection="⊓",SquareSubset="⊏",SquareSubsetEqual="⊑",SquareSuperset="⊐",SquareSupersetEqual="⊒",SquareUnion="⊔",squarf="▪",squ="□",squf="▪",srarr="→",Sscr="𝒮",sscr="𝓈",ssetmn="∖",ssmile="⌣",sstarf="⋆",Star="⋆",star="☆",starf="★",straightepsilon="ϵ",straightphi="ϕ",strns="¯",sub="⊂",Sub="⋐",subdot="⪽",subE="⫅",sube="⊆",subedot="⫃",submult="⫁",subnE="⫋",subne="⊊",subplus="⪿",subrarr="⥹",subset="⊂",Subset="⋐",subseteq="⊆",subseteqq="⫅",SubsetEqual="⊆",subsetneq="⊊",subsetneqq="⫋",subsim="⫇",subsub="⫕",subsup="⫓",succapprox="⪸",succ="≻",succcurlyeq="≽",Succeeds="≻",SucceedsEqual="⪰",SucceedsSlantEqual="≽",SucceedsTilde="≿",succeq="⪰",succnapprox="⪺",succneqq="⪶",succnsim="⋩",succsim="≿",SuchThat="∋",sum$1="∑",Sum="∑",sung="♪",sup1$1="¹",sup2$1="²",sup3$1="³",sup="⊃",Sup="⋑",supdot="⪾",supdsub="⫘",supE="⫆",supe="⊇",supedot="⫄",Superset="⊃",SupersetEqual="⊇",suphsol="⟉",suphsub="⫗",suplarr="⥻",supmult="⫂",supnE="⫌",supne="⊋",supplus="⫀",supset="⊃",Supset="⋑",supseteq="⊇",supseteqq="⫆",supsetneq="⊋",supsetneqq="⫌",supsim="⫈",supsub="⫔",supsup="⫖",swarhk="⤦",swarr="↙",swArr="⇙",swarrow="↙",swnwar="⤪",szlig$1="ß",Tab=" ",target="⌖",Tau="Τ",tau="τ",tbrk="⎴",Tcaron="Ť",tcaron="ť",Tcedil="Ţ",tcedil="ţ",Tcy="Т",tcy="т",tdot="⃛",telrec="⌕",Tfr="𝔗",tfr="𝔱",there4="∴",therefore="∴",Therefore="∴",Theta="Θ",theta="θ",thetasym="ϑ",thetav="ϑ",thickapprox="≈",thicksim="∼",ThickSpace=" ",ThinSpace=" ",thinsp=" ",thkap="≈",thksim="∼",THORN$1="Þ",thorn$1="þ",tilde="˜",Tilde="∼",TildeEqual="≃",TildeFullEqual="≅",TildeTilde="≈",timesbar="⨱",timesb="⊠",times$2="×",timesd="⨰",tint="∭",toea="⤨",topbot="⌶",topcir="⫱",top="⊤",Topf="𝕋",topf="𝕥",topfork="⫚",tosa="⤩",tprime="‴",trade="™",TRADE="™",triangle="▵",triangledown="▿",triangleleft="◃",trianglelefteq="⊴",triangleq="≜",triangleright="▹",trianglerighteq="⊵",tridot="◬",trie="≜",triminus="⨺",TripleDot="⃛",triplus="⨹",trisb="⧍",tritime="⨻",trpezium="⏢",Tscr="𝒯",tscr="𝓉",TScy="Ц",tscy="ц",TSHcy="Ћ",tshcy="ћ",Tstrok="Ŧ",tstrok="ŧ",twixt="≬",twoheadleftarrow="↞",twoheadrightarrow="↠",Uacute$1="Ú",uacute$1="ú",uarr="↑",Uarr="↟",uArr="⇑",Uarrocir="⥉",Ubrcy="Ў",ubrcy="ў",Ubreve="Ŭ",ubreve="ŭ",Ucirc$1="Û",ucirc$1="û",Ucy="У",ucy="у",udarr="⇅",Udblac="Ű",udblac="ű",udhar="⥮",ufisht="⥾",Ufr="𝔘",ufr="𝔲",Ugrave$1="Ù",ugrave$1="ù",uHar="⥣",uharl="↿",uharr="↾",uhblk="▀",ulcorn="⌜",ulcorner="⌜",ulcrop="⌏",ultri="◸",Umacr="Ū",umacr="ū",uml$1="¨",UnderBar="_",UnderBrace="⏟",UnderBracket="⎵",UnderParenthesis="⏝",Union="⋃",UnionPlus="⊎",Uogon="Ų",uogon="ų",Uopf="𝕌",uopf="𝕦",UpArrowBar="⤒",uparrow="↑",UpArrow="↑",Uparrow="⇑",UpArrowDownArrow="⇅",updownarrow="↕",UpDownArrow="↕",Updownarrow="⇕",UpEquilibrium="⥮",upharpoonleft="↿",upharpoonright="↾",uplus="⊎",UpperLeftArrow="↖",UpperRightArrow="↗",upsi="υ",Upsi="ϒ",upsih="ϒ",Upsilon="Υ",upsilon="υ",UpTeeArrow="↥",UpTee="⊥",upuparrows="⇈",urcorn="⌝",urcorner="⌝",urcrop="⌎",Uring="Ů",uring="ů",urtri="◹",Uscr="𝒰",uscr="𝓊",utdot="⋰",Utilde="Ũ",utilde="ũ",utri="▵",utrif="▴",uuarr="⇈",Uuml$1="Ü",uuml$1="ü",uwangle="⦧",vangrt="⦜",varepsilon="ϵ",varkappa="ϰ",varnothing="∅",varphi="ϕ",varpi="ϖ",varpropto="∝",varr="↕",vArr="⇕",varrho="ϱ",varsigma="ς",varsubsetneq="⊊︀",varsubsetneqq="⫋︀",varsupsetneq="⊋︀",varsupsetneqq="⫌︀",vartheta="ϑ",vartriangleleft="⊲",vartriangleright="⊳",vBar="⫨",Vbar="⫫",vBarv="⫩",Vcy="В",vcy="в",vdash="⊢",vDash="⊨",Vdash="⊩",VDash="⊫",Vdashl="⫦",veebar="⊻",vee="∨",Vee="⋁",veeeq="≚",vellip="⋮",verbar="|",Verbar="‖",vert="|",Vert="‖",VerticalBar="∣",VerticalLine="|",VerticalSeparator="❘",VerticalTilde="≀",VeryThinSpace=" ",Vfr="𝔙",vfr="𝔳",vltri="⊲",vnsub="⊂⃒",vnsup="⊃⃒",Vopf="𝕍",vopf="𝕧",vprop="∝",vrtri="⊳",Vscr="𝒱",vscr="𝓋",vsubnE="⫋︀",vsubne="⊊︀",vsupnE="⫌︀",vsupne="⊋︀",Vvdash="⊪",vzigzag="⦚",Wcirc="Ŵ",wcirc="ŵ",wedbar="⩟",wedge="∧",Wedge="⋀",wedgeq="≙",weierp="℘",Wfr="𝔚",wfr="𝔴",Wopf="𝕎",wopf="𝕨",wp$1="℘",wr$4="≀",wreath="≀",Wscr="𝒲",wscr="𝓌",xcap="⋂",xcirc="◯",xcup="⋃",xdtri="▽",Xfr="𝔛",xfr="𝔵",xharr="⟷",xhArr="⟺",Xi$2="Ξ",xi$3="ξ",xlarr="⟵",xlArr="⟸",xmap="⟼",xnis="⋻",xodot="⨀",Xopf="𝕏",xopf="𝕩",xoplus="⨁",xotime="⨂",xrarr="⟶",xrArr="⟹",Xscr="𝒳",xscr="𝓍",xsqcup="⨆",xuplus="⨄",xutri="△",xvee="⋁",xwedge="⋀",Yacute$1="Ý",yacute$1="ý",YAcy="Я",yacy="я",Ycirc="Ŷ",ycirc="ŷ",Ycy="Ы",ycy="ы",yen$1="¥",Yfr="𝔜",yfr="𝔶",YIcy="Ї",yicy="ї",Yopf="𝕐",yopf="𝕪",Yscr="𝒴",yscr="𝓎",YUcy="Ю",yucy="ю",yuml$1="ÿ",Yuml="Ÿ",Zacute="Ź",zacute="ź",Zcaron="Ž",zcaron="ž",Zcy="З",zcy="з",Zdot="Ż",zdot="ż",zeetrf="ℨ",ZeroWidthSpace="",Zeta="Ζ",zeta="ζ",zfr="𝔷",Zfr="ℨ",ZHcy="Ж",zhcy="ж",zigrarr="⇝",zopf="𝕫",Zopf="ℤ",Zscr="𝒵",zscr="𝓏",zwj="",zwnj="",require$$1={Aacute:Aacute$1,aacute:aacute$1,Abreve,abreve,ac:ac$2,acd,acE,Acirc:Acirc$1,acirc:acirc$1,acute:acute$1,Acy,acy,AElig:AElig$1,aelig:aelig$1,af:af$1,Afr,afr,Agrave:Agrave$1,agrave:agrave$1,alefsym,aleph,Alpha,alpha,Amacr,amacr,amalg,amp:amp$2,AMP:AMP$1,andand,And,and,andd,andslope,andv,ang,ange,angle,angmsdaa,angmsdab,angmsdac,angmsdad,angmsdae,angmsdaf,angmsdag,angmsdah,angmsd,angrt,angrtvb,angrtvbd,angsph,angst,angzarr,Aogon,aogon,Aopf,aopf,apacir,ap:ap$2,apE,ape,apid,apos:apos$1,ApplyFunction,approx,approxeq,Aring:Aring$1,aring:aring$1,Ascr,ascr,Assign,ast,asymp,asympeq,Atilde:Atilde$1,atilde:atilde$1,Auml:Auml$1,auml:auml$1,awconint,awint,backcong,backepsilon,backprime,backsim,backsimeq,Backslash,Barv,barvee,barwed,Barwed,barwedge,bbrk,bbrktbrk,bcong,Bcy,bcy,bdquo,becaus,because,Because,bemptyv,bepsi,bernou,Bernoullis,Beta,beta,beth,between,Bfr,bfr,bigcap,bigcirc,bigcup,bigodot,bigoplus,bigotimes,bigsqcup,bigstar,bigtriangledown,bigtriangleup,biguplus,bigvee,bigwedge,bkarow,blacklozenge,blacksquare,blacktriangle,blacktriangledown,blacktriangleleft,blacktriangleright,blank,blk12,blk14,blk34,block,bne,bnequiv,bNot,bnot,Bopf,bopf,bot,bottom,bowtie,boxbox,boxdl,boxdL,boxDl,boxDL,boxdr,boxdR,boxDr,boxDR,boxh,boxH,boxhd,boxHd,boxhD,boxHD,boxhu,boxHu,boxhU,boxHU,boxminus,boxplus,boxtimes,boxul,boxuL,boxUl,boxUL,boxur,boxuR,boxUr,boxUR,boxv,boxV,boxvh,boxvH,boxVh,boxVH,boxvl,boxvL,boxVl,boxVL,boxvr,boxvR,boxVr,boxVR,bprime,breve,Breve,brvbar:brvbar$1,bscr,Bscr,bsemi,bsim,bsime,bsolb,bsol,bsolhsub,bull,bullet,bump,bumpE,bumpe,Bumpeq,bumpeq,Cacute,cacute,capand,capbrcup,capcap,cap,Cap,capcup,capdot,CapitalDifferentialD,caps,caret,caron,Cayleys,ccaps,Ccaron,ccaron,Ccedil:Ccedil$1,ccedil:ccedil$1,Ccirc,ccirc,Cconint,ccups,ccupssm,Cdot,cdot,cedil:cedil$1,Cedilla,cemptyv,cent:cent$1,centerdot,CenterDot,cfr,Cfr,CHcy,chcy,check,checkmark,Chi,chi,circ,circeq,circlearrowleft,circlearrowright,circledast,circledcirc,circleddash,CircleDot,circledR,circledS,CircleMinus,CirclePlus,CircleTimes,cir,cirE,cire,cirfnint,cirmid,cirscir,ClockwiseContourIntegral,CloseCurlyDoubleQuote,CloseCurlyQuote,clubs,clubsuit,colon,Colon,Colone,colone,coloneq,comma,commat,comp,compfn,complement,complexes,cong,congdot,Congruent,conint,Conint,ContourIntegral,copf,Copf,coprod,Coproduct,copy:copy$1,COPY:COPY$1,copysr,CounterClockwiseContourIntegral,crarr,cross,Cross,Cscr,cscr,csub,csube,csup,csupe,ctdot,cudarrl,cudarrr,cuepr,cuesc,cularr,cularrp,cupbrcap,cupcap,CupCap,cup,Cup,cupcup,cupdot,cupor,cups,curarr,curarrm,curlyeqprec,curlyeqsucc,curlyvee,curlywedge,curren:curren$1,curvearrowleft,curvearrowright,cuvee,cuwed,cwconint,cwint,cylcty,dagger,Dagger,daleth,darr,Darr,dArr,dash,Dashv,dashv,dbkarow,dblac,Dcaron,dcaron,Dcy,dcy,ddagger,ddarr,DD,dd,DDotrahd,ddotseq,deg:deg$1,Del,Delta,delta,demptyv,dfisht,Dfr,dfr,dHar,dharl,dharr,DiacriticalAcute,DiacriticalDot,DiacriticalDoubleAcute,DiacriticalGrave,DiacriticalTilde,diam,diamond,Diamond,diamondsuit,diams,die,DifferentialD,digamma,disin,div,divide:divide$1,divideontimes,divonx,DJcy,djcy,dlcorn,dlcrop,dollar,Dopf,dopf,Dot,dot,DotDot,doteq,doteqdot,DotEqual,dotminus,dotplus,dotsquare,doublebarwedge,DoubleContourIntegral,DoubleDot,DoubleDownArrow,DoubleLeftArrow,DoubleLeftRightArrow,DoubleLeftTee,DoubleLongLeftArrow,DoubleLongLeftRightArrow,DoubleLongRightArrow,DoubleRightArrow,DoubleRightTee,DoubleUpArrow,DoubleUpDownArrow,DoubleVerticalBar,DownArrowBar,downarrow,DownArrow,Downarrow,DownArrowUpArrow,DownBreve,downdownarrows,downharpoonleft,downharpoonright,DownLeftRightVector,DownLeftTeeVector,DownLeftVectorBar,DownLeftVector,DownRightTeeVector,DownRightVectorBar,DownRightVector,DownTeeArrow,DownTee,drbkarow,drcorn,drcrop,Dscr,dscr,DScy,dscy,dsol,Dstrok,dstrok,dtdot,dtri,dtrif,duarr,duhar,dwangle,DZcy,dzcy,dzigrarr,Eacute:Eacute$1,eacute:eacute$1,easter,Ecaron,ecaron,Ecirc:Ecirc$1,ecirc:ecirc$1,ecir,ecolon,Ecy,ecy,eDDot,Edot,edot,eDot,ee:ee$3,efDot,Efr,efr,eg,Egrave:Egrave$1,egrave:egrave$1,egs,egsdot,el:el$3,Element:Element$1,elinters,ell,els,elsdot,Emacr,emacr,empty,emptyset,EmptySmallSquare,emptyv,EmptyVerySmallSquare,emsp13,emsp14,emsp,ENG,eng,ensp,Eogon,eogon,Eopf,eopf,epar,eparsl,eplus,epsi,Epsilon,epsilon,epsiv,eqcirc,eqcolon,eqsim,eqslantgtr,eqslantless,Equal,equals,EqualTilde,equest,Equilibrium,equiv,equivDD,eqvparsl,erarr,erDot,escr,Escr,esdot,Esim,esim,Eta,eta,ETH:ETH$1,eth:eth$1,Euml:Euml$1,euml:euml$1,euro,excl,exist,Exists,expectation,exponentiale,ExponentialE,fallingdotseq,Fcy,fcy,female,ffilig,fflig,ffllig,Ffr,ffr,filig,FilledSmallSquare,FilledVerySmallSquare,fjlig,flat,fllig,fltns,fnof,Fopf,fopf,forall,ForAll,fork,forkv,Fouriertrf,fpartint,frac12:frac12$1,frac13,frac14:frac14$1,frac15,frac16,frac18,frac23,frac25,frac34:frac34$1,frac35,frac38,frac45,frac56,frac58,frac78,frasl,frown,fscr,Fscr,gacute,Gamma,gamma,Gammad,gammad,gap,Gbreve,gbreve,Gcedil,Gcirc,gcirc,Gcy,gcy,Gdot,gdot,ge:ge$5,gE,gEl,gel,geq,geqq,geqslant,gescc,ges,gesdot,gesdoto,gesdotol,gesl,gesles,Gfr,gfr,gg,Gg,ggg,gimel,GJcy,gjcy,gla,gl:gl$3,glE,glj,gnap,gnapprox,gne,gnE,gneq,gneqq,gnsim,Gopf,gopf,grave,GreaterEqual,GreaterEqualLess,GreaterFullEqual,GreaterGreater,GreaterLess,GreaterSlantEqual,GreaterTilde,Gscr,gscr,gsim,gsime,gsiml,gtcc,gtcir,gt:gt$5,GT:GT$1,Gt:Gt$4,gtdot,gtlPar,gtquest,gtrapprox,gtrarr,gtrdot,gtreqless,gtreqqless,gtrless,gtrsim,gvertneqq,gvnE,Hacek,hairsp,half,hamilt,HARDcy,hardcy,harrcir,harr,hArr,harrw,Hat,hbar,Hcirc,hcirc,hearts,heartsuit,hellip,hercon,hfr,Hfr,HilbertSpace,hksearow,hkswarow,hoarr,homtht,hookleftarrow,hookrightarrow,hopf,Hopf,horbar,HorizontalLine,hscr,Hscr,hslash,Hstrok,hstrok,HumpDownHump,HumpEqual,hybull,hyphen,Iacute:Iacute$1,iacute:iacute$1,ic:ic$2,Icirc:Icirc$1,icirc:icirc$1,Icy,icy,Idot,IEcy,iecy,iexcl:iexcl$1,iff,ifr,Ifr,Igrave:Igrave$1,igrave:igrave$1,ii:ii$5,iiiint,iiint,iinfin,iiota,IJlig,ijlig,Imacr,imacr,image,ImaginaryI,imagline,imagpart,imath,Im,imof,imped,Implies,incare,in:"∈",infin,infintie,inodot,intcal,int,Int,integers,Integral,intercal,Intersection,intlarhk,intprod,InvisibleComma,InvisibleTimes,IOcy,iocy,Iogon,iogon,Iopf,iopf,Iota,iota,iprod,iquest:iquest$1,iscr,Iscr,isin,isindot,isinE,isins,isinsv,isinv,it:it$3,Itilde,itilde,Iukcy,iukcy,Iuml:Iuml$1,iuml:iuml$1,Jcirc,jcirc,Jcy,jcy,Jfr,jfr,jmath,Jopf,jopf,Jscr,jscr,Jsercy,jsercy,Jukcy,jukcy,Kappa,kappa,kappav,Kcedil,kcedil,Kcy,kcy,Kfr,kfr,kgreen,KHcy,khcy,KJcy,kjcy,Kopf,kopf,Kscr,kscr,lAarr,Lacute,lacute,laemptyv,lagran,Lambda,lambda,lang,Lang,langd,langle,lap,Laplacetrf,laquo:laquo$1,larrb,larrbfs,larr,Larr,lArr,larrfs,larrhk,larrlp,larrpl,larrsim,larrtl,latail,lAtail,lat,late,lates,lbarr,lBarr,lbbrk,lbrace,lbrack,lbrke,lbrksld,lbrkslu,Lcaron,lcaron,Lcedil,lcedil,lceil,lcub,Lcy,lcy,ldca,ldquo,ldquor,ldrdhar,ldrushar,ldsh,le:le$4,lE,LeftAngleBracket,LeftArrowBar,leftarrow,LeftArrow,Leftarrow,LeftArrowRightArrow,leftarrowtail,LeftCeiling,LeftDoubleBracket,LeftDownTeeVector,LeftDownVectorBar,LeftDownVector,LeftFloor,leftharpoondown,leftharpoonup,leftleftarrows,leftrightarrow,LeftRightArrow,Leftrightarrow,leftrightarrows,leftrightharpoons,leftrightsquigarrow,LeftRightVector,LeftTeeArrow,LeftTee,LeftTeeVector,leftthreetimes,LeftTriangleBar,LeftTriangle,LeftTriangleEqual,LeftUpDownVector,LeftUpTeeVector,LeftUpVectorBar,LeftUpVector,LeftVectorBar,LeftVector,lEg,leg,leq,leqq,leqslant,lescc,les,lesdot,lesdoto,lesdotor,lesg,lesges,lessapprox,lessdot,lesseqgtr,lesseqqgtr,LessEqualGreater,LessFullEqual,LessGreater,lessgtr,LessLess,lesssim,LessSlantEqual,LessTilde,lfisht,lfloor,Lfr,lfr,lg,lgE,lHar,lhard,lharu,lharul,lhblk,LJcy,ljcy,llarr,ll:ll$3,Ll:Ll$4,llcorner,Lleftarrow,llhard,lltri,Lmidot,lmidot,lmoustache,lmoust,lnap,lnapprox,lne,lnE,lneq,lneqq,lnsim,loang,loarr,lobrk,longleftarrow,LongLeftArrow,Longleftarrow,longleftrightarrow,LongLeftRightArrow,Longleftrightarrow,longmapsto,longrightarrow,LongRightArrow,Longrightarrow,looparrowleft,looparrowright,lopar,Lopf,lopf,loplus,lotimes,lowast,lowbar,LowerLeftArrow,LowerRightArrow,loz,lozenge,lozf,lpar,lparlt,lrarr,lrcorner,lrhar,lrhard,lrm,lrtri,lsaquo,lscr,Lscr,lsh,Lsh,lsim,lsime,lsimg,lsqb,lsquo,lsquor,Lstrok,lstrok,ltcc,ltcir,lt:lt$5,LT:LT$1,Lt:Lt$3,ltdot,lthree,ltimes,ltlarr,ltquest,ltri,ltrie,ltrif,ltrPar,lurdshar,luruhar,lvertneqq,lvnE,macr:macr$1,male,malt,maltese,Map:"⤅",map:map$2,mapsto,mapstodown,mapstoleft,mapstoup,marker,mcomma,Mcy,mcy,mdash,mDDot,measuredangle,MediumSpace,Mellintrf,Mfr,mfr,mho,micro:micro$1,midast,midcir,mid,middot:middot$1,minusb,minus,minusd,minusdu,MinusPlus,mlcp,mldr,mnplus,models,Mopf,mopf,mp:mp$1,mscr,Mscr,mstpos,Mu:Mu$3,mu:mu$3,multimap,mumap,nabla,Nacute,nacute,nang,nap,napE,napid,napos,napprox,natural,naturals,natur,nbsp:nbsp$1,nbump,nbumpe,ncap,Ncaron,ncaron,Ncedil,ncedil,ncong,ncongdot,ncup,Ncy,ncy,ndash,nearhk,nearr,neArr,nearrow,ne:ne$4,nedot,NegativeMediumSpace,NegativeThickSpace,NegativeThinSpace,NegativeVeryThinSpace,nequiv,nesear,nesim,NestedGreaterGreater,NestedLessLess,NewLine,nexist,nexists,Nfr,nfr,ngE,nge,ngeq,ngeqq,ngeqslant,nges,nGg,ngsim,nGt,ngt,ngtr,nGtv,nharr,nhArr,nhpar,ni:ni$5,nis,nisd,niv,NJcy,njcy,nlarr,nlArr,nldr,nlE,nle,nleftarrow,nLeftarrow,nleftrightarrow,nLeftrightarrow,nleq,nleqq,nleqslant,nles,nless,nLl,nlsim,nLt,nlt,nltri,nltrie,nLtv,nmid,NoBreak,NonBreakingSpace,nopf,Nopf,Not,not:not$1,NotCongruent,NotCupCap,NotDoubleVerticalBar,NotElement,NotEqual,NotEqualTilde,NotExists,NotGreater,NotGreaterEqual,NotGreaterFullEqual,NotGreaterGreater,NotGreaterLess,NotGreaterSlantEqual,NotGreaterTilde,NotHumpDownHump,NotHumpEqual,notin,notindot,notinE,notinva,notinvb,notinvc,NotLeftTriangleBar,NotLeftTriangle,NotLeftTriangleEqual,NotLess,NotLessEqual,NotLessGreater,NotLessLess,NotLessSlantEqual,NotLessTilde,NotNestedGreaterGreater,NotNestedLessLess,notni,notniva,notnivb,notnivc,NotPrecedes,NotPrecedesEqual,NotPrecedesSlantEqual,NotReverseElement,NotRightTriangleBar,NotRightTriangle,NotRightTriangleEqual,NotSquareSubset,NotSquareSubsetEqual,NotSquareSuperset,NotSquareSupersetEqual,NotSubset,NotSubsetEqual,NotSucceeds,NotSucceedsEqual,NotSucceedsSlantEqual,NotSucceedsTilde,NotSuperset,NotSupersetEqual,NotTilde,NotTildeEqual,NotTildeFullEqual,NotTildeTilde,NotVerticalBar,nparallel,npar,nparsl,npart,npolint,npr,nprcue,nprec,npreceq,npre,nrarrc,nrarr,nrArr,nrarrw,nrightarrow,nRightarrow,nrtri,nrtrie,nsc,nsccue,nsce,Nscr,nscr,nshortmid,nshortparallel,nsim,nsime,nsimeq,nsmid,nspar,nsqsube,nsqsupe,nsub,nsubE,nsube,nsubset,nsubseteq,nsubseteqq,nsucc,nsucceq,nsup,nsupE,nsupe,nsupset,nsupseteq,nsupseteqq,ntgl,Ntilde:Ntilde$1,ntilde:ntilde$1,ntlg,ntriangleleft,ntrianglelefteq,ntriangleright,ntrianglerighteq,Nu:Nu$3,nu:nu$5,num,numero,numsp,nvap,nvdash,nvDash,nVdash,nVDash,nvge,nvgt,nvHarr,nvinfin,nvlArr,nvle,nvlt,nvltrie,nvrArr,nvrtrie,nvsim,nwarhk,nwarr,nwArr,nwarrow,nwnear,Oacute:Oacute$1,oacute:oacute$1,oast,Ocirc:Ocirc$1,ocirc:ocirc$1,ocir,Ocy,ocy,odash,Odblac,odblac,odiv,odot,odsold,OElig,oelig,ofcir,Ofr,ofr,ogon,Ograve:Ograve$1,ograve:ograve$1,ogt,ohbar,ohm,oint,olarr,olcir,olcross,oline,olt,Omacr,omacr,Omega,omega,Omicron,omicron,omid,ominus,Oopf,oopf,opar,OpenCurlyDoubleQuote,OpenCurlyQuote,operp,oplus,orarr,Or:Or$4,or:or$3,ord,order,orderof,ordf:ordf$1,ordm:ordm$1,origof,oror,orslope,orv,oS,Oscr,oscr,Oslash:Oslash$1,oslash:oslash$1,osol,Otilde:Otilde$1,otilde:otilde$1,otimesas,Otimes,otimes,Ouml:Ouml$1,ouml:ouml$1,ovbar,OverBar,OverBrace,OverBracket,OverParenthesis,para:para$1,parallel:parallel$1,par,parsim,parsl,part,PartialD,Pcy,pcy,percnt,period,permil,perp,pertenk,Pfr,pfr,Phi,phi,phiv,phmmat,phone,Pi:Pi$3,pi:pi$5,pitchfork,piv,planck,planckh,plankv,plusacir,plusb,pluscir,plus,plusdo,plusdu,pluse,PlusMinus,plusmn:plusmn$1,plussim,plustwo,pm,Poincareplane,pointint,popf,Popf,pound:pound$1,prap,Pr:Pr$4,pr:pr$3,prcue,precapprox,prec,preccurlyeq,Precedes,PrecedesEqual,PrecedesSlantEqual,PrecedesTilde,preceq,precnapprox,precneqq,precnsim,pre,prE,precsim,prime,Prime,primes,prnap,prnE,prnsim,prod,Product,profalar,profline,profsurf,prop,Proportional,Proportion,propto,prsim,prurel,Pscr,pscr,Psi,psi,puncsp,Qfr,qfr,qint,qopf,Qopf,qprime,Qscr,qscr,quaternions,quatint,quest,questeq,quot:quot$2,QUOT:QUOT$1,rAarr,race:race$2,Racute,racute,radic,raemptyv,rang,Rang,rangd,range:range$2,rangle,raquo:raquo$1,rarrap,rarrb,rarrbfs,rarrc,rarr,Rarr,rArr,rarrfs,rarrhk,rarrlp,rarrpl,rarrsim,Rarrtl,rarrtl,rarrw,ratail,rAtail,ratio,rationals,rbarr,rBarr,RBarr,rbbrk,rbrace,rbrack,rbrke,rbrksld,rbrkslu,Rcaron,rcaron,Rcedil,rcedil,rceil,rcub,Rcy,rcy,rdca,rdldhar,rdquo,rdquor,rdsh,real,realine,realpart,reals,Re:Re$6,rect,reg:reg$1,REG:REG$1,ReverseElement,ReverseEquilibrium,ReverseUpEquilibrium,rfisht,rfloor,rfr,Rfr,rHar,rhard,rharu,rharul,Rho,rho,rhov,RightAngleBracket,RightArrowBar,rightarrow,RightArrow,Rightarrow,RightArrowLeftArrow,rightarrowtail,RightCeiling,RightDoubleBracket,RightDownTeeVector,RightDownVectorBar,RightDownVector,RightFloor,rightharpoondown,rightharpoonup,rightleftarrows,rightleftharpoons,rightrightarrows,rightsquigarrow,RightTeeArrow,RightTee,RightTeeVector,rightthreetimes,RightTriangleBar,RightTriangle,RightTriangleEqual,RightUpDownVector,RightUpTeeVector,RightUpVectorBar,RightUpVector,RightVectorBar,RightVector,ring,risingdotseq,rlarr,rlhar,rlm,rmoustache,rmoust,rnmid,roang,roarr,robrk,ropar,ropf,Ropf,roplus,rotimes,RoundImplies,rpar,rpargt,rppolint,rrarr,Rrightarrow,rsaquo,rscr,Rscr,rsh,Rsh,rsqb,rsquo,rsquor,rthree,rtimes,rtri,rtrie,rtrif,rtriltri,RuleDelayed,ruluhar,rx,Sacute,sacute,sbquo,scap,Scaron,scaron,Sc:Sc$1,sc:sc$2,sccue,sce,scE,Scedil,scedil,Scirc,scirc,scnap,scnE,scnsim,scpolint,scsim,Scy,scy,sdotb,sdot,sdote,searhk,searr,seArr,searrow,sect:sect$1,semi,seswar,setminus,setmn,sext,Sfr,sfr,sfrown,sharp,SHCHcy,shchcy,SHcy,shcy,ShortDownArrow,ShortLeftArrow,shortmid,shortparallel,ShortRightArrow,ShortUpArrow,shy:shy$1,Sigma,sigma,sigmaf,sigmav,sim,simdot,sime,simeq,simg,simgE,siml,simlE,simne,simplus,simrarr,slarr,SmallCircle,smallsetminus,smashp,smeparsl,smid,smile,smt,smte,smtes,SOFTcy,softcy,solbar,solb,sol,Sopf,sopf,spades,spadesuit,spar,sqcap,sqcaps,sqcup,sqcups,Sqrt,sqsub,sqsube,sqsubset,sqsubseteq,sqsup,sqsupe,sqsupset,sqsupseteq,square,Square,SquareIntersection,SquareSubset,SquareSubsetEqual,SquareSuperset,SquareSupersetEqual,SquareUnion,squarf,squ,squf,srarr,Sscr,sscr,ssetmn,ssmile,sstarf,Star,star,starf,straightepsilon,straightphi,strns,sub,Sub,subdot,subE,sube,subedot,submult,subnE,subne,subplus,subrarr,subset,Subset,subseteq,subseteqq,SubsetEqual,subsetneq,subsetneqq,subsim,subsub,subsup,succapprox,succ,succcurlyeq,Succeeds,SucceedsEqual,SucceedsSlantEqual,SucceedsTilde,succeq,succnapprox,succneqq,succnsim,succsim,SuchThat,sum:sum$1,Sum,sung,sup1:sup1$1,sup2:sup2$1,sup3:sup3$1,sup,Sup,supdot,supdsub,supE,supe,supedot,Superset,SupersetEqual,suphsol,suphsub,suplarr,supmult,supnE,supne,supplus,supset,Supset,supseteq,supseteqq,supsetneq,supsetneqq,supsim,supsub,supsup,swarhk,swarr,swArr,swarrow,swnwar,szlig:szlig$1,Tab,target,Tau,tau,tbrk,Tcaron,tcaron,Tcedil,tcedil,Tcy,tcy,tdot,telrec,Tfr,tfr,there4,therefore,Therefore,Theta,theta,thetasym,thetav,thickapprox,thicksim,ThickSpace,ThinSpace,thinsp,thkap,thksim,THORN:THORN$1,thorn:thorn$1,tilde,Tilde,TildeEqual,TildeFullEqual,TildeTilde,timesbar,timesb,times:times$2,timesd,tint,toea,topbot,topcir,top,Topf,topf,topfork,tosa,tprime,trade,TRADE,triangle,triangledown,triangleleft,trianglelefteq,triangleq,triangleright,trianglerighteq,tridot,trie,triminus,TripleDot,triplus,trisb,tritime,trpezium,Tscr,tscr,TScy,tscy,TSHcy,tshcy,Tstrok,tstrok,twixt,twoheadleftarrow,twoheadrightarrow,Uacute:Uacute$1,uacute:uacute$1,uarr,Uarr,uArr,Uarrocir,Ubrcy,ubrcy,Ubreve,ubreve,Ucirc:Ucirc$1,ucirc:ucirc$1,Ucy,ucy,udarr,Udblac,udblac,udhar,ufisht,Ufr,ufr,Ugrave:Ugrave$1,ugrave:ugrave$1,uHar,uharl,uharr,uhblk,ulcorn,ulcorner,ulcrop,ultri,Umacr,umacr,uml:uml$1,UnderBar,UnderBrace,UnderBracket,UnderParenthesis,Union,UnionPlus,Uogon,uogon,Uopf,uopf,UpArrowBar,uparrow,UpArrow,Uparrow,UpArrowDownArrow,updownarrow,UpDownArrow,Updownarrow,UpEquilibrium,upharpoonleft,upharpoonright,uplus,UpperLeftArrow,UpperRightArrow,upsi,Upsi,upsih,Upsilon,upsilon,UpTeeArrow,UpTee,upuparrows,urcorn,urcorner,urcrop,Uring,uring,urtri,Uscr,uscr,utdot,Utilde,utilde,utri,utrif,uuarr,Uuml:Uuml$1,uuml:uuml$1,uwangle,vangrt,varepsilon,varkappa,varnothing,varphi,varpi,varpropto,varr,vArr,varrho,varsigma,varsubsetneq,varsubsetneqq,varsupsetneq,varsupsetneqq,vartheta,vartriangleleft,vartriangleright,vBar,Vbar,vBarv,Vcy,vcy,vdash,vDash,Vdash,VDash,Vdashl,veebar,vee,Vee,veeeq,vellip,verbar,Verbar,vert,Vert,VerticalBar,VerticalLine,VerticalSeparator,VerticalTilde,VeryThinSpace,Vfr,vfr,vltri,vnsub,vnsup,Vopf,vopf,vprop,vrtri,Vscr,vscr,vsubnE,vsubne,vsupnE,vsupne,Vvdash,vzigzag,Wcirc,wcirc,wedbar,wedge,Wedge,wedgeq,weierp,Wfr,wfr,Wopf,wopf,wp:wp$1,wr:wr$4,wreath,Wscr,wscr,xcap,xcirc,xcup,xdtri,Xfr,xfr,xharr,xhArr,Xi:Xi$2,xi:xi$3,xlarr,xlArr,xmap,xnis,xodot,Xopf,xopf,xoplus,xotime,xrarr,xrArr,Xscr,xscr,xsqcup,xuplus,xutri,xvee,xwedge,Yacute:Yacute$1,yacute:yacute$1,YAcy,yacy,Ycirc,ycirc,Ycy,ycy,yen:yen$1,Yfr,yfr,YIcy,yicy,Yopf,yopf,Yscr,yscr,YUcy,yucy,yuml:yuml$1,Yuml,Zacute,zacute,Zcaron,zcaron,Zcy,zcy,Zdot,zdot,zeetrf,ZeroWidthSpace,Zeta,zeta,zfr,Zfr,ZHcy,zhcy,zigrarr,zopf,Zopf,Zscr,zscr,zwj,zwnj},Aacute="Á",aacute="á",Acirc="Â",acirc="â",acute="´",AElig="Æ",aelig="æ",Agrave="À",agrave="à",amp$1="&",AMP="&",Aring="Å",aring="å",Atilde="Ã",atilde="ã",Auml="Ä",auml="ä",brvbar="¦",Ccedil="Ç",ccedil="ç",cedil="¸",cent="¢",copy="©",COPY="©",curren="¤",deg="°",divide="÷",Eacute="É",eacute="é",Ecirc="Ê",ecirc="ê",Egrave="È",egrave="è",ETH="Ð",eth="ð",Euml="Ë",euml="ë",frac12="½",frac14="¼",frac34="¾",gt$4=">",GT=">",Iacute="Í",iacute="í",Icirc="Î",icirc="î",iexcl="¡",Igrave="Ì",igrave="ì",iquest="¿",Iuml="Ï",iuml="ï",laquo="«",lt$4="<",LT="<",macr="¯",micro="µ",middot="·",nbsp=" ",not="¬",Ntilde="Ñ",ntilde="ñ",Oacute="Ó",oacute="ó",Ocirc="Ô",ocirc="ô",Ograve="Ò",ograve="ò",ordf="ª",ordm="º",Oslash="Ø",oslash="ø",Otilde="Õ",otilde="õ",Ouml="Ö",ouml="ö",para="¶",plusmn="±",pound="£",quot$1='"',QUOT='"',raquo="»",reg="®",REG="®",sect="§",shy="",sup1="¹",sup2="²",sup3="³",szlig="ß",THORN="Þ",thorn="þ",times$1="×",Uacute="Ú",uacute="ú",Ucirc="Û",ucirc="û",Ugrave="Ù",ugrave="ù",uml="¨",Uuml="Ü",uuml="ü",Yacute="Ý",yacute="ý",yen="¥",yuml="ÿ",require$$2={Aacute,aacute,Acirc,acirc,acute,AElig,aelig,Agrave,agrave,amp:amp$1,AMP,Aring,aring,Atilde,atilde,Auml,auml,brvbar,Ccedil,ccedil,cedil,cent,copy,COPY,curren,deg,divide,Eacute,eacute,Ecirc,ecirc,Egrave,egrave,ETH,eth,Euml,euml,frac12,frac14,frac34,gt:gt$4,GT,Iacute,iacute,Icirc,icirc,iexcl,Igrave,igrave,iquest,Iuml,iuml,laquo,lt:lt$4,LT,macr,micro,middot,nbsp,not,Ntilde,ntilde,Oacute,oacute,Ocirc,ocirc,Ograve,ograve,ordf,ordm,Oslash,oslash,Otilde,otilde,Ouml,ouml,para,plusmn,pound,quot:quot$1,QUOT,raquo,reg,REG,sect,shy,sup1,sup2,sup3,szlig,THORN,thorn,times:times$1,Uacute,uacute,Ucirc,ucirc,Ugrave,ugrave,uml,Uuml,uuml,Yacute,yacute,yen,yuml},amp="&",apos="'",gt$3=">",lt$3="<",quot='"',require$$3={amp,apos,gt:gt$3,lt:lt$3,quot};var hasRequiredTokenizer$1;function requireTokenizer$1(){if(hasRequiredTokenizer$1)return Tokenizer;hasRequiredTokenizer$1=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(Sf){return Sf&&Sf.__esModule?Sf:{default:Sf}};Object.defineProperty(Tokenizer,"__esModule",{value:!0});var qa=Ra(requireDecode_codepoint()),Ja=Ra(require$$1),ed=Ra(require$$2),td=Ra(require$$3);function rd(Sf){return Sf===" "||Sf===`
|
||
`||Sf===" "||Sf==="\f"||Sf==="\r"}function sd(Sf){return Sf>="a"&&Sf<="z"||Sf>="A"&&Sf<="Z"}function od(Sf,wf,Kf){var Gf=Sf.toLowerCase();return Sf===Gf?function(gf,mf){mf===Gf?gf._state=wf:(gf._state=Kf,gf._index--)}:function(gf,mf){mf===Gf||mf===Sf?gf._state=wf:(gf._state=Kf,gf._index--)}}function ld(Sf,wf){var Kf=Sf.toLowerCase();return function(Gf,gf){gf===Kf||gf===Sf?Gf._state=wf:(Gf._state=3,Gf._index--)}}var cd=od("C",24,16),ud=od("D",25,16),_d=od("A",26,16),yd=od("T",27,16),gd=od("A",28,16),Ed=ld("R",35),Td=ld("I",36),kd=ld("P",37),Rd=ld("T",38),Nd=od("R",40,1),Id=od("I",41,1),Md=od("P",42,1),Ld=od("T",43,1),Pd=ld("Y",45),qd=ld("L",46),Yd=ld("E",47),Ud=od("Y",49,1),Hd=od("L",50,1),Vd=od("E",51,1),Jd=ld("I",54),Zd=ld("T",55),pf=ld("L",56),Xd=ld("E",57),hf=od("I",58,1),_f=od("T",59,1),xf=od("L",60,1),Lf=od("E",61,1),Wf=od("#",63,64),Yf=od("X",66,65),If=function(){function Sf(wf,Kf){var Gf;this._state=1,this.buffer="",this.sectionStart=0,this._index=0,this.bufferOffset=0,this.baseState=1,this.special=1,this.running=!0,this.ended=!1,this.cbs=Kf,this.xmlMode=!!(wf!=null&&wf.xmlMode),this.decodeEntities=(Gf=wf==null?void 0:wf.decodeEntities)!==null&&Gf!==void 0?Gf:!0}return Sf.prototype.reset=function(){this._state=1,this.buffer="",this.sectionStart=0,this._index=0,this.bufferOffset=0,this.baseState=1,this.special=1,this.running=!0,this.ended=!1},Sf.prototype.write=function(wf){this.ended&&this.cbs.onerror(Error(".write() after done!")),this.buffer+=wf,this.parse()},Sf.prototype.end=function(wf){this.ended&&this.cbs.onerror(Error(".end() after done!")),wf&&this.write(wf),this.ended=!0,this.running&&this.finish()},Sf.prototype.pause=function(){this.running=!1},Sf.prototype.resume=function(){this.running=!0,this._index<this.buffer.length&&this.parse(),this.ended&&this.finish()},Sf.prototype.getAbsoluteIndex=function(){return this.bufferOffset+this._index},Sf.prototype.stateText=function(wf){wf==="<"?(this._index>this.sectionStart&&this.cbs.ontext(this.getSection()),this._state=2,this.sectionStart=this._index):this.decodeEntities&&wf==="&"&&(this.special===1||this.special===4)&&(this._index>this.sectionStart&&this.cbs.ontext(this.getSection()),this.baseState=1,this._state=62,this.sectionStart=this._index)},Sf.prototype.isTagStartChar=function(wf){return sd(wf)||this.xmlMode&&!rd(wf)&&wf!=="/"&&wf!==">"},Sf.prototype.stateBeforeTagName=function(wf){wf==="/"?this._state=5:wf==="<"?(this.cbs.ontext(this.getSection()),this.sectionStart=this._index):wf===">"||this.special!==1||rd(wf)?this._state=1:wf==="!"?(this._state=15,this.sectionStart=this._index+1):wf==="?"?(this._state=17,this.sectionStart=this._index+1):this.isTagStartChar(wf)?(this._state=!this.xmlMode&&(wf==="s"||wf==="S")?32:!this.xmlMode&&(wf==="t"||wf==="T")?52:3,this.sectionStart=this._index):this._state=1},Sf.prototype.stateInTagName=function(wf){(wf==="/"||wf===">"||rd(wf))&&(this.emitToken("onopentagname"),this._state=8,this._index--)},Sf.prototype.stateBeforeClosingTagName=function(wf){rd(wf)||(wf===">"?this._state=1:this.special!==1?this.special!==4&&(wf==="s"||wf==="S")?this._state=33:this.special===4&&(wf==="t"||wf==="T")?this._state=53:(this._state=1,this._index--):this.isTagStartChar(wf)?(this._state=6,this.sectionStart=this._index):(this._state=20,this.sectionStart=this._index))},Sf.prototype.stateInClosingTagName=function(wf){(wf===">"||rd(wf))&&(this.emitToken("onclosetag"),this._state=7,this._index--)},Sf.prototype.stateAfterClosingTagName=function(wf){wf===">"&&(this._state=1,this.sectionStart=this._index+1)},Sf.prototype.stateBeforeAttributeName=function(wf){wf===">"?(this.cbs.onopentagend(),this._state=1,this.sectionStart=this._index+1):wf==="/"?this._state=4:rd(wf)||(this._state=9,this.sectionStart=this._index)},Sf.prototype.stateInSelfClosingTag=function(wf){wf===">"?(this.cbs.onselfclosingtag(),this._state=1,this.sectionStart=this._index+1,this.special=1):rd(wf)||(this._state=8,this._index--)},Sf.prototype.stateInAttributeName=function(wf){(wf==="="||wf==="/"||wf===">"||rd(wf))&&(this.cbs.onattribname(this.getSection()),this.sectionStart=-1,this._state=10,this._index--)},Sf.prototype.stateAfterAttributeName=function(wf){wf==="="?this._state=11:wf==="/"||wf===">"?(this.cbs.onattribend(void 0),this._state=8,this._index--):rd(wf)||(this.cbs.onattribend(void 0),this._state=9,this.sectionStart=this._index)},Sf.prototype.stateBeforeAttributeValue=function(wf){wf==='"'?(this._state=12,this.sectionStart=this._index+1):wf==="'"?(this._state=13,this.sectionStart=this._index+1):rd(wf)||(this._state=14,this.sectionStart=this._index,this._index--)},Sf.prototype.handleInAttributeValue=function(wf,Kf){wf===Kf?(this.emitToken("onattribdata"),this.cbs.onattribend(Kf),this._state=8):this.decodeEntities&&wf==="&"&&(this.emitToken("onattribdata"),this.baseState=this._state,this._state=62,this.sectionStart=this._index)},Sf.prototype.stateInAttributeValueDoubleQuotes=function(wf){this.handleInAttributeValue(wf,'"')},Sf.prototype.stateInAttributeValueSingleQuotes=function(wf){this.handleInAttributeValue(wf,"'")},Sf.prototype.stateInAttributeValueNoQuotes=function(wf){rd(wf)||wf===">"?(this.emitToken("onattribdata"),this.cbs.onattribend(null),this._state=8,this._index--):this.decodeEntities&&wf==="&"&&(this.emitToken("onattribdata"),this.baseState=this._state,this._state=62,this.sectionStart=this._index)},Sf.prototype.stateBeforeDeclaration=function(wf){this._state=wf==="["?23:wf==="-"?18:16},Sf.prototype.stateInDeclaration=function(wf){wf===">"&&(this.cbs.ondeclaration(this.getSection()),this._state=1,this.sectionStart=this._index+1)},Sf.prototype.stateInProcessingInstruction=function(wf){wf===">"&&(this.cbs.onprocessinginstruction(this.getSection()),this._state=1,this.sectionStart=this._index+1)},Sf.prototype.stateBeforeComment=function(wf){wf==="-"?(this._state=19,this.sectionStart=this._index+1):this._state=16},Sf.prototype.stateInComment=function(wf){wf==="-"&&(this._state=21)},Sf.prototype.stateInSpecialComment=function(wf){wf===">"&&(this.cbs.oncomment(this.buffer.substring(this.sectionStart,this._index)),this._state=1,this.sectionStart=this._index+1)},Sf.prototype.stateAfterComment1=function(wf){wf==="-"?this._state=22:this._state=19},Sf.prototype.stateAfterComment2=function(wf){wf===">"?(this.cbs.oncomment(this.buffer.substring(this.sectionStart,this._index-2)),this._state=1,this.sectionStart=this._index+1):wf!=="-"&&(this._state=19)},Sf.prototype.stateBeforeCdata6=function(wf){wf==="["?(this._state=29,this.sectionStart=this._index+1):(this._state=16,this._index--)},Sf.prototype.stateInCdata=function(wf){wf==="]"&&(this._state=30)},Sf.prototype.stateAfterCdata1=function(wf){wf==="]"?this._state=31:this._state=29},Sf.prototype.stateAfterCdata2=function(wf){wf===">"?(this.cbs.oncdata(this.buffer.substring(this.sectionStart,this._index-2)),this._state=1,this.sectionStart=this._index+1):wf!=="]"&&(this._state=29)},Sf.prototype.stateBeforeSpecialS=function(wf){wf==="c"||wf==="C"?this._state=34:wf==="t"||wf==="T"?this._state=44:(this._state=3,this._index--)},Sf.prototype.stateBeforeSpecialSEnd=function(wf){this.special===2&&(wf==="c"||wf==="C")?this._state=39:this.special===3&&(wf==="t"||wf==="T")?this._state=48:this._state=1},Sf.prototype.stateBeforeSpecialLast=function(wf,Kf){(wf==="/"||wf===">"||rd(wf))&&(this.special=Kf),this._state=3,this._index--},Sf.prototype.stateAfterSpecialLast=function(wf,Kf){wf===">"||rd(wf)?(this.special=1,this._state=6,this.sectionStart=this._index-Kf,this._index--):this._state=1},Sf.prototype.parseFixedEntity=function(wf){if(wf===void 0&&(wf=this.xmlMode?td.default:Ja.default),this.sectionStart+1<this._index){var Kf=this.buffer.substring(this.sectionStart+1,this._index);Object.prototype.hasOwnProperty.call(wf,Kf)&&(this.emitPartial(wf[Kf]),this.sectionStart=this._index+1)}},Sf.prototype.parseLegacyEntity=function(){for(var wf=this.sectionStart+1,Kf=Math.min(this._index-wf,6);Kf>=2;){var Gf=this.buffer.substr(wf,Kf);if(Object.prototype.hasOwnProperty.call(ed.default,Gf)){this.emitPartial(ed.default[Gf]),this.sectionStart+=Kf+1;return}Kf--}},Sf.prototype.stateInNamedEntity=function(wf){wf===";"?(this.parseFixedEntity(),this.baseState===1&&this.sectionStart+1<this._index&&!this.xmlMode&&this.parseLegacyEntity(),this._state=this.baseState):(wf<"0"||wf>"9")&&!sd(wf)&&(this.xmlMode||this.sectionStart+1===this._index||(this.baseState!==1?wf!=="="&&this.parseFixedEntity(ed.default):this.parseLegacyEntity()),this._state=this.baseState,this._index--)},Sf.prototype.decodeNumericEntity=function(wf,Kf,Gf){var gf=this.sectionStart+wf;if(gf!==this._index){var mf=this.buffer.substring(gf,this._index),$f=parseInt(mf,Kf);this.emitPartial(qa.default($f)),this.sectionStart=Gf?this._index+1:this._index}this._state=this.baseState},Sf.prototype.stateInNumericEntity=function(wf){wf===";"?this.decodeNumericEntity(2,10,!0):(wf<"0"||wf>"9")&&(this.xmlMode?this._state=this.baseState:this.decodeNumericEntity(2,10,!1),this._index--)},Sf.prototype.stateInHexEntity=function(wf){wf===";"?this.decodeNumericEntity(3,16,!0):(wf<"a"||wf>"f")&&(wf<"A"||wf>"F")&&(wf<"0"||wf>"9")&&(this.xmlMode?this._state=this.baseState:this.decodeNumericEntity(3,16,!1),this._index--)},Sf.prototype.cleanup=function(){this.sectionStart<0?(this.buffer="",this.bufferOffset+=this._index,this._index=0):this.running&&(this._state===1?(this.sectionStart!==this._index&&this.cbs.ontext(this.buffer.substr(this.sectionStart)),this.buffer="",this.bufferOffset+=this._index,this._index=0):this.sectionStart===this._index?(this.buffer="",this.bufferOffset+=this._index,this._index=0):(this.buffer=this.buffer.substr(this.sectionStart),this._index-=this.sectionStart,this.bufferOffset+=this.sectionStart),this.sectionStart=0)},Sf.prototype.parse=function(){for(;this._index<this.buffer.length&&this.running;){var wf=this.buffer.charAt(this._index);this._state===1?this.stateText(wf):this._state===12?this.stateInAttributeValueDoubleQuotes(wf):this._state===9?this.stateInAttributeName(wf):this._state===19?this.stateInComment(wf):this._state===20?this.stateInSpecialComment(wf):this._state===8?this.stateBeforeAttributeName(wf):this._state===3?this.stateInTagName(wf):this._state===6?this.stateInClosingTagName(wf):this._state===2?this.stateBeforeTagName(wf):this._state===10?this.stateAfterAttributeName(wf):this._state===13?this.stateInAttributeValueSingleQuotes(wf):this._state===11?this.stateBeforeAttributeValue(wf):this._state===5?this.stateBeforeClosingTagName(wf):this._state===7?this.stateAfterClosingTagName(wf):this._state===32?this.stateBeforeSpecialS(wf):this._state===21?this.stateAfterComment1(wf):this._state===14?this.stateInAttributeValueNoQuotes(wf):this._state===4?this.stateInSelfClosingTag(wf):this._state===16?this.stateInDeclaration(wf):this._state===15?this.stateBeforeDeclaration(wf):this._state===22?this.stateAfterComment2(wf):this._state===18?this.stateBeforeComment(wf):this._state===33?this.stateBeforeSpecialSEnd(wf):this._state===53?hf(this,wf):this._state===39?Nd(this,wf):this._state===40?Id(this,wf):this._state===41?Md(this,wf):this._state===34?Ed(this,wf):this._state===35?Td(this,wf):this._state===36?kd(this,wf):this._state===37?Rd(this,wf):this._state===38?this.stateBeforeSpecialLast(wf,2):this._state===42?Ld(this,wf):this._state===43?this.stateAfterSpecialLast(wf,6):this._state===44?Pd(this,wf):this._state===29?this.stateInCdata(wf):this._state===45?qd(this,wf):this._state===46?Yd(this,wf):this._state===47?this.stateBeforeSpecialLast(wf,3):this._state===48?Ud(this,wf):this._state===49?Hd(this,wf):this._state===50?Vd(this,wf):this._state===51?this.stateAfterSpecialLast(wf,5):this._state===52?Jd(this,wf):this._state===54?Zd(this,wf):this._state===55?pf(this,wf):this._state===56?Xd(this,wf):this._state===57?this.stateBeforeSpecialLast(wf,4):this._state===58?_f(this,wf):this._state===59?xf(this,wf):this._state===60?Lf(this,wf):this._state===61?this.stateAfterSpecialLast(wf,5):this._state===17?this.stateInProcessingInstruction(wf):this._state===64?this.stateInNamedEntity(wf):this._state===23?cd(this,wf):this._state===62?Wf(this,wf):this._state===24?ud(this,wf):this._state===25?_d(this,wf):this._state===30?this.stateAfterCdata1(wf):this._state===31?this.stateAfterCdata2(wf):this._state===26?yd(this,wf):this._state===27?gd(this,wf):this._state===28?this.stateBeforeCdata6(wf):this._state===66?this.stateInHexEntity(wf):this._state===65?this.stateInNumericEntity(wf):this._state===63?Yf(this,wf):this.cbs.onerror(Error("unknown _state"),this._state),this._index++}this.cleanup()},Sf.prototype.finish=function(){this.sectionStart<this._index&&this.handleTrailingData(),this.cbs.onend()},Sf.prototype.handleTrailingData=function(){var wf=this.buffer.substr(this.sectionStart);this._state===29||this._state===30||this._state===31?this.cbs.oncdata(wf):this._state===19||this._state===21||this._state===22?this.cbs.oncomment(wf):this._state===64&&!this.xmlMode?(this.parseLegacyEntity(),this.sectionStart<this._index&&(this._state=this.baseState,this.handleTrailingData())):this._state===65&&!this.xmlMode?(this.decodeNumericEntity(2,10,!1),this.sectionStart<this._index&&(this._state=this.baseState,this.handleTrailingData())):this._state===66&&!this.xmlMode?(this.decodeNumericEntity(3,16,!1),this.sectionStart<this._index&&(this._state=this.baseState,this.handleTrailingData())):this._state!==3&&this._state!==8&&this._state!==11&&this._state!==10&&this._state!==9&&this._state!==13&&this._state!==12&&this._state!==14&&this._state!==6&&this.cbs.ontext(wf)},Sf.prototype.getSection=function(){return this.buffer.substring(this.sectionStart,this._index)},Sf.prototype.emitToken=function(wf){this.cbs[wf](this.getSection()),this.sectionStart=-1},Sf.prototype.emitPartial=function(wf){this.baseState!==1?this.cbs.onattribdata(wf):this.cbs.ontext(wf)},Sf}();return Tokenizer.default=If,Tokenizer}var hasRequiredParser$2;function requireParser$2(){if(hasRequiredParser$2)return Parser;hasRequiredParser$2=1;var Ra=commonjsGlobal&&commonjsGlobal.__importDefault||function(ud){return ud&&ud.__esModule?ud:{default:ud}};Object.defineProperty(Parser,"__esModule",{value:!0}),Parser.Parser=void 0;var qa=Ra(requireTokenizer$1()),Ja=new Set(["input","option","optgroup","select","button","datalist","textarea"]),ed=new Set(["p"]),td={tr:new Set(["tr","th","td"]),th:new Set(["th"]),td:new Set(["thead","th","td"]),body:new Set(["head","link","script"]),li:new Set(["li"]),p:ed,h1:ed,h2:ed,h3:ed,h4:ed,h5:ed,h6:ed,select:Ja,input:Ja,output:Ja,button:Ja,datalist:Ja,textarea:Ja,option:new Set(["option"]),optgroup:new Set(["optgroup","option"]),dd:new Set(["dt","dd"]),dt:new Set(["dt","dd"]),address:ed,article:ed,aside:ed,blockquote:ed,details:ed,div:ed,dl:ed,fieldset:ed,figcaption:ed,figure:ed,footer:ed,form:ed,header:ed,hr:ed,main:ed,nav:ed,ol:ed,pre:ed,section:ed,table:ed,ul:ed,rt:new Set(["rt","rp"]),rp:new Set(["rt","rp"]),tbody:new Set(["thead","tbody"]),tfoot:new Set(["thead","tbody"])},rd=new Set(["area","base","basefont","br","col","command","embed","frame","hr","img","input","isindex","keygen","link","meta","param","source","track","wbr"]),sd=new Set(["math","svg"]),od=new Set(["mi","mo","mn","ms","mtext","annotation-xml","foreignObject","desc","title"]),ld=/\s|\//,cd=function(){function ud(_d,yd){yd===void 0&&(yd={});var gd,Ed,Td,kd,Rd;this.startIndex=0,this.endIndex=null,this.tagname="",this.attribname="",this.attribvalue="",this.attribs=null,this.stack=[],this.foreignContext=[],this.options=yd,this.cbs=_d??{},this.lowerCaseTagNames=(gd=yd.lowerCaseTags)!==null&&gd!==void 0?gd:!yd.xmlMode,this.lowerCaseAttributeNames=(Ed=yd.lowerCaseAttributeNames)!==null&&Ed!==void 0?Ed:!yd.xmlMode,this.tokenizer=new((Td=yd.Tokenizer)!==null&&Td!==void 0?Td:qa.default)(this.options,this),(Rd=(kd=this.cbs).onparserinit)===null||Rd===void 0||Rd.call(kd,this)}return ud.prototype.updatePosition=function(_d){this.endIndex===null?this.tokenizer.sectionStart<=_d?this.startIndex=0:this.startIndex=this.tokenizer.sectionStart-_d:this.startIndex=this.endIndex+1,this.endIndex=this.tokenizer.getAbsoluteIndex()},ud.prototype.ontext=function(_d){var yd,gd;this.updatePosition(1),this.endIndex--,(gd=(yd=this.cbs).ontext)===null||gd===void 0||gd.call(yd,_d)},ud.prototype.onopentagname=function(_d){var yd,gd;if(this.lowerCaseTagNames&&(_d=_d.toLowerCase()),this.tagname=_d,!this.options.xmlMode&&Object.prototype.hasOwnProperty.call(td,_d))for(var Ed=void 0;this.stack.length>0&&td[_d].has(Ed=this.stack[this.stack.length-1]);)this.onclosetag(Ed);(this.options.xmlMode||!rd.has(_d))&&(this.stack.push(_d),sd.has(_d)?this.foreignContext.push(!0):od.has(_d)&&this.foreignContext.push(!1)),(gd=(yd=this.cbs).onopentagname)===null||gd===void 0||gd.call(yd,_d),this.cbs.onopentag&&(this.attribs={})},ud.prototype.onopentagend=function(){var _d,yd;this.updatePosition(1),this.attribs&&((yd=(_d=this.cbs).onopentag)===null||yd===void 0||yd.call(_d,this.tagname,this.attribs),this.attribs=null),!this.options.xmlMode&&this.cbs.onclosetag&&rd.has(this.tagname)&&this.cbs.onclosetag(this.tagname),this.tagname=""},ud.prototype.onclosetag=function(_d){if(this.updatePosition(1),this.lowerCaseTagNames&&(_d=_d.toLowerCase()),(sd.has(_d)||od.has(_d))&&this.foreignContext.pop(),this.stack.length&&(this.options.xmlMode||!rd.has(_d))){var yd=this.stack.lastIndexOf(_d);if(yd!==-1)if(this.cbs.onclosetag)for(yd=this.stack.length-yd;yd--;)this.cbs.onclosetag(this.stack.pop());else this.stack.length=yd;else _d==="p"&&!this.options.xmlMode&&(this.onopentagname(_d),this.closeCurrentTag())}else!this.options.xmlMode&&(_d==="br"||_d==="p")&&(this.onopentagname(_d),this.closeCurrentTag())},ud.prototype.onselfclosingtag=function(){this.options.xmlMode||this.options.recognizeSelfClosing||this.foreignContext[this.foreignContext.length-1]?this.closeCurrentTag():this.onopentagend()},ud.prototype.closeCurrentTag=function(){var _d,yd,gd=this.tagname;this.onopentagend(),this.stack[this.stack.length-1]===gd&&((yd=(_d=this.cbs).onclosetag)===null||yd===void 0||yd.call(_d,gd),this.stack.pop())},ud.prototype.onattribname=function(_d){this.lowerCaseAttributeNames&&(_d=_d.toLowerCase()),this.attribname=_d},ud.prototype.onattribdata=function(_d){this.attribvalue+=_d},ud.prototype.onattribend=function(_d){var yd,gd;(gd=(yd=this.cbs).onattribute)===null||gd===void 0||gd.call(yd,this.attribname,this.attribvalue,_d),this.attribs&&!Object.prototype.hasOwnProperty.call(this.attribs,this.attribname)&&(this.attribs[this.attribname]=this.attribvalue),this.attribname="",this.attribvalue=""},ud.prototype.getInstructionName=function(_d){var yd=_d.search(ld),gd=yd<0?_d:_d.substr(0,yd);return this.lowerCaseTagNames&&(gd=gd.toLowerCase()),gd},ud.prototype.ondeclaration=function(_d){if(this.cbs.onprocessinginstruction){var yd=this.getInstructionName(_d);this.cbs.onprocessinginstruction("!"+yd,"!"+_d)}},ud.prototype.onprocessinginstruction=function(_d){if(this.cbs.onprocessinginstruction){var yd=this.getInstructionName(_d);this.cbs.onprocessinginstruction("?"+yd,"?"+_d)}},ud.prototype.oncomment=function(_d){var yd,gd,Ed,Td;this.updatePosition(4),(gd=(yd=this.cbs).oncomment)===null||gd===void 0||gd.call(yd,_d),(Td=(Ed=this.cbs).oncommentend)===null||Td===void 0||Td.call(Ed)},ud.prototype.oncdata=function(_d){var yd,gd,Ed,Td,kd,Rd;this.updatePosition(1),this.options.xmlMode||this.options.recognizeCDATA?((gd=(yd=this.cbs).oncdatastart)===null||gd===void 0||gd.call(yd),(Td=(Ed=this.cbs).ontext)===null||Td===void 0||Td.call(Ed,_d),(Rd=(kd=this.cbs).oncdataend)===null||Rd===void 0||Rd.call(kd)):this.oncomment("[CDATA["+_d+"]]")},ud.prototype.onerror=function(_d){var yd,gd;(gd=(yd=this.cbs).onerror)===null||gd===void 0||gd.call(yd,_d)},ud.prototype.onend=function(){var _d,yd;if(this.cbs.onclosetag)for(var gd=this.stack.length;gd>0;this.cbs.onclosetag(this.stack[--gd]));(yd=(_d=this.cbs).onend)===null||yd===void 0||yd.call(_d)},ud.prototype.reset=function(){var _d,yd,gd,Ed;(yd=(_d=this.cbs).onreset)===null||yd===void 0||yd.call(_d),this.tokenizer.reset(),this.tagname="",this.attribname="",this.attribs=null,this.stack=[],(Ed=(gd=this.cbs).onparserinit)===null||Ed===void 0||Ed.call(gd,this)},ud.prototype.parseComplete=function(_d){this.reset(),this.end(_d)},ud.prototype.write=function(_d){this.tokenizer.write(_d)},ud.prototype.end=function(_d){this.tokenizer.end(_d)},ud.prototype.pause=function(){this.tokenizer.pause()},ud.prototype.resume=function(){this.tokenizer.resume()},ud.prototype.parseChunk=function(_d){this.write(_d)},ud.prototype.done=function(_d){this.end(_d)},ud}();return Parser.Parser=cd,Parser}var FeedHandler={},hasRequiredFeedHandler;function requireFeedHandler(){if(hasRequiredFeedHandler)return FeedHandler;hasRequiredFeedHandler=1;var Ra=commonjsGlobal&&commonjsGlobal.__extends||function(){var Id=function(Md,Ld){return Id=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(Pd,qd){Pd.__proto__=qd}||function(Pd,qd){for(var Yd in qd)Object.prototype.hasOwnProperty.call(qd,Yd)&&(Pd[Yd]=qd[Yd])},Id(Md,Ld)};return function(Md,Ld){if(typeof Ld!="function"&&Ld!==null)throw new TypeError("Class extends value "+String(Ld)+" is not a constructor or null");Id(Md,Ld);function Pd(){this.constructor=Md}Md.prototype=Ld===null?Object.create(Ld):(Pd.prototype=Ld.prototype,new Pd)}}(),qa=commonjsGlobal&&commonjsGlobal.__createBinding||(Object.create?function(Id,Md,Ld,Pd){Pd===void 0&&(Pd=Ld),Object.defineProperty(Id,Pd,{enumerable:!0,get:function(){return Md[Ld]}})}:function(Id,Md,Ld,Pd){Pd===void 0&&(Pd=Ld),Id[Pd]=Md[Ld]}),Ja=commonjsGlobal&&commonjsGlobal.__setModuleDefault||(Object.create?function(Id,Md){Object.defineProperty(Id,"default",{enumerable:!0,value:Md})}:function(Id,Md){Id.default=Md}),ed=commonjsGlobal&&commonjsGlobal.__importStar||function(Id){if(Id&&Id.__esModule)return Id;var Md={};if(Id!=null)for(var Ld in Id)Ld!=="default"&&Object.prototype.hasOwnProperty.call(Id,Ld)&&qa(Md,Id,Ld);return Ja(Md,Id),Md},td=commonjsGlobal&&commonjsGlobal.__importDefault||function(Id){return Id&&Id.__esModule?Id:{default:Id}};Object.defineProperty(FeedHandler,"__esModule",{value:!0}),FeedHandler.parseFeed=FeedHandler.FeedHandler=void 0;var rd=td(requireLib$a()),sd=ed(requireLib$7()),od=requireParser$2(),ld;(function(Id){Id[Id.image=0]="image",Id[Id.audio=1]="audio",Id[Id.video=2]="video",Id[Id.document=3]="document",Id[Id.executable=4]="executable"})(ld||(ld={}));var cd;(function(Id){Id[Id.sample=0]="sample",Id[Id.full=1]="full",Id[Id.nonstop=2]="nonstop"})(cd||(cd={}));var ud=function(Id){Ra(Md,Id);function Md(Ld,Pd){var qd=this;return typeof Ld=="object"&&(Ld=void 0,Pd=Ld),qd=Id.call(this,Ld,Pd)||this,qd}return Md.prototype.onend=function(){var Ld,Pd,qd=gd(Rd,this.dom);if(!qd){this.handleCallback(new Error("couldn't find root of feed"));return}var Yd={};if(qd.name==="feed"){var Ud=qd.children;Yd.type="atom",kd(Yd,"id","id",Ud),kd(Yd,"title","title",Ud);var Hd=Td("href",gd("link",Ud));Hd&&(Yd.link=Hd),kd(Yd,"description","subtitle",Ud);var Vd=Ed("updated",Ud);Vd&&(Yd.updated=new Date(Vd)),kd(Yd,"author","email",Ud,!0),Yd.items=yd("entry",Ud).map(function(Jd){var Zd={},pf=Jd.children;kd(Zd,"id","id",pf),kd(Zd,"title","title",pf);var Xd=Td("href",gd("link",pf));Xd&&(Zd.link=Xd);var hf=Ed("summary",pf)||Ed("content",pf);hf&&(Zd.description=hf);var _f=Ed("updated",pf);return _f&&(Zd.pubDate=new Date(_f)),Zd.media=_d(pf),Zd})}else{var Ud=(Pd=(Ld=gd("channel",qd.children))===null||Ld===void 0?void 0:Ld.children)!==null&&Pd!==void 0?Pd:[];Yd.type=qd.name.substr(0,3),Yd.id="",kd(Yd,"title","title",Ud),kd(Yd,"link","link",Ud),kd(Yd,"description","description",Ud);var Vd=Ed("lastBuildDate",Ud);Vd&&(Yd.updated=new Date(Vd)),kd(Yd,"author","managingEditor",Ud,!0),Yd.items=yd("item",qd.children).map(function(pf){var Xd={},hf=pf.children;kd(Xd,"id","guid",hf),kd(Xd,"title","title",hf),kd(Xd,"link","link",hf),kd(Xd,"description","description",hf);var _f=Ed("pubDate",hf);return _f&&(Xd.pubDate=new Date(_f)),Xd.media=_d(hf),Xd})}this.feed=Yd,this.handleCallback(null)},Md}(rd.default);FeedHandler.FeedHandler=ud;function _d(Id){return yd("media:content",Id).map(function(Md){var Ld={medium:Md.attribs.medium,isDefault:!!Md.attribs.isDefault};return Md.attribs.url&&(Ld.url=Md.attribs.url),Md.attribs.fileSize&&(Ld.fileSize=parseInt(Md.attribs.fileSize,10)),Md.attribs.type&&(Ld.type=Md.attribs.type),Md.attribs.expression&&(Ld.expression=Md.attribs.expression),Md.attribs.bitrate&&(Ld.bitrate=parseInt(Md.attribs.bitrate,10)),Md.attribs.framerate&&(Ld.framerate=parseInt(Md.attribs.framerate,10)),Md.attribs.samplingrate&&(Ld.samplingrate=parseInt(Md.attribs.samplingrate,10)),Md.attribs.channels&&(Ld.channels=parseInt(Md.attribs.channels,10)),Md.attribs.duration&&(Ld.duration=parseInt(Md.attribs.duration,10)),Md.attribs.height&&(Ld.height=parseInt(Md.attribs.height,10)),Md.attribs.width&&(Ld.width=parseInt(Md.attribs.width,10)),Md.attribs.lang&&(Ld.lang=Md.attribs.lang),Ld})}function yd(Id,Md){return sd.getElementsByTagName(Id,Md,!0)}function gd(Id,Md){return sd.getElementsByTagName(Id,Md,!0,1)[0]}function Ed(Id,Md,Ld){return Ld===void 0&&(Ld=!1),sd.getText(sd.getElementsByTagName(Id,Md,Ld,1)).trim()}function Td(Id,Md){if(!Md)return null;var Ld=Md.attribs;return Ld[Id]}function kd(Id,Md,Ld,Pd,qd){qd===void 0&&(qd=!1);var Yd=Ed(Ld,Pd,qd);Yd&&(Id[Md]=Yd)}function Rd(Id){return Id==="rss"||Id==="feed"||Id==="rdf:RDF"}function Nd(Id,Md){Md===void 0&&(Md={xmlMode:!0});var Ld=new ud(Md);return new od.Parser(Ld,Md).end(Id),Ld.feed}return FeedHandler.parseFeed=Nd,FeedHandler}var hasRequiredLib$3;function requireLib$3(){return hasRequiredLib$3||(hasRequiredLib$3=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__createBinding||(Object.create?function(Ed,Td,kd,Rd){Rd===void 0&&(Rd=kd),Object.defineProperty(Ed,Rd,{enumerable:!0,get:function(){return Td[kd]}})}:function(Ed,Td,kd,Rd){Rd===void 0&&(Rd=kd),Ed[Rd]=Td[kd]}),Ja=commonjsGlobal&&commonjsGlobal.__setModuleDefault||(Object.create?function(Ed,Td){Object.defineProperty(Ed,"default",{enumerable:!0,value:Td})}:function(Ed,Td){Ed.default=Td}),ed=commonjsGlobal&&commonjsGlobal.__importStar||function(Ed){if(Ed&&Ed.__esModule)return Ed;var Td={};if(Ed!=null)for(var kd in Ed)kd!=="default"&&Object.prototype.hasOwnProperty.call(Ed,kd)&&qa(Td,Ed,kd);return Ja(Td,Ed),Td},td=commonjsGlobal&&commonjsGlobal.__exportStar||function(Ed,Td){for(var kd in Ed)kd!=="default"&&!Object.prototype.hasOwnProperty.call(Td,kd)&&qa(Td,Ed,kd)},rd=commonjsGlobal&&commonjsGlobal.__importDefault||function(Ed){return Ed&&Ed.__esModule?Ed:{default:Ed}};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.RssHandler=Ra.DefaultHandler=Ra.DomUtils=Ra.ElementType=Ra.Tokenizer=Ra.createDomStream=Ra.parseDOM=Ra.parseDocument=Ra.DomHandler=Ra.Parser=void 0;var sd=requireParser$2();Object.defineProperty(Ra,"Parser",{enumerable:!0,get:function(){return sd.Parser}});var od=requireLib$a();Object.defineProperty(Ra,"DomHandler",{enumerable:!0,get:function(){return od.DomHandler}}),Object.defineProperty(Ra,"DefaultHandler",{enumerable:!0,get:function(){return od.DomHandler}});function ld(Ed,Td){var kd=new od.DomHandler(void 0,Td);return new sd.Parser(kd,Td).end(Ed),kd.root}Ra.parseDocument=ld;function cd(Ed,Td){return ld(Ed,Td).children}Ra.parseDOM=cd;function ud(Ed,Td,kd){var Rd=new od.DomHandler(Ed,Td,kd);return new sd.Parser(Rd,Td)}Ra.createDomStream=ud;var _d=requireTokenizer$1();Object.defineProperty(Ra,"Tokenizer",{enumerable:!0,get:function(){return rd(_d).default}});var yd=ed(requireLib$b());Ra.ElementType=yd,td(requireFeedHandler(),Ra),Ra.DomUtils=ed(requireLib$7());var gd=requireFeedHandler();Object.defineProperty(Ra,"RssHandler",{enumerable:!0,get:function(){return gd.FeedHandler}})}(lib$5)),lib$5}var parse5Adapter={},lib$4={},unicode={},hasRequiredUnicode;function requireUnicode(){if(hasRequiredUnicode)return unicode;hasRequiredUnicode=1;const Ra=[65534,65535,131070,131071,196606,196607,262142,262143,327678,327679,393214,393215,458750,458751,524286,524287,589822,589823,655358,655359,720894,720895,786430,786431,851966,851967,917502,917503,983038,983039,1048574,1048575,1114110,1114111];return unicode.REPLACEMENT_CHARACTER="<22>",unicode.CODE_POINTS={EOF:-1,NULL:0,TABULATION:9,CARRIAGE_RETURN:13,LINE_FEED:10,FORM_FEED:12,SPACE:32,EXCLAMATION_MARK:33,QUOTATION_MARK:34,NUMBER_SIGN:35,AMPERSAND:38,APOSTROPHE:39,HYPHEN_MINUS:45,SOLIDUS:47,DIGIT_0:48,DIGIT_9:57,SEMICOLON:59,LESS_THAN_SIGN:60,EQUALS_SIGN:61,GREATER_THAN_SIGN:62,QUESTION_MARK:63,LATIN_CAPITAL_A:65,LATIN_CAPITAL_F:70,LATIN_CAPITAL_X:88,LATIN_CAPITAL_Z:90,RIGHT_SQUARE_BRACKET:93,GRAVE_ACCENT:96,LATIN_SMALL_A:97,LATIN_SMALL_F:102,LATIN_SMALL_X:120,LATIN_SMALL_Z:122,REPLACEMENT_CHARACTER:65533},unicode.CODE_POINT_SEQUENCES={DASH_DASH_STRING:[45,45],DOCTYPE_STRING:[68,79,67,84,89,80,69],CDATA_START_STRING:[91,67,68,65,84,65,91],SCRIPT_STRING:[115,99,114,105,112,116],PUBLIC_STRING:[80,85,66,76,73,67],SYSTEM_STRING:[83,89,83,84,69,77]},unicode.isSurrogate=function(qa){return qa>=55296&&qa<=57343},unicode.isSurrogatePair=function(qa){return qa>=56320&&qa<=57343},unicode.getSurrogatePairCodePoint=function(qa,Ja){return(qa-55296)*1024+9216+Ja},unicode.isControlCodePoint=function(qa){return qa!==32&&qa!==10&&qa!==13&&qa!==9&&qa!==12&&qa>=1&&qa<=31||qa>=127&&qa<=159},unicode.isUndefinedCodePoint=function(qa){return qa>=64976&&qa<=65007||Ra.indexOf(qa)>-1},unicode}var errorCodes,hasRequiredErrorCodes;function requireErrorCodes(){return hasRequiredErrorCodes||(hasRequiredErrorCodes=1,errorCodes={controlCharacterInInputStream:"control-character-in-input-stream",noncharacterInInputStream:"noncharacter-in-input-stream",surrogateInInputStream:"surrogate-in-input-stream",nonVoidHtmlElementStartTagWithTrailingSolidus:"non-void-html-element-start-tag-with-trailing-solidus",endTagWithAttributes:"end-tag-with-attributes",endTagWithTrailingSolidus:"end-tag-with-trailing-solidus",unexpectedSolidusInTag:"unexpected-solidus-in-tag",unexpectedNullCharacter:"unexpected-null-character",unexpectedQuestionMarkInsteadOfTagName:"unexpected-question-mark-instead-of-tag-name",invalidFirstCharacterOfTagName:"invalid-first-character-of-tag-name",unexpectedEqualsSignBeforeAttributeName:"unexpected-equals-sign-before-attribute-name",missingEndTagName:"missing-end-tag-name",unexpectedCharacterInAttributeName:"unexpected-character-in-attribute-name",unknownNamedCharacterReference:"unknown-named-character-reference",missingSemicolonAfterCharacterReference:"missing-semicolon-after-character-reference",unexpectedCharacterAfterDoctypeSystemIdentifier:"unexpected-character-after-doctype-system-identifier",unexpectedCharacterInUnquotedAttributeValue:"unexpected-character-in-unquoted-attribute-value",eofBeforeTagName:"eof-before-tag-name",eofInTag:"eof-in-tag",missingAttributeValue:"missing-attribute-value",missingWhitespaceBetweenAttributes:"missing-whitespace-between-attributes",missingWhitespaceAfterDoctypePublicKeyword:"missing-whitespace-after-doctype-public-keyword",missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers:"missing-whitespace-between-doctype-public-and-system-identifiers",missingWhitespaceAfterDoctypeSystemKeyword:"missing-whitespace-after-doctype-system-keyword",missingQuoteBeforeDoctypePublicIdentifier:"missing-quote-before-doctype-public-identifier",missingQuoteBeforeDoctypeSystemIdentifier:"missing-quote-before-doctype-system-identifier",missingDoctypePublicIdentifier:"missing-doctype-public-identifier",missingDoctypeSystemIdentifier:"missing-doctype-system-identifier",abruptDoctypePublicIdentifier:"abrupt-doctype-public-identifier",abruptDoctypeSystemIdentifier:"abrupt-doctype-system-identifier",cdataInHtmlContent:"cdata-in-html-content",incorrectlyOpenedComment:"incorrectly-opened-comment",eofInScriptHtmlCommentLikeText:"eof-in-script-html-comment-like-text",eofInDoctype:"eof-in-doctype",nestedComment:"nested-comment",abruptClosingOfEmptyComment:"abrupt-closing-of-empty-comment",eofInComment:"eof-in-comment",incorrectlyClosedComment:"incorrectly-closed-comment",eofInCdata:"eof-in-cdata",absenceOfDigitsInNumericCharacterReference:"absence-of-digits-in-numeric-character-reference",nullCharacterReference:"null-character-reference",surrogateCharacterReference:"surrogate-character-reference",characterReferenceOutsideUnicodeRange:"character-reference-outside-unicode-range",controlCharacterReference:"control-character-reference",noncharacterCharacterReference:"noncharacter-character-reference",missingWhitespaceBeforeDoctypeName:"missing-whitespace-before-doctype-name",missingDoctypeName:"missing-doctype-name",invalidCharacterSequenceAfterDoctypeName:"invalid-character-sequence-after-doctype-name",duplicateAttribute:"duplicate-attribute",nonConformingDoctype:"non-conforming-doctype",missingDoctype:"missing-doctype",misplacedDoctype:"misplaced-doctype",endTagWithoutMatchingOpenElement:"end-tag-without-matching-open-element",closingOfElementWithOpenChildElements:"closing-of-element-with-open-child-elements",disallowedContentInNoscriptInHead:"disallowed-content-in-noscript-in-head",openElementsLeftAfterEof:"open-elements-left-after-eof",abandonedHeadElementChild:"abandoned-head-element-child",misplacedStartTagForHeadElement:"misplaced-start-tag-for-head-element",nestedNoscriptInHead:"nested-noscript-in-head",eofInElementThatCanContainOnlyText:"eof-in-element-that-can-contain-only-text"}),errorCodes}var preprocessor,hasRequiredPreprocessor;function requirePreprocessor(){if(hasRequiredPreprocessor)return preprocessor;hasRequiredPreprocessor=1;const Ra=requireUnicode(),qa=requireErrorCodes(),Ja=Ra.CODE_POINTS,ed=65536;class td{constructor(){this.html=null,this.pos=-1,this.lastGapPos=-1,this.lastCharPos=-1,this.gapStack=[],this.skipNextNewLine=!1,this.lastChunkWritten=!1,this.endOfChunkHit=!1,this.bufferWaterline=ed}_err(){}_addGap(){this.gapStack.push(this.lastGapPos),this.lastGapPos=this.pos}_processSurrogate(sd){if(this.pos!==this.lastCharPos){const od=this.html.charCodeAt(this.pos+1);if(Ra.isSurrogatePair(od))return this.pos++,this._addGap(),Ra.getSurrogatePairCodePoint(sd,od)}else if(!this.lastChunkWritten)return this.endOfChunkHit=!0,Ja.EOF;return this._err(qa.surrogateInInputStream),sd}dropParsedChunk(){this.pos>this.bufferWaterline&&(this.lastCharPos-=this.pos,this.html=this.html.substring(this.pos),this.pos=0,this.lastGapPos=-1,this.gapStack=[])}write(sd,od){this.html?this.html+=sd:this.html=sd,this.lastCharPos=this.html.length-1,this.endOfChunkHit=!1,this.lastChunkWritten=od}insertHtmlAtCurrentPos(sd){this.html=this.html.substring(0,this.pos+1)+sd+this.html.substring(this.pos+1,this.html.length),this.lastCharPos=this.html.length-1,this.endOfChunkHit=!1}advance(){if(this.pos++,this.pos>this.lastCharPos)return this.endOfChunkHit=!this.lastChunkWritten,Ja.EOF;let sd=this.html.charCodeAt(this.pos);return this.skipNextNewLine&&sd===Ja.LINE_FEED?(this.skipNextNewLine=!1,this._addGap(),this.advance()):sd===Ja.CARRIAGE_RETURN?(this.skipNextNewLine=!0,Ja.LINE_FEED):(this.skipNextNewLine=!1,Ra.isSurrogate(sd)&&(sd=this._processSurrogate(sd)),sd>31&&sd<127||sd===Ja.LINE_FEED||sd===Ja.CARRIAGE_RETURN||sd>159&&sd<64976||this._checkForProblematicCharacters(sd),sd)}_checkForProblematicCharacters(sd){Ra.isControlCodePoint(sd)?this._err(qa.controlCharacterInInputStream):Ra.isUndefinedCodePoint(sd)&&this._err(qa.noncharacterInInputStream)}retreat(){this.pos===this.lastGapPos&&(this.lastGapPos=this.gapStack.pop(),this.pos--),this.pos--}}return preprocessor=td,preprocessor}var namedEntityData,hasRequiredNamedEntityData;function requireNamedEntityData(){return hasRequiredNamedEntityData||(hasRequiredNamedEntityData=1,namedEntityData=new Uint16Array([4,52,65,66,67,68,69,70,71,72,73,74,75,76,77,78,79,80,81,82,83,84,85,86,87,88,89,90,97,98,99,100,101,102,103,104,105,106,107,108,109,110,111,112,113,114,115,116,117,118,119,120,121,122,106,303,412,810,1432,1701,1796,1987,2114,2360,2420,2484,3170,3251,4140,4393,4575,4610,5106,5512,5728,6117,6274,6315,6345,6427,6516,7002,7910,8733,9323,9870,10170,10631,10893,11318,11386,11467,12773,13092,14474,14922,15448,15542,16419,17666,18166,18611,19004,19095,19298,19397,4,16,69,77,97,98,99,102,103,108,109,110,111,112,114,115,116,117,140,150,158,169,176,194,199,210,216,222,226,242,256,266,283,294,108,105,103,5,198,1,59,148,1,198,80,5,38,1,59,156,1,38,99,117,116,101,5,193,1,59,167,1,193,114,101,118,101,59,1,258,4,2,105,121,182,191,114,99,5,194,1,59,189,1,194,59,1,1040,114,59,3,55349,56580,114,97,118,101,5,192,1,59,208,1,192,112,104,97,59,1,913,97,99,114,59,1,256,100,59,1,10835,4,2,103,112,232,237,111,110,59,1,260,102,59,3,55349,56632,112,108,121,70,117,110,99,116,105,111,110,59,1,8289,105,110,103,5,197,1,59,264,1,197,4,2,99,115,272,277,114,59,3,55349,56476,105,103,110,59,1,8788,105,108,100,101,5,195,1,59,292,1,195,109,108,5,196,1,59,301,1,196,4,8,97,99,101,102,111,114,115,117,321,350,354,383,388,394,400,405,4,2,99,114,327,336,107,115,108,97,115,104,59,1,8726,4,2,118,119,342,345,59,1,10983,101,100,59,1,8966,121,59,1,1041,4,3,99,114,116,362,369,379,97,117,115,101,59,1,8757,110,111,117,108,108,105,115,59,1,8492,97,59,1,914,114,59,3,55349,56581,112,102,59,3,55349,56633,101,118,101,59,1,728,99,114,59,1,8492,109,112,101,113,59,1,8782,4,14,72,79,97,99,100,101,102,104,105,108,111,114,115,117,442,447,456,504,542,547,569,573,577,616,678,784,790,796,99,121,59,1,1063,80,89,5,169,1,59,454,1,169,4,3,99,112,121,464,470,497,117,116,101,59,1,262,4,2,59,105,476,478,1,8914,116,97,108,68,105,102,102,101,114,101,110,116,105,97,108,68,59,1,8517,108,101,121,115,59,1,8493,4,4,97,101,105,111,514,520,530,535,114,111,110,59,1,268,100,105,108,5,199,1,59,528,1,199,114,99,59,1,264,110,105,110,116,59,1,8752,111,116,59,1,266,4,2,100,110,553,560,105,108,108,97,59,1,184,116,101,114,68,111,116,59,1,183,114,59,1,8493,105,59,1,935,114,99,108,101,4,4,68,77,80,84,591,596,603,609,111,116,59,1,8857,105,110,117,115,59,1,8854,108,117,115,59,1,8853,105,109,101,115,59,1,8855,111,4,2,99,115,623,646,107,119,105,115,101,67,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8754,101,67,117,114,108,121,4,2,68,81,658,671,111,117,98,108,101,81,117,111,116,101,59,1,8221,117,111,116,101,59,1,8217,4,4,108,110,112,117,688,701,736,753,111,110,4,2,59,101,696,698,1,8759,59,1,10868,4,3,103,105,116,709,717,722,114,117,101,110,116,59,1,8801,110,116,59,1,8751,111,117,114,73,110,116,101,103,114,97,108,59,1,8750,4,2,102,114,742,745,59,1,8450,111,100,117,99,116,59,1,8720,110,116,101,114,67,108,111,99,107,119,105,115,101,67,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8755,111,115,115,59,1,10799,99,114,59,3,55349,56478,112,4,2,59,67,803,805,1,8915,97,112,59,1,8781,4,11,68,74,83,90,97,99,101,102,105,111,115,834,850,855,860,865,888,903,916,921,1011,1415,4,2,59,111,840,842,1,8517,116,114,97,104,100,59,1,10513,99,121,59,1,1026,99,121,59,1,1029,99,121,59,1,1039,4,3,103,114,115,873,879,883,103,101,114,59,1,8225,114,59,1,8609,104,118,59,1,10980,4,2,97,121,894,900,114,111,110,59,1,270,59,1,1044,108,4,2,59,116,910,912,1,8711,97,59,1,916,114,59,3,55349,56583,4,2,97,102,927,998,4,2,99,109,933,992,114,105,116,105,99,97,108,4,4,65,68,71,84,950,957,978,985,99,117,116,101,59,1,180,111,4,2,116,117,964,967,59,1,729,98,108,101,65,99,117,116,101,59,1,733,114,97,118,101,59,1,96,105,108,100,101,59,1,732,111,110,100,59,1,8900,102,101,114,101,110,116,105,97,108,68,59,1,8518,4,4,112,116,117,119,1021,1026,1048,1249,102,59,3,55349,56635,4,3,59,68,69,1034,1036,1041,1,168,111,116,59,1,8412,113,117,97,108,59,1,8784,98,108,101,4,6,67,68,76,82,85,86,1065,1082,1101,1189,1211,1236,111,110,116,111,117,114,73,110,116,101,103,114,97,108,59,1,8751,111,4,2,116,119,1089,1092,59,1,168,110,65,114,114,111,119,59,1,8659,4,2,101,111,1107,1141,102,116,4,3,65,82,84,1117,1124,1136,114,114,111,119,59,1,8656,105,103,104,116,65,114,114,111,119,59,1,8660,101,101,59,1,10980,110,103,4,2,76,82,1149,1177,101,102,116,4,2,65,82,1158,1165,114,114,111,119,59,1,10232,105,103,104,116,65,114,114,111,119,59,1,10234,105,103,104,116,65,114,114,111,119,59,1,10233,105,103,104,116,4,2,65,84,1199,1206,114,114,111,119,59,1,8658,101,101,59,1,8872,112,4,2,65,68,1218,1225,114,114,111,119,59,1,8657,111,119,110,65,114,114,111,119,59,1,8661,101,114,116,105,99,97,108,66,97,114,59,1,8741,110,4,6,65,66,76,82,84,97,1264,1292,1299,1352,1391,1408,114,114,111,119,4,3,59,66,85,1276,1278,1283,1,8595,97,114,59,1,10515,112,65,114,114,111,119,59,1,8693,114,101,118,101,59,1,785,101,102,116,4,3,82,84,86,1310,1323,1334,105,103,104,116,86,101,99,116,111,114,59,1,10576,101,101,86,101,99,116,111,114,59,1,10590,101,99,116,111,114,4,2,59,66,1345,1347,1,8637,97,114,59,1,10582,105,103,104,116,4,2,84,86,1362,1373,101,101,86,101,99,116,111,114,59,1,10591,101,99,116,111,114,4,2,59,66,1384,1386,1,8641,97,114,59,1,10583,101,101,4,2,59,65,1399,1401,1,8868,114,114,111,119,59,1,8615,114,114,111,119,59,1,8659,4,2,99,116,1421,1426,114,59,3,55349,56479,114,111,107,59,1,272,4,16,78,84,97,99,100,102,103,108,109,111,112,113,115,116,117,120,1466,1470,1478,1489,1515,1520,1525,1536,1544,1593,1609,1617,1650,1664,1668,1677,71,59,1,330,72,5,208,1,59,1476,1,208,99,117,116,101,5,201,1,59,1487,1,201,4,3,97,105,121,1497,1503,1512,114,111,110,59,1,282,114,99,5,202,1,59,1510,1,202,59,1,1069,111,116,59,1,278,114,59,3,55349,56584,114,97,118,101,5,200,1,59,1534,1,200,101,109,101,110,116,59,1,8712,4,2,97,112,1550,1555,99,114,59,1,274,116,121,4,2,83,86,1563,1576,109,97,108,108,83,113,117,97,114,101,59,1,9723,101,114,121,83,109,97,108,108,83,113,117,97,114,101,59,1,9643,4,2,103,112,1599,1604,111,110,59,1,280,102,59,3,55349,56636,115,105,108,111,110,59,1,917,117,4,2,97,105,1624,1640,108,4,2,59,84,1631,1633,1,10869,105,108,100,101,59,1,8770,108,105,98,114,105,117,109,59,1,8652,4,2,99,105,1656,1660,114,59,1,8496,109,59,1,10867,97,59,1,919,109,108,5,203,1,59,1675,1,203,4,2,105,112,1683,1689,115,116,115,59,1,8707,111,110,101,110,116,105,97,108,69,59,1,8519,4,5,99,102,105,111,115,1713,1717,1722,1762,1791,121,59,1,1060,114,59,3,55349,56585,108,108,101,100,4,2,83,86,1732,1745,109,97,108,108,83,113,117,97,114,101,59,1,9724,101,114,121,83,109,97,108,108,83,113,117,97,114,101,59,1,9642,4,3,112,114,117,1770,1775,1781,102,59,3,55349,56637,65,108,108,59,1,8704,114,105,101,114,116,114,102,59,1,8497,99,114,59,1,8497,4,12,74,84,97,98,99,100,102,103,111,114,115,116,1822,1827,1834,1848,1855,1877,1882,1887,1890,1896,1978,1984,99,121,59,1,1027,5,62,1,59,1832,1,62,109,109,97,4,2,59,100,1843,1845,1,915,59,1,988,114,101,118,101,59,1,286,4,3,101,105,121,1863,1869,1874,100,105,108,59,1,290,114,99,59,1,284,59,1,1043,111,116,59,1,288,114,59,3,55349,56586,59,1,8921,112,102,59,3,55349,56638,101,97,116,101,114,4,6,69,70,71,76,83,84,1915,1933,1944,1953,1959,1971,113,117,97,108,4,2,59,76,1925,1927,1,8805,101,115,115,59,1,8923,117,108,108,69,113,117,97,108,59,1,8807,114,101,97,116,101,114,59,1,10914,101,115,115,59,1,8823,108,97,110,116,69,113,117,97,108,59,1,10878,105,108,100,101,59,1,8819,99,114,59,3,55349,56482,59,1,8811,4,8,65,97,99,102,105,111,115,117,2005,2012,2026,2032,2036,2049,2073,2089,82,68,99,121,59,1,1066,4,2,99,116,2018,2023,101,107,59,1,711,59,1,94,105,114,99,59,1,292,114,59,1,8460,108,98,101,114,116,83,112,97,99,101,59,1,8459,4,2,112,114,2055,2059,102,59,1,8461,105,122,111,110,116,97,108,76,105,110,101,59,1,9472,4,2,99,116,2079,2083,114,59,1,8459,114,111,107,59,1,294,109,112,4,2,68,69,2097,2107,111,119,110,72,117,109,112,59,1,8782,113,117,97,108,59,1,8783,4,14,69,74,79,97,99,100,102,103,109,110,111,115,116,117,2144,2149,2155,2160,2171,2189,2194,2198,2209,2245,2307,2329,2334,2341,99,121,59,1,1045,108,105,103,59,1,306,99,121,59,1,1025,99,117,116,101,5,205,1,59,2169,1,205,4,2,105,121,2177,2186,114,99,5,206,1,59,2184,1,206,59,1,1048,111,116,59,1,304,114,59,1,8465,114,97,118,101,5,204,1,59,2207,1,204,4,3,59,97,112,2217,2219,2238,1,8465,4,2,99,103,2225,2229,114,59,1,298,105,110,97,114,121,73,59,1,8520,108,105,101,115,59,1,8658,4,2,116,118,2251,2281,4,2,59,101,2257,2259,1,8748,4,2,103,114,2265,2271,114,97,108,59,1,8747,115,101,99,116,105,111,110,59,1,8898,105,115,105,98,108,101,4,2,67,84,2293,2300,111,109,109,97,59,1,8291,105,109,101,115,59,1,8290,4,3,103,112,116,2315,2320,2325,111,110,59,1,302,102,59,3,55349,56640,97,59,1,921,99,114,59,1,8464,105,108,100,101,59,1,296,4,2,107,109,2347,2352,99,121,59,1,1030,108,5,207,1,59,2358,1,207,4,5,99,102,111,115,117,2372,2386,2391,2397,2414,4,2,105,121,2378,2383,114,99,59,1,308,59,1,1049,114,59,3,55349,56589,112,102,59,3,55349,56641,4,2,99,101,2403,2408,114,59,3,55349,56485,114,99,121,59,1,1032,107,99,121,59,1,1028,4,7,72,74,97,99,102,111,115,2436,2441,2446,2452,2467,2472,2478,99,121,59,1,1061,99,121,59,1,1036,112,112,97,59,1,922,4,2,101,121,2458,2464,100,105,108,59,1,310,59,1,1050,114,59,3,55349,56590,112,102,59,3,55349,56642,99,114,59,3,55349,56486,4,11,74,84,97,99,101,102,108,109,111,115,116,2508,2513,2520,2562,2585,2981,2986,3004,3011,3146,3167,99,121,59,1,1033,5,60,1,59,2518,1,60,4,5,99,109,110,112,114,2532,2538,2544,2548,2558,117,116,101,59,1,313,98,100,97,59,1,923,103,59,1,10218,108,97,99,101,116,114,102,59,1,8466,114,59,1,8606,4,3,97,101,121,2570,2576,2582,114,111,110,59,1,317,100,105,108,59,1,315,59,1,1051,4,2,102,115,2591,2907,116,4,10,65,67,68,70,82,84,85,86,97,114,2614,2663,2672,2728,2735,2760,2820,2870,2888,2895,4,2,110,114,2620,2633,103,108,101,66,114,97,99,107,101,116,59,1,10216,114,111,119,4,3,59,66,82,2644,2646,2651,1,8592,97,114,59,1,8676,105,103,104,116,65,114,114,111,119,59,1,8646,101,105,108,105,110,103,59,1,8968,111,4,2,117,119,2679,2692,98,108,101,66,114,97,99,107,101,116,59,1,10214,110,4,2,84,86,2699,2710,101,101,86,101,99,116,111,114,59,1,10593,101,99,116,111,114,4,2,59,66,2721,2723,1,8643,97,114,59,1,10585,108,111,111,114,59,1,8970,105,103,104,116,4,2,65,86,2745,2752,114,114,111,119,59,1,8596,101,99,116,111,114,59,1,10574,4,2,101,114,2766,2792,101,4,3,59,65,86,2775,2777,2784,1,8867,114,114,111,119,59,1,8612,101,99,116,111,114,59,1,10586,105,97,110,103,108,101,4,3,59,66,69,2806,2808,2813,1,8882,97,114,59,1,10703,113,117,97,108,59,1,8884,112,4,3,68,84,86,2829,2841,2852,111,119,110,86,101,99,116,111,114,59,1,10577,101,101,86,101,99,116,111,114,59,1,10592,101,99,116,111,114,4,2,59,66,2863,2865,1,8639,97,114,59,1,10584,101,99,116,111,114,4,2,59,66,2881,2883,1,8636,97,114,59,1,10578,114,114,111,119,59,1,8656,105,103,104,116,97,114,114,111,119,59,1,8660,115,4,6,69,70,71,76,83,84,2922,2936,2947,2956,2962,2974,113,117,97,108,71,114,101,97,116,101,114,59,1,8922,117,108,108,69,113,117,97,108,59,1,8806,114,101,97,116,101,114,59,1,8822,101,115,115,59,1,10913,108,97,110,116,69,113,117,97,108,59,1,10877,105,108,100,101,59,1,8818,114,59,3,55349,56591,4,2,59,101,2992,2994,1,8920,102,116,97,114,114,111,119,59,1,8666,105,100,111,116,59,1,319,4,3,110,112,119,3019,3110,3115,103,4,4,76,82,108,114,3030,3058,3070,3098,101,102,116,4,2,65,82,3039,3046,114,114,111,119,59,1,10229,105,103,104,116,65,114,114,111,119,59,1,10231,105,103,104,116,65,114,114,111,119,59,1,10230,101,102,116,4,2,97,114,3079,3086,114,114,111,119,59,1,10232,105,103,104,116,97,114,114,111,119,59,1,10234,105,103,104,116,97,114,114,111,119,59,1,10233,102,59,3,55349,56643,101,114,4,2,76,82,3123,3134,101,102,116,65,114,114,111,119,59,1,8601,105,103,104,116,65,114,114,111,119,59,1,8600,4,3,99,104,116,3154,3158,3161,114,59,1,8466,59,1,8624,114,111,107,59,1,321,59,1,8810,4,8,97,99,101,102,105,111,115,117,3188,3192,3196,3222,3227,3237,3243,3248,112,59,1,10501,121,59,1,1052,4,2,100,108,3202,3213,105,117,109,83,112,97,99,101,59,1,8287,108,105,110,116,114,102,59,1,8499,114,59,3,55349,56592,110,117,115,80,108,117,115,59,1,8723,112,102,59,3,55349,56644,99,114,59,1,8499,59,1,924,4,9,74,97,99,101,102,111,115,116,117,3271,3276,3283,3306,3422,3427,4120,4126,4137,99,121,59,1,1034,99,117,116,101,59,1,323,4,3,97,101,121,3291,3297,3303,114,111,110,59,1,327,100,105,108,59,1,325,59,1,1053,4,3,103,115,119,3314,3380,3415,97,116,105,118,101,4,3,77,84,86,3327,3340,3365,101,100,105,117,109,83,112,97,99,101,59,1,8203,104,105,4,2,99,110,3348,3357,107,83,112,97,99,101,59,1,8203,83,112,97,99,101,59,1,8203,101,114,121,84,104,105,110,83,112,97,99,101,59,1,8203,116,101,100,4,2,71,76,3389,3405,114,101,97,116,101,114,71,114,101,97,116,101,114,59,1,8811,101,115,115,76,101,115,115,59,1,8810,76,105,110,101,59,1,10,114,59,3,55349,56593,4,4,66,110,112,116,3437,3444,3460,3464,114,101,97,107,59,1,8288,66,114,101,97,107,105,110,103,83,112,97,99,101,59,1,160,102,59,1,8469,4,13,59,67,68,69,71,72,76,78,80,82,83,84,86,3492,3494,3517,3536,3578,3657,3685,3784,3823,3860,3915,4066,4107,1,10988,4,2,111,117,3500,3510,110,103,114,117,101,110,116,59,1,8802,112,67,97,112,59,1,8813,111,117,98,108,101,86,101,114,116,105,99,97,108,66,97,114,59,1,8742,4,3,108,113,120,3544,3552,3571,101,109,101,110,116,59,1,8713,117,97,108,4,2,59,84,3561,3563,1,8800,105,108,100,101,59,3,8770,824,105,115,116,115,59,1,8708,114,101,97,116,101,114,4,7,59,69,70,71,76,83,84,3600,3602,3609,3621,3631,3637,3650,1,8815,113,117,97,108,59,1,8817,117,108,108,69,113,117,97,108,59,3,8807,824,114,101,97,116,101,114,59,3,8811,824,101,115,115,59,1,8825,108,97,110,116,69,113,117,97,108,59,3,10878,824,105,108,100,101,59,1,8821,117,109,112,4,2,68,69,3666,3677,111,119,110,72,117,109,112,59,3,8782,824,113,117,97,108,59,3,8783,824,101,4,2,102,115,3692,3724,116,84,114,105,97,110,103,108,101,4,3,59,66,69,3709,3711,3717,1,8938,97,114,59,3,10703,824,113,117,97,108,59,1,8940,115,4,6,59,69,71,76,83,84,3739,3741,3748,3757,3764,3777,1,8814,113,117,97,108,59,1,8816,114,101,97,116,101,114,59,1,8824,101,115,115,59,3,8810,824,108,97,110,116,69,113,117,97,108,59,3,10877,824,105,108,100,101,59,1,8820,101,115,116,101,100,4,2,71,76,3795,3812,114,101,97,116,101,114,71,114,101,97,116,101,114,59,3,10914,824,101,115,115,76,101,115,115,59,3,10913,824,114,101,99,101,100,101,115,4,3,59,69,83,3838,3840,3848,1,8832,113,117,97,108,59,3,10927,824,108,97,110,116,69,113,117,97,108,59,1,8928,4,2,101,105,3866,3881,118,101,114,115,101,69,108,101,109,101,110,116,59,1,8716,103,104,116,84,114,105,97,110,103,108,101,4,3,59,66,69,3900,3902,3908,1,8939,97,114,59,3,10704,824,113,117,97,108,59,1,8941,4,2,113,117,3921,3973,117,97,114,101,83,117,4,2,98,112,3933,3952,115,101,116,4,2,59,69,3942,3945,3,8847,824,113,117,97,108,59,1,8930,101,114,115,101,116,4,2,59,69,3963,3966,3,8848,824,113,117,97,108,59,1,8931,4,3,98,99,112,3981,4e3,4045,115,101,116,4,2,59,69,3990,3993,3,8834,8402,113,117,97,108,59,1,8840,99,101,101,100,115,4,4,59,69,83,84,4015,4017,4025,4037,1,8833,113,117,97,108,59,3,10928,824,108,97,110,116,69,113,117,97,108,59,1,8929,105,108,100,101,59,3,8831,824,101,114,115,101,116,4,2,59,69,4056,4059,3,8835,8402,113,117,97,108,59,1,8841,105,108,100,101,4,4,59,69,70,84,4080,4082,4089,4100,1,8769,113,117,97,108,59,1,8772,117,108,108,69,113,117,97,108,59,1,8775,105,108,100,101,59,1,8777,101,114,116,105,99,97,108,66,97,114,59,1,8740,99,114,59,3,55349,56489,105,108,100,101,5,209,1,59,4135,1,209,59,1,925,4,14,69,97,99,100,102,103,109,111,112,114,115,116,117,118,4170,4176,4187,4205,4212,4217,4228,4253,4259,4292,4295,4316,4337,4346,108,105,103,59,1,338,99,117,116,101,5,211,1,59,4185,1,211,4,2,105,121,4193,4202,114,99,5,212,1,59,4200,1,212,59,1,1054,98,108,97,99,59,1,336,114,59,3,55349,56594,114,97,118,101,5,210,1,59,4226,1,210,4,3,97,101,105,4236,4241,4246,99,114,59,1,332,103,97,59,1,937,99,114,111,110,59,1,927,112,102,59,3,55349,56646,101,110,67,117,114,108,121,4,2,68,81,4272,4285,111,117,98,108,101,81,117,111,116,101,59,1,8220,117,111,116,101,59,1,8216,59,1,10836,4,2,99,108,4301,4306,114,59,3,55349,56490,97,115,104,5,216,1,59,4314,1,216,105,4,2,108,109,4323,4332,100,101,5,213,1,59,4330,1,213,101,115,59,1,10807,109,108,5,214,1,59,4344,1,214,101,114,4,2,66,80,4354,4380,4,2,97,114,4360,4364,114,59,1,8254,97,99,4,2,101,107,4372,4375,59,1,9182,101,116,59,1,9140,97,114,101,110,116,104,101,115,105,115,59,1,9180,4,9,97,99,102,104,105,108,111,114,115,4413,4422,4426,4431,4435,4438,4448,4471,4561,114,116,105,97,108,68,59,1,8706,121,59,1,1055,114,59,3,55349,56595,105,59,1,934,59,1,928,117,115,77,105,110,117,115,59,1,177,4,2,105,112,4454,4467,110,99,97,114,101,112,108,97,110,101,59,1,8460,102,59,1,8473,4,4,59,101,105,111,4481,4483,4526,4531,1,10939,99,101,100,101,115,4,4,59,69,83,84,4498,4500,4507,4519,1,8826,113,117,97,108,59,1,10927,108,97,110,116,69,113,117,97,108,59,1,8828,105,108,100,101,59,1,8830,109,101,59,1,8243,4,2,100,112,4537,4543,117,99,116,59,1,8719,111,114,116,105,111,110,4,2,59,97,4555,4557,1,8759,108,59,1,8733,4,2,99,105,4567,4572,114,59,3,55349,56491,59,1,936,4,4,85,102,111,115,4585,4594,4599,4604,79,84,5,34,1,59,4592,1,34,114,59,3,55349,56596,112,102,59,1,8474,99,114,59,3,55349,56492,4,12,66,69,97,99,101,102,104,105,111,114,115,117,4636,4642,4650,4681,4704,4763,4767,4771,5047,5069,5081,5094,97,114,114,59,1,10512,71,5,174,1,59,4648,1,174,4,3,99,110,114,4658,4664,4668,117,116,101,59,1,340,103,59,1,10219,114,4,2,59,116,4675,4677,1,8608,108,59,1,10518,4,3,97,101,121,4689,4695,4701,114,111,110,59,1,344,100,105,108,59,1,342,59,1,1056,4,2,59,118,4710,4712,1,8476,101,114,115,101,4,2,69,85,4722,4748,4,2,108,113,4728,4736,101,109,101,110,116,59,1,8715,117,105,108,105,98,114,105,117,109,59,1,8651,112,69,113,117,105,108,105,98,114,105,117,109,59,1,10607,114,59,1,8476,111,59,1,929,103,104,116,4,8,65,67,68,70,84,85,86,97,4792,4840,4849,4905,4912,4972,5022,5040,4,2,110,114,4798,4811,103,108,101,66,114,97,99,107,101,116,59,1,10217,114,111,119,4,3,59,66,76,4822,4824,4829,1,8594,97,114,59,1,8677,101,102,116,65,114,114,111,119,59,1,8644,101,105,108,105,110,103,59,1,8969,111,4,2,117,119,4856,4869,98,108,101,66,114,97,99,107,101,116,59,1,10215,110,4,2,84,86,4876,4887,101,101,86,101,99,116,111,114,59,1,10589,101,99,116,111,114,4,2,59,66,4898,4900,1,8642,97,114,59,1,10581,108,111,111,114,59,1,8971,4,2,101,114,4918,4944,101,4,3,59,65,86,4927,4929,4936,1,8866,114,114,111,119,59,1,8614,101,99,116,111,114,59,1,10587,105,97,110,103,108,101,4,3,59,66,69,4958,4960,4965,1,8883,97,114,59,1,10704,113,117,97,108,59,1,8885,112,4,3,68,84,86,4981,4993,5004,111,119,110,86,101,99,116,111,114,59,1,10575,101,101,86,101,99,116,111,114,59,1,10588,101,99,116,111,114,4,2,59,66,5015,5017,1,8638,97,114,59,1,10580,101,99,116,111,114,4,2,59,66,5033,5035,1,8640,97,114,59,1,10579,114,114,111,119,59,1,8658,4,2,112,117,5053,5057,102,59,1,8477,110,100,73,109,112,108,105,101,115,59,1,10608,105,103,104,116,97,114,114,111,119,59,1,8667,4,2,99,104,5087,5091,114,59,1,8475,59,1,8625,108,101,68,101,108,97,121,101,100,59,1,10740,4,13,72,79,97,99,102,104,105,109,111,113,115,116,117,5134,5150,5157,5164,5198,5203,5259,5265,5277,5283,5374,5380,5385,4,2,67,99,5140,5146,72,99,121,59,1,1065,121,59,1,1064,70,84,99,121,59,1,1068,99,117,116,101,59,1,346,4,5,59,97,101,105,121,5176,5178,5184,5190,5195,1,10940,114,111,110,59,1,352,100,105,108,59,1,350,114,99,59,1,348,59,1,1057,114,59,3,55349,56598,111,114,116,4,4,68,76,82,85,5216,5227,5238,5250,111,119,110,65,114,114,111,119,59,1,8595,101,102,116,65,114,114,111,119,59,1,8592,105,103,104,116,65,114,114,111,119,59,1,8594,112,65,114,114,111,119,59,1,8593,103,109,97,59,1,931,97,108,108,67,105,114,99,108,101,59,1,8728,112,102,59,3,55349,56650,4,2,114,117,5289,5293,116,59,1,8730,97,114,101,4,4,59,73,83,85,5306,5308,5322,5367,1,9633,110,116,101,114,115,101,99,116,105,111,110,59,1,8851,117,4,2,98,112,5329,5347,115,101,116,4,2,59,69,5338,5340,1,8847,113,117,97,108,59,1,8849,101,114,115,101,116,4,2,59,69,5358,5360,1,8848,113,117,97,108,59,1,8850,110,105,111,110,59,1,8852,99,114,59,3,55349,56494,97,114,59,1,8902,4,4,98,99,109,112,5395,5420,5475,5478,4,2,59,115,5401,5403,1,8912,101,116,4,2,59,69,5411,5413,1,8912,113,117,97,108,59,1,8838,4,2,99,104,5426,5468,101,101,100,115,4,4,59,69,83,84,5440,5442,5449,5461,1,8827,113,117,97,108,59,1,10928,108,97,110,116,69,113,117,97,108,59,1,8829,105,108,100,101,59,1,8831,84,104,97,116,59,1,8715,59,1,8721,4,3,59,101,115,5486,5488,5507,1,8913,114,115,101,116,4,2,59,69,5498,5500,1,8835,113,117,97,108,59,1,8839,101,116,59,1,8913,4,11,72,82,83,97,99,102,104,105,111,114,115,5536,5546,5552,5567,5579,5602,5607,5655,5695,5701,5711,79,82,78,5,222,1,59,5544,1,222,65,68,69,59,1,8482,4,2,72,99,5558,5563,99,121,59,1,1035,121,59,1,1062,4,2,98,117,5573,5576,59,1,9,59,1,932,4,3,97,101,121,5587,5593,5599,114,111,110,59,1,356,100,105,108,59,1,354,59,1,1058,114,59,3,55349,56599,4,2,101,105,5613,5631,4,2,114,116,5619,5627,101,102,111,114,101,59,1,8756,97,59,1,920,4,2,99,110,5637,5647,107,83,112,97,99,101,59,3,8287,8202,83,112,97,99,101,59,1,8201,108,100,101,4,4,59,69,70,84,5668,5670,5677,5688,1,8764,113,117,97,108,59,1,8771,117,108,108,69,113,117,97,108,59,1,8773,105,108,100,101,59,1,8776,112,102,59,3,55349,56651,105,112,108,101,68,111,116,59,1,8411,4,2,99,116,5717,5722,114,59,3,55349,56495,114,111,107,59,1,358,4,14,97,98,99,100,102,103,109,110,111,112,114,115,116,117,5758,5789,5805,5823,5830,5835,5846,5852,5921,5937,6089,6095,6101,6108,4,2,99,114,5764,5774,117,116,101,5,218,1,59,5772,1,218,114,4,2,59,111,5781,5783,1,8607,99,105,114,59,1,10569,114,4,2,99,101,5796,5800,121,59,1,1038,118,101,59,1,364,4,2,105,121,5811,5820,114,99,5,219,1,59,5818,1,219,59,1,1059,98,108,97,99,59,1,368,114,59,3,55349,56600,114,97,118,101,5,217,1,59,5844,1,217,97,99,114,59,1,362,4,2,100,105,5858,5905,101,114,4,2,66,80,5866,5892,4,2,97,114,5872,5876,114,59,1,95,97,99,4,2,101,107,5884,5887,59,1,9183,101,116,59,1,9141,97,114,101,110,116,104,101,115,105,115,59,1,9181,111,110,4,2,59,80,5913,5915,1,8899,108,117,115,59,1,8846,4,2,103,112,5927,5932,111,110,59,1,370,102,59,3,55349,56652,4,8,65,68,69,84,97,100,112,115,5955,5985,5996,6009,6026,6033,6044,6075,114,114,111,119,4,3,59,66,68,5967,5969,5974,1,8593,97,114,59,1,10514,111,119,110,65,114,114,111,119,59,1,8645,111,119,110,65,114,114,111,119,59,1,8597,113,117,105,108,105,98,114,105,117,109,59,1,10606,101,101,4,2,59,65,6017,6019,1,8869,114,114,111,119,59,1,8613,114,114,111,119,59,1,8657,111,119,110,97,114,114,111,119,59,1,8661,101,114,4,2,76,82,6052,6063,101,102,116,65,114,114,111,119,59,1,8598,105,103,104,116,65,114,114,111,119,59,1,8599,105,4,2,59,108,6082,6084,1,978,111,110,59,1,933,105,110,103,59,1,366,99,114,59,3,55349,56496,105,108,100,101,59,1,360,109,108,5,220,1,59,6115,1,220,4,9,68,98,99,100,101,102,111,115,118,6137,6143,6148,6152,6166,6250,6255,6261,6267,97,115,104,59,1,8875,97,114,59,1,10987,121,59,1,1042,97,115,104,4,2,59,108,6161,6163,1,8873,59,1,10982,4,2,101,114,6172,6175,59,1,8897,4,3,98,116,121,6183,6188,6238,97,114,59,1,8214,4,2,59,105,6194,6196,1,8214,99,97,108,4,4,66,76,83,84,6209,6214,6220,6231,97,114,59,1,8739,105,110,101,59,1,124,101,112,97,114,97,116,111,114,59,1,10072,105,108,100,101,59,1,8768,84,104,105,110,83,112,97,99,101,59,1,8202,114,59,3,55349,56601,112,102,59,3,55349,56653,99,114,59,3,55349,56497,100,97,115,104,59,1,8874,4,5,99,101,102,111,115,6286,6292,6298,6303,6309,105,114,99,59,1,372,100,103,101,59,1,8896,114,59,3,55349,56602,112,102,59,3,55349,56654,99,114,59,3,55349,56498,4,4,102,105,111,115,6325,6330,6333,6339,114,59,3,55349,56603,59,1,926,112,102,59,3,55349,56655,99,114,59,3,55349,56499,4,9,65,73,85,97,99,102,111,115,117,6365,6370,6375,6380,6391,6405,6410,6416,6422,99,121,59,1,1071,99,121,59,1,1031,99,121,59,1,1070,99,117,116,101,5,221,1,59,6389,1,221,4,2,105,121,6397,6402,114,99,59,1,374,59,1,1067,114,59,3,55349,56604,112,102,59,3,55349,56656,99,114,59,3,55349,56500,109,108,59,1,376,4,8,72,97,99,100,101,102,111,115,6445,6450,6457,6472,6477,6501,6505,6510,99,121,59,1,1046,99,117,116,101,59,1,377,4,2,97,121,6463,6469,114,111,110,59,1,381,59,1,1047,111,116,59,1,379,4,2,114,116,6483,6497,111,87,105,100,116,104,83,112,97,99,101,59,1,8203,97,59,1,918,114,59,1,8488,112,102,59,1,8484,99,114,59,3,55349,56501,4,16,97,98,99,101,102,103,108,109,110,111,112,114,115,116,117,119,6550,6561,6568,6612,6622,6634,6645,6672,6699,6854,6870,6923,6933,6963,6974,6983,99,117,116,101,5,225,1,59,6559,1,225,114,101,118,101,59,1,259,4,6,59,69,100,105,117,121,6582,6584,6588,6591,6600,6609,1,8766,59,3,8766,819,59,1,8767,114,99,5,226,1,59,6598,1,226,116,101,5,180,1,59,6607,1,180,59,1,1072,108,105,103,5,230,1,59,6620,1,230,4,2,59,114,6628,6630,1,8289,59,3,55349,56606,114,97,118,101,5,224,1,59,6643,1,224,4,2,101,112,6651,6667,4,2,102,112,6657,6663,115,121,109,59,1,8501,104,59,1,8501,104,97,59,1,945,4,2,97,112,6678,6692,4,2,99,108,6684,6688,114,59,1,257,103,59,1,10815,5,38,1,59,6697,1,38,4,2,100,103,6705,6737,4,5,59,97,100,115,118,6717,6719,6724,6727,6734,1,8743,110,100,59,1,10837,59,1,10844,108,111,112,101,59,1,10840,59,1,10842,4,7,59,101,108,109,114,115,122,6753,6755,6758,6762,6814,6835,6848,1,8736,59,1,10660,101,59,1,8736,115,100,4,2,59,97,6770,6772,1,8737,4,8,97,98,99,100,101,102,103,104,6790,6793,6796,6799,6802,6805,6808,6811,59,1,10664,59,1,10665,59,1,10666,59,1,10667,59,1,10668,59,1,10669,59,1,10670,59,1,10671,116,4,2,59,118,6821,6823,1,8735,98,4,2,59,100,6830,6832,1,8894,59,1,10653,4,2,112,116,6841,6845,104,59,1,8738,59,1,197,97,114,114,59,1,9084,4,2,103,112,6860,6865,111,110,59,1,261,102,59,3,55349,56658,4,7,59,69,97,101,105,111,112,6886,6888,6891,6897,6900,6904,6908,1,8776,59,1,10864,99,105,114,59,1,10863,59,1,8778,100,59,1,8779,115,59,1,39,114,111,120,4,2,59,101,6917,6919,1,8776,113,59,1,8778,105,110,103,5,229,1,59,6931,1,229,4,3,99,116,121,6941,6946,6949,114,59,3,55349,56502,59,1,42,109,112,4,2,59,101,6957,6959,1,8776,113,59,1,8781,105,108,100,101,5,227,1,59,6972,1,227,109,108,5,228,1,59,6981,1,228,4,2,99,105,6989,6997,111,110,105,110,116,59,1,8755,110,116,59,1,10769,4,16,78,97,98,99,100,101,102,105,107,108,110,111,112,114,115,117,7036,7041,7119,7135,7149,7155,7219,7224,7347,7354,7463,7489,7786,7793,7814,7866,111,116,59,1,10989,4,2,99,114,7047,7094,107,4,4,99,101,112,115,7058,7064,7073,7080,111,110,103,59,1,8780,112,115,105,108,111,110,59,1,1014,114,105,109,101,59,1,8245,105,109,4,2,59,101,7088,7090,1,8765,113,59,1,8909,4,2,118,119,7100,7105,101,101,59,1,8893,101,100,4,2,59,103,7113,7115,1,8965,101,59,1,8965,114,107,4,2,59,116,7127,7129,1,9141,98,114,107,59,1,9142,4,2,111,121,7141,7146,110,103,59,1,8780,59,1,1073,113,117,111,59,1,8222,4,5,99,109,112,114,116,7167,7181,7188,7193,7199,97,117,115,4,2,59,101,7176,7178,1,8757,59,1,8757,112,116,121,118,59,1,10672,115,105,59,1,1014,110,111,117,59,1,8492,4,3,97,104,119,7207,7210,7213,59,1,946,59,1,8502,101,101,110,59,1,8812,114,59,3,55349,56607,103,4,7,99,111,115,116,117,118,119,7241,7262,7288,7305,7328,7335,7340,4,3,97,105,117,7249,7253,7258,112,59,1,8898,114,99,59,1,9711,112,59,1,8899,4,3,100,112,116,7270,7275,7281,111,116,59,1,10752,108,117,115,59,1,10753,105,109,101,115,59,1,10754,4,2,113,116,7294,7300,99,117,112,59,1,10758,97,114,59,1,9733,114,105,97,110,103,108,101,4,2,100,117,7318,7324,111,119,110,59,1,9661,112,59,1,9651,112,108,117,115,59,1,10756,101,101,59,1,8897,101,100,103,101,59,1,8896,97,114,111,119,59,1,10509,4,3,97,107,111,7362,7436,7458,4,2,99,110,7368,7432,107,4,3,108,115,116,7377,7386,7394,111,122,101,110,103,101,59,1,10731,113,117,97,114,101,59,1,9642,114,105,97,110,103,108,101,4,4,59,100,108,114,7411,7413,7419,7425,1,9652,111,119,110,59,1,9662,101,102,116,59,1,9666,105,103,104,116,59,1,9656,107,59,1,9251,4,2,49,51,7442,7454,4,2,50,52,7448,7451,59,1,9618,59,1,9617,52,59,1,9619,99,107,59,1,9608,4,2,101,111,7469,7485,4,2,59,113,7475,7478,3,61,8421,117,105,118,59,3,8801,8421,116,59,1,8976,4,4,112,116,119,120,7499,7504,7517,7523,102,59,3,55349,56659,4,2,59,116,7510,7512,1,8869,111,109,59,1,8869,116,105,101,59,1,8904,4,12,68,72,85,86,98,100,104,109,112,116,117,118,7549,7571,7597,7619,7655,7660,7682,7708,7715,7721,7728,7750,4,4,76,82,108,114,7559,7562,7565,7568,59,1,9559,59,1,9556,59,1,9558,59,1,9555,4,5,59,68,85,100,117,7583,7585,7588,7591,7594,1,9552,59,1,9574,59,1,9577,59,1,9572,59,1,9575,4,4,76,82,108,114,7607,7610,7613,7616,59,1,9565,59,1,9562,59,1,9564,59,1,9561,4,7,59,72,76,82,104,108,114,7635,7637,7640,7643,7646,7649,7652,1,9553,59,1,9580,59,1,9571,59,1,9568,59,1,9579,59,1,9570,59,1,9567,111,120,59,1,10697,4,4,76,82,108,114,7670,7673,7676,7679,59,1,9557,59,1,9554,59,1,9488,59,1,9484,4,5,59,68,85,100,117,7694,7696,7699,7702,7705,1,9472,59,1,9573,59,1,9576,59,1,9516,59,1,9524,105,110,117,115,59,1,8863,108,117,115,59,1,8862,105,109,101,115,59,1,8864,4,4,76,82,108,114,7738,7741,7744,7747,59,1,9563,59,1,9560,59,1,9496,59,1,9492,4,7,59,72,76,82,104,108,114,7766,7768,7771,7774,7777,7780,7783,1,9474,59,1,9578,59,1,9569,59,1,9566,59,1,9532,59,1,9508,59,1,9500,114,105,109,101,59,1,8245,4,2,101,118,7799,7804,118,101,59,1,728,98,97,114,5,166,1,59,7812,1,166,4,4,99,101,105,111,7824,7829,7834,7846,114,59,3,55349,56503,109,105,59,1,8271,109,4,2,59,101,7841,7843,1,8765,59,1,8909,108,4,3,59,98,104,7855,7857,7860,1,92,59,1,10693,115,117,98,59,1,10184,4,2,108,109,7872,7885,108,4,2,59,101,7879,7881,1,8226,116,59,1,8226,112,4,3,59,69,101,7894,7896,7899,1,8782,59,1,10926,4,2,59,113,7905,7907,1,8783,59,1,8783,4,15,97,99,100,101,102,104,105,108,111,114,115,116,117,119,121,7942,8021,8075,8080,8121,8126,8157,8279,8295,8430,8446,8485,8491,8707,8726,4,3,99,112,114,7950,7956,8007,117,116,101,59,1,263,4,6,59,97,98,99,100,115,7970,7972,7977,7984,7998,8003,1,8745,110,100,59,1,10820,114,99,117,112,59,1,10825,4,2,97,117,7990,7994,112,59,1,10827,112,59,1,10823,111,116,59,1,10816,59,3,8745,65024,4,2,101,111,8013,8017,116,59,1,8257,110,59,1,711,4,4,97,101,105,117,8031,8046,8056,8061,4,2,112,114,8037,8041,115,59,1,10829,111,110,59,1,269,100,105,108,5,231,1,59,8054,1,231,114,99,59,1,265,112,115,4,2,59,115,8069,8071,1,10828,109,59,1,10832,111,116,59,1,267,4,3,100,109,110,8088,8097,8104,105,108,5,184,1,59,8095,1,184,112,116,121,118,59,1,10674,116,5,162,2,59,101,8112,8114,1,162,114,100,111,116,59,1,183,114,59,3,55349,56608,4,3,99,101,105,8134,8138,8154,121,59,1,1095,99,107,4,2,59,109,8146,8148,1,10003,97,114,107,59,1,10003,59,1,967,114,4,7,59,69,99,101,102,109,115,8174,8176,8179,8258,8261,8268,8273,1,9675,59,1,10691,4,3,59,101,108,8187,8189,8193,1,710,113,59,1,8791,101,4,2,97,100,8200,8223,114,114,111,119,4,2,108,114,8210,8216,101,102,116,59,1,8634,105,103,104,116,59,1,8635,4,5,82,83,97,99,100,8235,8238,8241,8246,8252,59,1,174,59,1,9416,115,116,59,1,8859,105,114,99,59,1,8858,97,115,104,59,1,8861,59,1,8791,110,105,110,116,59,1,10768,105,100,59,1,10991,99,105,114,59,1,10690,117,98,115,4,2,59,117,8288,8290,1,9827,105,116,59,1,9827,4,4,108,109,110,112,8305,8326,8376,8400,111,110,4,2,59,101,8313,8315,1,58,4,2,59,113,8321,8323,1,8788,59,1,8788,4,2,109,112,8332,8344,97,4,2,59,116,8339,8341,1,44,59,1,64,4,3,59,102,108,8352,8354,8358,1,8705,110,59,1,8728,101,4,2,109,120,8365,8371,101,110,116,59,1,8705,101,115,59,1,8450,4,2,103,105,8382,8395,4,2,59,100,8388,8390,1,8773,111,116,59,1,10861,110,116,59,1,8750,4,3,102,114,121,8408,8412,8417,59,3,55349,56660,111,100,59,1,8720,5,169,2,59,115,8424,8426,1,169,114,59,1,8471,4,2,97,111,8436,8441,114,114,59,1,8629,115,115,59,1,10007,4,2,99,117,8452,8457,114,59,3,55349,56504,4,2,98,112,8463,8474,4,2,59,101,8469,8471,1,10959,59,1,10961,4,2,59,101,8480,8482,1,10960,59,1,10962,100,111,116,59,1,8943,4,7,100,101,108,112,114,118,119,8507,8522,8536,8550,8600,8697,8702,97,114,114,4,2,108,114,8516,8519,59,1,10552,59,1,10549,4,2,112,115,8528,8532,114,59,1,8926,99,59,1,8927,97,114,114,4,2,59,112,8545,8547,1,8630,59,1,10557,4,6,59,98,99,100,111,115,8564,8566,8573,8587,8592,8596,1,8746,114,99,97,112,59,1,10824,4,2,97,117,8579,8583,112,59,1,10822,112,59,1,10826,111,116,59,1,8845,114,59,1,10821,59,3,8746,65024,4,4,97,108,114,118,8610,8623,8663,8672,114,114,4,2,59,109,8618,8620,1,8631,59,1,10556,121,4,3,101,118,119,8632,8651,8656,113,4,2,112,115,8639,8645,114,101,99,59,1,8926,117,99,99,59,1,8927,101,101,59,1,8910,101,100,103,101,59,1,8911,101,110,5,164,1,59,8670,1,164,101,97,114,114,111,119,4,2,108,114,8684,8690,101,102,116,59,1,8630,105,103,104,116,59,1,8631,101,101,59,1,8910,101,100,59,1,8911,4,2,99,105,8713,8721,111,110,105,110,116,59,1,8754,110,116,59,1,8753,108,99,116,121,59,1,9005,4,19,65,72,97,98,99,100,101,102,104,105,106,108,111,114,115,116,117,119,122,8773,8778,8783,8821,8839,8854,8887,8914,8930,8944,9036,9041,9058,9197,9227,9258,9281,9297,9305,114,114,59,1,8659,97,114,59,1,10597,4,4,103,108,114,115,8793,8799,8805,8809,103,101,114,59,1,8224,101,116,104,59,1,8504,114,59,1,8595,104,4,2,59,118,8816,8818,1,8208,59,1,8867,4,2,107,108,8827,8834,97,114,111,119,59,1,10511,97,99,59,1,733,4,2,97,121,8845,8851,114,111,110,59,1,271,59,1,1076,4,3,59,97,111,8862,8864,8880,1,8518,4,2,103,114,8870,8876,103,101,114,59,1,8225,114,59,1,8650,116,115,101,113,59,1,10871,4,3,103,108,109,8895,8902,8907,5,176,1,59,8900,1,176,116,97,59,1,948,112,116,121,118,59,1,10673,4,2,105,114,8920,8926,115,104,116,59,1,10623,59,3,55349,56609,97,114,4,2,108,114,8938,8941,59,1,8643,59,1,8642,4,5,97,101,103,115,118,8956,8986,8989,8996,9001,109,4,3,59,111,115,8965,8967,8983,1,8900,110,100,4,2,59,115,8975,8977,1,8900,117,105,116,59,1,9830,59,1,9830,59,1,168,97,109,109,97,59,1,989,105,110,59,1,8946,4,3,59,105,111,9009,9011,9031,1,247,100,101,5,247,2,59,111,9020,9022,1,247,110,116,105,109,101,115,59,1,8903,110,120,59,1,8903,99,121,59,1,1106,99,4,2,111,114,9048,9053,114,110,59,1,8990,111,112,59,1,8973,4,5,108,112,116,117,119,9070,9076,9081,9130,9144,108,97,114,59,1,36,102,59,3,55349,56661,4,5,59,101,109,112,115,9093,9095,9109,9116,9122,1,729,113,4,2,59,100,9102,9104,1,8784,111,116,59,1,8785,105,110,117,115,59,1,8760,108,117,115,59,1,8724,113,117,97,114,101,59,1,8865,98,108,101,98,97,114,119,101,100,103,101,59,1,8966,110,4,3,97,100,104,9153,9160,9172,114,114,111,119,59,1,8595,111,119,110,97,114,114,111,119,115,59,1,8650,97,114,112,111,111,110,4,2,108,114,9184,9190,101,102,116,59,1,8643,105,103,104,116,59,1,8642,4,2,98,99,9203,9211,107,97,114,111,119,59,1,10512,4,2,111,114,9217,9222,114,110,59,1,8991,111,112,59,1,8972,4,3,99,111,116,9235,9248,9252,4,2,114,121,9241,9245,59,3,55349,56505,59,1,1109,108,59,1,10742,114,111,107,59,1,273,4,2,100,114,9264,9269,111,116,59,1,8945,105,4,2,59,102,9276,9278,1,9663,59,1,9662,4,2,97,104,9287,9292,114,114,59,1,8693,97,114,59,1,10607,97,110,103,108,101,59,1,10662,4,2,99,105,9311,9315,121,59,1,1119,103,114,97,114,114,59,1,10239,4,18,68,97,99,100,101,102,103,108,109,110,111,112,113,114,115,116,117,120,9361,9376,9398,9439,9444,9447,9462,9495,9531,9585,9598,9614,9659,9755,9771,9792,9808,9826,4,2,68,111,9367,9372,111,116,59,1,10871,116,59,1,8785,4,2,99,115,9382,9392,117,116,101,5,233,1,59,9390,1,233,116,101,114,59,1,10862,4,4,97,105,111,121,9408,9414,9430,9436,114,111,110,59,1,283,114,4,2,59,99,9421,9423,1,8790,5,234,1,59,9428,1,234,108,111,110,59,1,8789,59,1,1101,111,116,59,1,279,59,1,8519,4,2,68,114,9453,9458,111,116,59,1,8786,59,3,55349,56610,4,3,59,114,115,9470,9472,9482,1,10906,97,118,101,5,232,1,59,9480,1,232,4,2,59,100,9488,9490,1,10902,111,116,59,1,10904,4,4,59,105,108,115,9505,9507,9515,9518,1,10905,110,116,101,114,115,59,1,9191,59,1,8467,4,2,59,100,9524,9526,1,10901,111,116,59,1,10903,4,3,97,112,115,9539,9544,9564,99,114,59,1,275,116,121,4,3,59,115,118,9554,9556,9561,1,8709,101,116,59,1,8709,59,1,8709,112,4,2,49,59,9571,9583,4,2,51,52,9577,9580,59,1,8196,59,1,8197,1,8195,4,2,103,115,9591,9594,59,1,331,112,59,1,8194,4,2,103,112,9604,9609,111,110,59,1,281,102,59,3,55349,56662,4,3,97,108,115,9622,9635,9640,114,4,2,59,115,9629,9631,1,8917,108,59,1,10723,117,115,59,1,10865,105,4,3,59,108,118,9649,9651,9656,1,949,111,110,59,1,949,59,1,1013,4,4,99,115,117,118,9669,9686,9716,9747,4,2,105,111,9675,9680,114,99,59,1,8790,108,111,110,59,1,8789,4,2,105,108,9692,9696,109,59,1,8770,97,110,116,4,2,103,108,9705,9710,116,114,59,1,10902,101,115,115,59,1,10901,4,3,97,101,105,9724,9729,9734,108,115,59,1,61,115,116,59,1,8799,118,4,2,59,68,9741,9743,1,8801,68,59,1,10872,112,97,114,115,108,59,1,10725,4,2,68,97,9761,9766,111,116,59,1,8787,114,114,59,1,10609,4,3,99,100,105,9779,9783,9788,114,59,1,8495,111,116,59,1,8784,109,59,1,8770,4,2,97,104,9798,9801,59,1,951,5,240,1,59,9806,1,240,4,2,109,114,9814,9822,108,5,235,1,59,9820,1,235,111,59,1,8364,4,3,99,105,112,9834,9838,9843,108,59,1,33,115,116,59,1,8707,4,2,101,111,9849,9859,99,116,97,116,105,111,110,59,1,8496,110,101,110,116,105,97,108,101,59,1,8519,4,12,97,99,101,102,105,106,108,110,111,112,114,115,9896,9910,9914,9921,9954,9960,9967,9989,9994,10027,10036,10164,108,108,105,110,103,100,111,116,115,101,113,59,1,8786,121,59,1,1092,109,97,108,101,59,1,9792,4,3,105,108,114,9929,9935,9950,108,105,103,59,1,64259,4,2,105,108,9941,9945,103,59,1,64256,105,103,59,1,64260,59,3,55349,56611,108,105,103,59,1,64257,108,105,103,59,3,102,106,4,3,97,108,116,9975,9979,9984,116,59,1,9837,105,103,59,1,64258,110,115,59,1,9649,111,102,59,1,402,4,2,112,114,1e4,10005,102,59,3,55349,56663,4,2,97,107,10011,10016,108,108,59,1,8704,4,2,59,118,10022,10024,1,8916,59,1,10969,97,114,116,105,110,116,59,1,10765,4,2,97,111,10042,10159,4,2,99,115,10048,10155,4,6,49,50,51,52,53,55,10062,10102,10114,10135,10139,10151,4,6,50,51,52,53,54,56,10076,10083,10086,10093,10096,10099,5,189,1,59,10081,1,189,59,1,8531,5,188,1,59,10091,1,188,59,1,8533,59,1,8537,59,1,8539,4,2,51,53,10108,10111,59,1,8532,59,1,8534,4,3,52,53,56,10122,10129,10132,5,190,1,59,10127,1,190,59,1,8535,59,1,8540,53,59,1,8536,4,2,54,56,10145,10148,59,1,8538,59,1,8541,56,59,1,8542,108,59,1,8260,119,110,59,1,8994,99,114,59,3,55349,56507,4,17,69,97,98,99,100,101,102,103,105,106,108,110,111,114,115,116,118,10206,10217,10247,10254,10268,10273,10358,10363,10374,10380,10385,10406,10458,10464,10470,10497,10610,4,2,59,108,10212,10214,1,8807,59,1,10892,4,3,99,109,112,10225,10231,10244,117,116,101,59,1,501,109,97,4,2,59,100,10239,10241,1,947,59,1,989,59,1,10886,114,101,118,101,59,1,287,4,2,105,121,10260,10265,114,99,59,1,285,59,1,1075,111,116,59,1,289,4,4,59,108,113,115,10283,10285,10288,10308,1,8805,59,1,8923,4,3,59,113,115,10296,10298,10301,1,8805,59,1,8807,108,97,110,116,59,1,10878,4,4,59,99,100,108,10318,10320,10324,10345,1,10878,99,59,1,10921,111,116,4,2,59,111,10332,10334,1,10880,4,2,59,108,10340,10342,1,10882,59,1,10884,4,2,59,101,10351,10354,3,8923,65024,115,59,1,10900,114,59,3,55349,56612,4,2,59,103,10369,10371,1,8811,59,1,8921,109,101,108,59,1,8503,99,121,59,1,1107,4,4,59,69,97,106,10395,10397,10400,10403,1,8823,59,1,10898,59,1,10917,59,1,10916,4,4,69,97,101,115,10416,10419,10434,10453,59,1,8809,112,4,2,59,112,10426,10428,1,10890,114,111,120,59,1,10890,4,2,59,113,10440,10442,1,10888,4,2,59,113,10448,10450,1,10888,59,1,8809,105,109,59,1,8935,112,102,59,3,55349,56664,97,118,101,59,1,96,4,2,99,105,10476,10480,114,59,1,8458,109,4,3,59,101,108,10489,10491,10494,1,8819,59,1,10894,59,1,10896,5,62,6,59,99,100,108,113,114,10512,10514,10527,10532,10538,10545,1,62,4,2,99,105,10520,10523,59,1,10919,114,59,1,10874,111,116,59,1,8919,80,97,114,59,1,10645,117,101,115,116,59,1,10876,4,5,97,100,101,108,115,10557,10574,10579,10599,10605,4,2,112,114,10563,10570,112,114,111,120,59,1,10886,114,59,1,10616,111,116,59,1,8919,113,4,2,108,113,10586,10592,101,115,115,59,1,8923,108,101,115,115,59,1,10892,101,115,115,59,1,8823,105,109,59,1,8819,4,2,101,110,10616,10626,114,116,110,101,113,113,59,3,8809,65024,69,59,3,8809,65024,4,10,65,97,98,99,101,102,107,111,115,121,10653,10658,10713,10718,10724,10760,10765,10786,10850,10875,114,114,59,1,8660,4,4,105,108,109,114,10668,10674,10678,10684,114,115,112,59,1,8202,102,59,1,189,105,108,116,59,1,8459,4,2,100,114,10690,10695,99,121,59,1,1098,4,3,59,99,119,10703,10705,10710,1,8596,105,114,59,1,10568,59,1,8621,97,114,59,1,8463,105,114,99,59,1,293,4,3,97,108,114,10732,10748,10754,114,116,115,4,2,59,117,10741,10743,1,9829,105,116,59,1,9829,108,105,112,59,1,8230,99,111,110,59,1,8889,114,59,3,55349,56613,115,4,2,101,119,10772,10779,97,114,111,119,59,1,10533,97,114,111,119,59,1,10534,4,5,97,109,111,112,114,10798,10803,10809,10839,10844,114,114,59,1,8703,116,104,116,59,1,8763,107,4,2,108,114,10816,10827,101,102,116,97,114,114,111,119,59,1,8617,105,103,104,116,97,114,114,111,119,59,1,8618,102,59,3,55349,56665,98,97,114,59,1,8213,4,3,99,108,116,10858,10863,10869,114,59,3,55349,56509,97,115,104,59,1,8463,114,111,107,59,1,295,4,2,98,112,10881,10887,117,108,108,59,1,8259,104,101,110,59,1,8208,4,15,97,99,101,102,103,105,106,109,110,111,112,113,115,116,117,10925,10936,10958,10977,10990,11001,11039,11045,11101,11192,11220,11226,11237,11285,11299,99,117,116,101,5,237,1,59,10934,1,237,4,3,59,105,121,10944,10946,10955,1,8291,114,99,5,238,1,59,10953,1,238,59,1,1080,4,2,99,120,10964,10968,121,59,1,1077,99,108,5,161,1,59,10975,1,161,4,2,102,114,10983,10986,59,1,8660,59,3,55349,56614,114,97,118,101,5,236,1,59,10999,1,236,4,4,59,105,110,111,11011,11013,11028,11034,1,8520,4,2,105,110,11019,11024,110,116,59,1,10764,116,59,1,8749,102,105,110,59,1,10716,116,97,59,1,8489,108,105,103,59,1,307,4,3,97,111,112,11053,11092,11096,4,3,99,103,116,11061,11065,11088,114,59,1,299,4,3,101,108,112,11073,11076,11082,59,1,8465,105,110,101,59,1,8464,97,114,116,59,1,8465,104,59,1,305,102,59,1,8887,101,100,59,1,437,4,5,59,99,102,111,116,11113,11115,11121,11136,11142,1,8712,97,114,101,59,1,8453,105,110,4,2,59,116,11129,11131,1,8734,105,101,59,1,10717,100,111,116,59,1,305,4,5,59,99,101,108,112,11154,11156,11161,11179,11186,1,8747,97,108,59,1,8890,4,2,103,114,11167,11173,101,114,115,59,1,8484,99,97,108,59,1,8890,97,114,104,107,59,1,10775,114,111,100,59,1,10812,4,4,99,103,112,116,11202,11206,11211,11216,121,59,1,1105,111,110,59,1,303,102,59,3,55349,56666,97,59,1,953,114,111,100,59,1,10812,117,101,115,116,5,191,1,59,11235,1,191,4,2,99,105,11243,11248,114,59,3,55349,56510,110,4,5,59,69,100,115,118,11261,11263,11266,11271,11282,1,8712,59,1,8953,111,116,59,1,8949,4,2,59,118,11277,11279,1,8948,59,1,8947,59,1,8712,4,2,59,105,11291,11293,1,8290,108,100,101,59,1,297,4,2,107,109,11305,11310,99,121,59,1,1110,108,5,239,1,59,11316,1,239,4,6,99,102,109,111,115,117,11332,11346,11351,11357,11363,11380,4,2,105,121,11338,11343,114,99,59,1,309,59,1,1081,114,59,3,55349,56615,97,116,104,59,1,567,112,102,59,3,55349,56667,4,2,99,101,11369,11374,114,59,3,55349,56511,114,99,121,59,1,1112,107,99,121,59,1,1108,4,8,97,99,102,103,104,106,111,115,11404,11418,11433,11438,11445,11450,11455,11461,112,112,97,4,2,59,118,11413,11415,1,954,59,1,1008,4,2,101,121,11424,11430,100,105,108,59,1,311,59,1,1082,114,59,3,55349,56616,114,101,101,110,59,1,312,99,121,59,1,1093,99,121,59,1,1116,112,102,59,3,55349,56668,99,114,59,3,55349,56512,4,23,65,66,69,72,97,98,99,100,101,102,103,104,106,108,109,110,111,112,114,115,116,117,118,11515,11538,11544,11555,11560,11721,11780,11818,11868,12136,12160,12171,12203,12208,12246,12275,12327,12509,12523,12569,12641,12732,12752,4,3,97,114,116,11523,11528,11532,114,114,59,1,8666,114,59,1,8656,97,105,108,59,1,10523,97,114,114,59,1,10510,4,2,59,103,11550,11552,1,8806,59,1,10891,97,114,59,1,10594,4,9,99,101,103,109,110,112,113,114,116,11580,11586,11594,11600,11606,11624,11627,11636,11694,117,116,101,59,1,314,109,112,116,121,118,59,1,10676,114,97,110,59,1,8466,98,100,97,59,1,955,103,4,3,59,100,108,11615,11617,11620,1,10216,59,1,10641,101,59,1,10216,59,1,10885,117,111,5,171,1,59,11634,1,171,114,4,8,59,98,102,104,108,112,115,116,11655,11657,11669,11673,11677,11681,11685,11690,1,8592,4,2,59,102,11663,11665,1,8676,115,59,1,10527,115,59,1,10525,107,59,1,8617,112,59,1,8619,108,59,1,10553,105,109,59,1,10611,108,59,1,8610,4,3,59,97,101,11702,11704,11709,1,10923,105,108,59,1,10521,4,2,59,115,11715,11717,1,10925,59,3,10925,65024,4,3,97,98,114,11729,11734,11739,114,114,59,1,10508,114,107,59,1,10098,4,2,97,107,11745,11758,99,4,2,101,107,11752,11755,59,1,123,59,1,91,4,2,101,115,11764,11767,59,1,10635,108,4,2,100,117,11774,11777,59,1,10639,59,1,10637,4,4,97,101,117,121,11790,11796,11811,11815,114,111,110,59,1,318,4,2,100,105,11802,11807,105,108,59,1,316,108,59,1,8968,98,59,1,123,59,1,1083,4,4,99,113,114,115,11828,11832,11845,11864,97,59,1,10550,117,111,4,2,59,114,11840,11842,1,8220,59,1,8222,4,2,100,117,11851,11857,104,97,114,59,1,10599,115,104,97,114,59,1,10571,104,59,1,8626,4,5,59,102,103,113,115,11880,11882,12008,12011,12031,1,8804,116,4,5,97,104,108,114,116,11895,11913,11935,11947,11996,114,114,111,119,4,2,59,116,11905,11907,1,8592,97,105,108,59,1,8610,97,114,112,111,111,110,4,2,100,117,11925,11931,111,119,110,59,1,8637,112,59,1,8636,101,102,116,97,114,114,111,119,115,59,1,8647,105,103,104,116,4,3,97,104,115,11959,11974,11984,114,114,111,119,4,2,59,115,11969,11971,1,8596,59,1,8646,97,114,112,111,111,110,115,59,1,8651,113,117,105,103,97,114,114,111,119,59,1,8621,104,114,101,101,116,105,109,101,115,59,1,8907,59,1,8922,4,3,59,113,115,12019,12021,12024,1,8804,59,1,8806,108,97,110,116,59,1,10877,4,5,59,99,100,103,115,12043,12045,12049,12070,12083,1,10877,99,59,1,10920,111,116,4,2,59,111,12057,12059,1,10879,4,2,59,114,12065,12067,1,10881,59,1,10883,4,2,59,101,12076,12079,3,8922,65024,115,59,1,10899,4,5,97,100,101,103,115,12095,12103,12108,12126,12131,112,112,114,111,120,59,1,10885,111,116,59,1,8918,113,4,2,103,113,12115,12120,116,114,59,1,8922,103,116,114,59,1,10891,116,114,59,1,8822,105,109,59,1,8818,4,3,105,108,114,12144,12150,12156,115,104,116,59,1,10620,111,111,114,59,1,8970,59,3,55349,56617,4,2,59,69,12166,12168,1,8822,59,1,10897,4,2,97,98,12177,12198,114,4,2,100,117,12184,12187,59,1,8637,4,2,59,108,12193,12195,1,8636,59,1,10602,108,107,59,1,9604,99,121,59,1,1113,4,5,59,97,99,104,116,12220,12222,12227,12235,12241,1,8810,114,114,59,1,8647,111,114,110,101,114,59,1,8990,97,114,100,59,1,10603,114,105,59,1,9722,4,2,105,111,12252,12258,100,111,116,59,1,320,117,115,116,4,2,59,97,12267,12269,1,9136,99,104,101,59,1,9136,4,4,69,97,101,115,12285,12288,12303,12322,59,1,8808,112,4,2,59,112,12295,12297,1,10889,114,111,120,59,1,10889,4,2,59,113,12309,12311,1,10887,4,2,59,113,12317,12319,1,10887,59,1,8808,105,109,59,1,8934,4,8,97,98,110,111,112,116,119,122,12345,12359,12364,12421,12446,12467,12474,12490,4,2,110,114,12351,12355,103,59,1,10220,114,59,1,8701,114,107,59,1,10214,103,4,3,108,109,114,12373,12401,12409,101,102,116,4,2,97,114,12382,12389,114,114,111,119,59,1,10229,105,103,104,116,97,114,114,111,119,59,1,10231,97,112,115,116,111,59,1,10236,105,103,104,116,97,114,114,111,119,59,1,10230,112,97,114,114,111,119,4,2,108,114,12433,12439,101,102,116,59,1,8619,105,103,104,116,59,1,8620,4,3,97,102,108,12454,12458,12462,114,59,1,10629,59,3,55349,56669,117,115,59,1,10797,105,109,101,115,59,1,10804,4,2,97,98,12480,12485,115,116,59,1,8727,97,114,59,1,95,4,3,59,101,102,12498,12500,12506,1,9674,110,103,101,59,1,9674,59,1,10731,97,114,4,2,59,108,12517,12519,1,40,116,59,1,10643,4,5,97,99,104,109,116,12535,12540,12548,12561,12564,114,114,59,1,8646,111,114,110,101,114,59,1,8991,97,114,4,2,59,100,12556,12558,1,8651,59,1,10605,59,1,8206,114,105,59,1,8895,4,6,97,99,104,105,113,116,12583,12589,12594,12597,12614,12635,113,117,111,59,1,8249,114,59,3,55349,56513,59,1,8624,109,4,3,59,101,103,12606,12608,12611,1,8818,59,1,10893,59,1,10895,4,2,98,117,12620,12623,59,1,91,111,4,2,59,114,12630,12632,1,8216,59,1,8218,114,111,107,59,1,322,5,60,8,59,99,100,104,105,108,113,114,12660,12662,12675,12680,12686,12692,12698,12705,1,60,4,2,99,105,12668,12671,59,1,10918,114,59,1,10873,111,116,59,1,8918,114,101,101,59,1,8907,109,101,115,59,1,8905,97,114,114,59,1,10614,117,101,115,116,59,1,10875,4,2,80,105,12711,12716,97,114,59,1,10646,4,3,59,101,102,12724,12726,12729,1,9667,59,1,8884,59,1,9666,114,4,2,100,117,12739,12746,115,104,97,114,59,1,10570,104,97,114,59,1,10598,4,2,101,110,12758,12768,114,116,110,101,113,113,59,3,8808,65024,69,59,3,8808,65024,4,14,68,97,99,100,101,102,104,105,108,110,111,112,115,117,12803,12809,12893,12908,12914,12928,12933,12937,13011,13025,13032,13049,13052,13069,68,111,116,59,1,8762,4,4,99,108,112,114,12819,12827,12849,12887,114,5,175,1,59,12825,1,175,4,2,101,116,12833,12836,59,1,9794,4,2,59,101,12842,12844,1,10016,115,101,59,1,10016,4,2,59,115,12855,12857,1,8614,116,111,4,4,59,100,108,117,12869,12871,12877,12883,1,8614,111,119,110,59,1,8615,101,102,116,59,1,8612,112,59,1,8613,107,101,114,59,1,9646,4,2,111,121,12899,12905,109,109,97,59,1,10793,59,1,1084,97,115,104,59,1,8212,97,115,117,114,101,100,97,110,103,108,101,59,1,8737,114,59,3,55349,56618,111,59,1,8487,4,3,99,100,110,12945,12954,12985,114,111,5,181,1,59,12952,1,181,4,4,59,97,99,100,12964,12966,12971,12976,1,8739,115,116,59,1,42,105,114,59,1,10992,111,116,5,183,1,59,12983,1,183,117,115,4,3,59,98,100,12995,12997,13e3,1,8722,59,1,8863,4,2,59,117,13006,13008,1,8760,59,1,10794,4,2,99,100,13017,13021,112,59,1,10971,114,59,1,8230,112,108,117,115,59,1,8723,4,2,100,112,13038,13044,101,108,115,59,1,8871,102,59,3,55349,56670,59,1,8723,4,2,99,116,13058,13063,114,59,3,55349,56514,112,111,115,59,1,8766,4,3,59,108,109,13077,13079,13087,1,956,116,105,109,97,112,59,1,8888,97,112,59,1,8888,4,24,71,76,82,86,97,98,99,100,101,102,103,104,105,106,108,109,111,112,114,115,116,117,118,119,13142,13165,13217,13229,13247,13330,13359,13414,13420,13508,13513,13579,13602,13626,13631,13762,13767,13855,13936,13995,14214,14285,14312,14432,4,2,103,116,13148,13152,59,3,8921,824,4,2,59,118,13158,13161,3,8811,8402,59,3,8811,824,4,3,101,108,116,13173,13200,13204,102,116,4,2,97,114,13181,13188,114,114,111,119,59,1,8653,105,103,104,116,97,114,114,111,119,59,1,8654,59,3,8920,824,4,2,59,118,13210,13213,3,8810,8402,59,3,8810,824,105,103,104,116,97,114,114,111,119,59,1,8655,4,2,68,100,13235,13241,97,115,104,59,1,8879,97,115,104,59,1,8878,4,5,98,99,110,112,116,13259,13264,13270,13275,13308,108,97,59,1,8711,117,116,101,59,1,324,103,59,3,8736,8402,4,5,59,69,105,111,112,13287,13289,13293,13298,13302,1,8777,59,3,10864,824,100,59,3,8779,824,115,59,1,329,114,111,120,59,1,8777,117,114,4,2,59,97,13316,13318,1,9838,108,4,2,59,115,13325,13327,1,9838,59,1,8469,4,2,115,117,13336,13344,112,5,160,1,59,13342,1,160,109,112,4,2,59,101,13352,13355,3,8782,824,59,3,8783,824,4,5,97,101,111,117,121,13371,13385,13391,13407,13411,4,2,112,114,13377,13380,59,1,10819,111,110,59,1,328,100,105,108,59,1,326,110,103,4,2,59,100,13399,13401,1,8775,111,116,59,3,10861,824,112,59,1,10818,59,1,1085,97,115,104,59,1,8211,4,7,59,65,97,100,113,115,120,13436,13438,13443,13466,13472,13478,13494,1,8800,114,114,59,1,8663,114,4,2,104,114,13450,13454,107,59,1,10532,4,2,59,111,13460,13462,1,8599,119,59,1,8599,111,116,59,3,8784,824,117,105,118,59,1,8802,4,2,101,105,13484,13489,97,114,59,1,10536,109,59,3,8770,824,105,115,116,4,2,59,115,13503,13505,1,8708,59,1,8708,114,59,3,55349,56619,4,4,69,101,115,116,13523,13527,13563,13568,59,3,8807,824,4,3,59,113,115,13535,13537,13559,1,8817,4,3,59,113,115,13545,13547,13551,1,8817,59,3,8807,824,108,97,110,116,59,3,10878,824,59,3,10878,824,105,109,59,1,8821,4,2,59,114,13574,13576,1,8815,59,1,8815,4,3,65,97,112,13587,13592,13597,114,114,59,1,8654,114,114,59,1,8622,97,114,59,1,10994,4,3,59,115,118,13610,13612,13623,1,8715,4,2,59,100,13618,13620,1,8956,59,1,8954,59,1,8715,99,121,59,1,1114,4,7,65,69,97,100,101,115,116,13647,13652,13656,13661,13665,13737,13742,114,114,59,1,8653,59,3,8806,824,114,114,59,1,8602,114,59,1,8229,4,4,59,102,113,115,13675,13677,13703,13725,1,8816,116,4,2,97,114,13684,13691,114,114,111,119,59,1,8602,105,103,104,116,97,114,114,111,119,59,1,8622,4,3,59,113,115,13711,13713,13717,1,8816,59,3,8806,824,108,97,110,116,59,3,10877,824,4,2,59,115,13731,13734,3,10877,824,59,1,8814,105,109,59,1,8820,4,2,59,114,13748,13750,1,8814,105,4,2,59,101,13757,13759,1,8938,59,1,8940,105,100,59,1,8740,4,2,112,116,13773,13778,102,59,3,55349,56671,5,172,3,59,105,110,13787,13789,13829,1,172,110,4,4,59,69,100,118,13800,13802,13806,13812,1,8713,59,3,8953,824,111,116,59,3,8949,824,4,3,97,98,99,13820,13823,13826,59,1,8713,59,1,8951,59,1,8950,105,4,2,59,118,13836,13838,1,8716,4,3,97,98,99,13846,13849,13852,59,1,8716,59,1,8958,59,1,8957,4,3,97,111,114,13863,13892,13899,114,4,4,59,97,115,116,13874,13876,13883,13888,1,8742,108,108,101,108,59,1,8742,108,59,3,11005,8421,59,3,8706,824,108,105,110,116,59,1,10772,4,3,59,99,101,13907,13909,13914,1,8832,117,101,59,1,8928,4,2,59,99,13920,13923,3,10927,824,4,2,59,101,13929,13931,1,8832,113,59,3,10927,824,4,4,65,97,105,116,13946,13951,13971,13982,114,114,59,1,8655,114,114,4,3,59,99,119,13961,13963,13967,1,8603,59,3,10547,824,59,3,8605,824,103,104,116,97,114,114,111,119,59,1,8603,114,105,4,2,59,101,13990,13992,1,8939,59,1,8941,4,7,99,104,105,109,112,113,117,14011,14036,14060,14080,14085,14090,14106,4,4,59,99,101,114,14021,14023,14028,14032,1,8833,117,101,59,1,8929,59,3,10928,824,59,3,55349,56515,111,114,116,4,2,109,112,14045,14050,105,100,59,1,8740,97,114,97,108,108,101,108,59,1,8742,109,4,2,59,101,14067,14069,1,8769,4,2,59,113,14075,14077,1,8772,59,1,8772,105,100,59,1,8740,97,114,59,1,8742,115,117,4,2,98,112,14098,14102,101,59,1,8930,101,59,1,8931,4,3,98,99,112,14114,14157,14171,4,4,59,69,101,115,14124,14126,14130,14133,1,8836,59,3,10949,824,59,1,8840,101,116,4,2,59,101,14141,14144,3,8834,8402,113,4,2,59,113,14151,14153,1,8840,59,3,10949,824,99,4,2,59,101,14164,14166,1,8833,113,59,3,10928,824,4,4,59,69,101,115,14181,14183,14187,14190,1,8837,59,3,10950,824,59,1,8841,101,116,4,2,59,101,14198,14201,3,8835,8402,113,4,2,59,113,14208,14210,1,8841,59,3,10950,824,4,4,103,105,108,114,14224,14228,14238,14242,108,59,1,8825,108,100,101,5,241,1,59,14236,1,241,103,59,1,8824,105,97,110,103,108,101,4,2,108,114,14254,14269,101,102,116,4,2,59,101,14263,14265,1,8938,113,59,1,8940,105,103,104,116,4,2,59,101,14279,14281,1,8939,113,59,1,8941,4,2,59,109,14291,14293,1,957,4,3,59,101,115,14301,14303,14308,1,35,114,111,59,1,8470,112,59,1,8199,4,9,68,72,97,100,103,105,108,114,115,14332,14338,14344,14349,14355,14369,14376,14408,14426,97,115,104,59,1,8877,97,114,114,59,1,10500,112,59,3,8781,8402,97,115,104,59,1,8876,4,2,101,116,14361,14365,59,3,8805,8402,59,3,62,8402,110,102,105,110,59,1,10718,4,3,65,101,116,14384,14389,14393,114,114,59,1,10498,59,3,8804,8402,4,2,59,114,14399,14402,3,60,8402,105,101,59,3,8884,8402,4,2,65,116,14414,14419,114,114,59,1,10499,114,105,101,59,3,8885,8402,105,109,59,3,8764,8402,4,3,65,97,110,14440,14445,14468,114,114,59,1,8662,114,4,2,104,114,14452,14456,107,59,1,10531,4,2,59,111,14462,14464,1,8598,119,59,1,8598,101,97,114,59,1,10535,4,18,83,97,99,100,101,102,103,104,105,108,109,111,112,114,115,116,117,118,14512,14515,14535,14560,14597,14603,14618,14643,14657,14662,14701,14741,14747,14769,14851,14877,14907,14916,59,1,9416,4,2,99,115,14521,14531,117,116,101,5,243,1,59,14529,1,243,116,59,1,8859,4,2,105,121,14541,14557,114,4,2,59,99,14548,14550,1,8858,5,244,1,59,14555,1,244,59,1,1086,4,5,97,98,105,111,115,14572,14577,14583,14587,14591,115,104,59,1,8861,108,97,99,59,1,337,118,59,1,10808,116,59,1,8857,111,108,100,59,1,10684,108,105,103,59,1,339,4,2,99,114,14609,14614,105,114,59,1,10687,59,3,55349,56620,4,3,111,114,116,14626,14630,14640,110,59,1,731,97,118,101,5,242,1,59,14638,1,242,59,1,10689,4,2,98,109,14649,14654,97,114,59,1,10677,59,1,937,110,116,59,1,8750,4,4,97,99,105,116,14672,14677,14693,14698,114,114,59,1,8634,4,2,105,114,14683,14687,114,59,1,10686,111,115,115,59,1,10683,110,101,59,1,8254,59,1,10688,4,3,97,101,105,14709,14714,14719,99,114,59,1,333,103,97,59,1,969,4,3,99,100,110,14727,14733,14736,114,111,110,59,1,959,59,1,10678,117,115,59,1,8854,112,102,59,3,55349,56672,4,3,97,101,108,14755,14759,14764,114,59,1,10679,114,112,59,1,10681,117,115,59,1,8853,4,7,59,97,100,105,111,115,118,14785,14787,14792,14831,14837,14841,14848,1,8744,114,114,59,1,8635,4,4,59,101,102,109,14802,14804,14817,14824,1,10845,114,4,2,59,111,14811,14813,1,8500,102,59,1,8500,5,170,1,59,14822,1,170,5,186,1,59,14829,1,186,103,111,102,59,1,8886,114,59,1,10838,108,111,112,101,59,1,10839,59,1,10843,4,3,99,108,111,14859,14863,14873,114,59,1,8500,97,115,104,5,248,1,59,14871,1,248,108,59,1,8856,105,4,2,108,109,14884,14893,100,101,5,245,1,59,14891,1,245,101,115,4,2,59,97,14901,14903,1,8855,115,59,1,10806,109,108,5,246,1,59,14914,1,246,98,97,114,59,1,9021,4,12,97,99,101,102,104,105,108,109,111,114,115,117,14948,14992,14996,15033,15038,15068,15090,15189,15192,15222,15427,15441,114,4,4,59,97,115,116,14959,14961,14976,14989,1,8741,5,182,2,59,108,14968,14970,1,182,108,101,108,59,1,8741,4,2,105,108,14982,14986,109,59,1,10995,59,1,11005,59,1,8706,121,59,1,1087,114,4,5,99,105,109,112,116,15009,15014,15019,15024,15027,110,116,59,1,37,111,100,59,1,46,105,108,59,1,8240,59,1,8869,101,110,107,59,1,8241,114,59,3,55349,56621,4,3,105,109,111,15046,15057,15063,4,2,59,118,15052,15054,1,966,59,1,981,109,97,116,59,1,8499,110,101,59,1,9742,4,3,59,116,118,15076,15078,15087,1,960,99,104,102,111,114,107,59,1,8916,59,1,982,4,2,97,117,15096,15119,110,4,2,99,107,15103,15115,107,4,2,59,104,15110,15112,1,8463,59,1,8462,118,59,1,8463,115,4,9,59,97,98,99,100,101,109,115,116,15140,15142,15148,15151,15156,15168,15171,15179,15184,1,43,99,105,114,59,1,10787,59,1,8862,105,114,59,1,10786,4,2,111,117,15162,15165,59,1,8724,59,1,10789,59,1,10866,110,5,177,1,59,15177,1,177,105,109,59,1,10790,119,111,59,1,10791,59,1,177,4,3,105,112,117,15200,15208,15213,110,116,105,110,116,59,1,10773,102,59,3,55349,56673,110,100,5,163,1,59,15220,1,163,4,10,59,69,97,99,101,105,110,111,115,117,15244,15246,15249,15253,15258,15334,15347,15367,15416,15421,1,8826,59,1,10931,112,59,1,10935,117,101,59,1,8828,4,2,59,99,15264,15266,1,10927,4,6,59,97,99,101,110,115,15280,15282,15290,15299,15303,15329,1,8826,112,112,114,111,120,59,1,10935,117,114,108,121,101,113,59,1,8828,113,59,1,10927,4,3,97,101,115,15311,15319,15324,112,112,114,111,120,59,1,10937,113,113,59,1,10933,105,109,59,1,8936,105,109,59,1,8830,109,101,4,2,59,115,15342,15344,1,8242,59,1,8473,4,3,69,97,115,15355,15358,15362,59,1,10933,112,59,1,10937,105,109,59,1,8936,4,3,100,102,112,15375,15378,15404,59,1,8719,4,3,97,108,115,15386,15392,15398,108,97,114,59,1,9006,105,110,101,59,1,8978,117,114,102,59,1,8979,4,2,59,116,15410,15412,1,8733,111,59,1,8733,105,109,59,1,8830,114,101,108,59,1,8880,4,2,99,105,15433,15438,114,59,3,55349,56517,59,1,968,110,99,115,112,59,1,8200,4,6,102,105,111,112,115,117,15462,15467,15472,15478,15485,15491,114,59,3,55349,56622,110,116,59,1,10764,112,102,59,3,55349,56674,114,105,109,101,59,1,8279,99,114,59,3,55349,56518,4,3,97,101,111,15499,15520,15534,116,4,2,101,105,15506,15515,114,110,105,111,110,115,59,1,8461,110,116,59,1,10774,115,116,4,2,59,101,15528,15530,1,63,113,59,1,8799,116,5,34,1,59,15540,1,34,4,21,65,66,72,97,98,99,100,101,102,104,105,108,109,110,111,112,114,115,116,117,120,15586,15609,15615,15620,15796,15855,15893,15931,15977,16001,16039,16183,16204,16222,16228,16285,16312,16318,16363,16408,16416,4,3,97,114,116,15594,15599,15603,114,114,59,1,8667,114,59,1,8658,97,105,108,59,1,10524,97,114,114,59,1,10511,97,114,59,1,10596,4,7,99,100,101,110,113,114,116,15636,15651,15656,15664,15687,15696,15770,4,2,101,117,15642,15646,59,3,8765,817,116,101,59,1,341,105,99,59,1,8730,109,112,116,121,118,59,1,10675,103,4,4,59,100,101,108,15675,15677,15680,15683,1,10217,59,1,10642,59,1,10661,101,59,1,10217,117,111,5,187,1,59,15694,1,187,114,4,11,59,97,98,99,102,104,108,112,115,116,119,15721,15723,15727,15739,15742,15746,15750,15754,15758,15763,15767,1,8594,112,59,1,10613,4,2,59,102,15733,15735,1,8677,115,59,1,10528,59,1,10547,115,59,1,10526,107,59,1,8618,112,59,1,8620,108,59,1,10565,105,109,59,1,10612,108,59,1,8611,59,1,8605,4,2,97,105,15776,15781,105,108,59,1,10522,111,4,2,59,110,15788,15790,1,8758,97,108,115,59,1,8474,4,3,97,98,114,15804,15809,15814,114,114,59,1,10509,114,107,59,1,10099,4,2,97,107,15820,15833,99,4,2,101,107,15827,15830,59,1,125,59,1,93,4,2,101,115,15839,15842,59,1,10636,108,4,2,100,117,15849,15852,59,1,10638,59,1,10640,4,4,97,101,117,121,15865,15871,15886,15890,114,111,110,59,1,345,4,2,100,105,15877,15882,105,108,59,1,343,108,59,1,8969,98,59,1,125,59,1,1088,4,4,99,108,113,115,15903,15907,15914,15927,97,59,1,10551,100,104,97,114,59,1,10601,117,111,4,2,59,114,15922,15924,1,8221,59,1,8221,104,59,1,8627,4,3,97,99,103,15939,15966,15970,108,4,4,59,105,112,115,15950,15952,15957,15963,1,8476,110,101,59,1,8475,97,114,116,59,1,8476,59,1,8477,116,59,1,9645,5,174,1,59,15975,1,174,4,3,105,108,114,15985,15991,15997,115,104,116,59,1,10621,111,111,114,59,1,8971,59,3,55349,56623,4,2,97,111,16007,16028,114,4,2,100,117,16014,16017,59,1,8641,4,2,59,108,16023,16025,1,8640,59,1,10604,4,2,59,118,16034,16036,1,961,59,1,1009,4,3,103,110,115,16047,16167,16171,104,116,4,6,97,104,108,114,115,116,16063,16081,16103,16130,16143,16155,114,114,111,119,4,2,59,116,16073,16075,1,8594,97,105,108,59,1,8611,97,114,112,111,111,110,4,2,100,117,16093,16099,111,119,110,59,1,8641,112,59,1,8640,101,102,116,4,2,97,104,16112,16120,114,114,111,119,115,59,1,8644,97,114,112,111,111,110,115,59,1,8652,105,103,104,116,97,114,114,111,119,115,59,1,8649,113,117,105,103,97,114,114,111,119,59,1,8605,104,114,101,101,116,105,109,101,115,59,1,8908,103,59,1,730,105,110,103,100,111,116,115,101,113,59,1,8787,4,3,97,104,109,16191,16196,16201,114,114,59,1,8644,97,114,59,1,8652,59,1,8207,111,117,115,116,4,2,59,97,16214,16216,1,9137,99,104,101,59,1,9137,109,105,100,59,1,10990,4,4,97,98,112,116,16238,16252,16257,16278,4,2,110,114,16244,16248,103,59,1,10221,114,59,1,8702,114,107,59,1,10215,4,3,97,102,108,16265,16269,16273,114,59,1,10630,59,3,55349,56675,117,115,59,1,10798,105,109,101,115,59,1,10805,4,2,97,112,16291,16304,114,4,2,59,103,16298,16300,1,41,116,59,1,10644,111,108,105,110,116,59,1,10770,97,114,114,59,1,8649,4,4,97,99,104,113,16328,16334,16339,16342,113,117,111,59,1,8250,114,59,3,55349,56519,59,1,8625,4,2,98,117,16348,16351,59,1,93,111,4,2,59,114,16358,16360,1,8217,59,1,8217,4,3,104,105,114,16371,16377,16383,114,101,101,59,1,8908,109,101,115,59,1,8906,105,4,4,59,101,102,108,16394,16396,16399,16402,1,9657,59,1,8885,59,1,9656,116,114,105,59,1,10702,108,117,104,97,114,59,1,10600,59,1,8478,4,19,97,98,99,100,101,102,104,105,108,109,111,112,113,114,115,116,117,119,122,16459,16466,16472,16572,16590,16672,16687,16746,16844,16850,16924,16963,16988,17115,17121,17154,17206,17614,17656,99,117,116,101,59,1,347,113,117,111,59,1,8218,4,10,59,69,97,99,101,105,110,112,115,121,16494,16496,16499,16513,16518,16531,16536,16556,16564,16569,1,8827,59,1,10932,4,2,112,114,16505,16508,59,1,10936,111,110,59,1,353,117,101,59,1,8829,4,2,59,100,16524,16526,1,10928,105,108,59,1,351,114,99,59,1,349,4,3,69,97,115,16544,16547,16551,59,1,10934,112,59,1,10938,105,109,59,1,8937,111,108,105,110,116,59,1,10771,105,109,59,1,8831,59,1,1089,111,116,4,3,59,98,101,16582,16584,16587,1,8901,59,1,8865,59,1,10854,4,7,65,97,99,109,115,116,120,16606,16611,16634,16642,16646,16652,16668,114,114,59,1,8664,114,4,2,104,114,16618,16622,107,59,1,10533,4,2,59,111,16628,16630,1,8600,119,59,1,8600,116,5,167,1,59,16640,1,167,105,59,1,59,119,97,114,59,1,10537,109,4,2,105,110,16659,16665,110,117,115,59,1,8726,59,1,8726,116,59,1,10038,114,4,2,59,111,16679,16682,3,55349,56624,119,110,59,1,8994,4,4,97,99,111,121,16697,16702,16716,16739,114,112,59,1,9839,4,2,104,121,16708,16713,99,121,59,1,1097,59,1,1096,114,116,4,2,109,112,16724,16729,105,100,59,1,8739,97,114,97,108,108,101,108,59,1,8741,5,173,1,59,16744,1,173,4,2,103,109,16752,16770,109,97,4,3,59,102,118,16762,16764,16767,1,963,59,1,962,59,1,962,4,8,59,100,101,103,108,110,112,114,16788,16790,16795,16806,16817,16828,16832,16838,1,8764,111,116,59,1,10858,4,2,59,113,16801,16803,1,8771,59,1,8771,4,2,59,69,16812,16814,1,10910,59,1,10912,4,2,59,69,16823,16825,1,10909,59,1,10911,101,59,1,8774,108,117,115,59,1,10788,97,114,114,59,1,10610,97,114,114,59,1,8592,4,4,97,101,105,116,16860,16883,16891,16904,4,2,108,115,16866,16878,108,115,101,116,109,105,110,117,115,59,1,8726,104,112,59,1,10803,112,97,114,115,108,59,1,10724,4,2,100,108,16897,16900,59,1,8739,101,59,1,8995,4,2,59,101,16910,16912,1,10922,4,2,59,115,16918,16920,1,10924,59,3,10924,65024,4,3,102,108,112,16932,16938,16958,116,99,121,59,1,1100,4,2,59,98,16944,16946,1,47,4,2,59,97,16952,16954,1,10692,114,59,1,9023,102,59,3,55349,56676,97,4,2,100,114,16970,16985,101,115,4,2,59,117,16978,16980,1,9824,105,116,59,1,9824,59,1,8741,4,3,99,115,117,16996,17028,17089,4,2,97,117,17002,17015,112,4,2,59,115,17009,17011,1,8851,59,3,8851,65024,112,4,2,59,115,17022,17024,1,8852,59,3,8852,65024,117,4,2,98,112,17035,17062,4,3,59,101,115,17043,17045,17048,1,8847,59,1,8849,101,116,4,2,59,101,17056,17058,1,8847,113,59,1,8849,4,3,59,101,115,17070,17072,17075,1,8848,59,1,8850,101,116,4,2,59,101,17083,17085,1,8848,113,59,1,8850,4,3,59,97,102,17097,17099,17112,1,9633,114,4,2,101,102,17106,17109,59,1,9633,59,1,9642,59,1,9642,97,114,114,59,1,8594,4,4,99,101,109,116,17131,17136,17142,17148,114,59,3,55349,56520,116,109,110,59,1,8726,105,108,101,59,1,8995,97,114,102,59,1,8902,4,2,97,114,17160,17172,114,4,2,59,102,17167,17169,1,9734,59,1,9733,4,2,97,110,17178,17202,105,103,104,116,4,2,101,112,17188,17197,112,115,105,108,111,110,59,1,1013,104,105,59,1,981,115,59,1,175,4,5,98,99,109,110,112,17218,17351,17420,17423,17427,4,9,59,69,100,101,109,110,112,114,115,17238,17240,17243,17248,17261,17267,17279,17285,17291,1,8834,59,1,10949,111,116,59,1,10941,4,2,59,100,17254,17256,1,8838,111,116,59,1,10947,117,108,116,59,1,10945,4,2,69,101,17273,17276,59,1,10955,59,1,8842,108,117,115,59,1,10943,97,114,114,59,1,10617,4,3,101,105,117,17299,17335,17339,116,4,3,59,101,110,17308,17310,17322,1,8834,113,4,2,59,113,17317,17319,1,8838,59,1,10949,101,113,4,2,59,113,17330,17332,1,8842,59,1,10955,109,59,1,10951,4,2,98,112,17345,17348,59,1,10965,59,1,10963,99,4,6,59,97,99,101,110,115,17366,17368,17376,17385,17389,17415,1,8827,112,112,114,111,120,59,1,10936,117,114,108,121,101,113,59,1,8829,113,59,1,10928,4,3,97,101,115,17397,17405,17410,112,112,114,111,120,59,1,10938,113,113,59,1,10934,105,109,59,1,8937,105,109,59,1,8831,59,1,8721,103,59,1,9834,4,13,49,50,51,59,69,100,101,104,108,109,110,112,115,17455,17462,17469,17476,17478,17481,17496,17509,17524,17530,17536,17548,17554,5,185,1,59,17460,1,185,5,178,1,59,17467,1,178,5,179,1,59,17474,1,179,1,8835,59,1,10950,4,2,111,115,17487,17491,116,59,1,10942,117,98,59,1,10968,4,2,59,100,17502,17504,1,8839,111,116,59,1,10948,115,4,2,111,117,17516,17520,108,59,1,10185,98,59,1,10967,97,114,114,59,1,10619,117,108,116,59,1,10946,4,2,69,101,17542,17545,59,1,10956,59,1,8843,108,117,115,59,1,10944,4,3,101,105,117,17562,17598,17602,116,4,3,59,101,110,17571,17573,17585,1,8835,113,4,2,59,113,17580,17582,1,8839,59,1,10950,101,113,4,2,59,113,17593,17595,1,8843,59,1,10956,109,59,1,10952,4,2,98,112,17608,17611,59,1,10964,59,1,10966,4,3,65,97,110,17622,17627,17650,114,114,59,1,8665,114,4,2,104,114,17634,17638,107,59,1,10534,4,2,59,111,17644,17646,1,8601,119,59,1,8601,119,97,114,59,1,10538,108,105,103,5,223,1,59,17664,1,223,4,13,97,98,99,100,101,102,104,105,111,112,114,115,119,17694,17709,17714,17737,17742,17749,17754,17860,17905,17957,17964,18090,18122,4,2,114,117,17700,17706,103,101,116,59,1,8982,59,1,964,114,107,59,1,9140,4,3,97,101,121,17722,17728,17734,114,111,110,59,1,357,100,105,108,59,1,355,59,1,1090,111,116,59,1,8411,108,114,101,99,59,1,8981,114,59,3,55349,56625,4,4,101,105,107,111,17764,17805,17836,17851,4,2,114,116,17770,17786,101,4,2,52,102,17777,17780,59,1,8756,111,114,101,59,1,8756,97,4,3,59,115,118,17795,17797,17802,1,952,121,109,59,1,977,59,1,977,4,2,99,110,17811,17831,107,4,2,97,115,17818,17826,112,112,114,111,120,59,1,8776,105,109,59,1,8764,115,112,59,1,8201,4,2,97,115,17842,17846,112,59,1,8776,105,109,59,1,8764,114,110,5,254,1,59,17858,1,254,4,3,108,109,110,17868,17873,17901,100,101,59,1,732,101,115,5,215,3,59,98,100,17884,17886,17898,1,215,4,2,59,97,17892,17894,1,8864,114,59,1,10801,59,1,10800,116,59,1,8749,4,3,101,112,115,17913,17917,17953,97,59,1,10536,4,4,59,98,99,102,17927,17929,17934,17939,1,8868,111,116,59,1,9014,105,114,59,1,10993,4,2,59,111,17945,17948,3,55349,56677,114,107,59,1,10970,97,59,1,10537,114,105,109,101,59,1,8244,4,3,97,105,112,17972,17977,18082,100,101,59,1,8482,4,7,97,100,101,109,112,115,116,17993,18051,18056,18059,18066,18072,18076,110,103,108,101,4,5,59,100,108,113,114,18009,18011,18017,18032,18035,1,9653,111,119,110,59,1,9663,101,102,116,4,2,59,101,18026,18028,1,9667,113,59,1,8884,59,1,8796,105,103,104,116,4,2,59,101,18045,18047,1,9657,113,59,1,8885,111,116,59,1,9708,59,1,8796,105,110,117,115,59,1,10810,108,117,115,59,1,10809,98,59,1,10701,105,109,101,59,1,10811,101,122,105,117,109,59,1,9186,4,3,99,104,116,18098,18111,18116,4,2,114,121,18104,18108,59,3,55349,56521,59,1,1094,99,121,59,1,1115,114,111,107,59,1,359,4,2,105,111,18128,18133,120,116,59,1,8812,104,101,97,100,4,2,108,114,18143,18154,101,102,116,97,114,114,111,119,59,1,8606,105,103,104,116,97,114,114,111,119,59,1,8608,4,18,65,72,97,98,99,100,102,103,104,108,109,111,112,114,115,116,117,119,18204,18209,18214,18234,18250,18268,18292,18308,18319,18343,18379,18397,18413,18504,18547,18553,18584,18603,114,114,59,1,8657,97,114,59,1,10595,4,2,99,114,18220,18230,117,116,101,5,250,1,59,18228,1,250,114,59,1,8593,114,4,2,99,101,18241,18245,121,59,1,1118,118,101,59,1,365,4,2,105,121,18256,18265,114,99,5,251,1,59,18263,1,251,59,1,1091,4,3,97,98,104,18276,18281,18287,114,114,59,1,8645,108,97,99,59,1,369,97,114,59,1,10606,4,2,105,114,18298,18304,115,104,116,59,1,10622,59,3,55349,56626,114,97,118,101,5,249,1,59,18317,1,249,4,2,97,98,18325,18338,114,4,2,108,114,18332,18335,59,1,8639,59,1,8638,108,107,59,1,9600,4,2,99,116,18349,18374,4,2,111,114,18355,18369,114,110,4,2,59,101,18363,18365,1,8988,114,59,1,8988,111,112,59,1,8975,114,105,59,1,9720,4,2,97,108,18385,18390,99,114,59,1,363,5,168,1,59,18395,1,168,4,2,103,112,18403,18408,111,110,59,1,371,102,59,3,55349,56678,4,6,97,100,104,108,115,117,18427,18434,18445,18470,18475,18494,114,114,111,119,59,1,8593,111,119,110,97,114,114,111,119,59,1,8597,97,114,112,111,111,110,4,2,108,114,18457,18463,101,102,116,59,1,8639,105,103,104,116,59,1,8638,117,115,59,1,8846,105,4,3,59,104,108,18484,18486,18489,1,965,59,1,978,111,110,59,1,965,112,97,114,114,111,119,115,59,1,8648,4,3,99,105,116,18512,18537,18542,4,2,111,114,18518,18532,114,110,4,2,59,101,18526,18528,1,8989,114,59,1,8989,111,112,59,1,8974,110,103,59,1,367,114,105,59,1,9721,99,114,59,3,55349,56522,4,3,100,105,114,18561,18566,18572,111,116,59,1,8944,108,100,101,59,1,361,105,4,2,59,102,18579,18581,1,9653,59,1,9652,4,2,97,109,18590,18595,114,114,59,1,8648,108,5,252,1,59,18601,1,252,97,110,103,108,101,59,1,10663,4,15,65,66,68,97,99,100,101,102,108,110,111,112,114,115,122,18643,18648,18661,18667,18847,18851,18857,18904,18909,18915,18931,18937,18943,18949,18996,114,114,59,1,8661,97,114,4,2,59,118,18656,18658,1,10984,59,1,10985,97,115,104,59,1,8872,4,2,110,114,18673,18679,103,114,116,59,1,10652,4,7,101,107,110,112,114,115,116,18695,18704,18711,18720,18742,18754,18810,112,115,105,108,111,110,59,1,1013,97,112,112,97,59,1,1008,111,116,104,105,110,103,59,1,8709,4,3,104,105,114,18728,18732,18735,105,59,1,981,59,1,982,111,112,116,111,59,1,8733,4,2,59,104,18748,18750,1,8597,111,59,1,1009,4,2,105,117,18760,18766,103,109,97,59,1,962,4,2,98,112,18772,18791,115,101,116,110,101,113,4,2,59,113,18784,18787,3,8842,65024,59,3,10955,65024,115,101,116,110,101,113,4,2,59,113,18803,18806,3,8843,65024,59,3,10956,65024,4,2,104,114,18816,18822,101,116,97,59,1,977,105,97,110,103,108,101,4,2,108,114,18834,18840,101,102,116,59,1,8882,105,103,104,116,59,1,8883,121,59,1,1074,97,115,104,59,1,8866,4,3,101,108,114,18865,18884,18890,4,3,59,98,101,18873,18875,18880,1,8744,97,114,59,1,8891,113,59,1,8794,108,105,112,59,1,8942,4,2,98,116,18896,18901,97,114,59,1,124,59,1,124,114,59,3,55349,56627,116,114,105,59,1,8882,115,117,4,2,98,112,18923,18927,59,3,8834,8402,59,3,8835,8402,112,102,59,3,55349,56679,114,111,112,59,1,8733,116,114,105,59,1,8883,4,2,99,117,18955,18960,114,59,3,55349,56523,4,2,98,112,18966,18981,110,4,2,69,101,18973,18977,59,3,10955,65024,59,3,8842,65024,110,4,2,69,101,18988,18992,59,3,10956,65024,59,3,8843,65024,105,103,122,97,103,59,1,10650,4,7,99,101,102,111,112,114,115,19020,19026,19061,19066,19072,19075,19089,105,114,99,59,1,373,4,2,100,105,19032,19055,4,2,98,103,19038,19043,97,114,59,1,10847,101,4,2,59,113,19050,19052,1,8743,59,1,8793,101,114,112,59,1,8472,114,59,3,55349,56628,112,102,59,3,55349,56680,59,1,8472,4,2,59,101,19081,19083,1,8768,97,116,104,59,1,8768,99,114,59,3,55349,56524,4,14,99,100,102,104,105,108,109,110,111,114,115,117,118,119,19125,19146,19152,19157,19173,19176,19192,19197,19202,19236,19252,19269,19286,19291,4,3,97,105,117,19133,19137,19142,112,59,1,8898,114,99,59,1,9711,112,59,1,8899,116,114,105,59,1,9661,114,59,3,55349,56629,4,2,65,97,19163,19168,114,114,59,1,10234,114,114,59,1,10231,59,1,958,4,2,65,97,19182,19187,114,114,59,1,10232,114,114,59,1,10229,97,112,59,1,10236,105,115,59,1,8955,4,3,100,112,116,19210,19215,19230,111,116,59,1,10752,4,2,102,108,19221,19225,59,3,55349,56681,117,115,59,1,10753,105,109,101,59,1,10754,4,2,65,97,19242,19247,114,114,59,1,10233,114,114,59,1,10230,4,2,99,113,19258,19263,114,59,3,55349,56525,99,117,112,59,1,10758,4,2,112,116,19275,19281,108,117,115,59,1,10756,114,105,59,1,9651,101,101,59,1,8897,101,100,103,101,59,1,8896,4,8,97,99,101,102,105,111,115,117,19316,19335,19349,19357,19362,19367,19373,19379,99,4,2,117,121,19323,19332,116,101,5,253,1,59,19330,1,253,59,1,1103,4,2,105,121,19341,19346,114,99,59,1,375,59,1,1099,110,5,165,1,59,19355,1,165,114,59,3,55349,56630,99,121,59,1,1111,112,102,59,3,55349,56682,99,114,59,3,55349,56526,4,2,99,109,19385,19389,121,59,1,1102,108,5,255,1,59,19395,1,255,4,10,97,99,100,101,102,104,105,111,115,119,19419,19426,19441,19446,19462,19467,19472,19480,19486,19492,99,117,116,101,59,1,378,4,2,97,121,19432,19438,114,111,110,59,1,382,59,1,1079,111,116,59,1,380,4,2,101,116,19452,19458,116,114,102,59,1,8488,97,59,1,950,114,59,3,55349,56631,99,121,59,1,1078,103,114,97,114,114,59,1,8669,112,102,59,3,55349,56683,99,114,59,3,55349,56527,4,2,106,110,19498,19501,59,1,8205,106,59,1,8204])),namedEntityData}var tokenizer,hasRequiredTokenizer;function requireTokenizer(){if(hasRequiredTokenizer)return tokenizer;hasRequiredTokenizer=1;const Ra=requirePreprocessor(),qa=requireUnicode(),Ja=requireNamedEntityData(),ed=requireErrorCodes(),td=qa.CODE_POINTS,rd=qa.CODE_POINT_SEQUENCES,sd={128:8364,130:8218,131:402,132:8222,133:8230,134:8224,135:8225,136:710,137:8240,138:352,139:8249,140:338,142:381,145:8216,146:8217,147:8220,148:8221,149:8226,150:8211,151:8212,152:732,153:8482,154:353,155:8250,156:339,158:382,159:376},od=1,ld=2,cd=4,ud=od|ld|cd,_d="DATA_STATE",yd="RCDATA_STATE",gd="RAWTEXT_STATE",Ed="SCRIPT_DATA_STATE",Td="PLAINTEXT_STATE",kd="TAG_OPEN_STATE",Rd="END_TAG_OPEN_STATE",Nd="TAG_NAME_STATE",Id="RCDATA_LESS_THAN_SIGN_STATE",Md="RCDATA_END_TAG_OPEN_STATE",Ld="RCDATA_END_TAG_NAME_STATE",Pd="RAWTEXT_LESS_THAN_SIGN_STATE",qd="RAWTEXT_END_TAG_OPEN_STATE",Yd="RAWTEXT_END_TAG_NAME_STATE",Ud="SCRIPT_DATA_LESS_THAN_SIGN_STATE",Hd="SCRIPT_DATA_END_TAG_OPEN_STATE",Vd="SCRIPT_DATA_END_TAG_NAME_STATE",Jd="SCRIPT_DATA_ESCAPE_START_STATE",Zd="SCRIPT_DATA_ESCAPE_START_DASH_STATE",pf="SCRIPT_DATA_ESCAPED_STATE",Xd="SCRIPT_DATA_ESCAPED_DASH_STATE",hf="SCRIPT_DATA_ESCAPED_DASH_DASH_STATE",_f="SCRIPT_DATA_ESCAPED_LESS_THAN_SIGN_STATE",xf="SCRIPT_DATA_ESCAPED_END_TAG_OPEN_STATE",Lf="SCRIPT_DATA_ESCAPED_END_TAG_NAME_STATE",Wf="SCRIPT_DATA_DOUBLE_ESCAPE_START_STATE",Yf="SCRIPT_DATA_DOUBLE_ESCAPED_STATE",If="SCRIPT_DATA_DOUBLE_ESCAPED_DASH_STATE",Sf="SCRIPT_DATA_DOUBLE_ESCAPED_DASH_DASH_STATE",wf="SCRIPT_DATA_DOUBLE_ESCAPED_LESS_THAN_SIGN_STATE",Kf="SCRIPT_DATA_DOUBLE_ESCAPE_END_STATE",Gf="BEFORE_ATTRIBUTE_NAME_STATE",gf="ATTRIBUTE_NAME_STATE",mf="AFTER_ATTRIBUTE_NAME_STATE",$f="BEFORE_ATTRIBUTE_VALUE_STATE",zf="ATTRIBUTE_VALUE_DOUBLE_QUOTED_STATE",hh="ATTRIBUTE_VALUE_SINGLE_QUOTED_STATE",Vf="ATTRIBUTE_VALUE_UNQUOTED_STATE",kf="AFTER_ATTRIBUTE_VALUE_QUOTED_STATE",Jf="SELF_CLOSING_START_TAG_STATE",Ch="BOGUS_COMMENT_STATE",qf="MARKUP_DECLARATION_OPEN_STATE",Tf="COMMENT_START_STATE",Af="COMMENT_START_DASH_STATE",Pf="COMMENT_STATE",gh="COMMENT_LESS_THAN_SIGN_STATE",Nh="COMMENT_LESS_THAN_SIGN_BANG_STATE",dh="COMMENT_LESS_THAN_SIGN_BANG_DASH_STATE",$h="COMMENT_LESS_THAN_SIGN_BANG_DASH_DASH_STATE",Rh="COMMENT_END_DASH_STATE",jh="COMMENT_END_STATE",Zh="COMMENT_END_BANG_STATE",Wh="DOCTYPE_STATE",sm="BEFORE_DOCTYPE_NAME_STATE",fm="DOCTYPE_NAME_STATE",ih="AFTER_DOCTYPE_NAME_STATE",Rf="AFTER_DOCTYPE_PUBLIC_KEYWORD_STATE",Df="BEFORE_DOCTYPE_PUBLIC_IDENTIFIER_STATE",Zf="DOCTYPE_PUBLIC_IDENTIFIER_DOUBLE_QUOTED_STATE",bh="DOCTYPE_PUBLIC_IDENTIFIER_SINGLE_QUOTED_STATE",Lh="AFTER_DOCTYPE_PUBLIC_IDENTIFIER_STATE",Hh="BETWEEN_DOCTYPE_PUBLIC_AND_SYSTEM_IDENTIFIERS_STATE",Xh="AFTER_DOCTYPE_SYSTEM_KEYWORD_STATE",gm="BEFORE_DOCTYPE_SYSTEM_IDENTIFIER_STATE",om="DOCTYPE_SYSTEM_IDENTIFIER_DOUBLE_QUOTED_STATE",Gm="DOCTYPE_SYSTEM_IDENTIFIER_SINGLE_QUOTED_STATE",Fm="AFTER_DOCTYPE_SYSTEM_IDENTIFIER_STATE",Om="BOGUS_DOCTYPE_STATE",Jm="CDATA_SECTION_STATE",N1="CDATA_SECTION_BRACKET_STATE",R1="CDATA_SECTION_END_STATE",x1="CHARACTER_REFERENCE_STATE",Xm="NAMED_CHARACTER_REFERENCE_STATE",F1="AMBIGUOS_AMPERSAND_STATE",y1="NUMERIC_CHARACTER_REFERENCE_STATE",V1="HEXADEMICAL_CHARACTER_REFERENCE_START_STATE",Z1="DECIMAL_CHARACTER_REFERENCE_START_STATE",I1="HEXADEMICAL_CHARACTER_REFERENCE_STATE",O1="DECIMAL_CHARACTER_REFERENCE_STATE",jm="NUMERIC_CHARACTER_REFERENCE_END_STATE";function g1(_m){return _m===td.SPACE||_m===td.LINE_FEED||_m===td.TABULATION||_m===td.FORM_FEED}function l0(_m){return _m>=td.DIGIT_0&&_m<=td.DIGIT_9}function B1(_m){return _m>=td.LATIN_CAPITAL_A&&_m<=td.LATIN_CAPITAL_Z}function yf(_m){return _m>=td.LATIN_SMALL_A&&_m<=td.LATIN_SMALL_Z}function ph(_m){return yf(_m)||B1(_m)}function sh(_m){return ph(_m)||l0(_m)}function yh(_m){return _m>=td.LATIN_CAPITAL_A&&_m<=td.LATIN_CAPITAL_F}function Bh(_m){return _m>=td.LATIN_SMALL_A&&_m<=td.LATIN_SMALL_F}function Mh(_m){return l0(_m)||yh(_m)||Bh(_m)}function Gh(_m){return _m+32}function Fh(_m){return _m<=65535?String.fromCharCode(_m):(_m-=65536,String.fromCharCode(_m>>>10&1023|55296)+String.fromCharCode(56320|_m&1023))}function Ah(_m){return String.fromCharCode(Gh(_m))}function cm(_m,Ff){const $m=Ja[++_m];let m1=++_m,f1=m1+$m-1;for(;m1<=f1;){const a1=m1+f1>>>1,Dm=Ja[a1];if(Dm<Ff)m1=a1+1;else if(Dm>Ff)f1=a1-1;else return Ja[a1+$m]}return-1}class um{constructor(){this.preprocessor=new Ra,this.tokenQueue=[],this.allowCDATA=!1,this.state=_d,this.returnState="",this.charRefCode=-1,this.tempBuff=[],this.lastStartTagName="",this.consumedAfterSnapshot=-1,this.active=!1,this.currentCharacterToken=null,this.currentToken=null,this.currentAttr=null}_err(){}_errOnNextCodePoint(Ff){this._consume(),this._err(Ff),this._unconsume()}getNextToken(){for(;!this.tokenQueue.length&&this.active;){this.consumedAfterSnapshot=0;const Ff=this._consume();this._ensureHibernation()||this[this.state](Ff)}return this.tokenQueue.shift()}write(Ff,$m){this.active=!0,this.preprocessor.write(Ff,$m)}insertHtmlAtCurrentPos(Ff){this.active=!0,this.preprocessor.insertHtmlAtCurrentPos(Ff)}_ensureHibernation(){if(this.preprocessor.endOfChunkHit){for(;this.consumedAfterSnapshot>0;this.consumedAfterSnapshot--)this.preprocessor.retreat();return this.active=!1,this.tokenQueue.push({type:um.HIBERNATION_TOKEN}),!0}return!1}_consume(){return this.consumedAfterSnapshot++,this.preprocessor.advance()}_unconsume(){this.consumedAfterSnapshot--,this.preprocessor.retreat()}_reconsumeInState(Ff){this.state=Ff,this._unconsume()}_consumeSequenceIfMatch(Ff,$m,m1){let f1=0,a1=!0;const Dm=Ff.length;let v1=0,u1=$m,o1;for(;v1<Dm;v1++){if(v1>0&&(u1=this._consume(),f1++),u1===td.EOF){a1=!1;break}if(o1=Ff[v1],u1!==o1&&(m1||u1!==Gh(o1))){a1=!1;break}}if(!a1)for(;f1--;)this._unconsume();return a1}_isTempBufferEqualToScriptString(){if(this.tempBuff.length!==rd.SCRIPT_STRING.length)return!1;for(let Ff=0;Ff<this.tempBuff.length;Ff++)if(this.tempBuff[Ff]!==rd.SCRIPT_STRING[Ff])return!1;return!0}_createStartTagToken(){this.currentToken={type:um.START_TAG_TOKEN,tagName:"",selfClosing:!1,ackSelfClosing:!1,attrs:[]}}_createEndTagToken(){this.currentToken={type:um.END_TAG_TOKEN,tagName:"",selfClosing:!1,attrs:[]}}_createCommentToken(){this.currentToken={type:um.COMMENT_TOKEN,data:""}}_createDoctypeToken(Ff){this.currentToken={type:um.DOCTYPE_TOKEN,name:Ff,forceQuirks:!1,publicId:null,systemId:null}}_createCharacterToken(Ff,$m){this.currentCharacterToken={type:Ff,chars:$m}}_createEOFToken(){this.currentToken={type:um.EOF_TOKEN}}_createAttr(Ff){this.currentAttr={name:Ff,value:""}}_leaveAttrName(Ff){um.getTokenAttr(this.currentToken,this.currentAttr.name)===null?this.currentToken.attrs.push(this.currentAttr):this._err(ed.duplicateAttribute),this.state=Ff}_leaveAttrValue(Ff){this.state=Ff}_emitCurrentToken(){this._emitCurrentCharacterToken();const Ff=this.currentToken;this.currentToken=null,Ff.type===um.START_TAG_TOKEN?this.lastStartTagName=Ff.tagName:Ff.type===um.END_TAG_TOKEN&&(Ff.attrs.length>0&&this._err(ed.endTagWithAttributes),Ff.selfClosing&&this._err(ed.endTagWithTrailingSolidus)),this.tokenQueue.push(Ff)}_emitCurrentCharacterToken(){this.currentCharacterToken&&(this.tokenQueue.push(this.currentCharacterToken),this.currentCharacterToken=null)}_emitEOFToken(){this._createEOFToken(),this._emitCurrentToken()}_appendCharToCurrentCharacterToken(Ff,$m){this.currentCharacterToken&&this.currentCharacterToken.type!==Ff&&this._emitCurrentCharacterToken(),this.currentCharacterToken?this.currentCharacterToken.chars+=$m:this._createCharacterToken(Ff,$m)}_emitCodePoint(Ff){let $m=um.CHARACTER_TOKEN;g1(Ff)?$m=um.WHITESPACE_CHARACTER_TOKEN:Ff===td.NULL&&($m=um.NULL_CHARACTER_TOKEN),this._appendCharToCurrentCharacterToken($m,Fh(Ff))}_emitSeveralCodePoints(Ff){for(let $m=0;$m<Ff.length;$m++)this._emitCodePoint(Ff[$m])}_emitChars(Ff){this._appendCharToCurrentCharacterToken(um.CHARACTER_TOKEN,Ff)}_matchNamedCharacterReference(Ff){let $m=null,m1=1,f1=cm(0,Ff);for(this.tempBuff.push(Ff);f1>-1;){const a1=Ja[f1],Dm=a1<ud;Dm&&a1&od&&($m=a1&ld?[Ja[++f1],Ja[++f1]]:[Ja[++f1]],m1=0);const u1=this._consume();if(this.tempBuff.push(u1),m1++,u1===td.EOF)break;Dm?f1=a1&cd?cm(f1,u1):-1:f1=u1===a1?++f1:-1}for(;m1--;)this.tempBuff.pop(),this._unconsume();return $m}_isCharacterReferenceInAttribute(){return this.returnState===zf||this.returnState===hh||this.returnState===Vf}_isCharacterReferenceAttributeQuirk(Ff){if(!Ff&&this._isCharacterReferenceInAttribute()){const $m=this._consume();return this._unconsume(),$m===td.EQUALS_SIGN||sh($m)}return!1}_flushCodePointsConsumedAsCharacterReference(){if(this._isCharacterReferenceInAttribute())for(let Ff=0;Ff<this.tempBuff.length;Ff++)this.currentAttr.value+=Fh(this.tempBuff[Ff]);else this._emitSeveralCodePoints(this.tempBuff);this.tempBuff=[]}[_d](Ff){this.preprocessor.dropParsedChunk(),Ff===td.LESS_THAN_SIGN?this.state=kd:Ff===td.AMPERSAND?(this.returnState=_d,this.state=x1):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this._emitCodePoint(Ff)):Ff===td.EOF?this._emitEOFToken():this._emitCodePoint(Ff)}[yd](Ff){this.preprocessor.dropParsedChunk(),Ff===td.AMPERSAND?(this.returnState=yd,this.state=x1):Ff===td.LESS_THAN_SIGN?this.state=Id:Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this._emitChars(qa.REPLACEMENT_CHARACTER)):Ff===td.EOF?this._emitEOFToken():this._emitCodePoint(Ff)}[gd](Ff){this.preprocessor.dropParsedChunk(),Ff===td.LESS_THAN_SIGN?this.state=Pd:Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this._emitChars(qa.REPLACEMENT_CHARACTER)):Ff===td.EOF?this._emitEOFToken():this._emitCodePoint(Ff)}[Ed](Ff){this.preprocessor.dropParsedChunk(),Ff===td.LESS_THAN_SIGN?this.state=Ud:Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this._emitChars(qa.REPLACEMENT_CHARACTER)):Ff===td.EOF?this._emitEOFToken():this._emitCodePoint(Ff)}[Td](Ff){this.preprocessor.dropParsedChunk(),Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this._emitChars(qa.REPLACEMENT_CHARACTER)):Ff===td.EOF?this._emitEOFToken():this._emitCodePoint(Ff)}[kd](Ff){Ff===td.EXCLAMATION_MARK?this.state=qf:Ff===td.SOLIDUS?this.state=Rd:ph(Ff)?(this._createStartTagToken(),this._reconsumeInState(Nd)):Ff===td.QUESTION_MARK?(this._err(ed.unexpectedQuestionMarkInsteadOfTagName),this._createCommentToken(),this._reconsumeInState(Ch)):Ff===td.EOF?(this._err(ed.eofBeforeTagName),this._emitChars("<"),this._emitEOFToken()):(this._err(ed.invalidFirstCharacterOfTagName),this._emitChars("<"),this._reconsumeInState(_d))}[Rd](Ff){ph(Ff)?(this._createEndTagToken(),this._reconsumeInState(Nd)):Ff===td.GREATER_THAN_SIGN?(this._err(ed.missingEndTagName),this.state=_d):Ff===td.EOF?(this._err(ed.eofBeforeTagName),this._emitChars("</"),this._emitEOFToken()):(this._err(ed.invalidFirstCharacterOfTagName),this._createCommentToken(),this._reconsumeInState(Ch))}[Nd](Ff){g1(Ff)?this.state=Gf:Ff===td.SOLIDUS?this.state=Jf:Ff===td.GREATER_THAN_SIGN?(this.state=_d,this._emitCurrentToken()):B1(Ff)?this.currentToken.tagName+=Ah(Ff):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentToken.tagName+=qa.REPLACEMENT_CHARACTER):Ff===td.EOF?(this._err(ed.eofInTag),this._emitEOFToken()):this.currentToken.tagName+=Fh(Ff)}[Id](Ff){Ff===td.SOLIDUS?(this.tempBuff=[],this.state=Md):(this._emitChars("<"),this._reconsumeInState(yd))}[Md](Ff){ph(Ff)?(this._createEndTagToken(),this._reconsumeInState(Ld)):(this._emitChars("</"),this._reconsumeInState(yd))}[Ld](Ff){if(B1(Ff))this.currentToken.tagName+=Ah(Ff),this.tempBuff.push(Ff);else if(yf(Ff))this.currentToken.tagName+=Fh(Ff),this.tempBuff.push(Ff);else{if(this.lastStartTagName===this.currentToken.tagName){if(g1(Ff)){this.state=Gf;return}if(Ff===td.SOLIDUS){this.state=Jf;return}if(Ff===td.GREATER_THAN_SIGN){this.state=_d,this._emitCurrentToken();return}}this._emitChars("</"),this._emitSeveralCodePoints(this.tempBuff),this._reconsumeInState(yd)}}[Pd](Ff){Ff===td.SOLIDUS?(this.tempBuff=[],this.state=qd):(this._emitChars("<"),this._reconsumeInState(gd))}[qd](Ff){ph(Ff)?(this._createEndTagToken(),this._reconsumeInState(Yd)):(this._emitChars("</"),this._reconsumeInState(gd))}[Yd](Ff){if(B1(Ff))this.currentToken.tagName+=Ah(Ff),this.tempBuff.push(Ff);else if(yf(Ff))this.currentToken.tagName+=Fh(Ff),this.tempBuff.push(Ff);else{if(this.lastStartTagName===this.currentToken.tagName){if(g1(Ff)){this.state=Gf;return}if(Ff===td.SOLIDUS){this.state=Jf;return}if(Ff===td.GREATER_THAN_SIGN){this._emitCurrentToken(),this.state=_d;return}}this._emitChars("</"),this._emitSeveralCodePoints(this.tempBuff),this._reconsumeInState(gd)}}[Ud](Ff){Ff===td.SOLIDUS?(this.tempBuff=[],this.state=Hd):Ff===td.EXCLAMATION_MARK?(this.state=Jd,this._emitChars("<!")):(this._emitChars("<"),this._reconsumeInState(Ed))}[Hd](Ff){ph(Ff)?(this._createEndTagToken(),this._reconsumeInState(Vd)):(this._emitChars("</"),this._reconsumeInState(Ed))}[Vd](Ff){if(B1(Ff))this.currentToken.tagName+=Ah(Ff),this.tempBuff.push(Ff);else if(yf(Ff))this.currentToken.tagName+=Fh(Ff),this.tempBuff.push(Ff);else{if(this.lastStartTagName===this.currentToken.tagName){if(g1(Ff)){this.state=Gf;return}else if(Ff===td.SOLIDUS){this.state=Jf;return}else if(Ff===td.GREATER_THAN_SIGN){this._emitCurrentToken(),this.state=_d;return}}this._emitChars("</"),this._emitSeveralCodePoints(this.tempBuff),this._reconsumeInState(Ed)}}[Jd](Ff){Ff===td.HYPHEN_MINUS?(this.state=Zd,this._emitChars("-")):this._reconsumeInState(Ed)}[Zd](Ff){Ff===td.HYPHEN_MINUS?(this.state=hf,this._emitChars("-")):this._reconsumeInState(Ed)}[pf](Ff){Ff===td.HYPHEN_MINUS?(this.state=Xd,this._emitChars("-")):Ff===td.LESS_THAN_SIGN?this.state=_f:Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this._emitChars(qa.REPLACEMENT_CHARACTER)):Ff===td.EOF?(this._err(ed.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):this._emitCodePoint(Ff)}[Xd](Ff){Ff===td.HYPHEN_MINUS?(this.state=hf,this._emitChars("-")):Ff===td.LESS_THAN_SIGN?this.state=_f:Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.state=pf,this._emitChars(qa.REPLACEMENT_CHARACTER)):Ff===td.EOF?(this._err(ed.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):(this.state=pf,this._emitCodePoint(Ff))}[hf](Ff){Ff===td.HYPHEN_MINUS?this._emitChars("-"):Ff===td.LESS_THAN_SIGN?this.state=_f:Ff===td.GREATER_THAN_SIGN?(this.state=Ed,this._emitChars(">")):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.state=pf,this._emitChars(qa.REPLACEMENT_CHARACTER)):Ff===td.EOF?(this._err(ed.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):(this.state=pf,this._emitCodePoint(Ff))}[_f](Ff){Ff===td.SOLIDUS?(this.tempBuff=[],this.state=xf):ph(Ff)?(this.tempBuff=[],this._emitChars("<"),this._reconsumeInState(Wf)):(this._emitChars("<"),this._reconsumeInState(pf))}[xf](Ff){ph(Ff)?(this._createEndTagToken(),this._reconsumeInState(Lf)):(this._emitChars("</"),this._reconsumeInState(pf))}[Lf](Ff){if(B1(Ff))this.currentToken.tagName+=Ah(Ff),this.tempBuff.push(Ff);else if(yf(Ff))this.currentToken.tagName+=Fh(Ff),this.tempBuff.push(Ff);else{if(this.lastStartTagName===this.currentToken.tagName){if(g1(Ff)){this.state=Gf;return}if(Ff===td.SOLIDUS){this.state=Jf;return}if(Ff===td.GREATER_THAN_SIGN){this._emitCurrentToken(),this.state=_d;return}}this._emitChars("</"),this._emitSeveralCodePoints(this.tempBuff),this._reconsumeInState(pf)}}[Wf](Ff){g1(Ff)||Ff===td.SOLIDUS||Ff===td.GREATER_THAN_SIGN?(this.state=this._isTempBufferEqualToScriptString()?Yf:pf,this._emitCodePoint(Ff)):B1(Ff)?(this.tempBuff.push(Gh(Ff)),this._emitCodePoint(Ff)):yf(Ff)?(this.tempBuff.push(Ff),this._emitCodePoint(Ff)):this._reconsumeInState(pf)}[Yf](Ff){Ff===td.HYPHEN_MINUS?(this.state=If,this._emitChars("-")):Ff===td.LESS_THAN_SIGN?(this.state=wf,this._emitChars("<")):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this._emitChars(qa.REPLACEMENT_CHARACTER)):Ff===td.EOF?(this._err(ed.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):this._emitCodePoint(Ff)}[If](Ff){Ff===td.HYPHEN_MINUS?(this.state=Sf,this._emitChars("-")):Ff===td.LESS_THAN_SIGN?(this.state=wf,this._emitChars("<")):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.state=Yf,this._emitChars(qa.REPLACEMENT_CHARACTER)):Ff===td.EOF?(this._err(ed.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):(this.state=Yf,this._emitCodePoint(Ff))}[Sf](Ff){Ff===td.HYPHEN_MINUS?this._emitChars("-"):Ff===td.LESS_THAN_SIGN?(this.state=wf,this._emitChars("<")):Ff===td.GREATER_THAN_SIGN?(this.state=Ed,this._emitChars(">")):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.state=Yf,this._emitChars(qa.REPLACEMENT_CHARACTER)):Ff===td.EOF?(this._err(ed.eofInScriptHtmlCommentLikeText),this._emitEOFToken()):(this.state=Yf,this._emitCodePoint(Ff))}[wf](Ff){Ff===td.SOLIDUS?(this.tempBuff=[],this.state=Kf,this._emitChars("/")):this._reconsumeInState(Yf)}[Kf](Ff){g1(Ff)||Ff===td.SOLIDUS||Ff===td.GREATER_THAN_SIGN?(this.state=this._isTempBufferEqualToScriptString()?pf:Yf,this._emitCodePoint(Ff)):B1(Ff)?(this.tempBuff.push(Gh(Ff)),this._emitCodePoint(Ff)):yf(Ff)?(this.tempBuff.push(Ff),this._emitCodePoint(Ff)):this._reconsumeInState(Yf)}[Gf](Ff){g1(Ff)||(Ff===td.SOLIDUS||Ff===td.GREATER_THAN_SIGN||Ff===td.EOF?this._reconsumeInState(mf):Ff===td.EQUALS_SIGN?(this._err(ed.unexpectedEqualsSignBeforeAttributeName),this._createAttr("="),this.state=gf):(this._createAttr(""),this._reconsumeInState(gf)))}[gf](Ff){g1(Ff)||Ff===td.SOLIDUS||Ff===td.GREATER_THAN_SIGN||Ff===td.EOF?(this._leaveAttrName(mf),this._unconsume()):Ff===td.EQUALS_SIGN?this._leaveAttrName($f):B1(Ff)?this.currentAttr.name+=Ah(Ff):Ff===td.QUOTATION_MARK||Ff===td.APOSTROPHE||Ff===td.LESS_THAN_SIGN?(this._err(ed.unexpectedCharacterInAttributeName),this.currentAttr.name+=Fh(Ff)):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentAttr.name+=qa.REPLACEMENT_CHARACTER):this.currentAttr.name+=Fh(Ff)}[mf](Ff){g1(Ff)||(Ff===td.SOLIDUS?this.state=Jf:Ff===td.EQUALS_SIGN?this.state=$f:Ff===td.GREATER_THAN_SIGN?(this.state=_d,this._emitCurrentToken()):Ff===td.EOF?(this._err(ed.eofInTag),this._emitEOFToken()):(this._createAttr(""),this._reconsumeInState(gf)))}[$f](Ff){g1(Ff)||(Ff===td.QUOTATION_MARK?this.state=zf:Ff===td.APOSTROPHE?this.state=hh:Ff===td.GREATER_THAN_SIGN?(this._err(ed.missingAttributeValue),this.state=_d,this._emitCurrentToken()):this._reconsumeInState(Vf))}[zf](Ff){Ff===td.QUOTATION_MARK?this.state=kf:Ff===td.AMPERSAND?(this.returnState=zf,this.state=x1):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentAttr.value+=qa.REPLACEMENT_CHARACTER):Ff===td.EOF?(this._err(ed.eofInTag),this._emitEOFToken()):this.currentAttr.value+=Fh(Ff)}[hh](Ff){Ff===td.APOSTROPHE?this.state=kf:Ff===td.AMPERSAND?(this.returnState=hh,this.state=x1):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentAttr.value+=qa.REPLACEMENT_CHARACTER):Ff===td.EOF?(this._err(ed.eofInTag),this._emitEOFToken()):this.currentAttr.value+=Fh(Ff)}[Vf](Ff){g1(Ff)?this._leaveAttrValue(Gf):Ff===td.AMPERSAND?(this.returnState=Vf,this.state=x1):Ff===td.GREATER_THAN_SIGN?(this._leaveAttrValue(_d),this._emitCurrentToken()):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentAttr.value+=qa.REPLACEMENT_CHARACTER):Ff===td.QUOTATION_MARK||Ff===td.APOSTROPHE||Ff===td.LESS_THAN_SIGN||Ff===td.EQUALS_SIGN||Ff===td.GRAVE_ACCENT?(this._err(ed.unexpectedCharacterInUnquotedAttributeValue),this.currentAttr.value+=Fh(Ff)):Ff===td.EOF?(this._err(ed.eofInTag),this._emitEOFToken()):this.currentAttr.value+=Fh(Ff)}[kf](Ff){g1(Ff)?this._leaveAttrValue(Gf):Ff===td.SOLIDUS?this._leaveAttrValue(Jf):Ff===td.GREATER_THAN_SIGN?(this._leaveAttrValue(_d),this._emitCurrentToken()):Ff===td.EOF?(this._err(ed.eofInTag),this._emitEOFToken()):(this._err(ed.missingWhitespaceBetweenAttributes),this._reconsumeInState(Gf))}[Jf](Ff){Ff===td.GREATER_THAN_SIGN?(this.currentToken.selfClosing=!0,this.state=_d,this._emitCurrentToken()):Ff===td.EOF?(this._err(ed.eofInTag),this._emitEOFToken()):(this._err(ed.unexpectedSolidusInTag),this._reconsumeInState(Gf))}[Ch](Ff){Ff===td.GREATER_THAN_SIGN?(this.state=_d,this._emitCurrentToken()):Ff===td.EOF?(this._emitCurrentToken(),this._emitEOFToken()):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentToken.data+=qa.REPLACEMENT_CHARACTER):this.currentToken.data+=Fh(Ff)}[qf](Ff){this._consumeSequenceIfMatch(rd.DASH_DASH_STRING,Ff,!0)?(this._createCommentToken(),this.state=Tf):this._consumeSequenceIfMatch(rd.DOCTYPE_STRING,Ff,!1)?this.state=Wh:this._consumeSequenceIfMatch(rd.CDATA_START_STRING,Ff,!0)?this.allowCDATA?this.state=Jm:(this._err(ed.cdataInHtmlContent),this._createCommentToken(),this.currentToken.data="[CDATA[",this.state=Ch):this._ensureHibernation()||(this._err(ed.incorrectlyOpenedComment),this._createCommentToken(),this._reconsumeInState(Ch))}[Tf](Ff){Ff===td.HYPHEN_MINUS?this.state=Af:Ff===td.GREATER_THAN_SIGN?(this._err(ed.abruptClosingOfEmptyComment),this.state=_d,this._emitCurrentToken()):this._reconsumeInState(Pf)}[Af](Ff){Ff===td.HYPHEN_MINUS?this.state=jh:Ff===td.GREATER_THAN_SIGN?(this._err(ed.abruptClosingOfEmptyComment),this.state=_d,this._emitCurrentToken()):Ff===td.EOF?(this._err(ed.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="-",this._reconsumeInState(Pf))}[Pf](Ff){Ff===td.HYPHEN_MINUS?this.state=Rh:Ff===td.LESS_THAN_SIGN?(this.currentToken.data+="<",this.state=gh):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentToken.data+=qa.REPLACEMENT_CHARACTER):Ff===td.EOF?(this._err(ed.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.data+=Fh(Ff)}[gh](Ff){Ff===td.EXCLAMATION_MARK?(this.currentToken.data+="!",this.state=Nh):Ff===td.LESS_THAN_SIGN?this.currentToken.data+="!":this._reconsumeInState(Pf)}[Nh](Ff){Ff===td.HYPHEN_MINUS?this.state=dh:this._reconsumeInState(Pf)}[dh](Ff){Ff===td.HYPHEN_MINUS?this.state=$h:this._reconsumeInState(Rh)}[$h](Ff){Ff!==td.GREATER_THAN_SIGN&&Ff!==td.EOF&&this._err(ed.nestedComment),this._reconsumeInState(jh)}[Rh](Ff){Ff===td.HYPHEN_MINUS?this.state=jh:Ff===td.EOF?(this._err(ed.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="-",this._reconsumeInState(Pf))}[jh](Ff){Ff===td.GREATER_THAN_SIGN?(this.state=_d,this._emitCurrentToken()):Ff===td.EXCLAMATION_MARK?this.state=Zh:Ff===td.HYPHEN_MINUS?this.currentToken.data+="-":Ff===td.EOF?(this._err(ed.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="--",this._reconsumeInState(Pf))}[Zh](Ff){Ff===td.HYPHEN_MINUS?(this.currentToken.data+="--!",this.state=Rh):Ff===td.GREATER_THAN_SIGN?(this._err(ed.incorrectlyClosedComment),this.state=_d,this._emitCurrentToken()):Ff===td.EOF?(this._err(ed.eofInComment),this._emitCurrentToken(),this._emitEOFToken()):(this.currentToken.data+="--!",this._reconsumeInState(Pf))}[Wh](Ff){g1(Ff)?this.state=sm:Ff===td.GREATER_THAN_SIGN?this._reconsumeInState(sm):Ff===td.EOF?(this._err(ed.eofInDoctype),this._createDoctypeToken(null),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(ed.missingWhitespaceBeforeDoctypeName),this._reconsumeInState(sm))}[sm](Ff){g1(Ff)||(B1(Ff)?(this._createDoctypeToken(Ah(Ff)),this.state=fm):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this._createDoctypeToken(qa.REPLACEMENT_CHARACTER),this.state=fm):Ff===td.GREATER_THAN_SIGN?(this._err(ed.missingDoctypeName),this._createDoctypeToken(null),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=_d):Ff===td.EOF?(this._err(ed.eofInDoctype),this._createDoctypeToken(null),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._createDoctypeToken(Fh(Ff)),this.state=fm))}[fm](Ff){g1(Ff)?this.state=ih:Ff===td.GREATER_THAN_SIGN?(this.state=_d,this._emitCurrentToken()):B1(Ff)?this.currentToken.name+=Ah(Ff):Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentToken.name+=qa.REPLACEMENT_CHARACTER):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.name+=Fh(Ff)}[ih](Ff){g1(Ff)||(Ff===td.GREATER_THAN_SIGN?(this.state=_d,this._emitCurrentToken()):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this._consumeSequenceIfMatch(rd.PUBLIC_STRING,Ff,!1)?this.state=Rf:this._consumeSequenceIfMatch(rd.SYSTEM_STRING,Ff,!1)?this.state=Xh:this._ensureHibernation()||(this._err(ed.invalidCharacterSequenceAfterDoctypeName),this.currentToken.forceQuirks=!0,this._reconsumeInState(Om)))}[Rf](Ff){g1(Ff)?this.state=Df:Ff===td.QUOTATION_MARK?(this._err(ed.missingWhitespaceAfterDoctypePublicKeyword),this.currentToken.publicId="",this.state=Zf):Ff===td.APOSTROPHE?(this._err(ed.missingWhitespaceAfterDoctypePublicKeyword),this.currentToken.publicId="",this.state=bh):Ff===td.GREATER_THAN_SIGN?(this._err(ed.missingDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this.state=_d,this._emitCurrentToken()):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(ed.missingQuoteBeforeDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Om))}[Df](Ff){g1(Ff)||(Ff===td.QUOTATION_MARK?(this.currentToken.publicId="",this.state=Zf):Ff===td.APOSTROPHE?(this.currentToken.publicId="",this.state=bh):Ff===td.GREATER_THAN_SIGN?(this._err(ed.missingDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this.state=_d,this._emitCurrentToken()):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(ed.missingQuoteBeforeDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Om)))}[Zf](Ff){Ff===td.QUOTATION_MARK?this.state=Lh:Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentToken.publicId+=qa.REPLACEMENT_CHARACTER):Ff===td.GREATER_THAN_SIGN?(this._err(ed.abruptDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=_d):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.publicId+=Fh(Ff)}[bh](Ff){Ff===td.APOSTROPHE?this.state=Lh:Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentToken.publicId+=qa.REPLACEMENT_CHARACTER):Ff===td.GREATER_THAN_SIGN?(this._err(ed.abruptDoctypePublicIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=_d):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.publicId+=Fh(Ff)}[Lh](Ff){g1(Ff)?this.state=Hh:Ff===td.GREATER_THAN_SIGN?(this.state=_d,this._emitCurrentToken()):Ff===td.QUOTATION_MARK?(this._err(ed.missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers),this.currentToken.systemId="",this.state=om):Ff===td.APOSTROPHE?(this._err(ed.missingWhitespaceBetweenDoctypePublicAndSystemIdentifiers),this.currentToken.systemId="",this.state=Gm):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(ed.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Om))}[Hh](Ff){g1(Ff)||(Ff===td.GREATER_THAN_SIGN?(this._emitCurrentToken(),this.state=_d):Ff===td.QUOTATION_MARK?(this.currentToken.systemId="",this.state=om):Ff===td.APOSTROPHE?(this.currentToken.systemId="",this.state=Gm):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(ed.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Om)))}[Xh](Ff){g1(Ff)?this.state=gm:Ff===td.QUOTATION_MARK?(this._err(ed.missingWhitespaceAfterDoctypeSystemKeyword),this.currentToken.systemId="",this.state=om):Ff===td.APOSTROPHE?(this._err(ed.missingWhitespaceAfterDoctypeSystemKeyword),this.currentToken.systemId="",this.state=Gm):Ff===td.GREATER_THAN_SIGN?(this._err(ed.missingDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this.state=_d,this._emitCurrentToken()):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(ed.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Om))}[gm](Ff){g1(Ff)||(Ff===td.QUOTATION_MARK?(this.currentToken.systemId="",this.state=om):Ff===td.APOSTROPHE?(this.currentToken.systemId="",this.state=Gm):Ff===td.GREATER_THAN_SIGN?(this._err(ed.missingDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this.state=_d,this._emitCurrentToken()):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(ed.missingQuoteBeforeDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._reconsumeInState(Om)))}[om](Ff){Ff===td.QUOTATION_MARK?this.state=Fm:Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentToken.systemId+=qa.REPLACEMENT_CHARACTER):Ff===td.GREATER_THAN_SIGN?(this._err(ed.abruptDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=_d):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.systemId+=Fh(Ff)}[Gm](Ff){Ff===td.APOSTROPHE?this.state=Fm:Ff===td.NULL?(this._err(ed.unexpectedNullCharacter),this.currentToken.systemId+=qa.REPLACEMENT_CHARACTER):Ff===td.GREATER_THAN_SIGN?(this._err(ed.abruptDoctypeSystemIdentifier),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this.state=_d):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):this.currentToken.systemId+=Fh(Ff)}[Fm](Ff){g1(Ff)||(Ff===td.GREATER_THAN_SIGN?(this._emitCurrentToken(),this.state=_d):Ff===td.EOF?(this._err(ed.eofInDoctype),this.currentToken.forceQuirks=!0,this._emitCurrentToken(),this._emitEOFToken()):(this._err(ed.unexpectedCharacterAfterDoctypeSystemIdentifier),this._reconsumeInState(Om)))}[Om](Ff){Ff===td.GREATER_THAN_SIGN?(this._emitCurrentToken(),this.state=_d):Ff===td.NULL?this._err(ed.unexpectedNullCharacter):Ff===td.EOF&&(this._emitCurrentToken(),this._emitEOFToken())}[Jm](Ff){Ff===td.RIGHT_SQUARE_BRACKET?this.state=N1:Ff===td.EOF?(this._err(ed.eofInCdata),this._emitEOFToken()):this._emitCodePoint(Ff)}[N1](Ff){Ff===td.RIGHT_SQUARE_BRACKET?this.state=R1:(this._emitChars("]"),this._reconsumeInState(Jm))}[R1](Ff){Ff===td.GREATER_THAN_SIGN?this.state=_d:Ff===td.RIGHT_SQUARE_BRACKET?this._emitChars("]"):(this._emitChars("]]"),this._reconsumeInState(Jm))}[x1](Ff){this.tempBuff=[td.AMPERSAND],Ff===td.NUMBER_SIGN?(this.tempBuff.push(Ff),this.state=y1):sh(Ff)?this._reconsumeInState(Xm):(this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState))}[Xm](Ff){const $m=this._matchNamedCharacterReference(Ff);if(this._ensureHibernation())this.tempBuff=[td.AMPERSAND];else if($m){const m1=this.tempBuff[this.tempBuff.length-1]===td.SEMICOLON;this._isCharacterReferenceAttributeQuirk(m1)||(m1||this._errOnNextCodePoint(ed.missingSemicolonAfterCharacterReference),this.tempBuff=$m),this._flushCodePointsConsumedAsCharacterReference(),this.state=this.returnState}else this._flushCodePointsConsumedAsCharacterReference(),this.state=F1}[F1](Ff){sh(Ff)?this._isCharacterReferenceInAttribute()?this.currentAttr.value+=Fh(Ff):this._emitCodePoint(Ff):(Ff===td.SEMICOLON&&this._err(ed.unknownNamedCharacterReference),this._reconsumeInState(this.returnState))}[y1](Ff){this.charRefCode=0,Ff===td.LATIN_SMALL_X||Ff===td.LATIN_CAPITAL_X?(this.tempBuff.push(Ff),this.state=V1):this._reconsumeInState(Z1)}[V1](Ff){Mh(Ff)?this._reconsumeInState(I1):(this._err(ed.absenceOfDigitsInNumericCharacterReference),this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState))}[Z1](Ff){l0(Ff)?this._reconsumeInState(O1):(this._err(ed.absenceOfDigitsInNumericCharacterReference),this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState))}[I1](Ff){yh(Ff)?this.charRefCode=this.charRefCode*16+Ff-55:Bh(Ff)?this.charRefCode=this.charRefCode*16+Ff-87:l0(Ff)?this.charRefCode=this.charRefCode*16+Ff-48:Ff===td.SEMICOLON?this.state=jm:(this._err(ed.missingSemicolonAfterCharacterReference),this._reconsumeInState(jm))}[O1](Ff){l0(Ff)?this.charRefCode=this.charRefCode*10+Ff-48:Ff===td.SEMICOLON?this.state=jm:(this._err(ed.missingSemicolonAfterCharacterReference),this._reconsumeInState(jm))}[jm](){if(this.charRefCode===td.NULL)this._err(ed.nullCharacterReference),this.charRefCode=td.REPLACEMENT_CHARACTER;else if(this.charRefCode>1114111)this._err(ed.characterReferenceOutsideUnicodeRange),this.charRefCode=td.REPLACEMENT_CHARACTER;else if(qa.isSurrogate(this.charRefCode))this._err(ed.surrogateCharacterReference),this.charRefCode=td.REPLACEMENT_CHARACTER;else if(qa.isUndefinedCodePoint(this.charRefCode))this._err(ed.noncharacterCharacterReference);else if(qa.isControlCodePoint(this.charRefCode)||this.charRefCode===td.CARRIAGE_RETURN){this._err(ed.controlCharacterReference);const Ff=sd[this.charRefCode];Ff&&(this.charRefCode=Ff)}this.tempBuff=[this.charRefCode],this._flushCodePointsConsumedAsCharacterReference(),this._reconsumeInState(this.returnState)}}return um.CHARACTER_TOKEN="CHARACTER_TOKEN",um.NULL_CHARACTER_TOKEN="NULL_CHARACTER_TOKEN",um.WHITESPACE_CHARACTER_TOKEN="WHITESPACE_CHARACTER_TOKEN",um.START_TAG_TOKEN="START_TAG_TOKEN",um.END_TAG_TOKEN="END_TAG_TOKEN",um.COMMENT_TOKEN="COMMENT_TOKEN",um.DOCTYPE_TOKEN="DOCTYPE_TOKEN",um.EOF_TOKEN="EOF_TOKEN",um.HIBERNATION_TOKEN="HIBERNATION_TOKEN",um.MODE={DATA:_d,RCDATA:yd,RAWTEXT:gd,SCRIPT_DATA:Ed,PLAINTEXT:Td},um.getTokenAttr=function(_m,Ff){for(let $m=_m.attrs.length-1;$m>=0;$m--)if(_m.attrs[$m].name===Ff)return _m.attrs[$m].value;return null},tokenizer=um,tokenizer}var html={},hasRequiredHtml;function requireHtml(){if(hasRequiredHtml)return html;hasRequiredHtml=1;const Ra=html.NAMESPACES={HTML:"http://www.w3.org/1999/xhtml",MATHML:"http://www.w3.org/1998/Math/MathML",SVG:"http://www.w3.org/2000/svg",XLINK:"http://www.w3.org/1999/xlink",XML:"http://www.w3.org/XML/1998/namespace",XMLNS:"http://www.w3.org/2000/xmlns/"};html.ATTRS={TYPE:"type",ACTION:"action",ENCODING:"encoding",PROMPT:"prompt",NAME:"name",COLOR:"color",FACE:"face",SIZE:"size"},html.DOCUMENT_MODE={NO_QUIRKS:"no-quirks",QUIRKS:"quirks",LIMITED_QUIRKS:"limited-quirks"};const qa=html.TAG_NAMES={A:"a",ADDRESS:"address",ANNOTATION_XML:"annotation-xml",APPLET:"applet",AREA:"area",ARTICLE:"article",ASIDE:"aside",B:"b",BASE:"base",BASEFONT:"basefont",BGSOUND:"bgsound",BIG:"big",BLOCKQUOTE:"blockquote",BODY:"body",BR:"br",BUTTON:"button",CAPTION:"caption",CENTER:"center",CODE:"code",COL:"col",COLGROUP:"colgroup",DD:"dd",DESC:"desc",DETAILS:"details",DIALOG:"dialog",DIR:"dir",DIV:"div",DL:"dl",DT:"dt",EM:"em",EMBED:"embed",FIELDSET:"fieldset",FIGCAPTION:"figcaption",FIGURE:"figure",FONT:"font",FOOTER:"footer",FOREIGN_OBJECT:"foreignObject",FORM:"form",FRAME:"frame",FRAMESET:"frameset",H1:"h1",H2:"h2",H3:"h3",H4:"h4",H5:"h5",H6:"h6",HEAD:"head",HEADER:"header",HGROUP:"hgroup",HR:"hr",HTML:"html",I:"i",IMG:"img",IMAGE:"image",INPUT:"input",IFRAME:"iframe",KEYGEN:"keygen",LABEL:"label",LI:"li",LINK:"link",LISTING:"listing",MAIN:"main",MALIGNMARK:"malignmark",MARQUEE:"marquee",MATH:"math",MENU:"menu",META:"meta",MGLYPH:"mglyph",MI:"mi",MO:"mo",MN:"mn",MS:"ms",MTEXT:"mtext",NAV:"nav",NOBR:"nobr",NOFRAMES:"noframes",NOEMBED:"noembed",NOSCRIPT:"noscript",OBJECT:"object",OL:"ol",OPTGROUP:"optgroup",OPTION:"option",P:"p",PARAM:"param",PLAINTEXT:"plaintext",PRE:"pre",RB:"rb",RP:"rp",RT:"rt",RTC:"rtc",RUBY:"ruby",S:"s",SCRIPT:"script",SECTION:"section",SELECT:"select",SOURCE:"source",SMALL:"small",SPAN:"span",STRIKE:"strike",STRONG:"strong",STYLE:"style",SUB:"sub",SUMMARY:"summary",SUP:"sup",TABLE:"table",TBODY:"tbody",TEMPLATE:"template",TEXTAREA:"textarea",TFOOT:"tfoot",TD:"td",TH:"th",THEAD:"thead",TITLE:"title",TR:"tr",TRACK:"track",TT:"tt",U:"u",UL:"ul",SVG:"svg",VAR:"var",WBR:"wbr",XMP:"xmp"};return html.SPECIAL_ELEMENTS={[Ra.HTML]:{[qa.ADDRESS]:!0,[qa.APPLET]:!0,[qa.AREA]:!0,[qa.ARTICLE]:!0,[qa.ASIDE]:!0,[qa.BASE]:!0,[qa.BASEFONT]:!0,[qa.BGSOUND]:!0,[qa.BLOCKQUOTE]:!0,[qa.BODY]:!0,[qa.BR]:!0,[qa.BUTTON]:!0,[qa.CAPTION]:!0,[qa.CENTER]:!0,[qa.COL]:!0,[qa.COLGROUP]:!0,[qa.DD]:!0,[qa.DETAILS]:!0,[qa.DIR]:!0,[qa.DIV]:!0,[qa.DL]:!0,[qa.DT]:!0,[qa.EMBED]:!0,[qa.FIELDSET]:!0,[qa.FIGCAPTION]:!0,[qa.FIGURE]:!0,[qa.FOOTER]:!0,[qa.FORM]:!0,[qa.FRAME]:!0,[qa.FRAMESET]:!0,[qa.H1]:!0,[qa.H2]:!0,[qa.H3]:!0,[qa.H4]:!0,[qa.H5]:!0,[qa.H6]:!0,[qa.HEAD]:!0,[qa.HEADER]:!0,[qa.HGROUP]:!0,[qa.HR]:!0,[qa.HTML]:!0,[qa.IFRAME]:!0,[qa.IMG]:!0,[qa.INPUT]:!0,[qa.LI]:!0,[qa.LINK]:!0,[qa.LISTING]:!0,[qa.MAIN]:!0,[qa.MARQUEE]:!0,[qa.MENU]:!0,[qa.META]:!0,[qa.NAV]:!0,[qa.NOEMBED]:!0,[qa.NOFRAMES]:!0,[qa.NOSCRIPT]:!0,[qa.OBJECT]:!0,[qa.OL]:!0,[qa.P]:!0,[qa.PARAM]:!0,[qa.PLAINTEXT]:!0,[qa.PRE]:!0,[qa.SCRIPT]:!0,[qa.SECTION]:!0,[qa.SELECT]:!0,[qa.SOURCE]:!0,[qa.STYLE]:!0,[qa.SUMMARY]:!0,[qa.TABLE]:!0,[qa.TBODY]:!0,[qa.TD]:!0,[qa.TEMPLATE]:!0,[qa.TEXTAREA]:!0,[qa.TFOOT]:!0,[qa.TH]:!0,[qa.THEAD]:!0,[qa.TITLE]:!0,[qa.TR]:!0,[qa.TRACK]:!0,[qa.UL]:!0,[qa.WBR]:!0,[qa.XMP]:!0},[Ra.MATHML]:{[qa.MI]:!0,[qa.MO]:!0,[qa.MN]:!0,[qa.MS]:!0,[qa.MTEXT]:!0,[qa.ANNOTATION_XML]:!0},[Ra.SVG]:{[qa.TITLE]:!0,[qa.FOREIGN_OBJECT]:!0,[qa.DESC]:!0}},html}var openElementStack,hasRequiredOpenElementStack;function requireOpenElementStack(){if(hasRequiredOpenElementStack)return openElementStack;hasRequiredOpenElementStack=1;const Ra=requireHtml(),qa=Ra.TAG_NAMES,Ja=Ra.NAMESPACES;function ed(od){switch(od.length){case 1:return od===qa.P;case 2:return od===qa.RB||od===qa.RP||od===qa.RT||od===qa.DD||od===qa.DT||od===qa.LI;case 3:return od===qa.RTC;case 6:return od===qa.OPTION;case 8:return od===qa.OPTGROUP}return!1}function td(od){switch(od.length){case 1:return od===qa.P;case 2:return od===qa.RB||od===qa.RP||od===qa.RT||od===qa.DD||od===qa.DT||od===qa.LI||od===qa.TD||od===qa.TH||od===qa.TR;case 3:return od===qa.RTC;case 5:return od===qa.TBODY||od===qa.TFOOT||od===qa.THEAD;case 6:return od===qa.OPTION;case 7:return od===qa.CAPTION;case 8:return od===qa.OPTGROUP||od===qa.COLGROUP}return!1}function rd(od,ld){switch(od.length){case 2:if(od===qa.TD||od===qa.TH)return ld===Ja.HTML;if(od===qa.MI||od===qa.MO||od===qa.MN||od===qa.MS)return ld===Ja.MATHML;break;case 4:if(od===qa.HTML)return ld===Ja.HTML;if(od===qa.DESC)return ld===Ja.SVG;break;case 5:if(od===qa.TABLE)return ld===Ja.HTML;if(od===qa.MTEXT)return ld===Ja.MATHML;if(od===qa.TITLE)return ld===Ja.SVG;break;case 6:return(od===qa.APPLET||od===qa.OBJECT)&&ld===Ja.HTML;case 7:return(od===qa.CAPTION||od===qa.MARQUEE)&&ld===Ja.HTML;case 8:return od===qa.TEMPLATE&&ld===Ja.HTML;case 13:return od===qa.FOREIGN_OBJECT&&ld===Ja.SVG;case 14:return od===qa.ANNOTATION_XML&&ld===Ja.MATHML}return!1}class sd{constructor(ld,cd){this.stackTop=-1,this.items=[],this.current=ld,this.currentTagName=null,this.currentTmplContent=null,this.tmplCount=0,this.treeAdapter=cd}_indexOf(ld){let cd=-1;for(let ud=this.stackTop;ud>=0;ud--)if(this.items[ud]===ld){cd=ud;break}return cd}_isInTemplate(){return this.currentTagName===qa.TEMPLATE&&this.treeAdapter.getNamespaceURI(this.current)===Ja.HTML}_updateCurrentElement(){this.current=this.items[this.stackTop],this.currentTagName=this.current&&this.treeAdapter.getTagName(this.current),this.currentTmplContent=this._isInTemplate()?this.treeAdapter.getTemplateContent(this.current):null}push(ld){this.items[++this.stackTop]=ld,this._updateCurrentElement(),this._isInTemplate()&&this.tmplCount++}pop(){this.stackTop--,this.tmplCount>0&&this._isInTemplate()&&this.tmplCount--,this._updateCurrentElement()}replace(ld,cd){const ud=this._indexOf(ld);this.items[ud]=cd,ud===this.stackTop&&this._updateCurrentElement()}insertAfter(ld,cd){const ud=this._indexOf(ld)+1;this.items.splice(ud,0,cd),ud===++this.stackTop&&this._updateCurrentElement()}popUntilTagNamePopped(ld){for(;this.stackTop>-1;){const cd=this.currentTagName,ud=this.treeAdapter.getNamespaceURI(this.current);if(this.pop(),cd===ld&&ud===Ja.HTML)break}}popUntilElementPopped(ld){for(;this.stackTop>-1;){const cd=this.current;if(this.pop(),cd===ld)break}}popUntilNumberedHeaderPopped(){for(;this.stackTop>-1;){const ld=this.currentTagName,cd=this.treeAdapter.getNamespaceURI(this.current);if(this.pop(),ld===qa.H1||ld===qa.H2||ld===qa.H3||ld===qa.H4||ld===qa.H5||ld===qa.H6&&cd===Ja.HTML)break}}popUntilTableCellPopped(){for(;this.stackTop>-1;){const ld=this.currentTagName,cd=this.treeAdapter.getNamespaceURI(this.current);if(this.pop(),ld===qa.TD||ld===qa.TH&&cd===Ja.HTML)break}}popAllUpToHtmlElement(){this.stackTop=0,this._updateCurrentElement()}clearBackToTableContext(){for(;this.currentTagName!==qa.TABLE&&this.currentTagName!==qa.TEMPLATE&&this.currentTagName!==qa.HTML||this.treeAdapter.getNamespaceURI(this.current)!==Ja.HTML;)this.pop()}clearBackToTableBodyContext(){for(;this.currentTagName!==qa.TBODY&&this.currentTagName!==qa.TFOOT&&this.currentTagName!==qa.THEAD&&this.currentTagName!==qa.TEMPLATE&&this.currentTagName!==qa.HTML||this.treeAdapter.getNamespaceURI(this.current)!==Ja.HTML;)this.pop()}clearBackToTableRowContext(){for(;this.currentTagName!==qa.TR&&this.currentTagName!==qa.TEMPLATE&&this.currentTagName!==qa.HTML||this.treeAdapter.getNamespaceURI(this.current)!==Ja.HTML;)this.pop()}remove(ld){for(let cd=this.stackTop;cd>=0;cd--)if(this.items[cd]===ld){this.items.splice(cd,1),this.stackTop--,this._updateCurrentElement();break}}tryPeekProperlyNestedBodyElement(){const ld=this.items[1];return ld&&this.treeAdapter.getTagName(ld)===qa.BODY?ld:null}contains(ld){return this._indexOf(ld)>-1}getCommonAncestor(ld){let cd=this._indexOf(ld);return--cd>=0?this.items[cd]:null}isRootHtmlElementCurrent(){return this.stackTop===0&&this.currentTagName===qa.HTML}hasInScope(ld){for(let cd=this.stackTop;cd>=0;cd--){const ud=this.treeAdapter.getTagName(this.items[cd]),_d=this.treeAdapter.getNamespaceURI(this.items[cd]);if(ud===ld&&_d===Ja.HTML)return!0;if(rd(ud,_d))return!1}return!0}hasNumberedHeaderInScope(){for(let ld=this.stackTop;ld>=0;ld--){const cd=this.treeAdapter.getTagName(this.items[ld]),ud=this.treeAdapter.getNamespaceURI(this.items[ld]);if((cd===qa.H1||cd===qa.H2||cd===qa.H3||cd===qa.H4||cd===qa.H5||cd===qa.H6)&&ud===Ja.HTML)return!0;if(rd(cd,ud))return!1}return!0}hasInListItemScope(ld){for(let cd=this.stackTop;cd>=0;cd--){const ud=this.treeAdapter.getTagName(this.items[cd]),_d=this.treeAdapter.getNamespaceURI(this.items[cd]);if(ud===ld&&_d===Ja.HTML)return!0;if((ud===qa.UL||ud===qa.OL)&&_d===Ja.HTML||rd(ud,_d))return!1}return!0}hasInButtonScope(ld){for(let cd=this.stackTop;cd>=0;cd--){const ud=this.treeAdapter.getTagName(this.items[cd]),_d=this.treeAdapter.getNamespaceURI(this.items[cd]);if(ud===ld&&_d===Ja.HTML)return!0;if(ud===qa.BUTTON&&_d===Ja.HTML||rd(ud,_d))return!1}return!0}hasInTableScope(ld){for(let cd=this.stackTop;cd>=0;cd--){const ud=this.treeAdapter.getTagName(this.items[cd]);if(this.treeAdapter.getNamespaceURI(this.items[cd])===Ja.HTML){if(ud===ld)return!0;if(ud===qa.TABLE||ud===qa.TEMPLATE||ud===qa.HTML)return!1}}return!0}hasTableBodyContextInTableScope(){for(let ld=this.stackTop;ld>=0;ld--){const cd=this.treeAdapter.getTagName(this.items[ld]);if(this.treeAdapter.getNamespaceURI(this.items[ld])===Ja.HTML){if(cd===qa.TBODY||cd===qa.THEAD||cd===qa.TFOOT)return!0;if(cd===qa.TABLE||cd===qa.HTML)return!1}}return!0}hasInSelectScope(ld){for(let cd=this.stackTop;cd>=0;cd--){const ud=this.treeAdapter.getTagName(this.items[cd]);if(this.treeAdapter.getNamespaceURI(this.items[cd])===Ja.HTML){if(ud===ld)return!0;if(ud!==qa.OPTION&&ud!==qa.OPTGROUP)return!1}}return!0}generateImpliedEndTags(){for(;ed(this.currentTagName);)this.pop()}generateImpliedEndTagsThoroughly(){for(;td(this.currentTagName);)this.pop()}generateImpliedEndTagsWithExclusion(ld){for(;ed(this.currentTagName)&&this.currentTagName!==ld;)this.pop()}}return openElementStack=sd,openElementStack}var formattingElementList,hasRequiredFormattingElementList;function requireFormattingElementList(){if(hasRequiredFormattingElementList)return formattingElementList;hasRequiredFormattingElementList=1;const Ra=3;class qa{constructor(ed){this.length=0,this.entries=[],this.treeAdapter=ed,this.bookmark=null}_getNoahArkConditionCandidates(ed){const td=[];if(this.length>=Ra){const rd=this.treeAdapter.getAttrList(ed).length,sd=this.treeAdapter.getTagName(ed),od=this.treeAdapter.getNamespaceURI(ed);for(let ld=this.length-1;ld>=0;ld--){const cd=this.entries[ld];if(cd.type===qa.MARKER_ENTRY)break;const ud=cd.element,_d=this.treeAdapter.getAttrList(ud);this.treeAdapter.getTagName(ud)===sd&&this.treeAdapter.getNamespaceURI(ud)===od&&_d.length===rd&&td.push({idx:ld,attrs:_d})}}return td.length<Ra?[]:td}_ensureNoahArkCondition(ed){const td=this._getNoahArkConditionCandidates(ed);let rd=td.length;if(rd){const sd=this.treeAdapter.getAttrList(ed),od=sd.length,ld=Object.create(null);for(let cd=0;cd<od;cd++){const ud=sd[cd];ld[ud.name]=ud.value}for(let cd=0;cd<od;cd++)for(let ud=0;ud<rd;ud++){const _d=td[ud].attrs[cd];if(ld[_d.name]!==_d.value&&(td.splice(ud,1),rd--),td.length<Ra)return}for(let cd=rd-1;cd>=Ra-1;cd--)this.entries.splice(td[cd].idx,1),this.length--}}insertMarker(){this.entries.push({type:qa.MARKER_ENTRY}),this.length++}pushElement(ed,td){this._ensureNoahArkCondition(ed),this.entries.push({type:qa.ELEMENT_ENTRY,element:ed,token:td}),this.length++}insertElementAfterBookmark(ed,td){let rd=this.length-1;for(;rd>=0&&this.entries[rd]!==this.bookmark;rd--);this.entries.splice(rd+1,0,{type:qa.ELEMENT_ENTRY,element:ed,token:td}),this.length++}removeEntry(ed){for(let td=this.length-1;td>=0;td--)if(this.entries[td]===ed){this.entries.splice(td,1),this.length--;break}}clearToLastMarker(){for(;this.length;){const ed=this.entries.pop();if(this.length--,ed.type===qa.MARKER_ENTRY)break}}getElementEntryInScopeWithTagName(ed){for(let td=this.length-1;td>=0;td--){const rd=this.entries[td];if(rd.type===qa.MARKER_ENTRY)return null;if(this.treeAdapter.getTagName(rd.element)===ed)return rd}return null}getElementEntry(ed){for(let td=this.length-1;td>=0;td--){const rd=this.entries[td];if(rd.type===qa.ELEMENT_ENTRY&&rd.element===ed)return rd}return null}}return qa.MARKER_ENTRY="MARKER_ENTRY",qa.ELEMENT_ENTRY="ELEMENT_ENTRY",formattingElementList=qa,formattingElementList}var mixin,hasRequiredMixin;function requireMixin(){if(hasRequiredMixin)return mixin;hasRequiredMixin=1;class Ra{constructor(Ja){const ed={},td=this._getOverriddenMethods(this,ed);for(const rd of Object.keys(td))typeof td[rd]=="function"&&(ed[rd]=Ja[rd],Ja[rd]=td[rd])}_getOverriddenMethods(){throw new Error("Not implemented")}}return Ra.install=function(qa,Ja,ed){qa.__mixins||(qa.__mixins=[]);for(let rd=0;rd<qa.__mixins.length;rd++)if(qa.__mixins[rd].constructor===Ja)return qa.__mixins[rd];const td=new Ja(qa,ed);return qa.__mixins.push(td),td},mixin=Ra,mixin}var preprocessorMixin$1,hasRequiredPreprocessorMixin$1;function requirePreprocessorMixin$1(){if(hasRequiredPreprocessorMixin$1)return preprocessorMixin$1;hasRequiredPreprocessorMixin$1=1;const Ra=requireMixin();class qa extends Ra{constructor(ed){super(ed),this.preprocessor=ed,this.isEol=!1,this.lineStartPos=0,this.droppedBufferSize=0,this.offset=0,this.col=0,this.line=1}_getOverriddenMethods(ed,td){return{advance(){const rd=this.pos+1,sd=this.html[rd];return ed.isEol&&(ed.isEol=!1,ed.line++,ed.lineStartPos=rd),(sd===`
|
||
`||sd==="\r"&&this.html[rd+1]!==`
|
||
`)&&(ed.isEol=!0),ed.col=rd-ed.lineStartPos+1,ed.offset=ed.droppedBufferSize+rd,td.advance.call(this)},retreat(){td.retreat.call(this),ed.isEol=!1,ed.col=this.pos-ed.lineStartPos+1},dropParsedChunk(){const rd=this.pos;td.dropParsedChunk.call(this);const sd=rd-this.pos;ed.lineStartPos-=sd,ed.droppedBufferSize+=sd,ed.offset=ed.droppedBufferSize+this.pos}}}}return preprocessorMixin$1=qa,preprocessorMixin$1}var tokenizerMixin$1,hasRequiredTokenizerMixin$1;function requireTokenizerMixin$1(){if(hasRequiredTokenizerMixin$1)return tokenizerMixin$1;hasRequiredTokenizerMixin$1=1;const Ra=requireMixin(),qa=requireTokenizer(),Ja=requirePreprocessorMixin$1();class ed extends Ra{constructor(rd){super(rd),this.tokenizer=rd,this.posTracker=Ra.install(rd.preprocessor,Ja),this.currentAttrLocation=null,this.ctLoc=null}_getCurrentLocation(){return{startLine:this.posTracker.line,startCol:this.posTracker.col,startOffset:this.posTracker.offset,endLine:-1,endCol:-1,endOffset:-1}}_attachCurrentAttrLocationInfo(){this.currentAttrLocation.endLine=this.posTracker.line,this.currentAttrLocation.endCol=this.posTracker.col,this.currentAttrLocation.endOffset=this.posTracker.offset;const rd=this.tokenizer.currentToken,sd=this.tokenizer.currentAttr;rd.location.attrs||(rd.location.attrs=Object.create(null)),rd.location.attrs[sd.name]=this.currentAttrLocation}_getOverriddenMethods(rd,sd){const od={_createStartTagToken(){sd._createStartTagToken.call(this),this.currentToken.location=rd.ctLoc},_createEndTagToken(){sd._createEndTagToken.call(this),this.currentToken.location=rd.ctLoc},_createCommentToken(){sd._createCommentToken.call(this),this.currentToken.location=rd.ctLoc},_createDoctypeToken(ld){sd._createDoctypeToken.call(this,ld),this.currentToken.location=rd.ctLoc},_createCharacterToken(ld,cd){sd._createCharacterToken.call(this,ld,cd),this.currentCharacterToken.location=rd.ctLoc},_createEOFToken(){sd._createEOFToken.call(this),this.currentToken.location=rd._getCurrentLocation()},_createAttr(ld){sd._createAttr.call(this,ld),rd.currentAttrLocation=rd._getCurrentLocation()},_leaveAttrName(ld){sd._leaveAttrName.call(this,ld),rd._attachCurrentAttrLocationInfo()},_leaveAttrValue(ld){sd._leaveAttrValue.call(this,ld),rd._attachCurrentAttrLocationInfo()},_emitCurrentToken(){const ld=this.currentToken.location;this.currentCharacterToken&&(this.currentCharacterToken.location.endLine=ld.startLine,this.currentCharacterToken.location.endCol=ld.startCol,this.currentCharacterToken.location.endOffset=ld.startOffset),this.currentToken.type===qa.EOF_TOKEN?(ld.endLine=ld.startLine,ld.endCol=ld.startCol,ld.endOffset=ld.startOffset):(ld.endLine=rd.posTracker.line,ld.endCol=rd.posTracker.col+1,ld.endOffset=rd.posTracker.offset+1),sd._emitCurrentToken.call(this)},_emitCurrentCharacterToken(){const ld=this.currentCharacterToken&&this.currentCharacterToken.location;ld&&ld.endOffset===-1&&(ld.endLine=rd.posTracker.line,ld.endCol=rd.posTracker.col,ld.endOffset=rd.posTracker.offset),sd._emitCurrentCharacterToken.call(this)}};return Object.keys(qa.MODE).forEach(ld=>{const cd=qa.MODE[ld];od[cd]=function(ud){rd.ctLoc=rd._getCurrentLocation(),sd[cd].call(this,ud)}}),od}}return tokenizerMixin$1=ed,tokenizerMixin$1}var openElementStackMixin,hasRequiredOpenElementStackMixin;function requireOpenElementStackMixin(){if(hasRequiredOpenElementStackMixin)return openElementStackMixin;hasRequiredOpenElementStackMixin=1;const Ra=requireMixin();class qa extends Ra{constructor(ed,td){super(ed),this.onItemPop=td.onItemPop}_getOverriddenMethods(ed,td){return{pop(){ed.onItemPop(this.current),td.pop.call(this)},popAllUpToHtmlElement(){for(let rd=this.stackTop;rd>0;rd--)ed.onItemPop(this.items[rd]);td.popAllUpToHtmlElement.call(this)},remove(rd){ed.onItemPop(this.current),td.remove.call(this,rd)}}}}return openElementStackMixin=qa,openElementStackMixin}var parserMixin$1,hasRequiredParserMixin$1;function requireParserMixin$1(){if(hasRequiredParserMixin$1)return parserMixin$1;hasRequiredParserMixin$1=1;const Ra=requireMixin(),qa=requireTokenizer(),Ja=requireTokenizerMixin$1(),ed=requireOpenElementStackMixin(),rd=requireHtml().TAG_NAMES;class sd extends Ra{constructor(ld){super(ld),this.parser=ld,this.treeAdapter=this.parser.treeAdapter,this.posTracker=null,this.lastStartTagToken=null,this.lastFosterParentingLocation=null,this.currentToken=null}_setStartLocation(ld){let cd=null;this.lastStartTagToken&&(cd=Object.assign({},this.lastStartTagToken.location),cd.startTag=this.lastStartTagToken.location),this.treeAdapter.setNodeSourceCodeLocation(ld,cd)}_setEndLocation(ld,cd){if(this.treeAdapter.getNodeSourceCodeLocation(ld)&&cd.location){const _d=cd.location,yd=this.treeAdapter.getTagName(ld),gd=cd.type===qa.END_TAG_TOKEN&&yd===cd.tagName,Ed={};gd?(Ed.endTag=Object.assign({},_d),Ed.endLine=_d.endLine,Ed.endCol=_d.endCol,Ed.endOffset=_d.endOffset):(Ed.endLine=_d.startLine,Ed.endCol=_d.startCol,Ed.endOffset=_d.startOffset),this.treeAdapter.updateNodeSourceCodeLocation(ld,Ed)}}_getOverriddenMethods(ld,cd){return{_bootstrap(ud,_d){cd._bootstrap.call(this,ud,_d),ld.lastStartTagToken=null,ld.lastFosterParentingLocation=null,ld.currentToken=null;const yd=Ra.install(this.tokenizer,Ja);ld.posTracker=yd.posTracker,Ra.install(this.openElements,ed,{onItemPop:function(gd){ld._setEndLocation(gd,ld.currentToken)}})},_runParsingLoop(ud){cd._runParsingLoop.call(this,ud);for(let _d=this.openElements.stackTop;_d>=0;_d--)ld._setEndLocation(this.openElements.items[_d],ld.currentToken)},_processTokenInForeignContent(ud){ld.currentToken=ud,cd._processTokenInForeignContent.call(this,ud)},_processToken(ud){if(ld.currentToken=ud,cd._processToken.call(this,ud),ud.type===qa.END_TAG_TOKEN&&(ud.tagName===rd.HTML||ud.tagName===rd.BODY&&this.openElements.hasInScope(rd.BODY)))for(let yd=this.openElements.stackTop;yd>=0;yd--){const gd=this.openElements.items[yd];if(this.treeAdapter.getTagName(gd)===ud.tagName){ld._setEndLocation(gd,ud);break}}},_setDocumentType(ud){cd._setDocumentType.call(this,ud);const _d=this.treeAdapter.getChildNodes(this.document),yd=_d.length;for(let gd=0;gd<yd;gd++){const Ed=_d[gd];if(this.treeAdapter.isDocumentTypeNode(Ed)){this.treeAdapter.setNodeSourceCodeLocation(Ed,ud.location);break}}},_attachElementToTree(ud){ld._setStartLocation(ud),ld.lastStartTagToken=null,cd._attachElementToTree.call(this,ud)},_appendElement(ud,_d){ld.lastStartTagToken=ud,cd._appendElement.call(this,ud,_d)},_insertElement(ud,_d){ld.lastStartTagToken=ud,cd._insertElement.call(this,ud,_d)},_insertTemplate(ud){ld.lastStartTagToken=ud,cd._insertTemplate.call(this,ud);const _d=this.treeAdapter.getTemplateContent(this.openElements.current);this.treeAdapter.setNodeSourceCodeLocation(_d,null)},_insertFakeRootElement(){cd._insertFakeRootElement.call(this),this.treeAdapter.setNodeSourceCodeLocation(this.openElements.current,null)},_appendCommentNode(ud,_d){cd._appendCommentNode.call(this,ud,_d);const yd=this.treeAdapter.getChildNodes(_d),gd=yd[yd.length-1];this.treeAdapter.setNodeSourceCodeLocation(gd,ud.location)},_findFosterParentingLocation(){return ld.lastFosterParentingLocation=cd._findFosterParentingLocation.call(this),ld.lastFosterParentingLocation},_insertCharacters(ud){cd._insertCharacters.call(this,ud);const _d=this._shouldFosterParentOnInsertion(),yd=_d&&ld.lastFosterParentingLocation.parent||this.openElements.currentTmplContent||this.openElements.current,gd=this.treeAdapter.getChildNodes(yd),Ed=_d&&ld.lastFosterParentingLocation.beforeElement?gd.indexOf(ld.lastFosterParentingLocation.beforeElement)-1:gd.length-1,Td=gd[Ed];if(this.treeAdapter.getNodeSourceCodeLocation(Td)){const{endLine:Rd,endCol:Nd,endOffset:Id}=ud.location;this.treeAdapter.updateNodeSourceCodeLocation(Td,{endLine:Rd,endCol:Nd,endOffset:Id})}else this.treeAdapter.setNodeSourceCodeLocation(Td,ud.location)}}}}return parserMixin$1=sd,parserMixin$1}var mixinBase,hasRequiredMixinBase;function requireMixinBase(){if(hasRequiredMixinBase)return mixinBase;hasRequiredMixinBase=1;const Ra=requireMixin();class qa extends Ra{constructor(ed,td){super(ed),this.posTracker=null,this.onParseError=td.onParseError}_setErrorLocation(ed){ed.startLine=ed.endLine=this.posTracker.line,ed.startCol=ed.endCol=this.posTracker.col,ed.startOffset=ed.endOffset=this.posTracker.offset}_reportError(ed){const td={code:ed,startLine:-1,startCol:-1,startOffset:-1,endLine:-1,endCol:-1,endOffset:-1};this._setErrorLocation(td),this.onParseError(td)}_getOverriddenMethods(ed){return{_err(td){ed._reportError(td)}}}}return mixinBase=qa,mixinBase}var preprocessorMixin,hasRequiredPreprocessorMixin;function requirePreprocessorMixin(){if(hasRequiredPreprocessorMixin)return preprocessorMixin;hasRequiredPreprocessorMixin=1;const Ra=requireMixinBase(),qa=requirePreprocessorMixin$1(),Ja=requireMixin();class ed extends Ra{constructor(rd,sd){super(rd,sd),this.posTracker=Ja.install(rd,qa),this.lastErrOffset=-1}_reportError(rd){this.lastErrOffset!==this.posTracker.offset&&(this.lastErrOffset=this.posTracker.offset,super._reportError(rd))}}return preprocessorMixin=ed,preprocessorMixin}var tokenizerMixin,hasRequiredTokenizerMixin;function requireTokenizerMixin(){if(hasRequiredTokenizerMixin)return tokenizerMixin;hasRequiredTokenizerMixin=1;const Ra=requireMixinBase(),qa=requirePreprocessorMixin(),Ja=requireMixin();class ed extends Ra{constructor(rd,sd){super(rd,sd);const od=Ja.install(rd.preprocessor,qa,sd);this.posTracker=od.posTracker}}return tokenizerMixin=ed,tokenizerMixin}var parserMixin,hasRequiredParserMixin;function requireParserMixin(){if(hasRequiredParserMixin)return parserMixin;hasRequiredParserMixin=1;const Ra=requireMixinBase(),qa=requireTokenizerMixin(),Ja=requireTokenizerMixin$1(),ed=requireMixin();class td extends Ra{constructor(sd,od){super(sd,od),this.opts=od,this.ctLoc=null,this.locBeforeToken=!1}_setErrorLocation(sd){this.ctLoc&&(sd.startLine=this.ctLoc.startLine,sd.startCol=this.ctLoc.startCol,sd.startOffset=this.ctLoc.startOffset,sd.endLine=this.locBeforeToken?this.ctLoc.startLine:this.ctLoc.endLine,sd.endCol=this.locBeforeToken?this.ctLoc.startCol:this.ctLoc.endCol,sd.endOffset=this.locBeforeToken?this.ctLoc.startOffset:this.ctLoc.endOffset)}_getOverriddenMethods(sd,od){return{_bootstrap(ld,cd){od._bootstrap.call(this,ld,cd),ed.install(this.tokenizer,qa,sd.opts),ed.install(this.tokenizer,Ja)},_processInputToken(ld){sd.ctLoc=ld.location,od._processInputToken.call(this,ld)},_err(ld,cd){sd.locBeforeToken=cd&&cd.beforeToken,sd._reportError(ld)}}}}return parserMixin=td,parserMixin}var _default={},hasRequired_default;function require_default(){if(hasRequired_default)return _default;hasRequired_default=1;const{DOCUMENT_MODE:Ra}=requireHtml();_default.createDocument=function(){return{nodeName:"#document",mode:Ra.NO_QUIRKS,childNodes:[]}},_default.createDocumentFragment=function(){return{nodeName:"#document-fragment",childNodes:[]}},_default.createElement=function(td,rd,sd){return{nodeName:td,tagName:td,attrs:sd,namespaceURI:rd,childNodes:[],parentNode:null}},_default.createCommentNode=function(td){return{nodeName:"#comment",data:td,parentNode:null}};const qa=function(td){return{nodeName:"#text",value:td,parentNode:null}},Ja=_default.appendChild=function(td,rd){td.childNodes.push(rd),rd.parentNode=td},ed=_default.insertBefore=function(td,rd,sd){const od=td.childNodes.indexOf(sd);td.childNodes.splice(od,0,rd),rd.parentNode=td};return _default.setTemplateContent=function(td,rd){td.content=rd},_default.getTemplateContent=function(td){return td.content},_default.setDocumentType=function(td,rd,sd,od){let ld=null;for(let cd=0;cd<td.childNodes.length;cd++)if(td.childNodes[cd].nodeName==="#documentType"){ld=td.childNodes[cd];break}ld?(ld.name=rd,ld.publicId=sd,ld.systemId=od):Ja(td,{nodeName:"#documentType",name:rd,publicId:sd,systemId:od})},_default.setDocumentMode=function(td,rd){td.mode=rd},_default.getDocumentMode=function(td){return td.mode},_default.detachNode=function(td){if(td.parentNode){const rd=td.parentNode.childNodes.indexOf(td);td.parentNode.childNodes.splice(rd,1),td.parentNode=null}},_default.insertText=function(td,rd){if(td.childNodes.length){const sd=td.childNodes[td.childNodes.length-1];if(sd.nodeName==="#text"){sd.value+=rd;return}}Ja(td,qa(rd))},_default.insertTextBefore=function(td,rd,sd){const od=td.childNodes[td.childNodes.indexOf(sd)-1];od&&od.nodeName==="#text"?od.value+=rd:ed(td,qa(rd),sd)},_default.adoptAttributes=function(td,rd){const sd=[];for(let od=0;od<td.attrs.length;od++)sd.push(td.attrs[od].name);for(let od=0;od<rd.length;od++)sd.indexOf(rd[od].name)===-1&&td.attrs.push(rd[od])},_default.getFirstChild=function(td){return td.childNodes[0]},_default.getChildNodes=function(td){return td.childNodes},_default.getParentNode=function(td){return td.parentNode},_default.getAttrList=function(td){return td.attrs},_default.getTagName=function(td){return td.tagName},_default.getNamespaceURI=function(td){return td.namespaceURI},_default.getTextNodeContent=function(td){return td.value},_default.getCommentNodeContent=function(td){return td.data},_default.getDocumentTypeNodeName=function(td){return td.name},_default.getDocumentTypeNodePublicId=function(td){return td.publicId},_default.getDocumentTypeNodeSystemId=function(td){return td.systemId},_default.isTextNode=function(td){return td.nodeName==="#text"},_default.isCommentNode=function(td){return td.nodeName==="#comment"},_default.isDocumentTypeNode=function(td){return td.nodeName==="#documentType"},_default.isElementNode=function(td){return!!td.tagName},_default.setNodeSourceCodeLocation=function(td,rd){td.sourceCodeLocation=rd},_default.getNodeSourceCodeLocation=function(td){return td.sourceCodeLocation},_default.updateNodeSourceCodeLocation=function(td,rd){td.sourceCodeLocation=Object.assign(td.sourceCodeLocation,rd)},_default}var mergeOptions,hasRequiredMergeOptions;function requireMergeOptions(){return hasRequiredMergeOptions||(hasRequiredMergeOptions=1,mergeOptions=function(qa,Ja){return Ja=Ja||Object.create(null),[qa,Ja].reduce((ed,td)=>(Object.keys(td).forEach(rd=>{ed[rd]=td[rd]}),ed),Object.create(null))}),mergeOptions}var doctype={},hasRequiredDoctype;function requireDoctype(){if(hasRequiredDoctype)return doctype;hasRequiredDoctype=1;const{DOCUMENT_MODE:Ra}=requireHtml(),qa="html",Ja="about:legacy-compat",ed="http://www.ibm.com/data/dtd/v11/ibmxhtml1-transitional.dtd",td=["+//silmaril//dtd html pro v0r11 19970101//","-//as//dtd html 3.0 aswedit + extensions//","-//advasoft ltd//dtd html 3.0 aswedit + extensions//","-//ietf//dtd html 2.0 level 1//","-//ietf//dtd html 2.0 level 2//","-//ietf//dtd html 2.0 strict level 1//","-//ietf//dtd html 2.0 strict level 2//","-//ietf//dtd html 2.0 strict//","-//ietf//dtd html 2.0//","-//ietf//dtd html 2.1e//","-//ietf//dtd html 3.0//","-//ietf//dtd html 3.2 final//","-//ietf//dtd html 3.2//","-//ietf//dtd html 3//","-//ietf//dtd html level 0//","-//ietf//dtd html level 1//","-//ietf//dtd html level 2//","-//ietf//dtd html level 3//","-//ietf//dtd html strict level 0//","-//ietf//dtd html strict level 1//","-//ietf//dtd html strict level 2//","-//ietf//dtd html strict level 3//","-//ietf//dtd html strict//","-//ietf//dtd html//","-//metrius//dtd metrius presentational//","-//microsoft//dtd internet explorer 2.0 html strict//","-//microsoft//dtd internet explorer 2.0 html//","-//microsoft//dtd internet explorer 2.0 tables//","-//microsoft//dtd internet explorer 3.0 html strict//","-//microsoft//dtd internet explorer 3.0 html//","-//microsoft//dtd internet explorer 3.0 tables//","-//netscape comm. corp.//dtd html//","-//netscape comm. corp.//dtd strict html//","-//o'reilly and associates//dtd html 2.0//","-//o'reilly and associates//dtd html extended 1.0//","-//o'reilly and associates//dtd html extended relaxed 1.0//","-//sq//dtd html 2.0 hotmetal + extensions//","-//softquad software//dtd hotmetal pro 6.0::19990601::extensions to html 4.0//","-//softquad//dtd hotmetal pro 4.0::19971010::extensions to html 4.0//","-//spyglass//dtd html 2.0 extended//","-//sun microsystems corp.//dtd hotjava html//","-//sun microsystems corp.//dtd hotjava strict html//","-//w3c//dtd html 3 1995-03-24//","-//w3c//dtd html 3.2 draft//","-//w3c//dtd html 3.2 final//","-//w3c//dtd html 3.2//","-//w3c//dtd html 3.2s draft//","-//w3c//dtd html 4.0 frameset//","-//w3c//dtd html 4.0 transitional//","-//w3c//dtd html experimental 19960712//","-//w3c//dtd html experimental 970421//","-//w3c//dtd w3 html//","-//w3o//dtd w3 html 3.0//","-//webtechs//dtd mozilla html 2.0//","-//webtechs//dtd mozilla html//"],rd=td.concat(["-//w3c//dtd html 4.01 frameset//","-//w3c//dtd html 4.01 transitional//"]),sd=["-//w3o//dtd w3 html strict 3.0//en//","-/w3c/dtd html 4.0 transitional/en","html"],od=["-//w3c//dtd xhtml 1.0 frameset//","-//w3c//dtd xhtml 1.0 transitional//"],ld=od.concat(["-//w3c//dtd html 4.01 frameset//","-//w3c//dtd html 4.01 transitional//"]);function cd(_d){const yd=_d.indexOf('"')!==-1?"'":'"';return yd+_d+yd}function ud(_d,yd){for(let gd=0;gd<yd.length;gd++)if(_d.indexOf(yd[gd])===0)return!0;return!1}return doctype.isConforming=function(_d){return _d.name===qa&&_d.publicId===null&&(_d.systemId===null||_d.systemId===Ja)},doctype.getDocumentMode=function(_d){if(_d.name!==qa)return Ra.QUIRKS;const yd=_d.systemId;if(yd&&yd.toLowerCase()===ed)return Ra.QUIRKS;let gd=_d.publicId;if(gd!==null){if(gd=gd.toLowerCase(),sd.indexOf(gd)>-1)return Ra.QUIRKS;let Ed=yd===null?rd:td;if(ud(gd,Ed))return Ra.QUIRKS;if(Ed=yd===null?od:ld,ud(gd,Ed))return Ra.LIMITED_QUIRKS}return Ra.NO_QUIRKS},doctype.serializeContent=function(_d,yd,gd){let Ed="!DOCTYPE ";return _d&&(Ed+=_d),yd?Ed+=" PUBLIC "+cd(yd):gd&&(Ed+=" SYSTEM"),gd!==null&&(Ed+=" "+cd(gd)),Ed},doctype}var foreignContent={},hasRequiredForeignContent;function requireForeignContent(){if(hasRequiredForeignContent)return foreignContent;hasRequiredForeignContent=1;const Ra=requireTokenizer(),qa=requireHtml(),Ja=qa.TAG_NAMES,ed=qa.NAMESPACES,td=qa.ATTRS,rd={TEXT_HTML:"text/html",APPLICATION_XML:"application/xhtml+xml"},sd="definitionurl",od="definitionURL",ld={attributename:"attributeName",attributetype:"attributeType",basefrequency:"baseFrequency",baseprofile:"baseProfile",calcmode:"calcMode",clippathunits:"clipPathUnits",diffuseconstant:"diffuseConstant",edgemode:"edgeMode",filterunits:"filterUnits",glyphref:"glyphRef",gradienttransform:"gradientTransform",gradientunits:"gradientUnits",kernelmatrix:"kernelMatrix",kernelunitlength:"kernelUnitLength",keypoints:"keyPoints",keysplines:"keySplines",keytimes:"keyTimes",lengthadjust:"lengthAdjust",limitingconeangle:"limitingConeAngle",markerheight:"markerHeight",markerunits:"markerUnits",markerwidth:"markerWidth",maskcontentunits:"maskContentUnits",maskunits:"maskUnits",numoctaves:"numOctaves",pathlength:"pathLength",patterncontentunits:"patternContentUnits",patterntransform:"patternTransform",patternunits:"patternUnits",pointsatx:"pointsAtX",pointsaty:"pointsAtY",pointsatz:"pointsAtZ",preservealpha:"preserveAlpha",preserveaspectratio:"preserveAspectRatio",primitiveunits:"primitiveUnits",refx:"refX",refy:"refY",repeatcount:"repeatCount",repeatdur:"repeatDur",requiredextensions:"requiredExtensions",requiredfeatures:"requiredFeatures",specularconstant:"specularConstant",specularexponent:"specularExponent",spreadmethod:"spreadMethod",startoffset:"startOffset",stddeviation:"stdDeviation",stitchtiles:"stitchTiles",surfacescale:"surfaceScale",systemlanguage:"systemLanguage",tablevalues:"tableValues",targetx:"targetX",targety:"targetY",textlength:"textLength",viewbox:"viewBox",viewtarget:"viewTarget",xchannelselector:"xChannelSelector",ychannelselector:"yChannelSelector",zoomandpan:"zoomAndPan"},cd={"xlink:actuate":{prefix:"xlink",name:"actuate",namespace:ed.XLINK},"xlink:arcrole":{prefix:"xlink",name:"arcrole",namespace:ed.XLINK},"xlink:href":{prefix:"xlink",name:"href",namespace:ed.XLINK},"xlink:role":{prefix:"xlink",name:"role",namespace:ed.XLINK},"xlink:show":{prefix:"xlink",name:"show",namespace:ed.XLINK},"xlink:title":{prefix:"xlink",name:"title",namespace:ed.XLINK},"xlink:type":{prefix:"xlink",name:"type",namespace:ed.XLINK},"xml:base":{prefix:"xml",name:"base",namespace:ed.XML},"xml:lang":{prefix:"xml",name:"lang",namespace:ed.XML},"xml:space":{prefix:"xml",name:"space",namespace:ed.XML},xmlns:{prefix:"",name:"xmlns",namespace:ed.XMLNS},"xmlns:xlink":{prefix:"xmlns",name:"xlink",namespace:ed.XMLNS}},ud=foreignContent.SVG_TAG_NAMES_ADJUSTMENT_MAP={altglyph:"altGlyph",altglyphdef:"altGlyphDef",altglyphitem:"altGlyphItem",animatecolor:"animateColor",animatemotion:"animateMotion",animatetransform:"animateTransform",clippath:"clipPath",feblend:"feBlend",fecolormatrix:"feColorMatrix",fecomponenttransfer:"feComponentTransfer",fecomposite:"feComposite",feconvolvematrix:"feConvolveMatrix",fediffuselighting:"feDiffuseLighting",fedisplacementmap:"feDisplacementMap",fedistantlight:"feDistantLight",feflood:"feFlood",fefunca:"feFuncA",fefuncb:"feFuncB",fefuncg:"feFuncG",fefuncr:"feFuncR",fegaussianblur:"feGaussianBlur",feimage:"feImage",femerge:"feMerge",femergenode:"feMergeNode",femorphology:"feMorphology",feoffset:"feOffset",fepointlight:"fePointLight",fespecularlighting:"feSpecularLighting",fespotlight:"feSpotLight",fetile:"feTile",feturbulence:"feTurbulence",foreignobject:"foreignObject",glyphref:"glyphRef",lineargradient:"linearGradient",radialgradient:"radialGradient",textpath:"textPath"},_d={[Ja.B]:!0,[Ja.BIG]:!0,[Ja.BLOCKQUOTE]:!0,[Ja.BODY]:!0,[Ja.BR]:!0,[Ja.CENTER]:!0,[Ja.CODE]:!0,[Ja.DD]:!0,[Ja.DIV]:!0,[Ja.DL]:!0,[Ja.DT]:!0,[Ja.EM]:!0,[Ja.EMBED]:!0,[Ja.H1]:!0,[Ja.H2]:!0,[Ja.H3]:!0,[Ja.H4]:!0,[Ja.H5]:!0,[Ja.H6]:!0,[Ja.HEAD]:!0,[Ja.HR]:!0,[Ja.I]:!0,[Ja.IMG]:!0,[Ja.LI]:!0,[Ja.LISTING]:!0,[Ja.MENU]:!0,[Ja.META]:!0,[Ja.NOBR]:!0,[Ja.OL]:!0,[Ja.P]:!0,[Ja.PRE]:!0,[Ja.RUBY]:!0,[Ja.S]:!0,[Ja.SMALL]:!0,[Ja.SPAN]:!0,[Ja.STRONG]:!0,[Ja.STRIKE]:!0,[Ja.SUB]:!0,[Ja.SUP]:!0,[Ja.TABLE]:!0,[Ja.TT]:!0,[Ja.U]:!0,[Ja.UL]:!0,[Ja.VAR]:!0};foreignContent.causesExit=function(Ed){const Td=Ed.tagName;return Td===Ja.FONT&&(Ra.getTokenAttr(Ed,td.COLOR)!==null||Ra.getTokenAttr(Ed,td.SIZE)!==null||Ra.getTokenAttr(Ed,td.FACE)!==null)?!0:_d[Td]},foreignContent.adjustTokenMathMLAttrs=function(Ed){for(let Td=0;Td<Ed.attrs.length;Td++)if(Ed.attrs[Td].name===sd){Ed.attrs[Td].name=od;break}},foreignContent.adjustTokenSVGAttrs=function(Ed){for(let Td=0;Td<Ed.attrs.length;Td++){const kd=ld[Ed.attrs[Td].name];kd&&(Ed.attrs[Td].name=kd)}},foreignContent.adjustTokenXMLAttrs=function(Ed){for(let Td=0;Td<Ed.attrs.length;Td++){const kd=cd[Ed.attrs[Td].name];kd&&(Ed.attrs[Td].prefix=kd.prefix,Ed.attrs[Td].name=kd.name,Ed.attrs[Td].namespace=kd.namespace)}},foreignContent.adjustTokenSVGTagName=function(Ed){const Td=ud[Ed.tagName];Td&&(Ed.tagName=Td)};function yd(Ed,Td){return Td===ed.MATHML&&(Ed===Ja.MI||Ed===Ja.MO||Ed===Ja.MN||Ed===Ja.MS||Ed===Ja.MTEXT)}function gd(Ed,Td,kd){if(Td===ed.MATHML&&Ed===Ja.ANNOTATION_XML){for(let Rd=0;Rd<kd.length;Rd++)if(kd[Rd].name===td.ENCODING){const Nd=kd[Rd].value.toLowerCase();return Nd===rd.TEXT_HTML||Nd===rd.APPLICATION_XML}}return Td===ed.SVG&&(Ed===Ja.FOREIGN_OBJECT||Ed===Ja.DESC||Ed===Ja.TITLE)}return foreignContent.isIntegrationPoint=function(Ed,Td,kd,Rd){return!!((!Rd||Rd===ed.HTML)&&gd(Ed,Td,kd)||(!Rd||Rd===ed.MATHML)&&yd(Ed,Td))},foreignContent}var parser$3,hasRequiredParser$1;function requireParser$1(){if(hasRequiredParser$1)return parser$3;hasRequiredParser$1=1;const Ra=requireTokenizer(),qa=requireOpenElementStack(),Ja=requireFormattingElementList(),ed=requireParserMixin$1(),td=requireParserMixin(),rd=requireMixin(),sd=require_default(),od=requireMergeOptions(),ld=requireDoctype(),cd=requireForeignContent(),ud=requireErrorCodes(),_d=requireUnicode(),yd=requireHtml(),gd=yd.TAG_NAMES,Ed=yd.NAMESPACES,Td=yd.ATTRS,kd={scriptingEnabled:!0,sourceCodeLocationInfo:!1,onParseError:null,treeAdapter:sd},Rd="hidden",Nd=8,Id=3,Md="INITIAL_MODE",Ld="BEFORE_HTML_MODE",Pd="BEFORE_HEAD_MODE",qd="IN_HEAD_MODE",Yd="IN_HEAD_NO_SCRIPT_MODE",Ud="AFTER_HEAD_MODE",Hd="IN_BODY_MODE",Vd="TEXT_MODE",Jd="IN_TABLE_MODE",Zd="IN_TABLE_TEXT_MODE",pf="IN_CAPTION_MODE",Xd="IN_COLUMN_GROUP_MODE",hf="IN_TABLE_BODY_MODE",_f="IN_ROW_MODE",xf="IN_CELL_MODE",Lf="IN_SELECT_MODE",Wf="IN_SELECT_IN_TABLE_MODE",Yf="IN_TEMPLATE_MODE",If="AFTER_BODY_MODE",Sf="IN_FRAMESET_MODE",wf="AFTER_FRAMESET_MODE",Kf="AFTER_AFTER_BODY_MODE",Gf="AFTER_AFTER_FRAMESET_MODE",gf={[gd.TR]:_f,[gd.TBODY]:hf,[gd.THEAD]:hf,[gd.TFOOT]:hf,[gd.CAPTION]:pf,[gd.COLGROUP]:Xd,[gd.TABLE]:Jd,[gd.BODY]:Hd,[gd.FRAMESET]:Sf},mf={[gd.CAPTION]:Jd,[gd.COLGROUP]:Jd,[gd.TBODY]:Jd,[gd.TFOOT]:Jd,[gd.THEAD]:Jd,[gd.COL]:Xd,[gd.TR]:hf,[gd.TD]:_f,[gd.TH]:_f},$f={[Md]:{[Ra.CHARACTER_TOKEN]:Zh,[Ra.NULL_CHARACTER_TOKEN]:Zh,[Ra.WHITESPACE_CHARACTER_TOKEN]:Af,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:jh,[Ra.START_TAG_TOKEN]:Zh,[Ra.END_TAG_TOKEN]:Zh,[Ra.EOF_TOKEN]:Zh},[Ld]:{[Ra.CHARACTER_TOKEN]:fm,[Ra.NULL_CHARACTER_TOKEN]:fm,[Ra.WHITESPACE_CHARACTER_TOKEN]:Af,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:Wh,[Ra.END_TAG_TOKEN]:sm,[Ra.EOF_TOKEN]:fm},[Pd]:{[Ra.CHARACTER_TOKEN]:Df,[Ra.NULL_CHARACTER_TOKEN]:Df,[Ra.WHITESPACE_CHARACTER_TOKEN]:Af,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Pf,[Ra.START_TAG_TOKEN]:ih,[Ra.END_TAG_TOKEN]:Rf,[Ra.EOF_TOKEN]:Df},[qd]:{[Ra.CHARACTER_TOKEN]:Lh,[Ra.NULL_CHARACTER_TOKEN]:Lh,[Ra.WHITESPACE_CHARACTER_TOKEN]:$h,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Pf,[Ra.START_TAG_TOKEN]:Zf,[Ra.END_TAG_TOKEN]:bh,[Ra.EOF_TOKEN]:Lh},[Yd]:{[Ra.CHARACTER_TOKEN]:gm,[Ra.NULL_CHARACTER_TOKEN]:gm,[Ra.WHITESPACE_CHARACTER_TOKEN]:$h,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Pf,[Ra.START_TAG_TOKEN]:Hh,[Ra.END_TAG_TOKEN]:Xh,[Ra.EOF_TOKEN]:gm},[Ud]:{[Ra.CHARACTER_TOKEN]:Fm,[Ra.NULL_CHARACTER_TOKEN]:Fm,[Ra.WHITESPACE_CHARACTER_TOKEN]:$h,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Pf,[Ra.START_TAG_TOKEN]:om,[Ra.END_TAG_TOKEN]:Gm,[Ra.EOF_TOKEN]:Fm},[Hd]:{[Ra.CHARACTER_TOKEN]:Jm,[Ra.NULL_CHARACTER_TOKEN]:Af,[Ra.WHITESPACE_CHARACTER_TOKEN]:Om,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:v1,[Ra.END_TAG_TOKEN]:N0,[Ra.EOF_TOKEN]:g0},[Vd]:{[Ra.CHARACTER_TOKEN]:$h,[Ra.NULL_CHARACTER_TOKEN]:$h,[Ra.WHITESPACE_CHARACTER_TOKEN]:$h,[Ra.COMMENT_TOKEN]:Af,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:Af,[Ra.END_TAG_TOKEN]:h1,[Ra.EOF_TOKEN]:U0},[Jd]:{[Ra.CHARACTER_TOKEN]:j1,[Ra.NULL_CHARACTER_TOKEN]:j1,[Ra.WHITESPACE_CHARACTER_TOKEN]:j1,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:s1,[Ra.END_TAG_TOKEN]:__,[Ra.EOF_TOKEN]:g0},[Zd]:{[Ra.CHARACTER_TOKEN]:yg,[Ra.NULL_CHARACTER_TOKEN]:Af,[Ra.WHITESPACE_CHARACTER_TOKEN]:sg,[Ra.COMMENT_TOKEN]:g_,[Ra.DOCTYPE_TOKEN]:g_,[Ra.START_TAG_TOKEN]:g_,[Ra.END_TAG_TOKEN]:g_,[Ra.EOF_TOKEN]:g_},[pf]:{[Ra.CHARACTER_TOKEN]:Jm,[Ra.NULL_CHARACTER_TOKEN]:Af,[Ra.WHITESPACE_CHARACTER_TOKEN]:Om,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:A_,[Ra.END_TAG_TOKEN]:p0,[Ra.EOF_TOKEN]:g0},[Xd]:{[Ra.CHARACTER_TOKEN]:r_,[Ra.NULL_CHARACTER_TOKEN]:r_,[Ra.WHITESPACE_CHARACTER_TOKEN]:$h,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:W0,[Ra.END_TAG_TOKEN]:s_,[Ra.EOF_TOKEN]:g0},[hf]:{[Ra.CHARACTER_TOKEN]:j1,[Ra.NULL_CHARACTER_TOKEN]:j1,[Ra.WHITESPACE_CHARACTER_TOKEN]:j1,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:z_,[Ra.END_TAG_TOKEN]:gb,[Ra.EOF_TOKEN]:g0},[_f]:{[Ra.CHARACTER_TOKEN]:j1,[Ra.NULL_CHARACTER_TOKEN]:j1,[Ra.WHITESPACE_CHARACTER_TOKEN]:j1,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:jg,[Ra.END_TAG_TOKEN]:bb,[Ra.EOF_TOKEN]:g0},[xf]:{[Ra.CHARACTER_TOKEN]:Jm,[Ra.NULL_CHARACTER_TOKEN]:Af,[Ra.WHITESPACE_CHARACTER_TOKEN]:Om,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:Jb,[Ra.END_TAG_TOKEN]:Ug,[Ra.EOF_TOKEN]:g0},[Lf]:{[Ra.CHARACTER_TOKEN]:$h,[Ra.NULL_CHARACTER_TOKEN]:Af,[Ra.WHITESPACE_CHARACTER_TOKEN]:$h,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:qg,[Ra.END_TAG_TOKEN]:Hg,[Ra.EOF_TOKEN]:g0},[Wf]:{[Ra.CHARACTER_TOKEN]:$h,[Ra.NULL_CHARACTER_TOKEN]:Af,[Ra.WHITESPACE_CHARACTER_TOKEN]:$h,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:zm,[Ra.END_TAG_TOKEN]:Q1,[Ra.EOF_TOKEN]:g0},[Yf]:{[Ra.CHARACTER_TOKEN]:Jm,[Ra.NULL_CHARACTER_TOKEN]:Af,[Ra.WHITESPACE_CHARACTER_TOKEN]:Om,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:og,[Ra.END_TAG_TOKEN]:Eg,[Ra.EOF_TOKEN]:Tg},[If]:{[Ra.CHARACTER_TOKEN]:b_,[Ra.NULL_CHARACTER_TOKEN]:b_,[Ra.WHITESPACE_CHARACTER_TOKEN]:Om,[Ra.COMMENT_TOKEN]:Nh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:vb,[Ra.END_TAG_TOKEN]:yb,[Ra.EOF_TOKEN]:Rh},[Sf]:{[Ra.CHARACTER_TOKEN]:Af,[Ra.NULL_CHARACTER_TOKEN]:Af,[Ra.WHITESPACE_CHARACTER_TOKEN]:$h,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:Eb,[Ra.END_TAG_TOKEN]:zg,[Ra.EOF_TOKEN]:Rh},[wf]:{[Ra.CHARACTER_TOKEN]:Af,[Ra.NULL_CHARACTER_TOKEN]:Af,[Ra.WHITESPACE_CHARACTER_TOKEN]:$h,[Ra.COMMENT_TOKEN]:gh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:W1,[Ra.END_TAG_TOKEN]:Vg,[Ra.EOF_TOKEN]:Rh},[Kf]:{[Ra.CHARACTER_TOKEN]:e0,[Ra.NULL_CHARACTER_TOKEN]:e0,[Ra.WHITESPACE_CHARACTER_TOKEN]:Om,[Ra.COMMENT_TOKEN]:dh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:N_,[Ra.END_TAG_TOKEN]:e0,[Ra.EOF_TOKEN]:Rh},[Gf]:{[Ra.CHARACTER_TOKEN]:Af,[Ra.NULL_CHARACTER_TOKEN]:Af,[Ra.WHITESPACE_CHARACTER_TOKEN]:Om,[Ra.COMMENT_TOKEN]:dh,[Ra.DOCTYPE_TOKEN]:Af,[Ra.START_TAG_TOKEN]:n_,[Ra.END_TAG_TOKEN]:Af,[Ra.EOF_TOKEN]:Rh}};class zf{constructor(Mf){this.options=od(kd,Mf),this.treeAdapter=this.options.treeAdapter,this.pendingScript=null,this.options.sourceCodeLocationInfo&&rd.install(this,ed),this.options.onParseError&&rd.install(this,td,{onParseError:this.options.onParseError})}parse(Mf){const Bf=this.treeAdapter.createDocument();return this._bootstrap(Bf,null),this.tokenizer.write(Mf,!0),this._runParsingLoop(null),Bf}parseFragment(Mf,Bf){Bf||(Bf=this.treeAdapter.createElement(gd.TEMPLATE,Ed.HTML,[]));const Pm=this.treeAdapter.createElement("documentmock",Ed.HTML,[]);this._bootstrap(Pm,Bf),this.treeAdapter.getTagName(Bf)===gd.TEMPLATE&&this._pushTmplInsertionMode(Yf),this._initTokenizerForFragmentParsing(),this._insertFakeRootElement(),this._resetInsertionMode(),this._findFormInFragmentContext(),this.tokenizer.write(Mf,!0),this._runParsingLoop(null);const d1=this.treeAdapter.getFirstChild(Pm),A1=this.treeAdapter.createDocumentFragment();return this._adoptNodes(d1,A1),A1}_bootstrap(Mf,Bf){this.tokenizer=new Ra(this.options),this.stopped=!1,this.insertionMode=Md,this.originalInsertionMode="",this.document=Mf,this.fragmentContext=Bf,this.headElement=null,this.formElement=null,this.openElements=new qa(this.document,this.treeAdapter),this.activeFormattingElements=new Ja(this.treeAdapter),this.tmplInsertionModeStack=[],this.tmplInsertionModeStackTop=-1,this.currentTmplInsertionMode=null,this.pendingCharacterTokens=[],this.hasNonWhitespacePendingCharacterToken=!1,this.framesetOk=!0,this.skipNextNewLine=!1,this.fosterParentingEnabled=!1}_err(){}_runParsingLoop(Mf){for(;!this.stopped;){this._setupTokenizerCDATAMode();const Bf=this.tokenizer.getNextToken();if(Bf.type===Ra.HIBERNATION_TOKEN)break;if(this.skipNextNewLine&&(this.skipNextNewLine=!1,Bf.type===Ra.WHITESPACE_CHARACTER_TOKEN&&Bf.chars[0]===`
|
||
`)){if(Bf.chars.length===1)continue;Bf.chars=Bf.chars.substr(1)}if(this._processInputToken(Bf),Mf&&this.pendingScript)break}}runParsingLoopForCurrentChunk(Mf,Bf){if(this._runParsingLoop(Bf),Bf&&this.pendingScript){const Pm=this.pendingScript;this.pendingScript=null,Bf(Pm);return}Mf&&Mf()}_setupTokenizerCDATAMode(){const Mf=this._getAdjustedCurrentElement();this.tokenizer.allowCDATA=Mf&&Mf!==this.document&&this.treeAdapter.getNamespaceURI(Mf)!==Ed.HTML&&!this._isIntegrationPoint(Mf)}_switchToTextParsing(Mf,Bf){this._insertElement(Mf,Ed.HTML),this.tokenizer.state=Bf,this.originalInsertionMode=this.insertionMode,this.insertionMode=Vd}switchToPlaintextParsing(){this.insertionMode=Vd,this.originalInsertionMode=Hd,this.tokenizer.state=Ra.MODE.PLAINTEXT}_getAdjustedCurrentElement(){return this.openElements.stackTop===0&&this.fragmentContext?this.fragmentContext:this.openElements.current}_findFormInFragmentContext(){let Mf=this.fragmentContext;do{if(this.treeAdapter.getTagName(Mf)===gd.FORM){this.formElement=Mf;break}Mf=this.treeAdapter.getParentNode(Mf)}while(Mf)}_initTokenizerForFragmentParsing(){if(this.treeAdapter.getNamespaceURI(this.fragmentContext)===Ed.HTML){const Mf=this.treeAdapter.getTagName(this.fragmentContext);Mf===gd.TITLE||Mf===gd.TEXTAREA?this.tokenizer.state=Ra.MODE.RCDATA:Mf===gd.STYLE||Mf===gd.XMP||Mf===gd.IFRAME||Mf===gd.NOEMBED||Mf===gd.NOFRAMES||Mf===gd.NOSCRIPT?this.tokenizer.state=Ra.MODE.RAWTEXT:Mf===gd.SCRIPT?this.tokenizer.state=Ra.MODE.SCRIPT_DATA:Mf===gd.PLAINTEXT&&(this.tokenizer.state=Ra.MODE.PLAINTEXT)}}_setDocumentType(Mf){const Bf=Mf.name||"",Pm=Mf.publicId||"",d1=Mf.systemId||"";this.treeAdapter.setDocumentType(this.document,Bf,Pm,d1)}_attachElementToTree(Mf){if(this._shouldFosterParentOnInsertion())this._fosterParentElement(Mf);else{const Bf=this.openElements.currentTmplContent||this.openElements.current;this.treeAdapter.appendChild(Bf,Mf)}}_appendElement(Mf,Bf){const Pm=this.treeAdapter.createElement(Mf.tagName,Bf,Mf.attrs);this._attachElementToTree(Pm)}_insertElement(Mf,Bf){const Pm=this.treeAdapter.createElement(Mf.tagName,Bf,Mf.attrs);this._attachElementToTree(Pm),this.openElements.push(Pm)}_insertFakeElement(Mf){const Bf=this.treeAdapter.createElement(Mf,Ed.HTML,[]);this._attachElementToTree(Bf),this.openElements.push(Bf)}_insertTemplate(Mf){const Bf=this.treeAdapter.createElement(Mf.tagName,Ed.HTML,Mf.attrs),Pm=this.treeAdapter.createDocumentFragment();this.treeAdapter.setTemplateContent(Bf,Pm),this._attachElementToTree(Bf),this.openElements.push(Bf)}_insertFakeRootElement(){const Mf=this.treeAdapter.createElement(gd.HTML,Ed.HTML,[]);this.treeAdapter.appendChild(this.openElements.current,Mf),this.openElements.push(Mf)}_appendCommentNode(Mf,Bf){const Pm=this.treeAdapter.createCommentNode(Mf.data);this.treeAdapter.appendChild(Bf,Pm)}_insertCharacters(Mf){if(this._shouldFosterParentOnInsertion())this._fosterParentText(Mf.chars);else{const Bf=this.openElements.currentTmplContent||this.openElements.current;this.treeAdapter.insertText(Bf,Mf.chars)}}_adoptNodes(Mf,Bf){for(let Pm=this.treeAdapter.getFirstChild(Mf);Pm;Pm=this.treeAdapter.getFirstChild(Mf))this.treeAdapter.detachNode(Pm),this.treeAdapter.appendChild(Bf,Pm)}_shouldProcessTokenInForeignContent(Mf){const Bf=this._getAdjustedCurrentElement();if(!Bf||Bf===this.document)return!1;const Pm=this.treeAdapter.getNamespaceURI(Bf);if(Pm===Ed.HTML||this.treeAdapter.getTagName(Bf)===gd.ANNOTATION_XML&&Pm===Ed.MATHML&&Mf.type===Ra.START_TAG_TOKEN&&Mf.tagName===gd.SVG)return!1;const d1=Mf.type===Ra.CHARACTER_TOKEN||Mf.type===Ra.NULL_CHARACTER_TOKEN||Mf.type===Ra.WHITESPACE_CHARACTER_TOKEN;return(Mf.type===Ra.START_TAG_TOKEN&&Mf.tagName!==gd.MGLYPH&&Mf.tagName!==gd.MALIGNMARK||d1)&&this._isIntegrationPoint(Bf,Ed.MATHML)||(Mf.type===Ra.START_TAG_TOKEN||d1)&&this._isIntegrationPoint(Bf,Ed.HTML)?!1:Mf.type!==Ra.EOF_TOKEN}_processToken(Mf){$f[this.insertionMode][Mf.type](this,Mf)}_processTokenInBodyMode(Mf){$f[Hd][Mf.type](this,Mf)}_processTokenInForeignContent(Mf){Mf.type===Ra.CHARACTER_TOKEN?cg(this,Mf):Mf.type===Ra.NULL_CHARACTER_TOKEN?c0(this,Mf):Mf.type===Ra.WHITESPACE_CHARACTER_TOKEN?$h(this,Mf):Mf.type===Ra.COMMENT_TOKEN?gh(this,Mf):Mf.type===Ra.START_TAG_TOKEN?i_(this,Mf):Mf.type===Ra.END_TAG_TOKEN&&V_(this,Mf)}_processInputToken(Mf){this._shouldProcessTokenInForeignContent(Mf)?this._processTokenInForeignContent(Mf):this._processToken(Mf),Mf.type===Ra.START_TAG_TOKEN&&Mf.selfClosing&&!Mf.ackSelfClosing&&this._err(ud.nonVoidHtmlElementStartTagWithTrailingSolidus)}_isIntegrationPoint(Mf,Bf){const Pm=this.treeAdapter.getTagName(Mf),d1=this.treeAdapter.getNamespaceURI(Mf),A1=this.treeAdapter.getAttrList(Mf);return cd.isIntegrationPoint(Pm,d1,A1,Bf)}_reconstructActiveFormattingElements(){const Mf=this.activeFormattingElements.length;if(Mf){let Bf=Mf,Pm=null;do if(Bf--,Pm=this.activeFormattingElements.entries[Bf],Pm.type===Ja.MARKER_ENTRY||this.openElements.contains(Pm.element)){Bf++;break}while(Bf>0);for(let d1=Bf;d1<Mf;d1++)Pm=this.activeFormattingElements.entries[d1],this._insertElement(Pm.token,this.treeAdapter.getNamespaceURI(Pm.element)),Pm.element=this.openElements.current}}_closeTableCell(){this.openElements.generateImpliedEndTags(),this.openElements.popUntilTableCellPopped(),this.activeFormattingElements.clearToLastMarker(),this.insertionMode=_f}_closePElement(){this.openElements.generateImpliedEndTagsWithExclusion(gd.P),this.openElements.popUntilTagNamePopped(gd.P)}_resetInsertionMode(){for(let Mf=this.openElements.stackTop,Bf=!1;Mf>=0;Mf--){let Pm=this.openElements.items[Mf];Mf===0&&(Bf=!0,this.fragmentContext&&(Pm=this.fragmentContext));const d1=this.treeAdapter.getTagName(Pm),A1=gf[d1];if(A1){this.insertionMode=A1;break}else if(!Bf&&(d1===gd.TD||d1===gd.TH)){this.insertionMode=xf;break}else if(!Bf&&d1===gd.HEAD){this.insertionMode=qd;break}else if(d1===gd.SELECT){this._resetInsertionModeForSelect(Mf);break}else if(d1===gd.TEMPLATE){this.insertionMode=this.currentTmplInsertionMode;break}else if(d1===gd.HTML){this.insertionMode=this.headElement?Ud:Pd;break}else if(Bf){this.insertionMode=Hd;break}}}_resetInsertionModeForSelect(Mf){if(Mf>0)for(let Bf=Mf-1;Bf>0;Bf--){const Pm=this.openElements.items[Bf],d1=this.treeAdapter.getTagName(Pm);if(d1===gd.TEMPLATE)break;if(d1===gd.TABLE){this.insertionMode=Wf;return}}this.insertionMode=Lf}_pushTmplInsertionMode(Mf){this.tmplInsertionModeStack.push(Mf),this.tmplInsertionModeStackTop++,this.currentTmplInsertionMode=Mf}_popTmplInsertionMode(){this.tmplInsertionModeStack.pop(),this.tmplInsertionModeStackTop--,this.currentTmplInsertionMode=this.tmplInsertionModeStack[this.tmplInsertionModeStackTop]}_isElementCausesFosterParenting(Mf){const Bf=this.treeAdapter.getTagName(Mf);return Bf===gd.TABLE||Bf===gd.TBODY||Bf===gd.TFOOT||Bf===gd.THEAD||Bf===gd.TR}_shouldFosterParentOnInsertion(){return this.fosterParentingEnabled&&this._isElementCausesFosterParenting(this.openElements.current)}_findFosterParentingLocation(){const Mf={parent:null,beforeElement:null};for(let Bf=this.openElements.stackTop;Bf>=0;Bf--){const Pm=this.openElements.items[Bf],d1=this.treeAdapter.getTagName(Pm),A1=this.treeAdapter.getNamespaceURI(Pm);if(d1===gd.TEMPLATE&&A1===Ed.HTML){Mf.parent=this.treeAdapter.getTemplateContent(Pm);break}else if(d1===gd.TABLE){Mf.parent=this.treeAdapter.getParentNode(Pm),Mf.parent?Mf.beforeElement=Pm:Mf.parent=this.openElements.items[Bf-1];break}}return Mf.parent||(Mf.parent=this.openElements.items[0]),Mf}_fosterParentElement(Mf){const Bf=this._findFosterParentingLocation();Bf.beforeElement?this.treeAdapter.insertBefore(Bf.parent,Mf,Bf.beforeElement):this.treeAdapter.appendChild(Bf.parent,Mf)}_fosterParentText(Mf){const Bf=this._findFosterParentingLocation();Bf.beforeElement?this.treeAdapter.insertTextBefore(Bf.parent,Mf,Bf.beforeElement):this.treeAdapter.insertText(Bf.parent,Mf)}_isSpecialElement(Mf){const Bf=this.treeAdapter.getTagName(Mf),Pm=this.treeAdapter.getNamespaceURI(Mf);return yd.SPECIAL_ELEMENTS[Pm][Bf]}}parser$3=zf;function hh(Cf,Mf){let Bf=Cf.activeFormattingElements.getElementEntryInScopeWithTagName(Mf.tagName);return Bf?Cf.openElements.contains(Bf.element)?Cf.openElements.hasInScope(Mf.tagName)||(Bf=null):(Cf.activeFormattingElements.removeEntry(Bf),Bf=null):q1(Cf,Mf),Bf}function Vf(Cf,Mf){let Bf=null;for(let Pm=Cf.openElements.stackTop;Pm>=0;Pm--){const d1=Cf.openElements.items[Pm];if(d1===Mf.element)break;Cf._isSpecialElement(d1)&&(Bf=d1)}return Bf||(Cf.openElements.popUntilElementPopped(Mf.element),Cf.activeFormattingElements.removeEntry(Mf)),Bf}function kf(Cf,Mf,Bf){let Pm=Mf,d1=Cf.openElements.getCommonAncestor(Mf);for(let A1=0,S0=d1;S0!==Bf;A1++,S0=d1){d1=Cf.openElements.getCommonAncestor(S0);const o_=Cf.activeFormattingElements.getElementEntry(S0),l_=o_&&A1>=Id;!o_||l_?(l_&&Cf.activeFormattingElements.removeEntry(o_),Cf.openElements.remove(S0)):(S0=Jf(Cf,o_),Pm===Mf&&(Cf.activeFormattingElements.bookmark=o_),Cf.treeAdapter.detachNode(Pm),Cf.treeAdapter.appendChild(S0,Pm),Pm=S0)}return Pm}function Jf(Cf,Mf){const Bf=Cf.treeAdapter.getNamespaceURI(Mf.element),Pm=Cf.treeAdapter.createElement(Mf.token.tagName,Bf,Mf.token.attrs);return Cf.openElements.replace(Mf.element,Pm),Mf.element=Pm,Pm}function Ch(Cf,Mf,Bf){if(Cf._isElementCausesFosterParenting(Mf))Cf._fosterParentElement(Bf);else{const Pm=Cf.treeAdapter.getTagName(Mf),d1=Cf.treeAdapter.getNamespaceURI(Mf);Pm===gd.TEMPLATE&&d1===Ed.HTML&&(Mf=Cf.treeAdapter.getTemplateContent(Mf)),Cf.treeAdapter.appendChild(Mf,Bf)}}function qf(Cf,Mf,Bf){const Pm=Cf.treeAdapter.getNamespaceURI(Bf.element),d1=Bf.token,A1=Cf.treeAdapter.createElement(d1.tagName,Pm,d1.attrs);Cf._adoptNodes(Mf,A1),Cf.treeAdapter.appendChild(Mf,A1),Cf.activeFormattingElements.insertElementAfterBookmark(A1,Bf.token),Cf.activeFormattingElements.removeEntry(Bf),Cf.openElements.remove(Bf.element),Cf.openElements.insertAfter(Mf,A1)}function Tf(Cf,Mf){let Bf;for(let Pm=0;Pm<Nd&&(Bf=hh(Cf,Mf),!!Bf);Pm++){const d1=Vf(Cf,Bf);if(!d1)break;Cf.activeFormattingElements.bookmark=Bf;const A1=kf(Cf,d1,Bf.element),S0=Cf.openElements.getCommonAncestor(Bf.element);Cf.treeAdapter.detachNode(A1),Ch(Cf,S0,A1),qf(Cf,d1,Bf)}}function Af(){}function Pf(Cf){Cf._err(ud.misplacedDoctype)}function gh(Cf,Mf){Cf._appendCommentNode(Mf,Cf.openElements.currentTmplContent||Cf.openElements.current)}function Nh(Cf,Mf){Cf._appendCommentNode(Mf,Cf.openElements.items[0])}function dh(Cf,Mf){Cf._appendCommentNode(Mf,Cf.document)}function $h(Cf,Mf){Cf._insertCharacters(Mf)}function Rh(Cf){Cf.stopped=!0}function jh(Cf,Mf){Cf._setDocumentType(Mf);const Bf=Mf.forceQuirks?yd.DOCUMENT_MODE.QUIRKS:ld.getDocumentMode(Mf);ld.isConforming(Mf)||Cf._err(ud.nonConformingDoctype),Cf.treeAdapter.setDocumentMode(Cf.document,Bf),Cf.insertionMode=Ld}function Zh(Cf,Mf){Cf._err(ud.missingDoctype,{beforeToken:!0}),Cf.treeAdapter.setDocumentMode(Cf.document,yd.DOCUMENT_MODE.QUIRKS),Cf.insertionMode=Ld,Cf._processToken(Mf)}function Wh(Cf,Mf){Mf.tagName===gd.HTML?(Cf._insertElement(Mf,Ed.HTML),Cf.insertionMode=Pd):fm(Cf,Mf)}function sm(Cf,Mf){const Bf=Mf.tagName;(Bf===gd.HTML||Bf===gd.HEAD||Bf===gd.BODY||Bf===gd.BR)&&fm(Cf,Mf)}function fm(Cf,Mf){Cf._insertFakeRootElement(),Cf.insertionMode=Pd,Cf._processToken(Mf)}function ih(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HTML?v1(Cf,Mf):Bf===gd.HEAD?(Cf._insertElement(Mf,Ed.HTML),Cf.headElement=Cf.openElements.current,Cf.insertionMode=qd):Df(Cf,Mf)}function Rf(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HEAD||Bf===gd.BODY||Bf===gd.HTML||Bf===gd.BR?Df(Cf,Mf):Cf._err(ud.endTagWithoutMatchingOpenElement)}function Df(Cf,Mf){Cf._insertFakeElement(gd.HEAD),Cf.headElement=Cf.openElements.current,Cf.insertionMode=qd,Cf._processToken(Mf)}function Zf(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HTML?v1(Cf,Mf):Bf===gd.BASE||Bf===gd.BASEFONT||Bf===gd.BGSOUND||Bf===gd.LINK||Bf===gd.META?(Cf._appendElement(Mf,Ed.HTML),Mf.ackSelfClosing=!0):Bf===gd.TITLE?Cf._switchToTextParsing(Mf,Ra.MODE.RCDATA):Bf===gd.NOSCRIPT?Cf.options.scriptingEnabled?Cf._switchToTextParsing(Mf,Ra.MODE.RAWTEXT):(Cf._insertElement(Mf,Ed.HTML),Cf.insertionMode=Yd):Bf===gd.NOFRAMES||Bf===gd.STYLE?Cf._switchToTextParsing(Mf,Ra.MODE.RAWTEXT):Bf===gd.SCRIPT?Cf._switchToTextParsing(Mf,Ra.MODE.SCRIPT_DATA):Bf===gd.TEMPLATE?(Cf._insertTemplate(Mf,Ed.HTML),Cf.activeFormattingElements.insertMarker(),Cf.framesetOk=!1,Cf.insertionMode=Yf,Cf._pushTmplInsertionMode(Yf)):Bf===gd.HEAD?Cf._err(ud.misplacedStartTagForHeadElement):Lh(Cf,Mf)}function bh(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HEAD?(Cf.openElements.pop(),Cf.insertionMode=Ud):Bf===gd.BODY||Bf===gd.BR||Bf===gd.HTML?Lh(Cf,Mf):Bf===gd.TEMPLATE&&Cf.openElements.tmplCount>0?(Cf.openElements.generateImpliedEndTagsThoroughly(),Cf.openElements.currentTagName!==gd.TEMPLATE&&Cf._err(ud.closingOfElementWithOpenChildElements),Cf.openElements.popUntilTagNamePopped(gd.TEMPLATE),Cf.activeFormattingElements.clearToLastMarker(),Cf._popTmplInsertionMode(),Cf._resetInsertionMode()):Cf._err(ud.endTagWithoutMatchingOpenElement)}function Lh(Cf,Mf){Cf.openElements.pop(),Cf.insertionMode=Ud,Cf._processToken(Mf)}function Hh(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HTML?v1(Cf,Mf):Bf===gd.BASEFONT||Bf===gd.BGSOUND||Bf===gd.HEAD||Bf===gd.LINK||Bf===gd.META||Bf===gd.NOFRAMES||Bf===gd.STYLE?Zf(Cf,Mf):Bf===gd.NOSCRIPT?Cf._err(ud.nestedNoscriptInHead):gm(Cf,Mf)}function Xh(Cf,Mf){const Bf=Mf.tagName;Bf===gd.NOSCRIPT?(Cf.openElements.pop(),Cf.insertionMode=qd):Bf===gd.BR?gm(Cf,Mf):Cf._err(ud.endTagWithoutMatchingOpenElement)}function gm(Cf,Mf){const Bf=Mf.type===Ra.EOF_TOKEN?ud.openElementsLeftAfterEof:ud.disallowedContentInNoscriptInHead;Cf._err(Bf),Cf.openElements.pop(),Cf.insertionMode=qd,Cf._processToken(Mf)}function om(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HTML?v1(Cf,Mf):Bf===gd.BODY?(Cf._insertElement(Mf,Ed.HTML),Cf.framesetOk=!1,Cf.insertionMode=Hd):Bf===gd.FRAMESET?(Cf._insertElement(Mf,Ed.HTML),Cf.insertionMode=Sf):Bf===gd.BASE||Bf===gd.BASEFONT||Bf===gd.BGSOUND||Bf===gd.LINK||Bf===gd.META||Bf===gd.NOFRAMES||Bf===gd.SCRIPT||Bf===gd.STYLE||Bf===gd.TEMPLATE||Bf===gd.TITLE?(Cf._err(ud.abandonedHeadElementChild),Cf.openElements.push(Cf.headElement),Zf(Cf,Mf),Cf.openElements.remove(Cf.headElement)):Bf===gd.HEAD?Cf._err(ud.misplacedStartTagForHeadElement):Fm(Cf,Mf)}function Gm(Cf,Mf){const Bf=Mf.tagName;Bf===gd.BODY||Bf===gd.HTML||Bf===gd.BR?Fm(Cf,Mf):Bf===gd.TEMPLATE?bh(Cf,Mf):Cf._err(ud.endTagWithoutMatchingOpenElement)}function Fm(Cf,Mf){Cf._insertFakeElement(gd.BODY),Cf.insertionMode=Hd,Cf._processToken(Mf)}function Om(Cf,Mf){Cf._reconstructActiveFormattingElements(),Cf._insertCharacters(Mf)}function Jm(Cf,Mf){Cf._reconstructActiveFormattingElements(),Cf._insertCharacters(Mf),Cf.framesetOk=!1}function N1(Cf,Mf){Cf.openElements.tmplCount===0&&Cf.treeAdapter.adoptAttributes(Cf.openElements.items[0],Mf.attrs)}function R1(Cf,Mf){const Bf=Cf.openElements.tryPeekProperlyNestedBodyElement();Bf&&Cf.openElements.tmplCount===0&&(Cf.framesetOk=!1,Cf.treeAdapter.adoptAttributes(Bf,Mf.attrs))}function x1(Cf,Mf){const Bf=Cf.openElements.tryPeekProperlyNestedBodyElement();Cf.framesetOk&&Bf&&(Cf.treeAdapter.detachNode(Bf),Cf.openElements.popAllUpToHtmlElement(),Cf._insertElement(Mf,Ed.HTML),Cf.insertionMode=Sf)}function Xm(Cf,Mf){Cf.openElements.hasInButtonScope(gd.P)&&Cf._closePElement(),Cf._insertElement(Mf,Ed.HTML)}function F1(Cf,Mf){Cf.openElements.hasInButtonScope(gd.P)&&Cf._closePElement();const Bf=Cf.openElements.currentTagName;(Bf===gd.H1||Bf===gd.H2||Bf===gd.H3||Bf===gd.H4||Bf===gd.H5||Bf===gd.H6)&&Cf.openElements.pop(),Cf._insertElement(Mf,Ed.HTML)}function y1(Cf,Mf){Cf.openElements.hasInButtonScope(gd.P)&&Cf._closePElement(),Cf._insertElement(Mf,Ed.HTML),Cf.skipNextNewLine=!0,Cf.framesetOk=!1}function V1(Cf,Mf){const Bf=Cf.openElements.tmplCount>0;(!Cf.formElement||Bf)&&(Cf.openElements.hasInButtonScope(gd.P)&&Cf._closePElement(),Cf._insertElement(Mf,Ed.HTML),Bf||(Cf.formElement=Cf.openElements.current))}function Z1(Cf,Mf){Cf.framesetOk=!1;const Bf=Mf.tagName;for(let Pm=Cf.openElements.stackTop;Pm>=0;Pm--){const d1=Cf.openElements.items[Pm],A1=Cf.treeAdapter.getTagName(d1);let S0=null;if(Bf===gd.LI&&A1===gd.LI?S0=gd.LI:(Bf===gd.DD||Bf===gd.DT)&&(A1===gd.DD||A1===gd.DT)&&(S0=A1),S0){Cf.openElements.generateImpliedEndTagsWithExclusion(S0),Cf.openElements.popUntilTagNamePopped(S0);break}if(A1!==gd.ADDRESS&&A1!==gd.DIV&&A1!==gd.P&&Cf._isSpecialElement(d1))break}Cf.openElements.hasInButtonScope(gd.P)&&Cf._closePElement(),Cf._insertElement(Mf,Ed.HTML)}function I1(Cf,Mf){Cf.openElements.hasInButtonScope(gd.P)&&Cf._closePElement(),Cf._insertElement(Mf,Ed.HTML),Cf.tokenizer.state=Ra.MODE.PLAINTEXT}function O1(Cf,Mf){Cf.openElements.hasInScope(gd.BUTTON)&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilTagNamePopped(gd.BUTTON)),Cf._reconstructActiveFormattingElements(),Cf._insertElement(Mf,Ed.HTML),Cf.framesetOk=!1}function jm(Cf,Mf){const Bf=Cf.activeFormattingElements.getElementEntryInScopeWithTagName(gd.A);Bf&&(Tf(Cf,Mf),Cf.openElements.remove(Bf.element),Cf.activeFormattingElements.removeEntry(Bf)),Cf._reconstructActiveFormattingElements(),Cf._insertElement(Mf,Ed.HTML),Cf.activeFormattingElements.pushElement(Cf.openElements.current,Mf)}function g1(Cf,Mf){Cf._reconstructActiveFormattingElements(),Cf._insertElement(Mf,Ed.HTML),Cf.activeFormattingElements.pushElement(Cf.openElements.current,Mf)}function l0(Cf,Mf){Cf._reconstructActiveFormattingElements(),Cf.openElements.hasInScope(gd.NOBR)&&(Tf(Cf,Mf),Cf._reconstructActiveFormattingElements()),Cf._insertElement(Mf,Ed.HTML),Cf.activeFormattingElements.pushElement(Cf.openElements.current,Mf)}function B1(Cf,Mf){Cf._reconstructActiveFormattingElements(),Cf._insertElement(Mf,Ed.HTML),Cf.activeFormattingElements.insertMarker(),Cf.framesetOk=!1}function yf(Cf,Mf){Cf.treeAdapter.getDocumentMode(Cf.document)!==yd.DOCUMENT_MODE.QUIRKS&&Cf.openElements.hasInButtonScope(gd.P)&&Cf._closePElement(),Cf._insertElement(Mf,Ed.HTML),Cf.framesetOk=!1,Cf.insertionMode=Jd}function ph(Cf,Mf){Cf._reconstructActiveFormattingElements(),Cf._appendElement(Mf,Ed.HTML),Cf.framesetOk=!1,Mf.ackSelfClosing=!0}function sh(Cf,Mf){Cf._reconstructActiveFormattingElements(),Cf._appendElement(Mf,Ed.HTML);const Bf=Ra.getTokenAttr(Mf,Td.TYPE);(!Bf||Bf.toLowerCase()!==Rd)&&(Cf.framesetOk=!1),Mf.ackSelfClosing=!0}function yh(Cf,Mf){Cf._appendElement(Mf,Ed.HTML),Mf.ackSelfClosing=!0}function Bh(Cf,Mf){Cf.openElements.hasInButtonScope(gd.P)&&Cf._closePElement(),Cf._appendElement(Mf,Ed.HTML),Cf.framesetOk=!1,Mf.ackSelfClosing=!0}function Mh(Cf,Mf){Mf.tagName=gd.IMG,ph(Cf,Mf)}function Gh(Cf,Mf){Cf._insertElement(Mf,Ed.HTML),Cf.skipNextNewLine=!0,Cf.tokenizer.state=Ra.MODE.RCDATA,Cf.originalInsertionMode=Cf.insertionMode,Cf.framesetOk=!1,Cf.insertionMode=Vd}function Fh(Cf,Mf){Cf.openElements.hasInButtonScope(gd.P)&&Cf._closePElement(),Cf._reconstructActiveFormattingElements(),Cf.framesetOk=!1,Cf._switchToTextParsing(Mf,Ra.MODE.RAWTEXT)}function Ah(Cf,Mf){Cf.framesetOk=!1,Cf._switchToTextParsing(Mf,Ra.MODE.RAWTEXT)}function cm(Cf,Mf){Cf._switchToTextParsing(Mf,Ra.MODE.RAWTEXT)}function um(Cf,Mf){Cf._reconstructActiveFormattingElements(),Cf._insertElement(Mf,Ed.HTML),Cf.framesetOk=!1,Cf.insertionMode===Jd||Cf.insertionMode===pf||Cf.insertionMode===hf||Cf.insertionMode===_f||Cf.insertionMode===xf?Cf.insertionMode=Wf:Cf.insertionMode=Lf}function _m(Cf,Mf){Cf.openElements.currentTagName===gd.OPTION&&Cf.openElements.pop(),Cf._reconstructActiveFormattingElements(),Cf._insertElement(Mf,Ed.HTML)}function Ff(Cf,Mf){Cf.openElements.hasInScope(gd.RUBY)&&Cf.openElements.generateImpliedEndTags(),Cf._insertElement(Mf,Ed.HTML)}function $m(Cf,Mf){Cf.openElements.hasInScope(gd.RUBY)&&Cf.openElements.generateImpliedEndTagsWithExclusion(gd.RTC),Cf._insertElement(Mf,Ed.HTML)}function m1(Cf,Mf){Cf.openElements.hasInButtonScope(gd.P)&&Cf._closePElement(),Cf._insertElement(Mf,Ed.HTML)}function f1(Cf,Mf){Cf._reconstructActiveFormattingElements(),cd.adjustTokenMathMLAttrs(Mf),cd.adjustTokenXMLAttrs(Mf),Mf.selfClosing?Cf._appendElement(Mf,Ed.MATHML):Cf._insertElement(Mf,Ed.MATHML),Mf.ackSelfClosing=!0}function a1(Cf,Mf){Cf._reconstructActiveFormattingElements(),cd.adjustTokenSVGAttrs(Mf),cd.adjustTokenXMLAttrs(Mf),Mf.selfClosing?Cf._appendElement(Mf,Ed.SVG):Cf._insertElement(Mf,Ed.SVG),Mf.ackSelfClosing=!0}function Dm(Cf,Mf){Cf._reconstructActiveFormattingElements(),Cf._insertElement(Mf,Ed.HTML)}function v1(Cf,Mf){const Bf=Mf.tagName;switch(Bf.length){case 1:Bf===gd.I||Bf===gd.S||Bf===gd.B||Bf===gd.U?g1(Cf,Mf):Bf===gd.P?Xm(Cf,Mf):Bf===gd.A?jm(Cf,Mf):Dm(Cf,Mf);break;case 2:Bf===gd.DL||Bf===gd.OL||Bf===gd.UL?Xm(Cf,Mf):Bf===gd.H1||Bf===gd.H2||Bf===gd.H3||Bf===gd.H4||Bf===gd.H5||Bf===gd.H6?F1(Cf,Mf):Bf===gd.LI||Bf===gd.DD||Bf===gd.DT?Z1(Cf,Mf):Bf===gd.EM||Bf===gd.TT?g1(Cf,Mf):Bf===gd.BR?ph(Cf,Mf):Bf===gd.HR?Bh(Cf,Mf):Bf===gd.RB?Ff(Cf,Mf):Bf===gd.RT||Bf===gd.RP?$m(Cf,Mf):Bf!==gd.TH&&Bf!==gd.TD&&Bf!==gd.TR&&Dm(Cf,Mf);break;case 3:Bf===gd.DIV||Bf===gd.DIR||Bf===gd.NAV?Xm(Cf,Mf):Bf===gd.PRE?y1(Cf,Mf):Bf===gd.BIG?g1(Cf,Mf):Bf===gd.IMG||Bf===gd.WBR?ph(Cf,Mf):Bf===gd.XMP?Fh(Cf,Mf):Bf===gd.SVG?a1(Cf,Mf):Bf===gd.RTC?Ff(Cf,Mf):Bf!==gd.COL&&Dm(Cf,Mf);break;case 4:Bf===gd.HTML?N1(Cf,Mf):Bf===gd.BASE||Bf===gd.LINK||Bf===gd.META?Zf(Cf,Mf):Bf===gd.BODY?R1(Cf,Mf):Bf===gd.MAIN||Bf===gd.MENU?Xm(Cf,Mf):Bf===gd.FORM?V1(Cf,Mf):Bf===gd.CODE||Bf===gd.FONT?g1(Cf,Mf):Bf===gd.NOBR?l0(Cf,Mf):Bf===gd.AREA?ph(Cf,Mf):Bf===gd.MATH?f1(Cf,Mf):Bf===gd.MENU?m1(Cf,Mf):Bf!==gd.HEAD&&Dm(Cf,Mf);break;case 5:Bf===gd.STYLE||Bf===gd.TITLE?Zf(Cf,Mf):Bf===gd.ASIDE?Xm(Cf,Mf):Bf===gd.SMALL?g1(Cf,Mf):Bf===gd.TABLE?yf(Cf,Mf):Bf===gd.EMBED?ph(Cf,Mf):Bf===gd.INPUT?sh(Cf,Mf):Bf===gd.PARAM||Bf===gd.TRACK?yh(Cf,Mf):Bf===gd.IMAGE?Mh(Cf,Mf):Bf!==gd.FRAME&&Bf!==gd.TBODY&&Bf!==gd.TFOOT&&Bf!==gd.THEAD&&Dm(Cf,Mf);break;case 6:Bf===gd.SCRIPT?Zf(Cf,Mf):Bf===gd.CENTER||Bf===gd.FIGURE||Bf===gd.FOOTER||Bf===gd.HEADER||Bf===gd.HGROUP||Bf===gd.DIALOG?Xm(Cf,Mf):Bf===gd.BUTTON?O1(Cf,Mf):Bf===gd.STRIKE||Bf===gd.STRONG?g1(Cf,Mf):Bf===gd.APPLET||Bf===gd.OBJECT?B1(Cf,Mf):Bf===gd.KEYGEN?ph(Cf,Mf):Bf===gd.SOURCE?yh(Cf,Mf):Bf===gd.IFRAME?Ah(Cf,Mf):Bf===gd.SELECT?um(Cf,Mf):Bf===gd.OPTION?_m(Cf,Mf):Dm(Cf,Mf);break;case 7:Bf===gd.BGSOUND?Zf(Cf,Mf):Bf===gd.DETAILS||Bf===gd.ADDRESS||Bf===gd.ARTICLE||Bf===gd.SECTION||Bf===gd.SUMMARY?Xm(Cf,Mf):Bf===gd.LISTING?y1(Cf,Mf):Bf===gd.MARQUEE?B1(Cf,Mf):Bf===gd.NOEMBED?cm(Cf,Mf):Bf!==gd.CAPTION&&Dm(Cf,Mf);break;case 8:Bf===gd.BASEFONT?Zf(Cf,Mf):Bf===gd.FRAMESET?x1(Cf,Mf):Bf===gd.FIELDSET?Xm(Cf,Mf):Bf===gd.TEXTAREA?Gh(Cf,Mf):Bf===gd.TEMPLATE?Zf(Cf,Mf):Bf===gd.NOSCRIPT?Cf.options.scriptingEnabled?cm(Cf,Mf):Dm(Cf,Mf):Bf===gd.OPTGROUP?_m(Cf,Mf):Bf!==gd.COLGROUP&&Dm(Cf,Mf);break;case 9:Bf===gd.PLAINTEXT?I1(Cf,Mf):Dm(Cf,Mf);break;case 10:Bf===gd.BLOCKQUOTE||Bf===gd.FIGCAPTION?Xm(Cf,Mf):Dm(Cf,Mf);break;default:Dm(Cf,Mf)}}function u1(Cf){Cf.openElements.hasInScope(gd.BODY)&&(Cf.insertionMode=If)}function o1(Cf,Mf){Cf.openElements.hasInScope(gd.BODY)&&(Cf.insertionMode=If,Cf._processToken(Mf))}function oh(Cf,Mf){const Bf=Mf.tagName;Cf.openElements.hasInScope(Bf)&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilTagNamePopped(Bf))}function Oh(Cf){const Mf=Cf.openElements.tmplCount>0,Bf=Cf.formElement;Mf||(Cf.formElement=null),(Bf||Mf)&&Cf.openElements.hasInScope(gd.FORM)&&(Cf.openElements.generateImpliedEndTags(),Mf?Cf.openElements.popUntilTagNamePopped(gd.FORM):Cf.openElements.remove(Bf))}function mm(Cf){Cf.openElements.hasInButtonScope(gd.P)||Cf._insertFakeElement(gd.P),Cf._closePElement()}function Lm(Cf){Cf.openElements.hasInListItemScope(gd.LI)&&(Cf.openElements.generateImpliedEndTagsWithExclusion(gd.LI),Cf.openElements.popUntilTagNamePopped(gd.LI))}function am(Cf,Mf){const Bf=Mf.tagName;Cf.openElements.hasInScope(Bf)&&(Cf.openElements.generateImpliedEndTagsWithExclusion(Bf),Cf.openElements.popUntilTagNamePopped(Bf))}function Vm(Cf){Cf.openElements.hasNumberedHeaderInScope()&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilNumberedHeaderPopped())}function E1(Cf,Mf){const Bf=Mf.tagName;Cf.openElements.hasInScope(Bf)&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilTagNamePopped(Bf),Cf.activeFormattingElements.clearToLastMarker())}function r0(Cf){Cf._reconstructActiveFormattingElements(),Cf._insertFakeElement(gd.BR),Cf.openElements.pop(),Cf.framesetOk=!1}function q1(Cf,Mf){const Bf=Mf.tagName;for(let Pm=Cf.openElements.stackTop;Pm>0;Pm--){const d1=Cf.openElements.items[Pm];if(Cf.treeAdapter.getTagName(d1)===Bf){Cf.openElements.generateImpliedEndTagsWithExclusion(Bf),Cf.openElements.popUntilElementPopped(d1);break}if(Cf._isSpecialElement(d1))break}}function N0(Cf,Mf){const Bf=Mf.tagName;switch(Bf.length){case 1:Bf===gd.A||Bf===gd.B||Bf===gd.I||Bf===gd.S||Bf===gd.U?Tf(Cf,Mf):Bf===gd.P?mm(Cf):q1(Cf,Mf);break;case 2:Bf===gd.DL||Bf===gd.UL||Bf===gd.OL?oh(Cf,Mf):Bf===gd.LI?Lm(Cf):Bf===gd.DD||Bf===gd.DT?am(Cf,Mf):Bf===gd.H1||Bf===gd.H2||Bf===gd.H3||Bf===gd.H4||Bf===gd.H5||Bf===gd.H6?Vm(Cf):Bf===gd.BR?r0(Cf):Bf===gd.EM||Bf===gd.TT?Tf(Cf,Mf):q1(Cf,Mf);break;case 3:Bf===gd.BIG?Tf(Cf,Mf):Bf===gd.DIR||Bf===gd.DIV||Bf===gd.NAV||Bf===gd.PRE?oh(Cf,Mf):q1(Cf,Mf);break;case 4:Bf===gd.BODY?u1(Cf):Bf===gd.HTML?o1(Cf,Mf):Bf===gd.FORM?Oh(Cf):Bf===gd.CODE||Bf===gd.FONT||Bf===gd.NOBR?Tf(Cf,Mf):Bf===gd.MAIN||Bf===gd.MENU?oh(Cf,Mf):q1(Cf,Mf);break;case 5:Bf===gd.ASIDE?oh(Cf,Mf):Bf===gd.SMALL?Tf(Cf,Mf):q1(Cf,Mf);break;case 6:Bf===gd.CENTER||Bf===gd.FIGURE||Bf===gd.FOOTER||Bf===gd.HEADER||Bf===gd.HGROUP||Bf===gd.DIALOG?oh(Cf,Mf):Bf===gd.APPLET||Bf===gd.OBJECT?E1(Cf,Mf):Bf===gd.STRIKE||Bf===gd.STRONG?Tf(Cf,Mf):q1(Cf,Mf);break;case 7:Bf===gd.ADDRESS||Bf===gd.ARTICLE||Bf===gd.DETAILS||Bf===gd.SECTION||Bf===gd.SUMMARY||Bf===gd.LISTING?oh(Cf,Mf):Bf===gd.MARQUEE?E1(Cf,Mf):q1(Cf,Mf);break;case 8:Bf===gd.FIELDSET?oh(Cf,Mf):Bf===gd.TEMPLATE?bh(Cf,Mf):q1(Cf,Mf);break;case 10:Bf===gd.BLOCKQUOTE||Bf===gd.FIGCAPTION?oh(Cf,Mf):q1(Cf,Mf);break;default:q1(Cf,Mf)}}function g0(Cf,Mf){Cf.tmplInsertionModeStackTop>-1?Tg(Cf,Mf):Cf.stopped=!0}function h1(Cf,Mf){Mf.tagName===gd.SCRIPT&&(Cf.pendingScript=Cf.openElements.current),Cf.openElements.pop(),Cf.insertionMode=Cf.originalInsertionMode}function U0(Cf,Mf){Cf._err(ud.eofInElementThatCanContainOnlyText),Cf.openElements.pop(),Cf.insertionMode=Cf.originalInsertionMode,Cf._processToken(Mf)}function j1(Cf,Mf){const Bf=Cf.openElements.currentTagName;Bf===gd.TABLE||Bf===gd.TBODY||Bf===gd.TFOOT||Bf===gd.THEAD||Bf===gd.TR?(Cf.pendingCharacterTokens=[],Cf.hasNonWhitespacePendingCharacterToken=!1,Cf.originalInsertionMode=Cf.insertionMode,Cf.insertionMode=Zd,Cf._processToken(Mf)):b0(Cf,Mf)}function E0(Cf,Mf){Cf.openElements.clearBackToTableContext(),Cf.activeFormattingElements.insertMarker(),Cf._insertElement(Mf,Ed.HTML),Cf.insertionMode=pf}function d0(Cf,Mf){Cf.openElements.clearBackToTableContext(),Cf._insertElement(Mf,Ed.HTML),Cf.insertionMode=Xd}function e_(Cf,Mf){Cf.openElements.clearBackToTableContext(),Cf._insertFakeElement(gd.COLGROUP),Cf.insertionMode=Xd,Cf._processToken(Mf)}function m_(Cf,Mf){Cf.openElements.clearBackToTableContext(),Cf._insertElement(Mf,Ed.HTML),Cf.insertionMode=hf}function T0(Cf,Mf){Cf.openElements.clearBackToTableContext(),Cf._insertFakeElement(gd.TBODY),Cf.insertionMode=hf,Cf._processToken(Mf)}function t_(Cf,Mf){Cf.openElements.hasInTableScope(gd.TABLE)&&(Cf.openElements.popUntilTagNamePopped(gd.TABLE),Cf._resetInsertionMode(),Cf._processToken(Mf))}function ag(Cf,Mf){const Bf=Ra.getTokenAttr(Mf,Td.TYPE);Bf&&Bf.toLowerCase()===Rd?Cf._appendElement(Mf,Ed.HTML):b0(Cf,Mf),Mf.ackSelfClosing=!0}function G_(Cf,Mf){!Cf.formElement&&Cf.openElements.tmplCount===0&&(Cf._insertElement(Mf,Ed.HTML),Cf.formElement=Cf.openElements.current,Cf.openElements.pop())}function s1(Cf,Mf){const Bf=Mf.tagName;switch(Bf.length){case 2:Bf===gd.TD||Bf===gd.TH||Bf===gd.TR?T0(Cf,Mf):b0(Cf,Mf);break;case 3:Bf===gd.COL?e_(Cf,Mf):b0(Cf,Mf);break;case 4:Bf===gd.FORM?G_(Cf,Mf):b0(Cf,Mf);break;case 5:Bf===gd.TABLE?t_(Cf,Mf):Bf===gd.STYLE?Zf(Cf,Mf):Bf===gd.TBODY||Bf===gd.TFOOT||Bf===gd.THEAD?m_(Cf,Mf):Bf===gd.INPUT?ag(Cf,Mf):b0(Cf,Mf);break;case 6:Bf===gd.SCRIPT?Zf(Cf,Mf):b0(Cf,Mf);break;case 7:Bf===gd.CAPTION?E0(Cf,Mf):b0(Cf,Mf);break;case 8:Bf===gd.COLGROUP?d0(Cf,Mf):Bf===gd.TEMPLATE?Zf(Cf,Mf):b0(Cf,Mf);break;default:b0(Cf,Mf)}}function __(Cf,Mf){const Bf=Mf.tagName;Bf===gd.TABLE?Cf.openElements.hasInTableScope(gd.TABLE)&&(Cf.openElements.popUntilTagNamePopped(gd.TABLE),Cf._resetInsertionMode()):Bf===gd.TEMPLATE?bh(Cf,Mf):Bf!==gd.BODY&&Bf!==gd.CAPTION&&Bf!==gd.COL&&Bf!==gd.COLGROUP&&Bf!==gd.HTML&&Bf!==gd.TBODY&&Bf!==gd.TD&&Bf!==gd.TFOOT&&Bf!==gd.TH&&Bf!==gd.THEAD&&Bf!==gd.TR&&b0(Cf,Mf)}function b0(Cf,Mf){const Bf=Cf.fosterParentingEnabled;Cf.fosterParentingEnabled=!0,Cf._processTokenInBodyMode(Mf),Cf.fosterParentingEnabled=Bf}function sg(Cf,Mf){Cf.pendingCharacterTokens.push(Mf)}function yg(Cf,Mf){Cf.pendingCharacterTokens.push(Mf),Cf.hasNonWhitespacePendingCharacterToken=!0}function g_(Cf,Mf){let Bf=0;if(Cf.hasNonWhitespacePendingCharacterToken)for(;Bf<Cf.pendingCharacterTokens.length;Bf++)b0(Cf,Cf.pendingCharacterTokens[Bf]);else for(;Bf<Cf.pendingCharacterTokens.length;Bf++)Cf._insertCharacters(Cf.pendingCharacterTokens[Bf]);Cf.insertionMode=Cf.originalInsertionMode,Cf._processToken(Mf)}function A_(Cf,Mf){const Bf=Mf.tagName;Bf===gd.CAPTION||Bf===gd.COL||Bf===gd.COLGROUP||Bf===gd.TBODY||Bf===gd.TD||Bf===gd.TFOOT||Bf===gd.TH||Bf===gd.THEAD||Bf===gd.TR?Cf.openElements.hasInTableScope(gd.CAPTION)&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilTagNamePopped(gd.CAPTION),Cf.activeFormattingElements.clearToLastMarker(),Cf.insertionMode=Jd,Cf._processToken(Mf)):v1(Cf,Mf)}function p0(Cf,Mf){const Bf=Mf.tagName;Bf===gd.CAPTION||Bf===gd.TABLE?Cf.openElements.hasInTableScope(gd.CAPTION)&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilTagNamePopped(gd.CAPTION),Cf.activeFormattingElements.clearToLastMarker(),Cf.insertionMode=Jd,Bf===gd.TABLE&&Cf._processToken(Mf)):Bf!==gd.BODY&&Bf!==gd.COL&&Bf!==gd.COLGROUP&&Bf!==gd.HTML&&Bf!==gd.TBODY&&Bf!==gd.TD&&Bf!==gd.TFOOT&&Bf!==gd.TH&&Bf!==gd.THEAD&&Bf!==gd.TR&&N0(Cf,Mf)}function W0(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HTML?v1(Cf,Mf):Bf===gd.COL?(Cf._appendElement(Mf,Ed.HTML),Mf.ackSelfClosing=!0):Bf===gd.TEMPLATE?Zf(Cf,Mf):r_(Cf,Mf)}function s_(Cf,Mf){const Bf=Mf.tagName;Bf===gd.COLGROUP?Cf.openElements.currentTagName===gd.COLGROUP&&(Cf.openElements.pop(),Cf.insertionMode=Jd):Bf===gd.TEMPLATE?bh(Cf,Mf):Bf!==gd.COL&&r_(Cf,Mf)}function r_(Cf,Mf){Cf.openElements.currentTagName===gd.COLGROUP&&(Cf.openElements.pop(),Cf.insertionMode=Jd,Cf._processToken(Mf))}function z_(Cf,Mf){const Bf=Mf.tagName;Bf===gd.TR?(Cf.openElements.clearBackToTableBodyContext(),Cf._insertElement(Mf,Ed.HTML),Cf.insertionMode=_f):Bf===gd.TH||Bf===gd.TD?(Cf.openElements.clearBackToTableBodyContext(),Cf._insertFakeElement(gd.TR),Cf.insertionMode=_f,Cf._processToken(Mf)):Bf===gd.CAPTION||Bf===gd.COL||Bf===gd.COLGROUP||Bf===gd.TBODY||Bf===gd.TFOOT||Bf===gd.THEAD?Cf.openElements.hasTableBodyContextInTableScope()&&(Cf.openElements.clearBackToTableBodyContext(),Cf.openElements.pop(),Cf.insertionMode=Jd,Cf._processToken(Mf)):s1(Cf,Mf)}function gb(Cf,Mf){const Bf=Mf.tagName;Bf===gd.TBODY||Bf===gd.TFOOT||Bf===gd.THEAD?Cf.openElements.hasInTableScope(Bf)&&(Cf.openElements.clearBackToTableBodyContext(),Cf.openElements.pop(),Cf.insertionMode=Jd):Bf===gd.TABLE?Cf.openElements.hasTableBodyContextInTableScope()&&(Cf.openElements.clearBackToTableBodyContext(),Cf.openElements.pop(),Cf.insertionMode=Jd,Cf._processToken(Mf)):(Bf!==gd.BODY&&Bf!==gd.CAPTION&&Bf!==gd.COL&&Bf!==gd.COLGROUP||Bf!==gd.HTML&&Bf!==gd.TD&&Bf!==gd.TH&&Bf!==gd.TR)&&__(Cf,Mf)}function jg(Cf,Mf){const Bf=Mf.tagName;Bf===gd.TH||Bf===gd.TD?(Cf.openElements.clearBackToTableRowContext(),Cf._insertElement(Mf,Ed.HTML),Cf.insertionMode=xf,Cf.activeFormattingElements.insertMarker()):Bf===gd.CAPTION||Bf===gd.COL||Bf===gd.COLGROUP||Bf===gd.TBODY||Bf===gd.TFOOT||Bf===gd.THEAD||Bf===gd.TR?Cf.openElements.hasInTableScope(gd.TR)&&(Cf.openElements.clearBackToTableRowContext(),Cf.openElements.pop(),Cf.insertionMode=hf,Cf._processToken(Mf)):s1(Cf,Mf)}function bb(Cf,Mf){const Bf=Mf.tagName;Bf===gd.TR?Cf.openElements.hasInTableScope(gd.TR)&&(Cf.openElements.clearBackToTableRowContext(),Cf.openElements.pop(),Cf.insertionMode=hf):Bf===gd.TABLE?Cf.openElements.hasInTableScope(gd.TR)&&(Cf.openElements.clearBackToTableRowContext(),Cf.openElements.pop(),Cf.insertionMode=hf,Cf._processToken(Mf)):Bf===gd.TBODY||Bf===gd.TFOOT||Bf===gd.THEAD?(Cf.openElements.hasInTableScope(Bf)||Cf.openElements.hasInTableScope(gd.TR))&&(Cf.openElements.clearBackToTableRowContext(),Cf.openElements.pop(),Cf.insertionMode=hf,Cf._processToken(Mf)):(Bf!==gd.BODY&&Bf!==gd.CAPTION&&Bf!==gd.COL&&Bf!==gd.COLGROUP||Bf!==gd.HTML&&Bf!==gd.TD&&Bf!==gd.TH)&&__(Cf,Mf)}function Jb(Cf,Mf){const Bf=Mf.tagName;Bf===gd.CAPTION||Bf===gd.COL||Bf===gd.COLGROUP||Bf===gd.TBODY||Bf===gd.TD||Bf===gd.TFOOT||Bf===gd.TH||Bf===gd.THEAD||Bf===gd.TR?(Cf.openElements.hasInTableScope(gd.TD)||Cf.openElements.hasInTableScope(gd.TH))&&(Cf._closeTableCell(),Cf._processToken(Mf)):v1(Cf,Mf)}function Ug(Cf,Mf){const Bf=Mf.tagName;Bf===gd.TD||Bf===gd.TH?Cf.openElements.hasInTableScope(Bf)&&(Cf.openElements.generateImpliedEndTags(),Cf.openElements.popUntilTagNamePopped(Bf),Cf.activeFormattingElements.clearToLastMarker(),Cf.insertionMode=_f):Bf===gd.TABLE||Bf===gd.TBODY||Bf===gd.TFOOT||Bf===gd.THEAD||Bf===gd.TR?Cf.openElements.hasInTableScope(Bf)&&(Cf._closeTableCell(),Cf._processToken(Mf)):Bf!==gd.BODY&&Bf!==gd.CAPTION&&Bf!==gd.COL&&Bf!==gd.COLGROUP&&Bf!==gd.HTML&&N0(Cf,Mf)}function qg(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HTML?v1(Cf,Mf):Bf===gd.OPTION?(Cf.openElements.currentTagName===gd.OPTION&&Cf.openElements.pop(),Cf._insertElement(Mf,Ed.HTML)):Bf===gd.OPTGROUP?(Cf.openElements.currentTagName===gd.OPTION&&Cf.openElements.pop(),Cf.openElements.currentTagName===gd.OPTGROUP&&Cf.openElements.pop(),Cf._insertElement(Mf,Ed.HTML)):Bf===gd.INPUT||Bf===gd.KEYGEN||Bf===gd.TEXTAREA||Bf===gd.SELECT?Cf.openElements.hasInSelectScope(gd.SELECT)&&(Cf.openElements.popUntilTagNamePopped(gd.SELECT),Cf._resetInsertionMode(),Bf!==gd.SELECT&&Cf._processToken(Mf)):(Bf===gd.SCRIPT||Bf===gd.TEMPLATE)&&Zf(Cf,Mf)}function Hg(Cf,Mf){const Bf=Mf.tagName;if(Bf===gd.OPTGROUP){const Pm=Cf.openElements.items[Cf.openElements.stackTop-1],d1=Pm&&Cf.treeAdapter.getTagName(Pm);Cf.openElements.currentTagName===gd.OPTION&&d1===gd.OPTGROUP&&Cf.openElements.pop(),Cf.openElements.currentTagName===gd.OPTGROUP&&Cf.openElements.pop()}else Bf===gd.OPTION?Cf.openElements.currentTagName===gd.OPTION&&Cf.openElements.pop():Bf===gd.SELECT&&Cf.openElements.hasInSelectScope(gd.SELECT)?(Cf.openElements.popUntilTagNamePopped(gd.SELECT),Cf._resetInsertionMode()):Bf===gd.TEMPLATE&&bh(Cf,Mf)}function zm(Cf,Mf){const Bf=Mf.tagName;Bf===gd.CAPTION||Bf===gd.TABLE||Bf===gd.TBODY||Bf===gd.TFOOT||Bf===gd.THEAD||Bf===gd.TR||Bf===gd.TD||Bf===gd.TH?(Cf.openElements.popUntilTagNamePopped(gd.SELECT),Cf._resetInsertionMode(),Cf._processToken(Mf)):qg(Cf,Mf)}function Q1(Cf,Mf){const Bf=Mf.tagName;Bf===gd.CAPTION||Bf===gd.TABLE||Bf===gd.TBODY||Bf===gd.TFOOT||Bf===gd.THEAD||Bf===gd.TR||Bf===gd.TD||Bf===gd.TH?Cf.openElements.hasInTableScope(Bf)&&(Cf.openElements.popUntilTagNamePopped(gd.SELECT),Cf._resetInsertionMode(),Cf._processToken(Mf)):Hg(Cf,Mf)}function og(Cf,Mf){const Bf=Mf.tagName;if(Bf===gd.BASE||Bf===gd.BASEFONT||Bf===gd.BGSOUND||Bf===gd.LINK||Bf===gd.META||Bf===gd.NOFRAMES||Bf===gd.SCRIPT||Bf===gd.STYLE||Bf===gd.TEMPLATE||Bf===gd.TITLE)Zf(Cf,Mf);else{const Pm=mf[Bf]||Hd;Cf._popTmplInsertionMode(),Cf._pushTmplInsertionMode(Pm),Cf.insertionMode=Pm,Cf._processToken(Mf)}}function Eg(Cf,Mf){Mf.tagName===gd.TEMPLATE&&bh(Cf,Mf)}function Tg(Cf,Mf){Cf.openElements.tmplCount>0?(Cf.openElements.popUntilTagNamePopped(gd.TEMPLATE),Cf.activeFormattingElements.clearToLastMarker(),Cf._popTmplInsertionMode(),Cf._resetInsertionMode(),Cf._processToken(Mf)):Cf.stopped=!0}function vb(Cf,Mf){Mf.tagName===gd.HTML?v1(Cf,Mf):b_(Cf,Mf)}function yb(Cf,Mf){Mf.tagName===gd.HTML?Cf.fragmentContext||(Cf.insertionMode=Kf):b_(Cf,Mf)}function b_(Cf,Mf){Cf.insertionMode=Hd,Cf._processToken(Mf)}function Eb(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HTML?v1(Cf,Mf):Bf===gd.FRAMESET?Cf._insertElement(Mf,Ed.HTML):Bf===gd.FRAME?(Cf._appendElement(Mf,Ed.HTML),Mf.ackSelfClosing=!0):Bf===gd.NOFRAMES&&Zf(Cf,Mf)}function zg(Cf,Mf){Mf.tagName===gd.FRAMESET&&!Cf.openElements.isRootHtmlElementCurrent()&&(Cf.openElements.pop(),!Cf.fragmentContext&&Cf.openElements.currentTagName!==gd.FRAMESET&&(Cf.insertionMode=wf))}function W1(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HTML?v1(Cf,Mf):Bf===gd.NOFRAMES&&Zf(Cf,Mf)}function Vg(Cf,Mf){Mf.tagName===gd.HTML&&(Cf.insertionMode=Gf)}function N_(Cf,Mf){Mf.tagName===gd.HTML?v1(Cf,Mf):e0(Cf,Mf)}function e0(Cf,Mf){Cf.insertionMode=Hd,Cf._processToken(Mf)}function n_(Cf,Mf){const Bf=Mf.tagName;Bf===gd.HTML?v1(Cf,Mf):Bf===gd.NOFRAMES&&Zf(Cf,Mf)}function c0(Cf,Mf){Mf.chars=_d.REPLACEMENT_CHARACTER,Cf._insertCharacters(Mf)}function cg(Cf,Mf){Cf._insertCharacters(Mf),Cf.framesetOk=!1}function i_(Cf,Mf){if(cd.causesExit(Mf)&&!Cf.fragmentContext){for(;Cf.treeAdapter.getNamespaceURI(Cf.openElements.current)!==Ed.HTML&&!Cf._isIntegrationPoint(Cf.openElements.current);)Cf.openElements.pop();Cf._processToken(Mf)}else{const Bf=Cf._getAdjustedCurrentElement(),Pm=Cf.treeAdapter.getNamespaceURI(Bf);Pm===Ed.MATHML?cd.adjustTokenMathMLAttrs(Mf):Pm===Ed.SVG&&(cd.adjustTokenSVGTagName(Mf),cd.adjustTokenSVGAttrs(Mf)),cd.adjustTokenXMLAttrs(Mf),Mf.selfClosing?Cf._appendElement(Mf,Pm):Cf._insertElement(Mf,Pm),Mf.ackSelfClosing=!0}}function V_(Cf,Mf){for(let Bf=Cf.openElements.stackTop;Bf>0;Bf--){const Pm=Cf.openElements.items[Bf];if(Cf.treeAdapter.getNamespaceURI(Pm)===Ed.HTML){Cf._processToken(Mf);break}if(Cf.treeAdapter.getTagName(Pm).toLowerCase()===Mf.tagName){Cf.openElements.popUntilElementPopped(Pm);break}}}return parser$3}var serializer,hasRequiredSerializer;function requireSerializer(){if(hasRequiredSerializer)return serializer;hasRequiredSerializer=1;const Ra=require_default(),qa=requireMergeOptions(),Ja=requireDoctype(),ed=requireHtml(),td=ed.TAG_NAMES,rd=ed.NAMESPACES,sd={treeAdapter:Ra},od=/&/g,ld=/\u00a0/g,cd=/"/g,ud=/</g,_d=/>/g;class yd{constructor(Ed,Td){this.options=qa(sd,Td),this.treeAdapter=this.options.treeAdapter,this.html="",this.startNode=Ed}serialize(){return this._serializeChildNodes(this.startNode),this.html}_serializeChildNodes(Ed){const Td=this.treeAdapter.getChildNodes(Ed);if(Td)for(let kd=0,Rd=Td.length;kd<Rd;kd++){const Nd=Td[kd];this.treeAdapter.isElementNode(Nd)?this._serializeElement(Nd):this.treeAdapter.isTextNode(Nd)?this._serializeTextNode(Nd):this.treeAdapter.isCommentNode(Nd)?this._serializeCommentNode(Nd):this.treeAdapter.isDocumentTypeNode(Nd)&&this._serializeDocumentTypeNode(Nd)}}_serializeElement(Ed){const Td=this.treeAdapter.getTagName(Ed),kd=this.treeAdapter.getNamespaceURI(Ed);if(this.html+="<"+Td,this._serializeAttributes(Ed),this.html+=">",Td!==td.AREA&&Td!==td.BASE&&Td!==td.BASEFONT&&Td!==td.BGSOUND&&Td!==td.BR&&Td!==td.COL&&Td!==td.EMBED&&Td!==td.FRAME&&Td!==td.HR&&Td!==td.IMG&&Td!==td.INPUT&&Td!==td.KEYGEN&&Td!==td.LINK&&Td!==td.META&&Td!==td.PARAM&&Td!==td.SOURCE&&Td!==td.TRACK&&Td!==td.WBR){const Rd=Td===td.TEMPLATE&&kd===rd.HTML?this.treeAdapter.getTemplateContent(Ed):Ed;this._serializeChildNodes(Rd),this.html+="</"+Td+">"}}_serializeAttributes(Ed){const Td=this.treeAdapter.getAttrList(Ed);for(let kd=0,Rd=Td.length;kd<Rd;kd++){const Nd=Td[kd],Id=yd.escapeString(Nd.value,!0);this.html+=" ",Nd.namespace?Nd.namespace===rd.XML?this.html+="xml:"+Nd.name:Nd.namespace===rd.XMLNS?(Nd.name!=="xmlns"&&(this.html+="xmlns:"),this.html+=Nd.name):Nd.namespace===rd.XLINK?this.html+="xlink:"+Nd.name:this.html+=Nd.prefix+":"+Nd.name:this.html+=Nd.name,this.html+='="'+Id+'"'}}_serializeTextNode(Ed){const Td=this.treeAdapter.getTextNodeContent(Ed),kd=this.treeAdapter.getParentNode(Ed);let Rd;kd&&this.treeAdapter.isElementNode(kd)&&(Rd=this.treeAdapter.getTagName(kd)),Rd===td.STYLE||Rd===td.SCRIPT||Rd===td.XMP||Rd===td.IFRAME||Rd===td.NOEMBED||Rd===td.NOFRAMES||Rd===td.PLAINTEXT||Rd===td.NOSCRIPT?this.html+=Td:this.html+=yd.escapeString(Td,!1)}_serializeCommentNode(Ed){this.html+="<!--"+this.treeAdapter.getCommentNodeContent(Ed)+"-->"}_serializeDocumentTypeNode(Ed){const Td=this.treeAdapter.getDocumentTypeNodeName(Ed);this.html+="<"+Ja.serializeContent(Td,null,null)+">"}}return yd.escapeString=function(gd,Ed){return gd=gd.replace(od,"&").replace(ld," "),Ed?gd=gd.replace(cd,"""):gd=gd.replace(ud,"<").replace(_d,">"),gd},serializer=yd,serializer}var hasRequiredLib$2;function requireLib$2(){if(hasRequiredLib$2)return lib$4;hasRequiredLib$2=1;const Ra=requireParser$1(),qa=requireSerializer();return lib$4.parse=function(ed,td){return new Ra(td).parse(ed)},lib$4.parseFragment=function(ed,td,rd){return typeof ed=="string"&&(rd=td,td=ed,ed=null),new Ra(rd).parseFragment(td,ed)},lib$4.serialize=function(Ja,ed){return new qa(Ja,ed).serialize()},lib$4}var lib$3={},hasRequiredLib$1;function requireLib$1(){if(hasRequiredLib$1)return lib$3;hasRequiredLib$1=1;const Ra=requireDoctype(),{DOCUMENT_MODE:qa}=requireHtml(),Ja={element:1,text:3,cdata:4,comment:8},ed={tagName:"name",childNodes:"children",parentNode:"parent",previousSibling:"prev",nextSibling:"next",nodeValue:"data"};class td{constructor(cd){for(const ud of Object.keys(cd))this[ud]=cd[ud]}get firstChild(){const cd=this.children;return cd&&cd[0]||null}get lastChild(){const cd=this.children;return cd&&cd[cd.length-1]||null}get nodeType(){return Ja[this.type]||Ja.element}}Object.keys(ed).forEach(ld=>{const cd=ed[ld];Object.defineProperty(td.prototype,ld,{get:function(){return this[cd]||null},set:function(ud){return this[cd]=ud,ud}})}),lib$3.createDocument=function(){return new td({type:"root",name:"root",parent:null,prev:null,next:null,children:[],"x-mode":qa.NO_QUIRKS})},lib$3.createDocumentFragment=function(){return new td({type:"root",name:"root",parent:null,prev:null,next:null,children:[]})},lib$3.createElement=function(ld,cd,ud){const _d=Object.create(null),yd=Object.create(null),gd=Object.create(null);for(let Ed=0;Ed<ud.length;Ed++){const Td=ud[Ed].name;_d[Td]=ud[Ed].value,yd[Td]=ud[Ed].namespace,gd[Td]=ud[Ed].prefix}return new td({type:ld==="script"||ld==="style"?ld:"tag",name:ld,namespace:cd,attribs:_d,"x-attribsNamespace":yd,"x-attribsPrefix":gd,children:[],parent:null,prev:null,next:null})},lib$3.createCommentNode=function(ld){return new td({type:"comment",data:ld,parent:null,prev:null,next:null})};const rd=function(ld){return new td({type:"text",data:ld,parent:null,prev:null,next:null})},sd=lib$3.appendChild=function(ld,cd){const ud=ld.children[ld.children.length-1];ud&&(ud.next=cd,cd.prev=ud),ld.children.push(cd),cd.parent=ld},od=lib$3.insertBefore=function(ld,cd,ud){const _d=ld.children.indexOf(ud),yd=ud.prev;yd&&(yd.next=cd,cd.prev=yd),ud.prev=cd,cd.next=ud,ld.children.splice(_d,0,cd),cd.parent=ld};return lib$3.setTemplateContent=function(ld,cd){sd(ld,cd)},lib$3.getTemplateContent=function(ld){return ld.children[0]},lib$3.setDocumentType=function(ld,cd,ud,_d){const yd=Ra.serializeContent(cd,ud,_d);let gd=null;for(let Ed=0;Ed<ld.children.length;Ed++)if(ld.children[Ed].type==="directive"&&ld.children[Ed].name==="!doctype"){gd=ld.children[Ed];break}gd?(gd.data=yd,gd["x-name"]=cd,gd["x-publicId"]=ud,gd["x-systemId"]=_d):sd(ld,new td({type:"directive",name:"!doctype",data:yd,"x-name":cd,"x-publicId":ud,"x-systemId":_d}))},lib$3.setDocumentMode=function(ld,cd){ld["x-mode"]=cd},lib$3.getDocumentMode=function(ld){return ld["x-mode"]},lib$3.detachNode=function(ld){if(ld.parent){const cd=ld.parent.children.indexOf(ld),ud=ld.prev,_d=ld.next;ld.prev=null,ld.next=null,ud&&(ud.next=_d),_d&&(_d.prev=ud),ld.parent.children.splice(cd,1),ld.parent=null}},lib$3.insertText=function(ld,cd){const ud=ld.children[ld.children.length-1];ud&&ud.type==="text"?ud.data+=cd:sd(ld,rd(cd))},lib$3.insertTextBefore=function(ld,cd,ud){const _d=ld.children[ld.children.indexOf(ud)-1];_d&&_d.type==="text"?_d.data+=cd:od(ld,rd(cd),ud)},lib$3.adoptAttributes=function(ld,cd){for(let ud=0;ud<cd.length;ud++){const _d=cd[ud].name;typeof ld.attribs[_d]>"u"&&(ld.attribs[_d]=cd[ud].value,ld["x-attribsNamespace"][_d]=cd[ud].namespace,ld["x-attribsPrefix"][_d]=cd[ud].prefix)}},lib$3.getFirstChild=function(ld){return ld.children[0]},lib$3.getChildNodes=function(ld){return ld.children},lib$3.getParentNode=function(ld){return ld.parent},lib$3.getAttrList=function(ld){const cd=[];for(const ud in ld.attribs)cd.push({name:ud,value:ld.attribs[ud],namespace:ld["x-attribsNamespace"][ud],prefix:ld["x-attribsPrefix"][ud]});return cd},lib$3.getTagName=function(ld){return ld.name},lib$3.getNamespaceURI=function(ld){return ld.namespace},lib$3.getTextNodeContent=function(ld){return ld.data},lib$3.getCommentNodeContent=function(ld){return ld.data},lib$3.getDocumentTypeNodeName=function(ld){return ld["x-name"]},lib$3.getDocumentTypeNodePublicId=function(ld){return ld["x-publicId"]},lib$3.getDocumentTypeNodeSystemId=function(ld){return ld["x-systemId"]},lib$3.isTextNode=function(ld){return ld.type==="text"},lib$3.isCommentNode=function(ld){return ld.type==="comment"},lib$3.isDocumentTypeNode=function(ld){return ld.type==="directive"&&ld.name==="!doctype"},lib$3.isElementNode=function(ld){return!!ld.attribs},lib$3.setNodeSourceCodeLocation=function(ld,cd){ld.sourceCodeLocation=cd},lib$3.getNodeSourceCodeLocation=function(ld){return ld.sourceCodeLocation},lib$3.updateNodeSourceCodeLocation=function(ld,cd){ld.sourceCodeLocation=Object.assign(ld.sourceCodeLocation,cd)},lib$3}var hasRequiredParse5Adapter;function requireParse5Adapter(){if(hasRequiredParse5Adapter)return parse5Adapter;hasRequiredParse5Adapter=1,Object.defineProperty(parse5Adapter,"__esModule",{value:!0}),parse5Adapter.render=parse5Adapter.parse=void 0;var Ra=require$$0$6,qa=requireLib$a(),Ja=requireLib$2(),ed=Ra.__importDefault(requireLib$1());function td(sd,od,ld){var cd={scriptingEnabled:typeof od.scriptingEnabled=="boolean"?od.scriptingEnabled:!0,treeAdapter:ed.default,sourceCodeLocationInfo:od.sourceCodeLocationInfo},ud=od.context;return ld?Ja.parse(sd,cd):Ja.parseFragment(ud,sd,cd)}parse5Adapter.parse=td;function rd(sd){for(var od,ld=("length"in sd)?sd:[sd],cd=0;cd<ld.length;cd+=1){var ud=ld[cd];qa.isDocument(ud)&&(od=Array.prototype.splice).call.apply(od,Ra.__spreadArray([ld,cd,1],ud.children))}return Ja.serialize({children:ld},{treeAdapter:ed.default})}return parse5Adapter.render=rd,parse5Adapter}var htmlparser2Adapter={},hasRequiredHtmlparser2Adapter;function requireHtmlparser2Adapter(){return hasRequiredHtmlparser2Adapter||(hasRequiredHtmlparser2Adapter=1,function(Ra){var qa=commonjsGlobal&&commonjsGlobal.__importDefault||function(td){return td&&td.__esModule?td:{default:td}};Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.render=Ra.parse=void 0;var Ja=requireLib$3();Object.defineProperty(Ra,"parse",{enumerable:!0,get:function(){return Ja.parseDocument}});var ed=requireLib$8();Object.defineProperty(Ra,"render",{enumerable:!0,get:function(){return qa(ed).default}})}(htmlparser2Adapter)),htmlparser2Adapter}var hasRequired_static;function require_static(){if(hasRequired_static)return _static;hasRequired_static=1,Object.defineProperty(_static,"__esModule",{value:!0}),_static.merge=_static.contains=_static.root=_static.parseHTML=_static.text=_static.xml=_static.html=void 0;var Ra=require$$0$6,qa=Ra.__importStar(requireOptions()),Ja=requireLib$4(),ed=requireLib$3(),td=requireParse5Adapter(),rd=requireHtmlparser2Adapter();function sd(kd,Rd,Nd){var Id,Md=Rd?typeof Rd=="string"?Ja.select(Rd,(Id=kd==null?void 0:kd._root)!==null&&Id!==void 0?Id:[],Nd):Rd:kd==null?void 0:kd._root.children;return Md?Nd.xmlMode||Nd._useHtmlParser2?rd.render(Md,Nd):td.render(Md):""}function od(kd){return typeof kd=="object"&&kd!=null&&!("length"in kd)&&!("type"in kd)}function ld(kd,Rd){!Rd&&od(kd)&&(Rd=kd,kd=void 0);var Nd=Ra.__assign(Ra.__assign(Ra.__assign({},qa.default),this?this._options:{}),qa.flatten(Rd??{}));return sd(this||void 0,kd,Nd)}_static.html=ld;function cd(kd){var Rd=Ra.__assign(Ra.__assign({},this._options),{xmlMode:!0});return sd(this,kd,Rd)}_static.xml=cd;function ud(kd){for(var Rd=kd||(this?this.root():[]),Nd="",Id=0;Id<Rd.length;Id++){var Md=Rd[Id];ed.DomUtils.isText(Md)?Nd+=Md.data:ed.DomUtils.hasChildren(Md)&&Md.type!==ed.ElementType.Comment&&Md.type!==ed.ElementType.Script&&Md.type!==ed.ElementType.Style&&(Nd+=ud(Md.children))}return Nd}_static.text=ud;function _d(kd,Rd,Nd){if(Nd===void 0&&(Nd=typeof Rd=="boolean"?Rd:!1),!kd||typeof kd!="string")return null;typeof Rd=="boolean"&&(Nd=Rd);var Id=this.load(kd,qa.default,!1);return Nd||Id("script").remove(),Id.root()[0].children.slice()}_static.parseHTML=_d;function yd(){return this(this._root)}_static.root=yd;function gd(kd,Rd){if(Rd===kd)return!1;for(var Nd=Rd;Nd&&Nd!==Nd.parent;)if(Nd=Nd.parent,Nd===kd)return!0;return!1}_static.contains=gd;function Ed(kd,Rd){if(!(!Td(kd)||!Td(Rd))){for(var Nd=kd.length,Id=+Rd.length,Md=0;Md<Id;Md++)kd[Nd++]=Rd[Md];return kd.length=Nd,kd}}_static.merge=Ed;function Td(kd){if(Array.isArray(kd))return!0;if(typeof kd!="object"||!Object.prototype.hasOwnProperty.call(kd,"length")||typeof kd.length!="number"||kd.length<0)return!1;for(var Rd=0;Rd<kd.length;Rd++)if(!(Rd in kd))return!1;return!0}return _static}var cheerio$1={},parse$1={},hasRequiredParse;function requireParse(){if(hasRequiredParse)return parse$1;hasRequiredParse=1,Object.defineProperty(parse$1,"__esModule",{value:!0}),parse$1.update=void 0;var Ra=requireLib$3(),qa=requireHtmlparser2Adapter(),Ja=requireParse5Adapter(),ed=requireLib$a();function td(sd,od,ld){if(typeof Buffer$2<"u"&&Buffer$2.isBuffer(sd)&&(sd=sd.toString()),typeof sd=="string")return od.xmlMode||od._useHtmlParser2?qa.parse(sd,od):Ja.parse(sd,od,ld);var cd=sd;if(!Array.isArray(cd)&&ed.isDocument(cd))return cd;var ud=new ed.Document([]);return rd(cd,ud),ud}parse$1.default=td;function rd(sd,od){var ld=Array.isArray(sd)?sd:[sd];od?od.children=ld:od=null;for(var cd=0;cd<ld.length;cd++){var ud=ld[cd];ud.parent&&ud.parent.children!==ld&&Ra.DomUtils.removeElement(ud),od?(ud.prev=ld[cd-1]||null,ud.next=ld[cd+1]||null):ud.prev=ud.next=null,ud.parent=od}return od}return parse$1.update=rd,parse$1}var utils$5={},hasRequiredUtils$2;function requireUtils$2(){if(hasRequiredUtils$2)return utils$5;hasRequiredUtils$2=1,Object.defineProperty(utils$5,"__esModule",{value:!0}),utils$5.isHtml=utils$5.cloneDom=utils$5.domEach=utils$5.cssCase=utils$5.camelCase=utils$5.isCheerio=utils$5.isTag=void 0;var Ra=requireLib$3(),qa=requireLib$a();utils$5.isTag=Ra.DomUtils.isTag;function Ja(cd){return cd.cheerio!=null}utils$5.isCheerio=Ja;function ed(cd){return cd.replace(/[_.-](\w|$)/g,function(ud,_d){return _d.toUpperCase()})}utils$5.camelCase=ed;function td(cd){return cd.replace(/[A-Z]/g,"-$&").toLowerCase()}utils$5.cssCase=td;function rd(cd,ud){for(var _d=cd.length,yd=0;yd<_d;yd++)ud(cd[yd],yd);return cd}utils$5.domEach=rd;function sd(cd){var ud="length"in cd?Array.prototype.map.call(cd,function(yd){return qa.cloneNode(yd,!0)}):[qa.cloneNode(cd,!0)],_d=new qa.Document(ud);return ud.forEach(function(yd){yd.parent=_d}),ud}utils$5.cloneDom=sd;var od=/<[a-zA-Z][^]*>/;function ld(cd){return od.test(cd)}return utils$5.isHtml=ld,utils$5}var attributes={},hasRequiredAttributes;function requireAttributes(){if(hasRequiredAttributes)return attributes;hasRequiredAttributes=1,Object.defineProperty(attributes,"__esModule",{value:!0}),attributes.toggleClass=attributes.removeClass=attributes.addClass=attributes.hasClass=attributes.removeAttr=attributes.val=attributes.data=attributes.prop=attributes.attr=void 0;var Ra=require_static(),qa=requireUtils$2(),Ja=Object.prototype.hasOwnProperty,ed=/\s+/,td="data-",rd={null:null,true:!0,false:!1},sd=/^(?:autofocus|autoplay|async|checked|controls|defer|disabled|hidden|loop|multiple|open|readonly|required|scoped|selected)$/i,od=/^{[^]*}$|^\[[^]*]$/;function ld(Ud,Hd,Vd){var Jd;if(!(!Ud||!qa.isTag(Ud))){if((Jd=Ud.attribs)!==null&&Jd!==void 0||(Ud.attribs={}),!Hd)return Ud.attribs;if(Ja.call(Ud.attribs,Hd))return!Vd&&sd.test(Hd)?Hd:Ud.attribs[Hd];if(Ud.name==="option"&&Hd==="value")return Ra.text(Ud.children);if(Ud.name==="input"&&(Ud.attribs.type==="radio"||Ud.attribs.type==="checkbox")&&Hd==="value")return"on"}}function cd(Ud,Hd,Vd){Vd===null?Nd(Ud,Hd):Ud.attribs[Hd]=""+Vd}function ud(Ud,Hd){if(typeof Ud=="object"||Hd!==void 0){if(typeof Hd=="function"){if(typeof Ud!="string")throw new Error("Bad combination of arguments.");return qa.domEach(this,function(Vd,Jd){qa.isTag(Vd)&&cd(Vd,Ud,Hd.call(Vd,Jd,Vd.attribs[Ud]))})}return qa.domEach(this,function(Vd){qa.isTag(Vd)&&(typeof Ud=="object"?Object.keys(Ud).forEach(function(Jd){var Zd=Ud[Jd];cd(Vd,Jd,Zd)}):cd(Vd,Ud,Hd))})}return arguments.length>1?this:ld(this[0],Ud,this.options.xmlMode)}attributes.attr=ud;function _d(Ud,Hd,Vd){if(!(!Ud||!qa.isTag(Ud)))return Hd in Ud?Ud[Hd]:!Vd&&sd.test(Hd)?ld(Ud,Hd,!1)!==void 0:ld(Ud,Hd,Vd)}function yd(Ud,Hd,Vd,Jd){Hd in Ud?Ud[Hd]=Vd:cd(Ud,Hd,!Jd&&sd.test(Hd)?Vd?"":null:""+Vd)}function gd(Ud,Hd){var Vd=this;if(typeof Ud=="string"&&Hd===void 0)switch(Ud){case"style":{var Jd=this.css(),Zd=Object.keys(Jd);return Zd.forEach(function(Xd,hf){Jd[hf]=Xd}),Jd.length=Zd.length,Jd}case"tagName":case"nodeName":{var pf=this[0];return qa.isTag(pf)?pf.name.toUpperCase():void 0}case"outerHTML":return this.clone().wrap("<container />").parent().html();case"innerHTML":return this.html();default:return _d(this[0],Ud,this.options.xmlMode)}if(typeof Ud=="object"||Hd!==void 0){if(typeof Hd=="function"){if(typeof Ud=="object")throw new Error("Bad combination of arguments.");return qa.domEach(this,function(Xd,hf){qa.isTag(Xd)&&yd(Xd,Ud,Hd.call(Xd,hf,_d(Xd,Ud,Vd.options.xmlMode)),Vd.options.xmlMode)})}return qa.domEach(this,function(Xd){qa.isTag(Xd)&&(typeof Ud=="object"?Object.keys(Ud).forEach(function(hf){var _f=Ud[hf];yd(Xd,hf,_f,Vd.options.xmlMode)}):yd(Xd,Ud,Hd,Vd.options.xmlMode))})}}attributes.prop=gd;function Ed(Ud,Hd,Vd){var Jd,Zd=Ud;(Jd=Zd.data)!==null&&Jd!==void 0||(Zd.data={}),typeof Hd=="object"?Object.assign(Zd.data,Hd):typeof Hd=="string"&&Vd!==void 0&&(Zd.data[Hd]=Vd)}function Td(Ud,Hd){var Vd,Jd,Zd;Hd==null?(Vd=Object.keys(Ud.attribs).filter(function(_f){return _f.startsWith(td)}),Jd=Vd.map(function(_f){return qa.camelCase(_f.slice(td.length))})):(Vd=[td+qa.cssCase(Hd)],Jd=[Hd]);for(var pf=0;pf<Vd.length;++pf){var Xd=Vd[pf],hf=Jd[pf];if(Ja.call(Ud.attribs,Xd)&&!Ja.call(Ud.data,hf)){if(Zd=Ud.attribs[Xd],Ja.call(rd,Zd))Zd=rd[Zd];else if(Zd===String(Number(Zd)))Zd=Number(Zd);else if(od.test(Zd))try{Zd=JSON.parse(Zd)}catch{}Ud.data[hf]=Zd}}return Hd==null?Ud.data:Zd}function kd(Ud,Hd){var Vd,Jd=this[0];if(!(!Jd||!qa.isTag(Jd))){var Zd=Jd;return(Vd=Zd.data)!==null&&Vd!==void 0||(Zd.data={}),Ud?typeof Ud=="object"||Hd!==void 0?(qa.domEach(this,function(pf){qa.isTag(pf)&&(typeof Ud=="object"?Ed(pf,Ud):Ed(pf,Ud,Hd))}),this):Ja.call(Zd.data,Ud)?Zd.data[Ud]:Td(Zd,Ud):Td(Zd)}}attributes.data=kd;function Rd(Ud){var Hd=arguments.length===0,Vd=this[0];if(!Vd||!qa.isTag(Vd))return Hd?void 0:this;switch(Vd.name){case"textarea":return this.text(Ud);case"select":{var Jd=this.find("option:selected");if(!Hd){if(this.attr("multiple")==null&&typeof Ud=="object")return this;this.find("option").removeAttr("selected");for(var Zd=typeof Ud!="object"?[Ud]:Ud,pf=0;pf<Zd.length;pf++)this.find('option[value="'+Zd[pf]+'"]').attr("selected","");return this}return this.attr("multiple")?Jd.toArray().map(function(Xd){return Ra.text(Xd.children)}):Jd.attr("value")}case"input":case"option":return Hd?this.attr("value"):this.attr("value",Ud)}}attributes.val=Rd;function Nd(Ud,Hd){!Ud.attribs||!Ja.call(Ud.attribs,Hd)||delete Ud.attribs[Hd]}function Id(Ud){return Ud?Ud.trim().split(ed):[]}function Md(Ud){for(var Hd=Id(Ud),Vd=function(pf){qa.domEach(Jd,function(Xd){qa.isTag(Xd)&&Nd(Xd,Hd[pf])})},Jd=this,Zd=0;Zd<Hd.length;Zd++)Vd(Zd);return this}attributes.removeAttr=Md;function Ld(Ud){return this.toArray().some(function(Hd){var Vd=qa.isTag(Hd)&&Hd.attribs.class,Jd=-1;if(Vd&&Ud.length)for(;(Jd=Vd.indexOf(Ud,Jd+1))>-1;){var Zd=Jd+Ud.length;if((Jd===0||ed.test(Vd[Jd-1]))&&(Zd===Vd.length||ed.test(Vd[Zd])))return!0}return!1})}attributes.hasClass=Ld;function Pd(Ud){if(typeof Ud=="function")return qa.domEach(this,function(xf,Lf){if(qa.isTag(xf)){var Wf=xf.attribs.class||"";Pd.call([xf],Ud.call(xf,Lf,Wf))}});if(!Ud||typeof Ud!="string")return this;for(var Hd=Ud.split(ed),Vd=this.length,Jd=0;Jd<Vd;Jd++){var Zd=this[Jd];if(qa.isTag(Zd)){var pf=ld(Zd,"class",!1);if(!pf)cd(Zd,"class",Hd.join(" ").trim());else{for(var Xd=" "+pf+" ",hf=0;hf<Hd.length;hf++){var _f=Hd[hf]+" ";Xd.includes(" "+_f)||(Xd+=_f)}cd(Zd,"class",Xd.trim())}}}return this}attributes.addClass=Pd;function qd(Ud){if(typeof Ud=="function")return qa.domEach(this,function(Zd,pf){qa.isTag(Zd)&&qd.call([Zd],Ud.call(Zd,pf,Zd.attribs.class||""))});var Hd=Id(Ud),Vd=Hd.length,Jd=arguments.length===0;return qa.domEach(this,function(Zd){if(qa.isTag(Zd))if(Jd)Zd.attribs.class="";else{for(var pf=Id(Zd.attribs.class),Xd=!1,hf=0;hf<Vd;hf++){var _f=pf.indexOf(Hd[hf]);_f>=0&&(pf.splice(_f,1),Xd=!0,hf--)}Xd&&(Zd.attribs.class=pf.join(" "))}})}attributes.removeClass=qd;function Yd(Ud,Hd){if(typeof Ud=="function")return qa.domEach(this,function(Wf,Yf){qa.isTag(Wf)&&Yd.call([Wf],Ud.call(Wf,Yf,Wf.attribs.class||"",Hd),Hd)});if(!Ud||typeof Ud!="string")return this;for(var Vd=Ud.split(ed),Jd=Vd.length,Zd=typeof Hd=="boolean"?Hd?1:-1:0,pf=this.length,Xd=0;Xd<pf;Xd++){var hf=this[Xd];if(qa.isTag(hf)){for(var _f=Id(hf.attribs.class),xf=0;xf<Jd;xf++){var Lf=_f.indexOf(Vd[xf]);Zd>=0&&Lf<0?_f.push(Vd[xf]):Zd<=0&&Lf>=0&&_f.splice(Lf,1)}hf.attribs.class=_f.join(" ")}}return this}return attributes.toggleClass=Yd,attributes}var traversing={},hasRequiredTraversing;function requireTraversing(){if(hasRequiredTraversing)return traversing;hasRequiredTraversing=1,Object.defineProperty(traversing,"__esModule",{value:!0}),traversing.addBack=traversing.add=traversing.end=traversing.slice=traversing.index=traversing.toArray=traversing.get=traversing.eq=traversing.last=traversing.first=traversing.has=traversing.not=traversing.is=traversing.filterArray=traversing.filter=traversing.map=traversing.each=traversing.contents=traversing.children=traversing.siblings=traversing.prevUntil=traversing.prevAll=traversing.prev=traversing.nextUntil=traversing.nextAll=traversing.next=traversing.closest=traversing.parentsUntil=traversing.parents=traversing.parent=traversing.find=void 0;var Ra=require$$0$6,qa=requireLib$a(),Ja=Ra.__importStar(requireLib$4()),ed=requireUtils$2(),td=require_static(),rd=requireLib$3(),sd=rd.DomUtils.uniqueSort,od=/^\s*[~+]/;function ld(xf){var Lf;if(!xf)return this._make([]);var Wf=this.toArray();if(typeof xf!="string"){var Yf=ed.isCheerio(xf)?xf.toArray():[xf];return this._make(Yf.filter(function(wf){return Wf.some(function(Kf){return td.contains(Kf,wf)})}))}var If=od.test(xf)?Wf:this.children().toArray(),Sf={context:Wf,root:(Lf=this._root)===null||Lf===void 0?void 0:Lf[0],xmlMode:this.options.xmlMode};return this._make(Ja.select(xf,If,Sf))}traversing.find=ld;function cd(xf){return function(Lf){for(var Wf=[],Yf=1;Yf<arguments.length;Yf++)Wf[Yf-1]=arguments[Yf];return function(If){var Sf,wf=xf(Lf,this);return If&&(wf=Md(wf,If,this.options.xmlMode,(Sf=this._root)===null||Sf===void 0?void 0:Sf[0])),this._make(this.length>1&&wf.length>1?Wf.reduce(function(Kf,Gf){return Gf(Kf)},wf):wf)}}}var ud=cd(function(xf,Lf){for(var Wf,Yf=[],If=0;If<Lf.length;If++){var Sf=xf(Lf[If]);Yf.push(Sf)}return(Wf=new Array).concat.apply(Wf,Yf)}),_d=cd(function(xf,Lf){for(var Wf=[],Yf=0;Yf<Lf.length;Yf++){var If=xf(Lf[Yf]);If!==null&&Wf.push(If)}return Wf});function yd(xf){for(var Lf=[],Wf=1;Wf<arguments.length;Wf++)Lf[Wf-1]=arguments[Wf];var Yf=null,If=cd(function(Sf,wf){var Kf=[];return ed.domEach(wf,function(Gf){for(var gf;(gf=Sf(Gf))&&!(Yf!=null&&Yf(gf,Kf.length));Gf=gf)Kf.push(gf)}),Kf}).apply(void 0,Ra.__spreadArray([xf],Lf));return function(Sf,wf){var Kf=this;Yf=typeof Sf=="string"?function(gf){return Ja.is(gf,Sf,Kf.options)}:Sf?Nd(Sf):null;var Gf=If.call(this,wf);return Yf=null,Gf}}function gd(xf){return Array.from(new Set(xf))}traversing.parent=_d(function(xf){var Lf=xf.parent;return Lf&&!qa.isDocument(Lf)?Lf:null},gd),traversing.parents=ud(function(xf){for(var Lf=[];xf.parent&&!qa.isDocument(xf.parent);)Lf.push(xf.parent),xf=xf.parent;return Lf},sd,function(xf){return xf.reverse()}),traversing.parentsUntil=yd(function(xf){var Lf=xf.parent;return Lf&&!qa.isDocument(Lf)?Lf:null},sd,function(xf){return xf.reverse()});function Ed(xf){var Lf=this,Wf=[];return xf?(ed.domEach(this,function(Yf){for(var If;Yf&&Yf.type!=="root";){if(!xf||Md([Yf],xf,Lf.options.xmlMode,(If=Lf._root)===null||If===void 0?void 0:If[0]).length){Yf&&!Wf.includes(Yf)&&Wf.push(Yf);break}Yf=Yf.parent}}),this._make(Wf)):this._make(Wf)}traversing.closest=Ed,traversing.next=_d(function(xf){return rd.DomUtils.nextElementSibling(xf)}),traversing.nextAll=ud(function(xf){for(var Lf=[];xf.next;)xf=xf.next,ed.isTag(xf)&&Lf.push(xf);return Lf},gd),traversing.nextUntil=yd(function(xf){return rd.DomUtils.nextElementSibling(xf)},gd),traversing.prev=_d(function(xf){return rd.DomUtils.prevElementSibling(xf)}),traversing.prevAll=ud(function(xf){for(var Lf=[];xf.prev;)xf=xf.prev,ed.isTag(xf)&&Lf.push(xf);return Lf},gd),traversing.prevUntil=yd(function(xf){return rd.DomUtils.prevElementSibling(xf)},gd),traversing.siblings=ud(function(xf){return rd.DomUtils.getSiblings(xf).filter(function(Lf){return ed.isTag(Lf)&&Lf!==xf})},sd),traversing.children=ud(function(xf){return rd.DomUtils.getChildren(xf).filter(ed.isTag)},gd);function Td(){var xf=this.toArray().reduce(function(Lf,Wf){return qa.hasChildren(Wf)?Lf.concat(Wf.children):Lf},[]);return this._make(xf)}traversing.contents=Td;function kd(xf){for(var Lf=0,Wf=this.length;Lf<Wf&&xf.call(this[Lf],Lf,this[Lf])!==!1;)++Lf;return this}traversing.each=kd;function Rd(xf){for(var Lf=[],Wf=0;Wf<this.length;Wf++){var Yf=this[Wf],If=xf.call(Yf,Wf,Yf);If!=null&&(Lf=Lf.concat(If))}return this._make(Lf)}traversing.map=Rd;function Nd(xf){return typeof xf=="function"?function(Lf,Wf){return xf.call(Lf,Wf,Lf)}:ed.isCheerio(xf)?function(Lf){return Array.prototype.includes.call(xf,Lf)}:function(Lf){return xf===Lf}}function Id(xf){var Lf;return this._make(Md(this.toArray(),xf,this.options.xmlMode,(Lf=this._root)===null||Lf===void 0?void 0:Lf[0]))}traversing.filter=Id;function Md(xf,Lf,Wf,Yf){return typeof Lf=="string"?Ja.filter(Lf,xf,{xmlMode:Wf,root:Yf}):xf.filter(Nd(Lf))}traversing.filterArray=Md;function Ld(xf){var Lf=this.toArray();return typeof xf=="string"?Ja.some(Lf.filter(ed.isTag),xf,this.options):xf?Lf.some(Nd(xf)):!1}traversing.is=Ld;function Pd(xf){var Lf=this.toArray();if(typeof xf=="string"){var Wf=new Set(Ja.filter(xf,Lf,this.options));Lf=Lf.filter(function(If){return!Wf.has(If)})}else{var Yf=Nd(xf);Lf=Lf.filter(function(If,Sf){return!Yf(If,Sf)})}return this._make(Lf)}traversing.not=Pd;function qd(xf){var Lf=this;return this.filter(typeof xf=="string"?":has("+xf+")":function(Wf,Yf){return Lf._make(Yf).find(xf).length>0})}traversing.has=qd;function Yd(){return this.length>1?this._make(this[0]):this}traversing.first=Yd;function Ud(){return this.length>0?this._make(this[this.length-1]):this}traversing.last=Ud;function Hd(xf){var Lf;return xf=+xf,xf===0&&this.length<=1?this:(xf<0&&(xf=this.length+xf),this._make((Lf=this[xf])!==null&&Lf!==void 0?Lf:[]))}traversing.eq=Hd;function Vd(xf){return xf==null?this.toArray():this[xf<0?this.length+xf:xf]}traversing.get=Vd;function Jd(){return Array.prototype.slice.call(this)}traversing.toArray=Jd;function Zd(xf){var Lf,Wf;return xf==null?(Lf=this.parent().children(),Wf=this[0]):typeof xf=="string"?(Lf=this._make(xf),Wf=this[0]):(Lf=this,Wf=ed.isCheerio(xf)?xf[0]:xf),Array.prototype.indexOf.call(Lf,Wf)}traversing.index=Zd;function pf(xf,Lf){return this._make(Array.prototype.slice.call(this,xf,Lf))}traversing.slice=pf;function Xd(){var xf;return(xf=this.prevObject)!==null&&xf!==void 0?xf:this._make([])}traversing.end=Xd;function hf(xf,Lf){var Wf=this._make(xf,Lf),Yf=sd(Ra.__spreadArray(Ra.__spreadArray([],this.get()),Wf.get()));return this._make(Yf)}traversing.add=hf;function _f(xf){return this.prevObject?this.add(xf?this.prevObject.filter(xf):this.prevObject):this}return traversing.addBack=_f,traversing}var manipulation={},hasRequiredManipulation;function requireManipulation(){if(hasRequiredManipulation)return manipulation;hasRequiredManipulation=1,Object.defineProperty(manipulation,"__esModule",{value:!0}),manipulation.clone=manipulation.text=manipulation.toString=manipulation.html=manipulation.empty=manipulation.replaceWith=manipulation.remove=manipulation.insertBefore=manipulation.before=manipulation.insertAfter=manipulation.after=manipulation.wrapAll=manipulation.unwrap=manipulation.wrapInner=manipulation.wrap=manipulation.prepend=manipulation.append=manipulation.prependTo=manipulation.appendTo=manipulation._makeDomArray=void 0;var Ra=require$$0$6,qa=requireLib$a(),Ja=requireLib$a(),ed=Ra.__importStar(requireParse()),td=require_static(),rd=requireUtils$2(),sd=requireLib$3();function od(Hd,Vd){var Jd=this;return Hd==null?[]:rd.isCheerio(Hd)?Vd?rd.cloneDom(Hd.get()):Hd.get():Array.isArray(Hd)?Hd.reduce(function(Zd,pf){return Zd.concat(Jd._makeDomArray(pf,Vd))},[]):typeof Hd=="string"?ed.default(Hd,this.options,!1).children:Vd?rd.cloneDom([Hd]):[Hd]}manipulation._makeDomArray=od;function ld(Hd){return function(){for(var Vd=this,Jd=[],Zd=0;Zd<arguments.length;Zd++)Jd[Zd]=arguments[Zd];var pf=this.length-1;return rd.domEach(this,function(Xd,hf){if(qa.hasChildren(Xd)){var _f=typeof Jd[0]=="function"?Jd[0].call(Xd,hf,td.html(Xd.children)):Jd,xf=Vd._makeDomArray(_f,hf<pf);Hd(xf,Xd.children,Xd)}})}}function cd(Hd,Vd,Jd,Zd,pf){for(var Xd,hf,_f=Ra.__spreadArray([Vd,Jd],Zd),xf=Hd[Vd-1]||null,Lf=Hd[Vd+Jd]||null,Wf=0;Wf<Zd.length;++Wf){var Yf=Zd[Wf],If=Yf.parent;if(If){var Sf=If.children.indexOf(Zd[Wf]);Sf>-1&&(If.children.splice(Sf,1),pf===If&&Vd>Sf&&_f[0]--)}Yf.parent=pf,Yf.prev&&(Yf.prev.next=(Xd=Yf.next)!==null&&Xd!==void 0?Xd:null),Yf.next&&(Yf.next.prev=(hf=Yf.prev)!==null&&hf!==void 0?hf:null),Yf.prev=Zd[Wf-1]||xf,Yf.next=Zd[Wf+1]||Lf}return xf&&(xf.next=Zd[0]),Lf&&(Lf.prev=Zd[Zd.length-1]),Hd.splice.apply(Hd,_f)}function ud(Hd){var Vd=rd.isCheerio(Hd)?Hd:this._make(Hd);return Vd.append(this),this}manipulation.appendTo=ud;function _d(Hd){var Vd=rd.isCheerio(Hd)?Hd:this._make(Hd);return Vd.prepend(this),this}manipulation.prependTo=_d,manipulation.append=ld(function(Hd,Vd,Jd){cd(Vd,Vd.length,0,Hd,Jd)}),manipulation.prepend=ld(function(Hd,Vd,Jd){cd(Vd,0,0,Hd,Jd)});function yd(Hd){return function(Vd){for(var Jd=this.length-1,Zd=this.parents().last(),pf=0;pf<this.length;pf++){var Xd=this[pf],hf=typeof Vd=="function"?Vd.call(Xd,pf,Xd):typeof Vd=="string"&&!rd.isHtml(Vd)?Zd.find(Vd).clone():Vd,_f=this._makeDomArray(hf,pf<Jd)[0];if(!(!_f||!sd.DomUtils.hasChildren(_f))){for(var xf=_f,Lf=0;Lf<xf.children.length;){var Wf=xf.children[Lf];rd.isTag(Wf)?(xf=Wf,Lf=0):Lf++}Hd(Xd,xf,[_f])}}return this}}manipulation.wrap=yd(function(Hd,Vd,Jd){var Zd=Hd.parent;if(Zd){var pf=Zd.children,Xd=pf.indexOf(Hd);ed.update([Hd],Vd),cd(pf,Xd,0,Jd,Zd)}}),manipulation.wrapInner=yd(function(Hd,Vd,Jd){qa.hasChildren(Hd)&&(ed.update(Hd.children,Vd),ed.update(Jd,Hd))});function gd(Hd){var Vd=this;return this.parent(Hd).not("body").each(function(Jd,Zd){Vd._make(Zd).replaceWith(Zd.children)}),this}manipulation.unwrap=gd;function Ed(Hd){var Vd=this[0];if(Vd){for(var Jd=this._make(typeof Hd=="function"?Hd.call(Vd,0,Vd):Hd).insertBefore(Vd),Zd=void 0,pf=0;pf<Jd.length;pf++)Jd[pf].type==="tag"&&(Zd=Jd[pf]);for(var Xd=0;Zd&&Xd<Zd.children.length;){var hf=Zd.children[Xd];hf.type==="tag"?(Zd=hf,Xd=0):Xd++}Zd&&this._make(Zd).append(this)}return this}manipulation.wrapAll=Ed;function Td(){for(var Hd=this,Vd=[],Jd=0;Jd<arguments.length;Jd++)Vd[Jd]=arguments[Jd];var Zd=this.length-1;return rd.domEach(this,function(pf,Xd){var hf=pf.parent;if(!(!sd.DomUtils.hasChildren(pf)||!hf)){var _f=hf.children,xf=_f.indexOf(pf);if(!(xf<0)){var Lf=typeof Vd[0]=="function"?Vd[0].call(pf,Xd,td.html(pf.children)):Vd,Wf=Hd._makeDomArray(Lf,Xd<Zd);cd(_f,xf+1,0,Wf,hf)}}})}manipulation.after=Td;function kd(Hd){var Vd=this;typeof Hd=="string"&&(Hd=this._make(Hd)),this.remove();var Jd=[];return this._makeDomArray(Hd).forEach(function(Zd){var pf=Vd.clone().toArray(),Xd=Zd.parent;if(Xd){var hf=Xd.children,_f=hf.indexOf(Zd);_f<0||(cd(hf,_f+1,0,pf,Xd),Jd.push.apply(Jd,pf))}}),this._make(Jd)}manipulation.insertAfter=kd;function Rd(){for(var Hd=this,Vd=[],Jd=0;Jd<arguments.length;Jd++)Vd[Jd]=arguments[Jd];var Zd=this.length-1;return rd.domEach(this,function(pf,Xd){var hf=pf.parent;if(!(!sd.DomUtils.hasChildren(pf)||!hf)){var _f=hf.children,xf=_f.indexOf(pf);if(!(xf<0)){var Lf=typeof Vd[0]=="function"?Vd[0].call(pf,Xd,td.html(pf.children)):Vd,Wf=Hd._makeDomArray(Lf,Xd<Zd);cd(_f,xf,0,Wf,hf)}}})}manipulation.before=Rd;function Nd(Hd){var Vd=this,Jd=this._make(Hd);this.remove();var Zd=[];return rd.domEach(Jd,function(pf){var Xd=Vd.clone().toArray(),hf=pf.parent;if(hf){var _f=hf.children,xf=_f.indexOf(pf);xf<0||(cd(_f,xf,0,Xd,hf),Zd.push.apply(Zd,Xd))}}),this._make(Zd)}manipulation.insertBefore=Nd;function Id(Hd){var Vd=Hd?this.filter(Hd):this;return rd.domEach(Vd,function(Jd){sd.DomUtils.removeElement(Jd),Jd.prev=Jd.next=Jd.parent=null}),this}manipulation.remove=Id;function Md(Hd){var Vd=this;return rd.domEach(this,function(Jd,Zd){var pf=Jd.parent;if(pf){var Xd=pf.children,hf=typeof Hd=="function"?Hd.call(Jd,Zd,Jd):Hd,_f=Vd._makeDomArray(hf);ed.update(_f,null);var xf=Xd.indexOf(Jd);cd(Xd,xf,1,_f,pf),_f.includes(Jd)||(Jd.parent=Jd.prev=Jd.next=null)}})}manipulation.replaceWith=Md;function Ld(){return rd.domEach(this,function(Hd){sd.DomUtils.hasChildren(Hd)&&(Hd.children.forEach(function(Vd){Vd.next=Vd.prev=Vd.parent=null}),Hd.children.length=0)})}manipulation.empty=Ld;function Pd(Hd){if(Hd===void 0){var Vd=this[0];return!Vd||!sd.DomUtils.hasChildren(Vd)?null:td.html(Vd.children,this.options)}var Jd=Ra.__assign(Ra.__assign({},this.options),{context:null});return rd.domEach(this,function(Zd){if(sd.DomUtils.hasChildren(Zd)){Zd.children.forEach(function(Xd){Xd.next=Xd.prev=Xd.parent=null}),Jd.context=Zd;var pf=rd.isCheerio(Hd)?Hd.toArray():ed.default(""+Hd,Jd,!1).children;ed.update(pf,Zd)}})}manipulation.html=Pd;function qd(){return td.html(this,this.options)}manipulation.toString=qd;function Yd(Hd){var Vd=this;return Hd===void 0?td.text(this):typeof Hd=="function"?rd.domEach(this,function(Jd,Zd){Yd.call(Vd._make(Jd),Hd.call(Jd,Zd,td.text([Jd])))}):rd.domEach(this,function(Jd){if(sd.DomUtils.hasChildren(Jd)){Jd.children.forEach(function(pf){pf.next=pf.prev=pf.parent=null});var Zd=new Ja.Text(Hd);ed.update(Zd,Jd)}})}manipulation.text=Yd;function Ud(){return this._make(rd.cloneDom(this.get()))}return manipulation.clone=Ud,manipulation}var css$1={},hasRequiredCss;function requireCss(){if(hasRequiredCss)return css$1;hasRequiredCss=1,Object.defineProperty(css$1,"__esModule",{value:!0}),css$1.css=void 0;var Ra=requireUtils$2();function qa(sd,od){return sd!=null&&od!=null||typeof sd=="object"&&!Array.isArray(sd)?Ra.domEach(this,function(ld,cd){Ra.isTag(ld)&&Ja(ld,sd,od,cd)}):ed(this[0],sd)}css$1.css=qa;function Ja(sd,od,ld,cd){if(typeof od=="string"){var ud=ed(sd),_d=typeof ld=="function"?ld.call(sd,cd,ud[od]):ld;_d===""?delete ud[od]:_d!=null&&(ud[od]=_d),sd.attribs.style=td(ud)}else typeof od=="object"&&Object.keys(od).forEach(function(yd,gd){Ja(sd,yd,od[yd],gd)})}function ed(sd,od){if(!(!sd||!Ra.isTag(sd))){var ld=rd(sd.attribs.style);if(typeof od=="string")return ld[od];if(Array.isArray(od)){var cd={};return od.forEach(function(ud){ld[ud]!=null&&(cd[ud]=ld[ud])}),cd}return ld}}function td(sd){return Object.keys(sd).reduce(function(od,ld){return""+od+(od?" ":"")+ld+": "+sd[ld]+";"},"")}function rd(sd){return sd=(sd||"").trim(),sd?sd.split(";").reduce(function(od,ld){var cd=ld.indexOf(":");return cd<1||cd===ld.length-1||(od[ld.slice(0,cd).trim()]=ld.slice(cd+1).trim()),od},{}):{}}return css$1}var forms={},hasRequiredForms;function requireForms(){if(hasRequiredForms)return forms;hasRequiredForms=1,Object.defineProperty(forms,"__esModule",{value:!0}),forms.serializeArray=forms.serialize=void 0;var Ra=requireUtils$2(),qa="input,select,textarea,keygen",Ja=/%20/g,ed=/\r?\n/g;function td(){var sd=this.serializeArray(),od=sd.map(function(ld){return encodeURIComponent(ld.name)+"="+encodeURIComponent(ld.value)});return od.join("&").replace(Ja,"+")}forms.serialize=td;function rd(){var sd=this;return this.map(function(od,ld){var cd=sd._make(ld);return Ra.isTag(ld)&&ld.name==="form"?cd.find(qa).toArray():cd.filter(qa).toArray()}).filter('[name!=""]:enabled:not(:submit, :button, :image, :reset, :file):matches([checked], :not(:checkbox, :radio))').map(function(od,ld){var cd,ud=sd._make(ld),_d=ud.attr("name"),yd=(cd=ud.val())!==null&&cd!==void 0?cd:"";return Array.isArray(yd)?yd.map(function(gd){return{name:_d,value:gd.replace(ed,`\r
|
||
`)}}):{name:_d,value:yd.replace(ed,`\r
|
||
`)}}).toArray()}return forms.serializeArray=rd,forms}var hasRequiredCheerio;function requireCheerio(){if(hasRequiredCheerio)return cheerio$1;hasRequiredCheerio=1,Object.defineProperty(cheerio$1,"__esModule",{value:!0}),cheerio$1.Cheerio=void 0;var Ra=require$$0$6,qa=Ra.__importDefault(requireParse()),Ja=Ra.__importDefault(requireOptions()),ed=requireUtils$2(),td=Ra.__importStar(requireAttributes()),rd=Ra.__importStar(requireTraversing()),sd=Ra.__importStar(requireManipulation()),od=Ra.__importStar(requireCss()),ld=Ra.__importStar(requireForms()),cd=function(){function _d(yd,gd,Ed,Td){var kd=this;if(Td===void 0&&(Td=Ja.default),this.length=0,this.options=Td,!yd)return this;if(Ed&&(typeof Ed=="string"&&(Ed=qa.default(Ed,this.options,!1)),this._root=new this.constructor(Ed,null,null,this.options),this._root._root=this._root),ed.isCheerio(yd))return yd;var Rd=typeof yd=="string"&&ed.isHtml(yd)?qa.default(yd,this.options,!1).children:ud(yd)?[yd]:Array.isArray(yd)?yd:null;if(Rd)return Rd.forEach(function(Md,Ld){kd[Ld]=Md}),this.length=Rd.length,this;var Nd=yd,Id=gd?typeof gd=="string"?ed.isHtml(gd)?this._make(qa.default(gd,this.options,!1)):(Nd=gd+" "+Nd,this._root):ed.isCheerio(gd)?gd:this._make(gd):this._root;return Id?Id.find(Nd):this}return _d.prototype._make=function(yd,gd){var Ed=new this.constructor(yd,gd,this._root,this.options);return Ed.prevObject=this,Ed},_d}();cheerio$1.Cheerio=cd,cd.prototype.cheerio="[cheerio object]",cd.prototype.splice=Array.prototype.splice,cd.prototype[Symbol.iterator]=Array.prototype[Symbol.iterator],Object.assign(cd.prototype,td,rd,sd,od,ld);function ud(_d){return!!_d.name||_d.type==="root"||_d.type==="text"||_d.type==="comment"}return cheerio$1}var hasRequiredLoad;function requireLoad(){if(hasRequiredLoad)return load;hasRequiredLoad=1,Object.defineProperty(load,"__esModule",{value:!0}),load.load=void 0;var Ra=require$$0$6,qa=Ra.__importStar(requireOptions()),Ja=Ra.__importStar(require_static()),ed=requireCheerio(),td=Ra.__importDefault(requireParse());function rd(sd,od,ld){if(ld===void 0&&(ld=!0),sd==null)throw new Error("cheerio.load() expects a string");var cd=Ra.__assign(Ra.__assign({},qa.default),qa.flatten(od)),ud=td.default(sd,cd,ld),_d=function(gd){Ra.__extends(Ed,gd);function Ed(){return gd!==null&&gd.apply(this,arguments)||this}return Ed}(ed.Cheerio);function yd(gd,Ed,Td,kd){return Td===void 0&&(Td=ud),new _d(gd,Ed,Td,Ra.__assign(Ra.__assign({},cd),qa.flatten(kd)))}return Object.assign(yd,Ja,{load:rd,_root:ud,_options:cd,fn:_d.prototype,prototype:_d.prototype}),yd}return load.load=rd,load}(function(Ra){Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.root=Ra.parseHTML=Ra.merge=Ra.contains=void 0;var qa=require$$0$6;qa.__exportStar(requireTypes(),Ra),qa.__exportStar(requireLoad(),Ra);var Ja=requireLoad();Ra.default=Ja.load([]);var ed=qa.__importStar(require_static());Ra.contains=ed.contains,Ra.merge=ed.merge,Ra.parseHTML=ed.parseHTML,Ra.root=ed.root})(lib$e);var utils$4={},lexer={exports:{}},debug={exports:{}};(function(Ra,qa){var Ja={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};Ra.exports=ed;function ed(rd){return td.bind(null,rd)}function td(rd){var sd=[].slice.call(arguments,1);sd.unshift("["+rd+"]"),Ja.stderr.write(sd.join(" ")+`
|
||
`)}})(debug);var debugExports=debug.exports;(function(Ra,qa){debugExports("lex"),Ra.exports=Ja;function Ja(ed){var td="",rd,sd=0,od=-1,ld=0,cd=1,ud="before-selector",_d=[ud],yd={},gd=[],Ed=["media","keyframes",{name:"-webkit-keyframes",type:"keyframes",prefix:"-webkit-"},{name:"-moz-keyframes",type:"keyframes",prefix:"-moz-"},{name:"-ms-keyframes",type:"keyframes",prefix:"-ms-"},{name:"-o-keyframes",type:"keyframes",prefix:"-o-"},"font-face",{name:"import",state:"before-at-value"},{name:"charset",state:"before-at-value"},"supports","viewport",{name:"namespace",state:"before-at-value"},"document",{name:"-moz-document",type:"document",prefix:"-moz-"},"page"];function Td(){return Yd(),ed[od]}function kd(_f){return _f?_d[_d.length-1-_f]:ud}function Rd(_f){var xf=od+1;return _f===ed.slice(xf,xf+_f.length)}function Nd(_f){var xf=ed.slice(od).indexOf(_f);return xf>0?xf:!1}function Id(_f){return _f===Md(1)}function Md(_f){return ed[od+(_f||1)]}function Ld(){var _f=_d.pop();return ud=_d[_d.length-1],_f}function Pd(_f){return ud=_f,_d.push(ud),_d.length}function qd(_f){var xf=ud;return _d[_d.length-1]=ud=_f,xf}function Yd(_f){if((_f||1)==1)ed[od]==`
|
||
`?(cd++,sd=1):sd++,od++;else{var xf=ed.slice(od,od+_f).split(`
|
||
`);xf.length>1&&(cd+=xf.length-1,sd=1),sd+=xf[xf.length-1].length,od=od+_f}}function Ud(){yd.end={line:cd,col:sd},gd.push(yd),td="",yd={}}function Hd(_f){yd={type:_f,start:{line:cd,col:sd}}}for(;rd=Td();)switch(rd){case" ":switch(kd()){case"selector":case"value":case"value-paren":case"at-group":case"at-value":case"comment":case"double-string":case"single-string":td+=rd;break}break;case`
|
||
`:case" ":case"\r":case"\f":switch(kd()){case"value":case"value-paren":case"at-group":case"comment":case"single-string":case"double-string":case"selector":td+=rd;break;case"at-value":rd===`
|
||
`&&(yd.value=td.trim(),Ud(),Ld());break}break;case":":switch(kd()){case"name":yd.name=td.trim(),td="",qd("before-value");break;case"before-selector":td+=rd,Hd("selector"),Pd("selector");break;case"before-value":qd("value"),td+=rd;break;default:td+=rd;break}break;case";":switch(kd()){case"name":case"before-value":case"value":td.trim().length>0&&(yd.value=td.trim(),Ud()),qd("before-name");break;case"value-paren":td+=rd;break;case"at-value":yd.value=td.trim(),Ud(),Ld();break;case"before-name":break;default:td+=rd;break}break;case"{":switch(kd()){case"selector":if(Md(-1)==="\\"){td+=rd;break}yd.text=td.trim(),Ud(),qd("before-name"),ld=ld+1;break;case"at-group":switch(yd.name=td.trim(),yd.type){case"font-face":case"viewport":case"page":Pd("before-name");break;default:Pd("before-selector")}Ud(),ld=ld+1;break;case"name":case"at-rule":yd.name=td.trim(),Ud(),Pd("before-name"),ld=ld+1;break;case"comment":case"double-string":case"single-string":td+=rd;break;case"before-value":qd("value"),td+=rd;break}break;case"}":switch(kd()){case"before-name":case"name":case"before-value":case"value":td&&(yd.value=td.trim()),yd.name&&yd.value&&Ud(),Hd("end"),Ud(),Ld(),kd()==="at-group"&&(Hd("at-group-end"),Ud(),Ld()),ld>0&&(ld=ld-1);break;case"at-group":case"before-selector":case"selector":if(Md(-1)==="\\"){td+=rd;break}ld>0&&kd(1)==="at-group"&&(Hd("at-group-end"),Ud()),ld>1&&Ld(),ld>0&&(ld=ld-1);break;case"double-string":case"single-string":case"comment":td+=rd;break}break;case'"':case"'":switch(kd()){case"double-string":rd==='"'&&Md(-1)!=="\\"&&Ld();break;case"single-string":rd==="'"&&Md(-1)!=="\\"&&Ld();break;case"before-at-value":qd("at-value"),Pd(rd==='"'?"double-string":"single-string");break;case"before-value":qd("value"),Pd(rd==='"'?"double-string":"single-string");break;case"comment":break;default:Md(-1)!=="\\"&&Pd(rd==='"'?"double-string":"single-string")}td+=rd;break;case"/":switch(kd()){case"comment":case"double-string":case"single-string":td+=rd;break;case"before-value":case"selector":case"name":case"value":if(Id("*")){var Vd=Nd("*/");Vd&&Yd(Vd+1)}else kd()=="before-value"&&qd("value"),td+=rd;break;default:Id("*")?(Hd("comment"),Pd("comment"),Yd()):td+=rd;break}break;case"*":switch(kd()){case"comment":Id("/")?(yd.text=td,Yd(),Ud(),Ld()):td+=rd;break;case"before-selector":td+=rd,Hd("selector"),Pd("selector");break;case"before-value":qd("value"),td+=rd;break;default:td+=rd}break;case"@":switch(kd()){case"comment":case"double-string":case"single-string":td+=rd;break;case"before-value":qd("value"),td+=rd;break;default:for(var Jd=!1,Zd,pf,Xd=0,hf=Ed.length;!Jd&&Xd<hf;++Xd)pf=Ed[Xd],Zd=pf.name||pf,Rd(Zd)&&(Jd=!0,Hd(Zd),Pd(pf.state||"at-group"),Yd(Zd.length),pf.prefix&&(yd.prefix=pf.prefix),pf.type&&(yd.type=pf.type));Jd||(td+=rd);break}break;case"(":switch(kd()){case"value":Pd("value-paren");break;case"before-value":qd("value");break}td+=rd;break;case")":switch(kd()){case"value-paren":Ld();break;case"before-value":qd("value");break}td+=rd;break;default:switch(kd()){case"before-selector":Hd("selector"),Pd("selector");break;case"before-name":Hd("property"),qd("name");break;case"before-value":qd("value");break;case"before-at-value":qd("at-value");break}td+=rd;break}return gd}})(lexer);var lexerExports=lexer.exports,parser$2={exports:{}};(function(Ra,qa){debugExports("parse");var Ja=lexerExports;Ra.exports=od;var ed,td,rd,sd;function od(Ld,Pd){Pd||(Pd={}),ed=!!Pd.comments,rd=!!Pd.position,td=0,sd=Array.isArray(Ld)?Ld.slice():Ja(Ld);for(var qd,Yd=[],Ud;Ud=cd();)qd=Rd(Ud),qd&&Yd.push(qd);return{type:"stylesheet",stylesheet:{rules:Yd}}}function ld(Ld,Pd){Pd||(Pd={});for(var qd,Yd=["type","name","value"],Ud={},Hd=0;Hd<Yd.length;++Hd)qd=Yd[Hd],Ld[qd]&&(Ud[qd]=Pd[qd]||Ld[qd]);for(Yd=Object.keys(Pd),Hd=0;Hd<Yd.length;++Hd)qd=Yd[Hd],Ud[qd]||(Ud[qd]=Pd[qd]);return rd&&(Ud.position={start:Ld.start,end:Ld.end}),Ud}function cd(){var Ld=sd.shift();return Ld}function ud(Ld){td=td+1;var Pd={};switch(Ld.type){case"font-face":case"viewport":Pd.declarations=Id();break;case"page":Pd.prefix=Ld.prefix,Pd.declarations=Id();break;default:Pd.prefix=Ld.prefix,Pd.rules=Md()}return ld(Ld,Pd)}function _d(Ld){return ld(Ld)}function yd(Ld){return ld(Ld)}function gd(Ld){return ld(Ld,{text:Ld.text})}function Ed(Ld){return ld(Ld)}function Td(Ld){return ld(Ld)}function kd(Ld){function Pd(qd){return qd.trim()}return ld(Ld,{type:"rule",selectors:Ld.text.split(",").map(Pd),declarations:Id()})}function Rd(Ld){switch(Ld.type){case"property":return Td(Ld);case"selector":return kd(Ld);case"at-group-end":td=td-1;return;case"media":case"keyframes":return ud(Ld);case"comment":if(ed)return gd(Ld);break;case"charset":return yd(Ld);case"import":return _d(Ld);case"namespace":return Ed(Ld);case"font-face":case"supports":case"viewport":case"document":case"page":return ud(Ld)}}function Nd(Ld){for(var Pd,qd=[],Yd;(Yd=cd())&&Ld&&Ld(Yd);)Pd=Rd(Yd),Pd&&qd.push(Pd);return Yd&&Yd.type!=="end"&&sd.unshift(Yd),qd}function Id(){return Nd(function(Ld){return Ld.type==="property"||Ld.type==="comment"})}function Md(){return Nd(function(){return td})}})(parser$2);var parserExports=parser$2.exports,stringify$1={exports:{}};(function(Ra,qa){debugExports("stringify");var Ja,ed,td,rd,sd,od;Ra.exports=ld;function ld(Md,Ld){Ld||(Ld={}),td=Ld.indentation||"",ed=!!Ld.compress,Ja=!!Ld.comments,rd=1,ed?sd=od="":(sd=`
|
||
`,od=" ");var Pd=Ed(Md.stylesheet.rules,Nd).join(`
|
||
`).trim();return Pd}function cd(Md){if(Md){rd+=Md;return}return ed?"":Array(rd).join(td||"")}function ud(Md){return"@"+Md.type+" "+Md.value+";"+sd}function _d(Md){var Ld="",Pd=Md.prefix||"";Md.name&&(Ld=" "+Md.name);var qd=Md.type!=="page";return"@"+Pd+Md.type+Ld+od+Td(Md,qd)+sd}function yd(Md){return Ja?"/*"+(Md.text||"")+"*/"+sd:""}function gd(Md){var Ld;return Md.selectors?Ld=Md.selectors.join(","+sd):(Ld="@"+Md.type,Ld+=Md.name?" "+Md.name:""),cd()+Ld+od+Td(Md)+sd}function Ed(Md,Ld){return Md.reduce(function(Pd,qd){var Yd=qd.type==="comment"?yd(qd):Ld(qd);return Yd&&Pd.push(Yd),Pd},[])}function Td(Md,Ld){var Pd=Md.declarations,qd=Rd;return Md.rules&&(Pd=Md.rules,qd=gd),Pd=kd(Pd,qd),Pd&&(Pd=sd+Pd+(Ld?"":sd)),"{"+Pd+cd()+"}"}function kd(Md,Ld){if(!Md)return"";cd(1);var Pd=Ed(Md,Ld);return cd(-1),Pd.length?Pd.join(sd):""}function Rd(Md){if(Md.type==="property")return Id(Md)}function Nd(Md){switch(Md.type){case"rule":return gd(Md);case"media":case"keyframes":return _d(Md);case"comment":return yd(Md);case"import":case"charset":case"namespace":return ud(Md);case"font-face":case"supports":case"viewport":case"document":case"page":return _d(Md)}}function Id(Md){var Ld=Md.name?Md.name+":"+od:"";return cd()+Ld+Md.value+";"}})(stringify$1);var stringifyExports=stringify$1.exports,mensch={lex:lexerExports,parse:parserExports,stringify:stringifyExports},selector={exports:{}},escapeRe=/([-.*+?^${}()|[\]\/\\])/g,unescapeRe=/\\/g,escape$1=function(Ra){return(Ra+"").replace(escapeRe,"\\$1")},unescape$1=function(Ra){return(Ra+"").replace(unescapeRe,"")},slickRe=RegExp(`^(?:\\s*(,)\\s*|\\s*(<combinator>+)\\s*|(\\s+)|(<unicode>+|\\*)|\\#(<unicode>+)|\\.(<unicode>+)|\\[\\s*(<unicode1>+)(?:\\s*([*^$!~|]?=)(?:\\s*(?:(["']?)(.*?)\\9)))?\\s*\\](?!\\])|(:+)(<unicode>+)(?:\\((?:(?:(["'])([^\\13]*)\\13)|((?:\\([^)]+\\)|[^()]*)+))\\))?)`.replace(/<combinator>/,"["+escape$1(">+~`!@$%^&={}\\;</")+"]").replace(/<unicode>/g,"(?:[\\w\\u00a1-\\uFFFF-]|\\\\[^\\s0-9a-f])").replace(/<unicode1>/g,"(?:[:\\w\\u00a1-\\uFFFF-]|\\\\[^\\s0-9a-f])")),Part=function(qa){this.combinator=qa||" ",this.tag="*"};Part.prototype.toString=function(){if(!this.raw){var Ra="",qa,Ja;if(Ra+=this.tag||"*",this.id&&(Ra+="#"+this.id),this.classes&&(Ra+="."+this.classList.join(".")),this.attributes)for(qa=0;Ja=this.attributes[qa++];)Ra+="["+Ja.name+(Ja.operator?Ja.operator+'"'+Ja.value+'"':"")+"]";if(this.pseudos)for(qa=0;Ja=this.pseudos[qa++];)Ra+=":"+Ja.name,Ja.value&&(Ra+="("+Ja.value+")");this.raw=Ra}return this.raw};var Expression=function(){this.length=0};Expression.prototype.toString=function(){if(!this.raw){for(var Ra="",qa=0,Ja;Ja=this[qa++];)qa!==1&&(Ra+=" "),Ja.combinator!==" "&&(Ra+=Ja.combinator+" "),Ra+=Ja;this.raw=Ra}return this.raw};var replacer=function(Ra,qa,Ja,ed,td,rd,sd,od,ld,cd,ud,_d,yd,gd,Ed,Td){var kd,Rd;if((qa||!this.length)&&(kd=this[this.length++]=new Expression,qa))return"";if(kd||(kd=this[this.length-1]),(Ja||ed||!kd.length)&&(Rd=kd[kd.length++]=new Part(Ja)),Rd||(Rd=kd[kd.length-1]),td)Rd.tag=unescape$1(td);else if(rd)Rd.id=unescape$1(rd);else if(sd){var Nd=unescape$1(sd),Id=Rd.classes||(Rd.classes={});if(!Id[Nd]){Id[Nd]=escape$1(sd);var Md=Rd.classList||(Rd.classList=[]);Md.push(Nd),Md.sort()}}else yd?(Td=Td||Ed,(Rd.pseudos||(Rd.pseudos=[])).push({type:_d.length==1?"class":"element",name:unescape$1(yd),escapedName:escape$1(yd),value:Td?unescape$1(Td):null,escapedValue:Td?escape$1(Td):null})):od&&(ud=ud?escape$1(ud):null,(Rd.attributes||(Rd.attributes=[])).push({operator:ld,name:unescape$1(od),escapedName:escape$1(od),value:ud?unescape$1(ud):null,escapedValue:ud?escape$1(ud):null}));return""},Expressions=function(qa){this.length=0;for(var Ja=this,ed=qa,td;qa;){if(td=qa.replace(slickRe,function(){return replacer.apply(Ja,arguments)}),td===qa)throw new Error(ed+" is an invalid expression");qa=td}};Expressions.prototype.toString=function(){if(!this.raw){for(var Ra=[],qa=0,Ja;Ja=this[qa++];)Ra.push(Ja);this.raw=Ra.join(", ")}return this.raw};var cache$1={},parse=function(Ra){return Ra==null?null:(Ra=(""+Ra).replace(/^\s+|\s+$/g,""),cache$1[Ra]||(cache$1[Ra]=new Expressions(Ra)))},parser$1=parse;(function(Ra,qa){var Ja=parser$1;Ra.exports=ed;function ed(rd,sd){this.text=rd,this.spec=void 0,this.styleAttribute=sd||!1}ed.prototype.parsed=function(){return this.tokens||(this.tokens=td(this.text)),this.tokens},ed.prototype.specificity=function(){var rd=this.styleAttribute;return this.spec||(this.spec=sd(this.text,this.parsed())),this.spec;function sd(od,ld){for(var cd=ld||td(od),ud=[rd?1:0,0,0,0],_d=[],yd=0;yd<cd.length;yd++){var gd=cd[yd],Ed=gd.pseudos;if(gd.id&&ud[1]++,gd.attributes&&(ud[2]+=gd.attributes.length),gd.classList&&(ud[2]+=gd.classList.length),gd.tag&&gd.tag!=="*"&&ud[3]++,Ed){ud[3]+=Ed.length;for(var Td=0;Td<Ed.length;Td++)Ed[Td].name==="not"&&(_d.push(Ed[Td].value),ud[3]--)}}for(var kd=_d.length;kd--;)for(var Rd=sd(_d[kd]),Nd=4;Nd--;)ud[Nd]+=Rd[Nd];return ud}};function td(rd){try{return Ja(rd)[0]}catch{return[]}}})(selector);var selectorExports=selector.exports,property={exports:{}},hasRequiredProperty;function requireProperty(){return hasRequiredProperty||(hasRequiredProperty=1,function(Ra,qa){Ra.exports=ed;var Ja=requireUtils$1();function ed(td,rd,sd,od,ld){this.prop=td,this.value=rd,this.selector=sd,this.priority=od||0,this.additionalPriority=ld||[]}ed.prototype.compareFunc=function(td){var rd=[];rd.push.apply(rd,this.selector.specificity()),rd.push.apply(rd,this.additionalPriority),rd[0]+=this.priority;var sd=[];return sd.push.apply(sd,td.selector.specificity()),sd.push.apply(sd,td.additionalPriority),sd[0]+=td.priority,Ja.compareFunc(rd,sd)},ed.prototype.compare=function(td){var rd=this.compareFunc(td);return rd===1?this:td},ed.prototype.toString=function(){return this.prop+": "+this.value.replace(/['"]+/g,"")+";"}}(property)),property.exports}var hasRequiredUtils$1;function requireUtils$1(){return hasRequiredUtils$1||(hasRequiredUtils$1=1,function(Ra){var qa=mensch,Ja=selectorExports,ed=requireProperty();Ra.Selector=Ja,Ra.Property=ed;/**
|
||
* Returns an array of the selectors.
|
||
*
|
||
* @license Sizzle CSS Selector Engine - MIT
|
||
* @param {String} selectorText from mensch
|
||
* @api public
|
||
*/Ra.extract=function(rd){for(var sd=0,od=[],ld="",cd=0,ud=rd.length;cd<ud;cd++){var _d=rd.charAt(cd);sd?((_d==="]"||_d===")")&&sd--,ld+=_d):_d===","?(od.push(ld),ld=""):((_d==="["||_d==="(")&&sd++,(ld.length||_d!==","&&_d!==`
|
||
`&&_d!==" ")&&(ld+=_d))}return ld.length&&od.push(ld),od},Ra.parseCSS=function(td){for(var rd=qa.parse(td,{position:!0,comments:!0}),sd=typeof rd.stylesheet<"u"&&rd.stylesheet.rules?rd.stylesheet.rules:[],od=[],ld=0,cd=sd.length;ld<cd;ld++)if(sd[ld].type=="rule")for(var ud=sd[ld],_d=ud.selectors,yd=0,gd=_d.length;yd<gd;yd++)od.push([_d[yd],ud.declarations]);return od},Ra.getPreservedText=function(td,rd,sd){for(var od=qa.parse(td,{position:!0,comments:!0}),ld=typeof od.stylesheet<"u"&&od.stylesheet.rules?od.stylesheet.rules:[],cd=[],ud=ld.length-1;ud>=0;ud--)(rd.fontFaces&&ld[ud].type==="font-face"||rd.mediaQueries&&ld[ud].type==="media"||rd.keyFrames&&ld[ud].type==="keyframes"||rd.pseudos&&ld[ud].selectors&&this.matchesPseudo(ld[ud].selectors[0],sd))&&cd.unshift(qa.stringify({stylesheet:{rules:[ld[ud]]}},{comments:!1,indentation:" "})),ld[ud].position.start;return cd.length===0?!1:`
|
||
`+cd.join(`
|
||
`)+`
|
||
`},Ra.normalizeLineEndings=function(td){return td.replace(/\r\n/g,`
|
||
`).replace(/\n/g,`\r
|
||
`)},Ra.matchesPseudo=function(td,rd){return rd.find(function(sd){return td.indexOf(sd)>-1})},Ra.compareFunc=function(td,rd){for(var sd=Math.min(td.length,rd.length),od=0;od<sd;od++)if(td[od]!==rd[od])return td[od]>rd[od]?1:-1;return td.length-rd.length},Ra.compare=function(td,rd){return Ra.compareFunc(td,rd)==1?td:rd},Ra.getDefaultOptions=function(td){var rd=Object.assign({extraCss:"",insertPreservedExtraCss:!0,applyStyleTags:!0,removeStyleTags:!0,preserveMediaQueries:!0,preserveFontFaces:!0,preserveKeyFrames:!0,preservePseudos:!0,applyWidthAttributes:!0,applyHeightAttributes:!0,applyAttributesTableElements:!0,url:""},td);return rd.webResources=rd.webResources||{},rd}}(utils$4)),utils$4}(function(Ra){var qa=lib$e;requireUtils$1();var Ja=function(td,rd,sd){return rd=Object.assign({decodeEntities:!1,_useHtmlParser2:!0},rd),td=sd(td),qa.load(td,rd)},ed=function(){var td=[],rd=function(od){var ld=Ra.exports.codeBlocks;return Object.keys(ld).forEach(function(cd){var ud=new RegExp(ld[cd].start+"([\\S\\s]*?)"+ld[cd].end,"g");od=od.replace(ud,function(_d,yd){return td.push(_d),"JUICE_CODE_BLOCK_"+(td.length-1)+"_"})}),od},sd=function(od){for(var ld=0;ld<td.length;ld++){var cd=new RegExp("JUICE_CODE_BLOCK_"+ld+'_(="")?',"gi");od=od.replace(cd,function(){return td[ld]})}return od};return{encodeEntities:rd,decodeEntities:sd}};Ra.exports=function(td,rd,sd,od){var ld=ed(),cd=Ja(td,rd,ld.encodeEntities),ud=[cd];ud.push.apply(ud,od);var _d=sd.apply(void 0,ud)||cd;return rd&&rd.xmlMode?ld.decodeEntities(_d.xml()):ld.decodeEntities(_d.html())},Ra.exports.codeBlocks={EJS:{start:"<%",end:"%>"},HBS:{start:"{{",end:"}}"}}})(cheerio$2);var cheerioExports=cheerio$2.exports,numbers$1={};numbers$1.romanize=function(Ra){if(isNaN(Ra))return NaN;for(var qa=String(+Ra).split(""),Ja=["","C","CC","CCC","CD","D","DC","DCC","DCCC","CM","","X","XX","XXX","XL","L","LX","LXX","LXXX","XC","","I","II","III","IV","V","VI","VII","VIII","IX"],ed="",td=3;td--;)ed=(Ja[+qa.pop()+td*10]||"")+ed;return Array(+qa.join("")+1).join("M")+ed};numbers$1.alphanumeric=function(Ra){for(var qa="",Ja;Ra>0;)Ja=(Ra-1)%26,qa=String.fromCharCode(65+Ja)+qa,Ra=(Ra-Ja)/26|0;return qa||void 0};var utils$3=requireUtils$1(),numbers=numbers$1,inline=function(qa){qa.ignoredPseudos=["hover","active","focus","visited","link"],qa.widthElements=["TABLE","TD","TH","IMG"],qa.heightElements=["TABLE","TD","TH","IMG"],qa.tableElements=["TABLE","TH","TR","TD","CAPTION","COLGROUP","COL","THEAD","TBODY","TFOOT"],qa.nonVisualElements=["HEAD","TITLE","BASE","LINK","STYLE","META","SCRIPT","NOSCRIPT"],qa.styleToAttribute={"background-color":"bgcolor","background-image":"background","text-align":"align","vertical-align":"valign"},qa.excludedProperties=[],qa.juiceDocument=ud,qa.inlineDocument=Ja;function Ja(gd,Ed,Td){Td=Td||{};var kd=utils$3.parseCSS(Ed),Rd=[],Nd="style",Id={};if(Td.styleAttributeName&&(Nd=Td.styleAttributeName),kd.forEach(Pd),Rd.forEach(qd),Td.inlinePseudoElements&&Rd.forEach(Yd),Td.applyWidthAttributes&&Rd.forEach(function(Jd){Ud(Jd,"width")}),Td.applyHeightAttributes&&Rd.forEach(function(Jd){Ud(Jd,"height")}),Td.applyAttributesTableElements&&Rd.forEach(Vd),Td.insertPreservedExtraCss&&Td.extraCss){var Md=utils$3.getPreservedText(Td.extraCss,{mediaQueries:Td.preserveMediaQueries,fontFaces:Td.preserveFontFaces,keyFrames:Td.preserveKeyFrames});if(Md){var Ld=null;Td.insertPreservedExtraCss!==!0?Ld=gd(Td.insertPreservedExtraCss):(Ld=gd("head"),Ld.length||(Ld=gd("body")),Ld.length||(Ld=gd.root())),Ld.first().append("<style>"+Md+"</style>")}}function Pd(Jd){var Zd=Jd[0],pf=Jd[1],Xd=new utils$3.Selector(Zd),hf=Xd.parsed();if(hf){for(var _f=od(hf),xf=0;xf<hf.length;++xf){var Lf=hf[xf];if(Lf.pseudos)for(var Wf=0;Wf<Lf.pseudos.length;++Wf){var Yf=Lf.pseudos[Wf];if(qa.ignoredPseudos.indexOf(Yf.name)>=0)return}}if(_f){var If=hf[hf.length-1],Sf=If.pseudos;If.pseudos=cd(If.pseudos),Zd=hf.toString(),If.pseudos=Sf}var wf;try{wf=gd(Zd)}catch{return}wf.each(function(){var Kf=this;if(Kf.name&&qa.nonVisualElements.indexOf(Kf.name.toUpperCase())>=0)return;if(_f){var Gf="pseudo"+_f,gf=Kf[Gf];gf||(gf=Kf[Gf]=gd("<span />").get(0),gf.pseudoElementType=_f,gf.pseudoElementParent=Kf,gf.counterProps=Kf.counterProps,Kf[Gf]=gf),Kf=gf}if(!Kf.styleProps){if(Kf.styleProps={},gd(Kf).attr(Nd)){var mf="* { "+gd(Kf).attr(Nd)+" } ";hh(utils$3.parseCSS(mf)[0][1],new utils$3.Selector("<style>",!0))}Rd.push(Kf)}Kf.counterProps||(Kf.counterProps=Kf.parent&&Kf.parent.counterProps?Object.create(Kf.parent.counterProps):{});function $f(Vf,kf){for(var Jf=kf.split(/\s+/),Ch=0;Ch<Jf.length;Ch++){var qf=Jf[Ch],Tf=parseInt(Jf[Ch+1],10);isNaN(Tf)?Vf.counterProps[qf]=Id[qf]=0:Vf.counterProps[qf]=Id[Jf[Ch++]]=Tf}}function zf(Vf,kf){for(var Jf=kf.split(/\s+/),Ch=0;Ch<Jf.length;Ch++){var qf=Jf[Ch];if(Vf.counterProps[qf]!==void 0){var Tf=parseInt(Jf[Ch+1],10);isNaN(Tf)?Vf.counterProps[qf]=Id[qf]+=1:Vf.counterProps[qf]=Id[Jf[Ch++]]+=Tf}}}function hh(Vf,kf){for(var Jf=0,Ch=Vf.length;Jf<Ch;Jf++)if(Vf[Jf].type=="property"){var qf=Vf[Jf].name,Tf=Vf[Jf].value;qf==="counter-reset"&&$f(Kf,Tf),qf==="counter-increment"&&zf(Kf,Tf);var Af=Tf.match(/!important$/)!==null;Af&&!Td.preserveImportant&&(Tf=ed(Tf));var Pf=[Vf[Jf].position.start.line,Vf[Jf].position.start.col],gh=new utils$3.Property(qf,Tf,kf,Af?2:0,Pf),Nh=Kf.styleProps[qf];qa.excludedProperties.indexOf(qf)<0&&(Nh&&Nh.compare(gh)===gh||!Nh)&&(Nh&&Nh.selector!==kf?delete Kf.styleProps[qf]:Nh&&(gh.nextProp=Nh),Kf.styleProps[qf]=gh)}}hh(pf,Xd)})}}function qd(Jd){Object.keys(Jd.styleProps).length;var Zd=[];Object.keys(Jd.styleProps).forEach(function(Xd){for(var hf=Jd.styleProps[Xd];typeof hf<"u";)Zd.push(hf),hf=hf.nextProp}),Zd.sort(function(Xd,hf){return Xd.compareFunc(hf)});var pf=Zd.filter(function(Xd){return Xd.prop!=="content"}).map(function(Xd){return Xd.prop+": "+Xd.value.replace(/["]/g,"'")+";"}).join(" ");pf&&gd(Jd).attr(Nd,pf)}function Yd(Jd){if(Jd.pseudoElementType&&Jd.styleProps.content){var Zd=sd(Jd);Zd.img?(Jd.name="img",gd(Jd).attr("src",Zd.img)):gd(Jd).text(Zd);var pf=Jd.pseudoElementParent;Jd.pseudoElementType==="before"?gd(pf).prepend(Jd):gd(pf).append(Jd)}}function Ud(Jd,Zd){if(Jd.name){var pf=Jd.name.toUpperCase();if(qa[Zd+"Elements"].indexOf(pf)>-1){for(var Xd in Jd.styleProps)if(Jd.styleProps[Xd].prop===Zd){var hf=Jd.styleProps[Xd].value;if(Td.preserveImportant&&(hf=ed(hf)),hf.match(/px/)){var _f=hf.replace("px","");gd(Jd).attr(Zd,_f);return}if(qa.tableElements.indexOf(pf)>-1&&hf.match(/\%/)){gd(Jd).attr(Zd,hf);return}}}}}function Hd(Jd){return Jd.indexOf("url(")!==0?Jd:Jd.replace(/^url\((["'])?([^"']+)\1\)$/,"$2")}function Vd(Jd){if(Jd.name){var Zd=Jd.name.toUpperCase(),pf=Object.keys(qa.styleToAttribute);if(qa.tableElements.indexOf(Zd)>-1){for(var Xd in Jd.styleProps)if(pf.indexOf(Jd.styleProps[Xd].prop)>-1){var hf=qa.styleToAttribute[Jd.styleProps[Xd].prop],_f=Jd.styleProps[Xd].value;if(Td.preserveImportant&&(_f=ed(_f)),hf==="background"&&(_f=Hd(_f)),/(linear|radial)-gradient\(/i.test(_f))continue;gd(Jd).attr(hf,_f)}}}}}function ed(gd){return gd.replace(/\s*!important$/,"")}function td(gd,Ed){for(;gd;){if(Ed in gd.styleProps)return gd.styleProps[Ed].value;var gd=gd.pseudoElementParent||gd.parent}}function rd(gd,Ed){switch(Ed){case"lower-roman":return numbers.romanize(gd).toLowerCase();case"upper-roman":return numbers.romanize(gd);case"lower-latin":case"lower-alpha":return numbers.alphanumeric(gd).toLowerCase();case"upper-latin":case"upper-alpha":return numbers.alphanumeric(gd);default:return gd.toString()}}function sd(gd){var Ed=gd.styleProps.content.value;if(Ed==="none"||Ed==="normal")return"";var Td=Ed.match(/^\s*url\s*\(\s*(.*?)\s*\)\s*$/i);if(Td){var kd=Td[1].replace(/^['"]|['"]$/g,"");return{img:kd}}for(var Rd=[],Nd=Ed.split(/['"]/),Id=0;Id<Nd.length;Id++)if(Nd[Id]!==""){var Md=Nd[Id].match(/var\s*\(\s*(.*?)\s*(,\s*(.*?)\s*)?\s*\)/i);if(Md){var Ld=td(gd,Md[1])||Md[2];Rd.push(Ld.replace(/^['"]|['"]$/g,""));continue}var Pd=Nd[Id].match(/counter\s*\(\s*(.*?)\s*(,\s*(.*?)\s*)?\s*\)/i);if(Pd&&Pd[1]in gd.counterProps){var qd=gd.counterProps[Pd[1]];Rd.push(rd(qd,Pd[3]));continue}var Yd=Nd[Id].match(/attr\s*\(\s*(.*?)\s*\)/i);if(Yd){var Ud=Yd[1];Rd.push(gd.pseudoElementParent?gd.pseudoElementParent.attribs[Ud]:gd.attribs[Ud]);continue}Rd.push(Nd[Id])}return Ed=Rd.join(""),Ed=Ed.replace(/\\/g,""),Ed}function od(gd){if(gd.length!==0){var Ed=gd[gd.length-1].pseudos;if(Ed){for(var Td=0;Td<Ed.length;Td++)if(ld(Ed[Td]))return Ed[Td].name}}}function ld(gd){return gd.name==="before"||gd.name==="after"}function cd(gd){return gd.filter(function(Ed){return!ld(Ed)})}function ud(gd,Ed){Ed=utils$3.getDefaultOptions(Ed);var Td=yd(gd,Ed);return Td+=`
|
||
`+Ed.extraCss,Ja(gd,Td,Ed),gd}function _d(gd,Ed){var Td=[],kd=gd("style"),Rd,Nd,Id;return kd.each(function(){Id=this;var Md=!!Id.childNodes;if(Rd=Md?Id.childNodes:Id.children,Rd.length!==1){Ed.removeStyleTags&&gd(Id).remove();return}if(Nd=Rd[0].data,Ed.applyStyleTags&&gd(Id).attr("data-embed")===void 0&&Td.push(Nd),Ed.removeStyleTags&&gd(Id).attr("data-embed")===void 0){var Ld=Md?Id.childNodes[0].nodeValue:Id.children[0].data,Pd=utils$3.getPreservedText(Ld,{mediaQueries:Ed.preserveMediaQueries,fontFaces:Ed.preserveFontFaces,keyFrames:Ed.preserveKeyFrames,pseudos:Ed.preservePseudos},qa.ignoredPseudos);Pd?Md?Id.childNodes[0].nodeValue=Pd:Id.children[0].data=Pd:gd(Id).remove()}gd(Id).removeAttr("data-embed")}),Td}function yd(gd,Ed){var Td=_d(gd,Ed),kd=Td.join(`
|
||
`);return kd}return qa},cheerio=cheerioExports,makeJuiceClient=inline,juiceClient=makeJuiceClient(function(Ra,qa){return cheerio(Ra,{xmlMode:qa&&qa.xmlMode},juiceDocument,[qa])}),juiceDocument=function(Ra,qa){return juiceClient.juiceDocument(Ra,qa)};juiceClient.inlineContent=function(Ra,qa,Ja){return cheerio(Ra,{xmlMode:Ja&&Ja.xmlMode},juiceClient.inlineDocument,[qa,Ja])};var client=juiceClient;const juice=getDefaultExportFromCjs(client);var yu$1=Object.create,He$2=Object.defineProperty,Au$1=Object.getOwnPropertyDescriptor,Bu$1=Object.getOwnPropertyNames,wu$2=Object.getPrototypeOf,xu$1=Object.prototype.hasOwnProperty,sr$2=Ra=>{throw TypeError(Ra)},_u$2=(Ra,qa)=>()=>(Ra&&(qa=Ra(Ra=0)),qa),At$5=(Ra,qa)=>()=>(qa||Ra((qa={exports:{}}).exports,qa),qa.exports),We$1=(Ra,qa)=>{for(var Ja in qa)He$2(Ra,Ja,{get:qa[Ja],enumerable:!0})},ar$2=(Ra,qa,Ja,ed)=>{if(qa&&typeof qa=="object"||typeof qa=="function")for(let td of Bu$1(qa))!xu$1.call(Ra,td)&&td!==Ja&&He$2(Ra,td,{get:()=>qa[td],enumerable:!(ed=Au$1(qa,td))||ed.enumerable});return Ra},Me$5=(Ra,qa,Ja)=>(Ja=Ra!=null?yu$1(wu$2(Ra)):{},ar$2(He$2(Ja,"default",{value:Ra,enumerable:!0}),Ra)),vu$2=Ra=>ar$2(He$2({},"__esModule",{value:!0}),Ra),bu$1=(Ra,qa,Ja)=>qa.has(Ra)||sr$2("Cannot "+Ja),Dr$2=(Ra,qa,Ja)=>qa.has(Ra)?sr$2("Cannot add the same private member more than once"):qa instanceof WeakSet?qa.add(Ra):qa.set(Ra,Ja),pe$1=(Ra,qa,Ja)=>(bu$1(Ra,qa,"access private method"),Ja),it$2=At$5((Ra,qa)=>{var Ja=new Proxy(String,{get:()=>Ja});qa.exports=Ja}),Tn$3={};We$1(Tn$3,{default:()=>_o$1,shouldHighlight:()=>xo$3});var xo$3,_o$1,kn$1=_u$2(()=>{xo$3=()=>!1,_o$1=String}),Pn$4=At$5((Ra,qa)=>{var Ja=String,ed=function(){return{isColorSupported:!1,reset:Ja,bold:Ja,dim:Ja,italic:Ja,underline:Ja,inverse:Ja,hidden:Ja,strikethrough:Ja,black:Ja,red:Ja,green:Ja,yellow:Ja,blue:Ja,magenta:Ja,cyan:Ja,white:Ja,gray:Ja,bgBlack:Ja,bgRed:Ja,bgGreen:Ja,bgYellow:Ja,bgBlue:Ja,bgMagenta:Ja,bgCyan:Ja,bgWhite:Ja}};qa.exports=ed(),qa.exports.createColors=ed}),$n$4=At$5(Ra=>{Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.codeFrameColumns=gd,Ra.default=Ed;var qa=(kn$1(),vu$2(Tn$3)),Ja=td(Pn$4(),!0);function ed(Td){if(typeof WeakMap!="function")return null;var kd=new WeakMap,Rd=new WeakMap;return(ed=function(Nd){return Nd?Rd:kd})(Td)}function td(Td,kd){if(Td===null||typeof Td!="object"&&typeof Td!="function")return{default:Td};var Rd=ed(kd);if(Rd&&Rd.has(Td))return Rd.get(Td);var Nd={__proto__:null},Id=Object.defineProperty&&Object.getOwnPropertyDescriptor;for(var Md in Td)if(Md!=="default"&&{}.hasOwnProperty.call(Td,Md)){var Ld=Id?Object.getOwnPropertyDescriptor(Td,Md):null;Ld&&(Ld.get||Ld.set)?Object.defineProperty(Nd,Md,Ld):Nd[Md]=Td[Md]}return Nd.default=Td,Rd&&Rd.set(Td,Nd),Nd}var rd=Ja.default,sd=(Td,kd)=>Rd=>Td(kd(Rd)),od;function ld(Td){return Td?(od!=null||(od=(0,Ja.createColors)(!0)),od):rd}var cd=!1;function ud(Td){return{gutter:Td.gray,marker:sd(Td.red,Td.bold),message:sd(Td.red,Td.bold)}}var _d=/\r\n|[\n\r\u2028\u2029]/;function yd(Td,kd,Rd){let Nd=Object.assign({column:0,line:-1},Td.start),Id=Object.assign({},Nd,Td.end),{linesAbove:Md=2,linesBelow:Ld=3}=Rd||{},Pd=Nd.line,qd=Nd.column,Yd=Id.line,Ud=Id.column,Hd=Math.max(Pd-(Md+1),0),Vd=Math.min(kd.length,Yd+Ld);Pd===-1&&(Hd=0),Yd===-1&&(Vd=kd.length);let Jd=Yd-Pd,Zd={};if(Jd)for(let pf=0;pf<=Jd;pf++){let Xd=pf+Pd;if(!qd)Zd[Xd]=!0;else if(pf===0){let hf=kd[Xd-1].length;Zd[Xd]=[qd,hf-qd+1]}else if(pf===Jd)Zd[Xd]=[0,Ud];else{let hf=kd[Xd-pf].length;Zd[Xd]=[0,hf]}}else qd===Ud?qd?Zd[Pd]=[qd,0]:Zd[Pd]=!0:Zd[Pd]=[qd,Ud-qd];return{start:Hd,end:Vd,markerLines:Zd}}function gd(Td,kd,Rd={}){let Nd=(Rd.highlightCode||Rd.forceColor)&&(0,qa.shouldHighlight)(Rd),Id=ld(Rd.forceColor),Md=ud(Id),Ld=(Zd,pf)=>Nd?Zd(pf):pf,Pd=Td.split(_d),{start:qd,end:Yd,markerLines:Ud}=yd(kd,Pd,Rd),Hd=kd.start&&typeof kd.start.column=="number",Vd=String(Yd).length,Jd=(Nd?(0,qa.default)(Td,Rd):Td).split(_d,Yd).slice(qd,Yd).map((Zd,pf)=>{let Xd=qd+1+pf,hf=` ${` ${Xd}`.slice(-Vd)} |`,_f=Ud[Xd],xf=!Ud[Xd+1];if(_f){let Lf="";if(Array.isArray(_f)){let Wf=Zd.slice(0,Math.max(_f[0]-1,0)).replace(/[^\t]/g," "),Yf=_f[1]||1;Lf=[`
|
||
`,Ld(Md.gutter,hf.replace(/\d/g," "))," ",Wf,Ld(Md.marker,"^").repeat(Yf)].join(""),xf&&Rd.message&&(Lf+=" "+Ld(Md.message,Rd.message))}return[Ld(Md.marker,">"),Ld(Md.gutter,hf),Zd.length>0?` ${Zd}`:"",Lf].join("")}else return` ${Ld(Md.gutter,hf)}${Zd.length>0?` ${Zd}`:""}`}).join(`
|
||
`);return Rd.message&&!Hd&&(Jd=`${" ".repeat(Vd+1)}${Rd.message}
|
||
${Jd}`),Nd?Id.reset(Jd):Jd}function Ed(Td,kd,Rd,Nd={}){if(!cd){cd=!0;let Id="Passing lineNumber and colNumber is deprecated to @babel/code-frame. Please use `codeFrameColumns`.";{let Md=new Error(Id);Md.name="DeprecationWarning",console.warn(new Error(Id))}}return Rd=Math.max(Rd,0),gd(Td,{start:{column:Rd,line:kd}},Nd)}}),ir$3={};We$1(ir$3,{__debug:()=>di$3,check:()=>fi$3,doc:()=>nr$2,format:()=>gu$1,formatWithCursor:()=>Cu$2,getSupportInfo:()=>pi$4,util:()=>or$2,version:()=>fu$1});var Ou$2=(Ra,qa,Ja,ed)=>{if(!(Ra&&qa==null))return qa.replaceAll?qa.replaceAll(Ja,ed):Ja.global?qa.replace(Ja,ed):qa.split(Ja).join(ed)},ne$3=Ou$2;function Z$1(){}Z$1.prototype={diff:function(Ra,qa){var Ja,ed=arguments.length>2&&arguments[2]!==void 0?arguments[2]:{},td=ed.callback;typeof ed=="function"&&(td=ed,ed={}),this.options=ed;var rd=this;function sd(Id){return td?(setTimeout(function(){td(void 0,Id)},0),!0):Id}Ra=this.castInput(Ra),qa=this.castInput(qa),Ra=this.removeEmpty(this.tokenize(Ra)),qa=this.removeEmpty(this.tokenize(qa));var od=qa.length,ld=Ra.length,cd=1,ud=od+ld;ed.maxEditLength&&(ud=Math.min(ud,ed.maxEditLength));var _d=(Ja=ed.timeout)!==null&&Ja!==void 0?Ja:1/0,yd=Date.now()+_d,gd=[{oldPos:-1,lastComponent:void 0}],Ed=this.extractCommon(gd[0],qa,Ra,0);if(gd[0].oldPos+1>=ld&&Ed+1>=od)return sd([{value:this.join(qa),count:qa.length}]);var Td=-1/0,kd=1/0;function Rd(){for(var Id=Math.max(Td,-cd);Id<=Math.min(kd,cd);Id+=2){var Md=void 0,Ld=gd[Id-1],Pd=gd[Id+1];Ld&&(gd[Id-1]=void 0);var qd=!1;if(Pd){var Yd=Pd.oldPos-Id;qd=Pd&&0<=Yd&&Yd<od}var Ud=Ld&&Ld.oldPos+1<ld;if(!qd&&!Ud){gd[Id]=void 0;continue}if(!Ud||qd&&Ld.oldPos+1<Pd.oldPos?Md=rd.addToPath(Pd,!0,void 0,0):Md=rd.addToPath(Ld,void 0,!0,1),Ed=rd.extractCommon(Md,qa,Ra,Id),Md.oldPos+1>=ld&&Ed+1>=od)return sd(Su$1(rd,Md.lastComponent,qa,Ra,rd.useLongestToken));gd[Id]=Md,Md.oldPos+1>=ld&&(kd=Math.min(kd,Id-1)),Ed+1>=od&&(Td=Math.max(Td,Id+1))}cd++}if(td)(function Id(){setTimeout(function(){if(cd>ud||Date.now()>yd)return td();Rd()||Id()},0)})();else for(;cd<=ud&&Date.now()<=yd;){var Nd=Rd();if(Nd)return Nd}},addToPath:function(Ra,qa,Ja,ed){var td=Ra.lastComponent;return td&&td.added===qa&&td.removed===Ja?{oldPos:Ra.oldPos+ed,lastComponent:{count:td.count+1,added:qa,removed:Ja,previousComponent:td.previousComponent}}:{oldPos:Ra.oldPos+ed,lastComponent:{count:1,added:qa,removed:Ja,previousComponent:td}}},extractCommon:function(Ra,qa,Ja,ed){for(var td=qa.length,rd=Ja.length,sd=Ra.oldPos,od=sd-ed,ld=0;od+1<td&&sd+1<rd&&this.equals(qa[od+1],Ja[sd+1]);)od++,sd++,ld++;return ld&&(Ra.lastComponent={count:ld,previousComponent:Ra.lastComponent}),Ra.oldPos=sd,od},equals:function(Ra,qa){return this.options.comparator?this.options.comparator(Ra,qa):Ra===qa||this.options.ignoreCase&&Ra.toLowerCase()===qa.toLowerCase()},removeEmpty:function(Ra){for(var qa=[],Ja=0;Ja<Ra.length;Ja++)Ra[Ja]&&qa.push(Ra[Ja]);return qa},castInput:function(Ra){return Ra},tokenize:function(Ra){return Ra.split("")},join:function(Ra){return Ra.join("")}};function Su$1(Ra,qa,Ja,ed,td){for(var rd=[],sd;qa;)rd.push(qa),sd=qa.previousComponent,delete qa.previousComponent,qa=sd;rd.reverse();for(var od=0,ld=rd.length,cd=0,ud=0;od<ld;od++){var _d=rd[od];if(_d.removed){if(_d.value=Ra.join(ed.slice(ud,ud+_d.count)),ud+=_d.count,od&&rd[od-1].added){var yd=rd[od-1];rd[od-1]=rd[od],rd[od]=yd}}else{if(!_d.added&&td){var gd=Ja.slice(cd,cd+_d.count);gd=gd.map(function(Td,kd){var Rd=ed[ud+kd];return Rd.length>Td.length?Rd:Td}),_d.value=Ra.join(gd)}else _d.value=Ra.join(Ja.slice(cd,cd+_d.count));cd+=_d.count,_d.added||(ud+=_d.count)}}var Ed=rd[ld-1];return ld>1&&typeof Ed.value=="string"&&(Ed.added||Ed.removed)&&Ra.equals("",Ed.value)&&(rd[ld-2].value+=Ed.value,rd.pop()),rd}var lr$1=/^[A-Za-z\xC0-\u02C6\u02C8-\u02D7\u02DE-\u02FF\u1E00-\u1EFF]+$/,cr$2=/\S/,fr$1=new Z$1;fr$1.equals=function(Ra,qa){return this.options.ignoreCase&&(Ra=Ra.toLowerCase(),qa=qa.toLowerCase()),Ra===qa||this.options.ignoreWhitespace&&!cr$2.test(Ra)&&!cr$2.test(qa)};fr$1.tokenize=function(Ra){for(var qa=Ra.split(/([^\S\r\n]+|[()[\]{}'"\r\n]|\b)/),Ja=0;Ja<qa.length-1;Ja++)!qa[Ja+1]&&qa[Ja+2]&&lr$1.test(qa[Ja])&&lr$1.test(qa[Ja+2])&&(qa[Ja]+=qa[Ja+2],qa.splice(Ja+1,2),Ja--);return qa};var pr$2=new Z$1;pr$2.tokenize=function(Ra){this.options.stripTrailingCr&&(Ra=Ra.replace(/\r\n/g,`
|
||
`));var qa=[],Ja=Ra.split(/(\n|\r\n)/);Ja[Ja.length-1]||Ja.pop();for(var ed=0;ed<Ja.length;ed++){var td=Ja[ed];ed%2&&!this.options.newlineIsToken?qa[qa.length-1]+=td:(this.options.ignoreWhitespace&&(td=td.trim()),qa.push(td))}return qa};var Nu$2=new Z$1;Nu$2.tokenize=function(Ra){return Ra.split(/(\S.+?[.!?])(?=\s+|$)/)};var Tu$2=new Z$1;Tu$2.tokenize=function(Ra){return Ra.split(/([{}:;,]|\s+)/)};function $e$3(Ra){"@babel/helpers - typeof";return typeof Symbol=="function"&&typeof Symbol.iterator=="symbol"?$e$3=function(qa){return typeof qa}:$e$3=function(qa){return qa&&typeof Symbol=="function"&&qa.constructor===Symbol&&qa!==Symbol.prototype?"symbol":typeof qa},$e$3(Ra)}var ku$1=Object.prototype.toString,Ae$2=new Z$1;Ae$2.useLongestToken=!0;Ae$2.tokenize=pr$2.tokenize;Ae$2.castInput=function(Ra){var qa=this.options,Ja=qa.undefinedReplacement,ed=qa.stringifyReplacer,td=ed===void 0?function(rd,sd){return typeof sd>"u"?Ja:sd}:ed;return typeof Ra=="string"?Ra:JSON.stringify(Bt$4(Ra,null,null,td),td," ")};Ae$2.equals=function(Ra,qa){return Z$1.prototype.equals.call(Ae$2,Ra.replace(/,([\r\n])/g,"$1"),qa.replace(/,([\r\n])/g,"$1"))};function Bt$4(Ra,qa,Ja,ed,td){qa=qa||[],Ja=Ja||[],ed&&(Ra=ed(td,Ra));var rd;for(rd=0;rd<qa.length;rd+=1)if(qa[rd]===Ra)return Ja[rd];var sd;if(ku$1.call(Ra)==="[object Array]"){for(qa.push(Ra),sd=new Array(Ra.length),Ja.push(sd),rd=0;rd<Ra.length;rd+=1)sd[rd]=Bt$4(Ra[rd],qa,Ja,ed,td);return qa.pop(),Ja.pop(),sd}if(Ra&&Ra.toJSON&&(Ra=Ra.toJSON()),$e$3(Ra)==="object"&&Ra!==null){qa.push(Ra),sd={},Ja.push(sd);var od=[],ld;for(ld in Ra)Ra.hasOwnProperty(ld)&&od.push(ld);for(od.sort(),rd=0;rd<od.length;rd+=1)ld=od[rd],sd[ld]=Bt$4(Ra[ld],qa,Ja,ed,ld);qa.pop(),Ja.pop()}else sd=Ra;return sd}var Ve$3=new Z$1;Ve$3.tokenize=function(Ra){return Ra.slice()};Ve$3.join=Ve$3.removeEmpty=function(Ra){return Ra};function dr$2(Ra,qa,Ja){return Ve$3.diff(Ra,qa,Ja)}function Fr$2(Ra){let qa=Ra.indexOf("\r");return qa>=0?Ra.charAt(qa+1)===`
|
||
`?"crlf":"cr":"lf"}function Be$4(Ra){switch(Ra){case"cr":return"\r";case"crlf":return`\r
|
||
`;default:return`
|
||
`}}function wt$2(Ra,qa){let Ja;switch(qa){case`
|
||
`:Ja=/\n/gu;break;case"\r":Ja=/\r/gu;break;case`\r
|
||
`:Ja=/\r\n/gu;break;default:throw new Error(`Unexpected "eol" ${JSON.stringify(qa)}.`)}let ed=Ra.match(Ja);return ed?ed.length:0}function mr$2(Ra){return ne$3(!1,Ra,/\r\n?/gu,`
|
||
`)}var U$2="string",W$2="array",z$2="cursor",L$3="indent",P$2="align",I="trim",x$1="group",S="fill",_$2="if-break",R$2="indent-if-break",Y$2="line-suffix",j="line-suffix-boundary",B$1="line",N$3="label",b$1="break-parent",Ue$4=new Set([z$2,L$3,P$2,I,x$1,S,_$2,R$2,Y$2,j,B$1,N$3,b$1]);function Lu$1(Ra){if(typeof Ra=="string")return U$2;if(Array.isArray(Ra))return W$2;if(!Ra)return;let{type:qa}=Ra;if(Ue$4.has(qa))return qa}var G$3=Lu$1,Pu$1=Ra=>new Intl.ListFormat("en-US",{type:"disjunction"}).format(Ra);function Iu$2(Ra){let qa=Ra===null?"null":typeof Ra;if(qa!=="string"&&qa!=="object")return`Unexpected doc '${qa}',
|
||
Expected it to be 'string' or 'object'.`;if(G$3(Ra))throw new Error("doc is valid.");let Ja=Object.prototype.toString.call(Ra);if(Ja!=="[object Object]")return`Unexpected doc '${Ja}'.`;let ed=Pu$1([...Ue$4].map(td=>`'${td}'`));return`Unexpected doc.type '${Ra.type}'.
|
||
Expected it to be ${ed}.`}var xt=class extends Error{constructor(qa){super(Iu$2(qa));n1(this,"name","InvalidDocError");this.doc=qa}},Q$3=xt,Er$2={};function Ru$2(Ra,qa,Ja,ed){let td=[Ra];for(;td.length>0;){let rd=td.pop();if(rd===Er$2){Ja(td.pop());continue}Ja&&td.push(rd,Er$2);let sd=G$3(rd);if(!sd)throw new Q$3(rd);if((qa==null?void 0:qa(rd))!==!1)switch(sd){case W$2:case S:{let od=sd===W$2?rd:rd.parts;for(let ld=od.length,cd=ld-1;cd>=0;--cd)td.push(od[cd]);break}case _$2:td.push(rd.flatContents,rd.breakContents);break;case x$1:if(ed&&rd.expandedStates)for(let od=rd.expandedStates.length,ld=od-1;ld>=0;--ld)td.push(rd.expandedStates[ld]);else td.push(rd.contents);break;case P$2:case L$3:case R$2:case N$3:case Y$2:td.push(rd.contents);break;case U$2:case z$2:case I:case j:case B$1:case b$1:break;default:throw new Q$3(rd)}}}var we$2=Ru$2,hr$1=()=>{},ze$3=hr$1;function De$2(Ra){return{type:L$3,contents:Ra}}function ae$2(Ra,qa){return{type:P$2,contents:qa,n:Ra}}function _t$2(Ra,qa={}){return ze$3(qa.expandedStates),{type:x$1,id:qa.id,contents:Ra,break:!!qa.shouldBreak,expandedStates:qa.expandedStates}}function Cr$1(Ra){return ae$2(Number.NEGATIVE_INFINITY,Ra)}function gr$2(Ra){return ae$2({type:"root"},Ra)}function yr$2(Ra){return ae$2(-1,Ra)}function Ar$2(Ra,qa){return _t$2(Ra[0],{...qa,expandedStates:Ra})}function Ge$2(Ra){return{type:S,parts:Ra}}function Br$4(Ra,qa="",Ja={}){return{type:_$2,breakContents:Ra,flatContents:qa,groupId:Ja.groupId}}function wr$3(Ra,qa){return{type:R$2,contents:Ra,groupId:qa.groupId,negate:qa.negate}}function xe$3(Ra){return{type:Y$2,contents:Ra}}var xr$3={type:j},de$2={type:b$1},_r$3={type:I},_e$4={type:B$1,hard:!0},vt$3={type:B$1,hard:!0,literal:!0},Ke$2={type:B$1},vr$2={type:B$1,soft:!0},q$2=[_e$4,de$2],qe$3=[vt$3,de$2],ve$3={type:z$2};function be$5(Ra,qa){let Ja=[];for(let ed=0;ed<qa.length;ed++)ed!==0&&Ja.push(Ra),Ja.push(qa[ed]);return Ja}function Je$2(Ra,qa,Ja){let ed=Ra;if(qa>0){for(let td=0;td<Math.floor(qa/Ja);++td)ed=De$2(ed);ed=ae$2(qa%Ja,ed),ed=ae$2(Number.NEGATIVE_INFINITY,ed)}return ed}function br$2(Ra,qa){return Ra?{type:N$3,label:Ra,contents:qa}:qa}function ee$2(Ra){var qa;if(!Ra)return"";if(Array.isArray(Ra)){let Ja=[];for(let ed of Ra)if(Array.isArray(ed))Ja.push(...ee$2(ed));else{let td=ee$2(ed);td!==""&&Ja.push(td)}return Ja}return Ra.type===_$2?{...Ra,breakContents:ee$2(Ra.breakContents),flatContents:ee$2(Ra.flatContents)}:Ra.type===x$1?{...Ra,contents:ee$2(Ra.contents),expandedStates:(qa=Ra.expandedStates)==null?void 0:qa.map(ee$2)}:Ra.type===S?{type:"fill",parts:Ra.parts.map(ee$2)}:Ra.contents?{...Ra,contents:ee$2(Ra.contents)}:Ra}function Or$3(Ra){let qa=Object.create(null),Ja=new Set;return ed(ee$2(Ra));function ed(rd,sd,od){var ld,cd;if(typeof rd=="string")return JSON.stringify(rd);if(Array.isArray(rd)){let ud=rd.map(ed).filter(Boolean);return ud.length===1?ud[0]:`[${ud.join(", ")}]`}if(rd.type===B$1){let ud=((ld=od==null?void 0:od[sd+1])==null?void 0:ld.type)===b$1;return rd.literal?ud?"literalline":"literallineWithoutBreakParent":rd.hard?ud?"hardline":"hardlineWithoutBreakParent":rd.soft?"softline":"line"}if(rd.type===b$1)return((cd=od==null?void 0:od[sd-1])==null?void 0:cd.type)===B$1&&od[sd-1].hard?void 0:"breakParent";if(rd.type===I)return"trim";if(rd.type===L$3)return"indent("+ed(rd.contents)+")";if(rd.type===P$2)return rd.n===Number.NEGATIVE_INFINITY?"dedentToRoot("+ed(rd.contents)+")":rd.n<0?"dedent("+ed(rd.contents)+")":rd.n.type==="root"?"markAsRoot("+ed(rd.contents)+")":"align("+JSON.stringify(rd.n)+", "+ed(rd.contents)+")";if(rd.type===_$2)return"ifBreak("+ed(rd.breakContents)+(rd.flatContents?", "+ed(rd.flatContents):"")+(rd.groupId?(rd.flatContents?"":', ""')+`, { groupId: ${td(rd.groupId)} }`:"")+")";if(rd.type===R$2){let ud=[];rd.negate&&ud.push("negate: true"),rd.groupId&&ud.push(`groupId: ${td(rd.groupId)}`);let _d=ud.length>0?`, { ${ud.join(", ")} }`:"";return`indentIfBreak(${ed(rd.contents)}${_d})`}if(rd.type===x$1){let ud=[];rd.break&&rd.break!=="propagated"&&ud.push("shouldBreak: true"),rd.id&&ud.push(`id: ${td(rd.id)}`);let _d=ud.length>0?`, { ${ud.join(", ")} }`:"";return rd.expandedStates?`conditionalGroup([${rd.expandedStates.map(yd=>ed(yd)).join(",")}]${_d})`:`group(${ed(rd.contents)}${_d})`}if(rd.type===S)return`fill([${rd.parts.map(ud=>ed(ud)).join(", ")}])`;if(rd.type===Y$2)return"lineSuffix("+ed(rd.contents)+")";if(rd.type===j)return"lineSuffixBoundary";if(rd.type===N$3)return`label(${JSON.stringify(rd.label)}, ${ed(rd.contents)})`;throw new Error("Unknown doc type "+rd.type)}function td(rd){if(typeof rd!="symbol")return JSON.stringify(String(rd));if(rd in qa)return qa[rd];let sd=rd.description||"symbol";for(let od=0;;od++){let ld=sd+(od>0?` #${od}`:"");if(!Ja.has(ld))return Ja.add(ld),qa[rd]=`Symbol.for(${JSON.stringify(ld)})`}}}var Yu$2=(Ra,qa,Ja)=>{if(!(Ra&&qa==null))return Array.isArray(qa)||typeof qa=="string"?qa[Ja<0?qa.length+Ja:Ja]:qa.at(Ja)},y$1=Yu$2,Sr$3=()=>/[#*0-9]\uFE0F?\u20E3|[\xA9\xAE\u203C\u2049\u2122\u2139\u2194-\u2199\u21A9\u21AA\u231A\u231B\u2328\u23CF\u23ED-\u23EF\u23F1\u23F2\u23F8-\u23FA\u24C2\u25AA\u25AB\u25B6\u25C0\u25FB\u25FC\u25FE\u2600-\u2604\u260E\u2611\u2614\u2615\u2618\u2620\u2622\u2623\u2626\u262A\u262E\u262F\u2638-\u263A\u2640\u2642\u2648-\u2653\u265F\u2660\u2663\u2665\u2666\u2668\u267B\u267E\u267F\u2692\u2694-\u2697\u2699\u269B\u269C\u26A0\u26A7\u26AA\u26B0\u26B1\u26BD\u26BE\u26C4\u26C8\u26CF\u26D1\u26E9\u26F0-\u26F5\u26F7\u26F8\u26FA\u2702\u2708\u2709\u270F\u2712\u2714\u2716\u271D\u2721\u2733\u2734\u2744\u2747\u2757\u2763\u27A1\u2934\u2935\u2B05-\u2B07\u2B1B\u2B1C\u2B55\u3030\u303D\u3297\u3299]\uFE0F?|[\u261D\u270C\u270D](?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?|[\u270A\u270B](?:\uD83C[\uDFFB-\uDFFF])?|[\u23E9-\u23EC\u23F0\u23F3\u25FD\u2693\u26A1\u26AB\u26C5\u26CE\u26D4\u26EA\u26FD\u2705\u2728\u274C\u274E\u2753-\u2755\u2795-\u2797\u27B0\u27BF\u2B50]|\u26D3\uFE0F?(?:\u200D\uD83D\uDCA5)?|\u26F9(?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|\u2764\uFE0F?(?:\u200D(?:\uD83D\uDD25|\uD83E\uDE79))?|\uD83C(?:[\uDC04\uDD70\uDD71\uDD7E\uDD7F\uDE02\uDE37\uDF21\uDF24-\uDF2C\uDF36\uDF7D\uDF96\uDF97\uDF99-\uDF9B\uDF9E\uDF9F\uDFCD\uDFCE\uDFD4-\uDFDF\uDFF5\uDFF7]\uFE0F?|[\uDF85\uDFC2\uDFC7](?:\uD83C[\uDFFB-\uDFFF])?|[\uDFC4\uDFCA](?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDFCB\uDFCC](?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDCCF\uDD8E\uDD91-\uDD9A\uDE01\uDE1A\uDE2F\uDE32-\uDE36\uDE38-\uDE3A\uDE50\uDE51\uDF00-\uDF20\uDF2D-\uDF35\uDF37-\uDF43\uDF45-\uDF4A\uDF4C-\uDF7C\uDF7E-\uDF84\uDF86-\uDF93\uDFA0-\uDFC1\uDFC5\uDFC6\uDFC8\uDFC9\uDFCF-\uDFD3\uDFE0-\uDFF0\uDFF8-\uDFFF]|\uDDE6\uD83C[\uDDE8-\uDDEC\uDDEE\uDDF1\uDDF2\uDDF4\uDDF6-\uDDFA\uDDFC\uDDFD\uDDFF]|\uDDE7\uD83C[\uDDE6\uDDE7\uDDE9-\uDDEF\uDDF1-\uDDF4\uDDF6-\uDDF9\uDDFB\uDDFC\uDDFE\uDDFF]|\uDDE8\uD83C[\uDDE6\uDDE8\uDDE9\uDDEB-\uDDEE\uDDF0-\uDDF5\uDDF7\uDDFA-\uDDFF]|\uDDE9\uD83C[\uDDEA\uDDEC\uDDEF\uDDF0\uDDF2\uDDF4\uDDFF]|\uDDEA\uD83C[\uDDE6\uDDE8\uDDEA\uDDEC\uDDED\uDDF7-\uDDFA]|\uDDEB\uD83C[\uDDEE-\uDDF0\uDDF2\uDDF4\uDDF7]|\uDDEC\uD83C[\uDDE6\uDDE7\uDDE9-\uDDEE\uDDF1-\uDDF3\uDDF5-\uDDFA\uDDFC\uDDFE]|\uDDED\uD83C[\uDDF0\uDDF2\uDDF3\uDDF7\uDDF9\uDDFA]|\uDDEE\uD83C[\uDDE8-\uDDEA\uDDF1-\uDDF4\uDDF6-\uDDF9]|\uDDEF\uD83C[\uDDEA\uDDF2\uDDF4\uDDF5]|\uDDF0\uD83C[\uDDEA\uDDEC-\uDDEE\uDDF2\uDDF3\uDDF5\uDDF7\uDDFC\uDDFE\uDDFF]|\uDDF1\uD83C[\uDDE6-\uDDE8\uDDEE\uDDF0\uDDF7-\uDDFB\uDDFE]|\uDDF2\uD83C[\uDDE6\uDDE8-\uDDED\uDDF0-\uDDFF]|\uDDF3\uD83C[\uDDE6\uDDE8\uDDEA-\uDDEC\uDDEE\uDDF1\uDDF4\uDDF5\uDDF7\uDDFA\uDDFF]|\uDDF4\uD83C\uDDF2|\uDDF5\uD83C[\uDDE6\uDDEA-\uDDED\uDDF0-\uDDF3\uDDF7-\uDDF9\uDDFC\uDDFE]|\uDDF6\uD83C\uDDE6|\uDDF7\uD83C[\uDDEA\uDDF4\uDDF8\uDDFA\uDDFC]|\uDDF8\uD83C[\uDDE6-\uDDEA\uDDEC-\uDDF4\uDDF7-\uDDF9\uDDFB\uDDFD-\uDDFF]|\uDDF9\uD83C[\uDDE6\uDDE8\uDDE9\uDDEB-\uDDED\uDDEF-\uDDF4\uDDF7\uDDF9\uDDFB\uDDFC\uDDFF]|\uDDFA\uD83C[\uDDE6\uDDEC\uDDF2\uDDF3\uDDF8\uDDFE\uDDFF]|\uDDFB\uD83C[\uDDE6\uDDE8\uDDEA\uDDEC\uDDEE\uDDF3\uDDFA]|\uDDFC\uD83C[\uDDEB\uDDF8]|\uDDFD\uD83C\uDDF0|\uDDFE\uD83C[\uDDEA\uDDF9]|\uDDFF\uD83C[\uDDE6\uDDF2\uDDFC]|\uDF44(?:\u200D\uD83D\uDFEB)?|\uDF4B(?:\u200D\uD83D\uDFE9)?|\uDFC3(?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D(?:[\u2640\u2642]\uFE0F?(?:\u200D\u27A1\uFE0F?)?|\u27A1\uFE0F?))?|\uDFF3\uFE0F?(?:\u200D(?:\u26A7\uFE0F?|\uD83C\uDF08))?|\uDFF4(?:\u200D\u2620\uFE0F?|\uDB40\uDC67\uDB40\uDC62\uDB40(?:\uDC65\uDB40\uDC6E\uDB40\uDC67|\uDC73\uDB40\uDC63\uDB40\uDC74|\uDC77\uDB40\uDC6C\uDB40\uDC73)\uDB40\uDC7F)?)|\uD83D(?:[\uDC3F\uDCFD\uDD49\uDD4A\uDD6F\uDD70\uDD73\uDD76-\uDD79\uDD87\uDD8A-\uDD8D\uDDA5\uDDA8\uDDB1\uDDB2\uDDBC\uDDC2-\uDDC4\uDDD1-\uDDD3\uDDDC-\uDDDE\uDDE1\uDDE3\uDDE8\uDDEF\uDDF3\uDDFA\uDECB\uDECD-\uDECF\uDEE0-\uDEE5\uDEE9\uDEF0\uDEF3]\uFE0F?|[\uDC42\uDC43\uDC46-\uDC50\uDC66\uDC67\uDC6B-\uDC6D\uDC72\uDC74-\uDC76\uDC78\uDC7C\uDC83\uDC85\uDC8F\uDC91\uDCAA\uDD7A\uDD95\uDD96\uDE4C\uDE4F\uDEC0\uDECC](?:\uD83C[\uDFFB-\uDFFF])?|[\uDC6E\uDC70\uDC71\uDC73\uDC77\uDC81\uDC82\uDC86\uDC87\uDE45-\uDE47\uDE4B\uDE4D\uDE4E\uDEA3\uDEB4\uDEB5](?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDD74\uDD90](?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?|[\uDC00-\uDC07\uDC09-\uDC14\uDC16-\uDC25\uDC27-\uDC3A\uDC3C-\uDC3E\uDC40\uDC44\uDC45\uDC51-\uDC65\uDC6A\uDC79-\uDC7B\uDC7D-\uDC80\uDC84\uDC88-\uDC8E\uDC90\uDC92-\uDCA9\uDCAB-\uDCFC\uDCFF-\uDD3D\uDD4B-\uDD4E\uDD50-\uDD67\uDDA4\uDDFB-\uDE2D\uDE2F-\uDE34\uDE37-\uDE41\uDE43\uDE44\uDE48-\uDE4A\uDE80-\uDEA2\uDEA4-\uDEB3\uDEB7-\uDEBF\uDEC1-\uDEC5\uDED0-\uDED2\uDED5-\uDED7\uDEDC-\uDEDF\uDEEB\uDEEC\uDEF4-\uDEFC\uDFE0-\uDFEB\uDFF0]|\uDC08(?:\u200D\u2B1B)?|\uDC15(?:\u200D\uD83E\uDDBA)?|\uDC26(?:\u200D(?:\u2B1B|\uD83D\uDD25))?|\uDC3B(?:\u200D\u2744\uFE0F?)?|\uDC41\uFE0F?(?:\u200D\uD83D\uDDE8\uFE0F?)?|\uDC68(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D(?:[\uDC68\uDC69]\u200D\uD83D(?:\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?)|[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?)|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]))|\uD83C(?:\uDFFB(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFC-\uDFFF])))?|\uDFFC(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB\uDFFD-\uDFFF])))?|\uDFFD(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF])))?|\uDFFE(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB-\uDFFD\uDFFF])))?|\uDFFF(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB-\uDFFE])))?))?|\uDC69(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?[\uDC68\uDC69]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D(?:[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?|\uDC69\u200D\uD83D(?:\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?))|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]))|\uD83C(?:\uDFFB(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFC-\uDFFF])))?|\uDFFC(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB\uDFFD-\uDFFF])))?|\uDFFD(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF])))?|\uDFFE(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB-\uDFFD\uDFFF])))?|\uDFFF(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB-\uDFFE])))?))?|\uDC6F(?:\u200D[\u2640\u2642]\uFE0F?)?|\uDD75(?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|\uDE2E(?:\u200D\uD83D\uDCA8)?|\uDE35(?:\u200D\uD83D\uDCAB)?|\uDE36(?:\u200D\uD83C\uDF2B\uFE0F?)?|\uDE42(?:\u200D[\u2194\u2195]\uFE0F?)?|\uDEB6(?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D(?:[\u2640\u2642]\uFE0F?(?:\u200D\u27A1\uFE0F?)?|\u27A1\uFE0F?))?)|\uD83E(?:[\uDD0C\uDD0F\uDD18-\uDD1F\uDD30-\uDD34\uDD36\uDD77\uDDB5\uDDB6\uDDBB\uDDD2\uDDD3\uDDD5\uDEC3-\uDEC5\uDEF0\uDEF2-\uDEF8](?:\uD83C[\uDFFB-\uDFFF])?|[\uDD26\uDD35\uDD37-\uDD39\uDD3D\uDD3E\uDDB8\uDDB9\uDDCD\uDDCF\uDDD4\uDDD6-\uDDDD](?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDDDE\uDDDF](?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDD0D\uDD0E\uDD10-\uDD17\uDD20-\uDD25\uDD27-\uDD2F\uDD3A\uDD3F-\uDD45\uDD47-\uDD76\uDD78-\uDDB4\uDDB7\uDDBA\uDDBC-\uDDCC\uDDD0\uDDE0-\uDDFF\uDE70-\uDE7C\uDE80-\uDE88\uDE90-\uDEBD\uDEBF-\uDEC2\uDECE-\uDEDB\uDEE0-\uDEE8]|\uDD3C(?:\u200D[\u2640\u2642]\uFE0F?|\uD83C[\uDFFB-\uDFFF])?|\uDDCE(?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D(?:[\u2640\u2642]\uFE0F?(?:\u200D\u27A1\uFE0F?)?|\u27A1\uFE0F?))?|\uDDD1(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1|\uDDD1\u200D\uD83E\uDDD2(?:\u200D\uD83E\uDDD2)?|\uDDD2(?:\u200D\uD83E\uDDD2)?))|\uD83C(?:\uDFFB(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFC-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFC(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB\uDFFD-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFD(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFE(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB-\uDFFD\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFF(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB-\uDFFE]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?))?|\uDEF1(?:\uD83C(?:\uDFFB(?:\u200D\uD83E\uDEF2\uD83C[\uDFFC-\uDFFF])?|\uDFFC(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB\uDFFD-\uDFFF])?|\uDFFD(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF])?|\uDFFE(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB-\uDFFD\uDFFF])?|\uDFFF(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB-\uDFFE])?))?)/g;function Nr$3(Ra){return Ra===12288||Ra>=65281&&Ra<=65376||Ra>=65504&&Ra<=65510}function Tr$3(Ra){return Ra>=4352&&Ra<=4447||Ra===8986||Ra===8987||Ra===9001||Ra===9002||Ra>=9193&&Ra<=9196||Ra===9200||Ra===9203||Ra===9725||Ra===9726||Ra===9748||Ra===9749||Ra>=9800&&Ra<=9811||Ra===9855||Ra===9875||Ra===9889||Ra===9898||Ra===9899||Ra===9917||Ra===9918||Ra===9924||Ra===9925||Ra===9934||Ra===9940||Ra===9962||Ra===9970||Ra===9971||Ra===9973||Ra===9978||Ra===9981||Ra===9989||Ra===9994||Ra===9995||Ra===10024||Ra===10060||Ra===10062||Ra>=10067&&Ra<=10069||Ra===10071||Ra>=10133&&Ra<=10135||Ra===10160||Ra===10175||Ra===11035||Ra===11036||Ra===11088||Ra===11093||Ra>=11904&&Ra<=11929||Ra>=11931&&Ra<=12019||Ra>=12032&&Ra<=12245||Ra>=12272&&Ra<=12287||Ra>=12289&&Ra<=12350||Ra>=12353&&Ra<=12438||Ra>=12441&&Ra<=12543||Ra>=12549&&Ra<=12591||Ra>=12593&&Ra<=12686||Ra>=12688&&Ra<=12771||Ra>=12783&&Ra<=12830||Ra>=12832&&Ra<=12871||Ra>=12880&&Ra<=19903||Ra>=19968&&Ra<=42124||Ra>=42128&&Ra<=42182||Ra>=43360&&Ra<=43388||Ra>=44032&&Ra<=55203||Ra>=63744&&Ra<=64255||Ra>=65040&&Ra<=65049||Ra>=65072&&Ra<=65106||Ra>=65108&&Ra<=65126||Ra>=65128&&Ra<=65131||Ra>=94176&&Ra<=94180||Ra===94192||Ra===94193||Ra>=94208&&Ra<=100343||Ra>=100352&&Ra<=101589||Ra>=101632&&Ra<=101640||Ra>=110576&&Ra<=110579||Ra>=110581&&Ra<=110587||Ra===110589||Ra===110590||Ra>=110592&&Ra<=110882||Ra===110898||Ra>=110928&&Ra<=110930||Ra===110933||Ra>=110948&&Ra<=110951||Ra>=110960&&Ra<=111355||Ra===126980||Ra===127183||Ra===127374||Ra>=127377&&Ra<=127386||Ra>=127488&&Ra<=127490||Ra>=127504&&Ra<=127547||Ra>=127552&&Ra<=127560||Ra===127568||Ra===127569||Ra>=127584&&Ra<=127589||Ra>=127744&&Ra<=127776||Ra>=127789&&Ra<=127797||Ra>=127799&&Ra<=127868||Ra>=127870&&Ra<=127891||Ra>=127904&&Ra<=127946||Ra>=127951&&Ra<=127955||Ra>=127968&&Ra<=127984||Ra===127988||Ra>=127992&&Ra<=128062||Ra===128064||Ra>=128066&&Ra<=128252||Ra>=128255&&Ra<=128317||Ra>=128331&&Ra<=128334||Ra>=128336&&Ra<=128359||Ra===128378||Ra===128405||Ra===128406||Ra===128420||Ra>=128507&&Ra<=128591||Ra>=128640&&Ra<=128709||Ra===128716||Ra>=128720&&Ra<=128722||Ra>=128725&&Ra<=128727||Ra>=128732&&Ra<=128735||Ra===128747||Ra===128748||Ra>=128756&&Ra<=128764||Ra>=128992&&Ra<=129003||Ra===129008||Ra>=129292&&Ra<=129338||Ra>=129340&&Ra<=129349||Ra>=129351&&Ra<=129535||Ra>=129648&&Ra<=129660||Ra>=129664&&Ra<=129672||Ra>=129680&&Ra<=129725||Ra>=129727&&Ra<=129733||Ra>=129742&&Ra<=129755||Ra>=129760&&Ra<=129768||Ra>=129776&&Ra<=129784||Ra>=131072&&Ra<=196605||Ra>=196608&&Ra<=262141}var kr$3=Ra=>!(Nr$3(Ra)||Tr$3(Ra)),ju$2=/[^\x20-\x7F]/u;function Hu$3(Ra){if(!Ra)return 0;if(!ju$2.test(Ra))return Ra.length;Ra=Ra.replace(Sr$3()," ");let qa=0;for(let Ja of Ra){let ed=Ja.codePointAt(0);ed<=31||ed>=127&&ed<=159||ed>=768&&ed<=879||(qa+=kr$3(ed)?1:2)}return qa}var Oe$4=Hu$3;function Ne$2(Ra,qa){if(typeof Ra=="string")return qa(Ra);let Ja=new Map;return ed(Ra);function ed(rd){if(Ja.has(rd))return Ja.get(rd);let sd=td(rd);return Ja.set(rd,sd),sd}function td(rd){switch(G$3(rd)){case W$2:return qa(rd.map(ed));case S:return qa({...rd,parts:rd.parts.map(ed)});case _$2:return qa({...rd,breakContents:ed(rd.breakContents),flatContents:ed(rd.flatContents)});case x$1:{let{expandedStates:sd,contents:od}=rd;return sd?(sd=sd.map(ed),od=sd[0]):od=ed(od),qa({...rd,contents:od,expandedStates:sd})}case P$2:case L$3:case R$2:case N$3:case Y$2:return qa({...rd,contents:ed(rd.contents)});case U$2:case z$2:case I:case j:case B$1:case b$1:return qa(rd);default:throw new Q$3(rd)}}}function Xe$2(Ra,qa,Ja){let ed=Ja,td=!1;function rd(sd){if(td)return!1;let od=qa(sd);od!==void 0&&(td=!0,ed=od)}return we$2(Ra,rd),ed}function Wu$1(Ra){if(Ra.type===x$1&&Ra.break||Ra.type===B$1&&Ra.hard||Ra.type===b$1)return!0}function Ir$3(Ra){return Xe$2(Ra,Wu$1,!1)}function Lr$3(Ra){if(Ra.length>0){let qa=y$1(!1,Ra,-1);!qa.expandedStates&&!qa.break&&(qa.break="propagated")}return null}function Rr$2(Ra){let qa=new Set,Ja=[];function ed(rd){if(rd.type===b$1&&Lr$3(Ja),rd.type===x$1){if(Ja.push(rd),qa.has(rd))return!1;qa.add(rd)}}function td(rd){rd.type===x$1&&Ja.pop().break&&Lr$3(Ja)}we$2(Ra,ed,td,!0)}function Mu$2(Ra){return Ra.type===B$1&&!Ra.hard?Ra.soft?"":" ":Ra.type===_$2?Ra.flatContents:Ra}function Yr$4(Ra){return Ne$2(Ra,Mu$2)}function Pr$3(Ra){for(Ra=[...Ra];Ra.length>=2&&y$1(!1,Ra,-2).type===B$1&&y$1(!1,Ra,-1).type===b$1;)Ra.length-=2;if(Ra.length>0){let qa=Se$3(y$1(!1,Ra,-1));Ra[Ra.length-1]=qa}return Ra}function Se$3(Ra){switch(G$3(Ra)){case L$3:case R$2:case x$1:case Y$2:case N$3:{let qa=Se$3(Ra.contents);return{...Ra,contents:qa}}case _$2:return{...Ra,breakContents:Se$3(Ra.breakContents),flatContents:Se$3(Ra.flatContents)};case S:return{...Ra,parts:Pr$3(Ra.parts)};case W$2:return Pr$3(Ra);case U$2:return Ra.replace(/[\n\r]*$/u,"");case P$2:case z$2:case I:case j:case B$1:case b$1:break;default:throw new Q$3(Ra)}return Ra}function Ze$3(Ra){return Se$3(Vu$3(Ra))}function $u$2(Ra){switch(G$3(Ra)){case S:if(Ra.parts.every(qa=>qa===""))return"";break;case x$1:if(!Ra.contents&&!Ra.id&&!Ra.break&&!Ra.expandedStates)return"";if(Ra.contents.type===x$1&&Ra.contents.id===Ra.id&&Ra.contents.break===Ra.break&&Ra.contents.expandedStates===Ra.expandedStates)return Ra.contents;break;case P$2:case L$3:case R$2:case Y$2:if(!Ra.contents)return"";break;case _$2:if(!Ra.flatContents&&!Ra.breakContents)return"";break;case W$2:{let qa=[];for(let Ja of Ra){if(!Ja)continue;let[ed,...td]=Array.isArray(Ja)?Ja:[Ja];typeof ed=="string"&&typeof y$1(!1,qa,-1)=="string"?qa[qa.length-1]+=ed:qa.push(ed),qa.push(...td)}return qa.length===0?"":qa.length===1?qa[0]:qa}case U$2:case z$2:case I:case j:case B$1:case N$3:case b$1:break;default:throw new Q$3(Ra)}return Ra}function Vu$3(Ra){return Ne$2(Ra,qa=>$u$2(qa))}function jr$3(Ra,qa=qe$3){return Ne$2(Ra,Ja=>typeof Ja=="string"?be$5(qa,Ja.split(`
|
||
`)):Ja)}function Uu$3(Ra){if(Ra.type===B$1)return!0}function Hr$4(Ra){return Xe$2(Ra,Uu$3,!1)}function Qe$2(Ra,qa){return Ra.type===N$3?{...Ra,contents:qa(Ra.contents)}:qa(Ra)}var H$2=Symbol("MODE_BREAK"),J$2=Symbol("MODE_FLAT"),Te$3=Symbol("cursor");function Wr$3(){return{value:"",length:0,queue:[]}}function zu$1(Ra,qa){return bt$3(Ra,{type:"indent"},qa)}function Gu$2(Ra,qa,Ja){return qa===Number.NEGATIVE_INFINITY?Ra.root||Wr$3():qa<0?bt$3(Ra,{type:"dedent"},Ja):qa?qa.type==="root"?{...Ra,root:Ra}:bt$3(Ra,{type:typeof qa=="string"?"stringAlign":"numberAlign",n:qa},Ja):Ra}function bt$3(Ra,qa,Ja){let ed=qa.type==="dedent"?Ra.queue.slice(0,-1):[...Ra.queue,qa],td="",rd=0,sd=0,od=0;for(let Ed of ed)switch(Ed.type){case"indent":ud(),Ja.useTabs?ld(1):cd(Ja.tabWidth);break;case"stringAlign":ud(),td+=Ed.n,rd+=Ed.n.length;break;case"numberAlign":sd+=1,od+=Ed.n;break;default:throw new Error(`Unexpected type '${Ed.type}'`)}return yd(),{...Ra,value:td,length:rd,queue:ed};function ld(Ed){td+=" ".repeat(Ed),rd+=Ja.tabWidth*Ed}function cd(Ed){td+=" ".repeat(Ed),rd+=Ed}function ud(){Ja.useTabs?_d():yd()}function _d(){sd>0&&ld(sd),gd()}function yd(){od>0&&cd(od),gd()}function gd(){sd=0,od=0}}function Ot$3(Ra){let qa=0,Ja=0,ed=Ra.length;e:for(;ed--;){let td=Ra[ed];if(td===Te$3){Ja++;continue}for(let rd=td.length-1;rd>=0;rd--){let sd=td[rd];if(sd===" "||sd===" ")qa++;else{Ra[ed]=td.slice(0,rd+1);break e}}}if(qa>0||Ja>0)for(Ra.length=ed+1;Ja-- >0;)Ra.push(Te$3);return qa}function et$2(Ra,qa,Ja,ed,td,rd){if(Ja===Number.POSITIVE_INFINITY)return!0;let sd=qa.length,od=[Ra],ld=[];for(;Ja>=0;){if(od.length===0){if(sd===0)return!0;od.push(qa[--sd]);continue}let{mode:cd,doc:ud}=od.pop(),_d=G$3(ud);switch(_d){case U$2:ld.push(ud),Ja-=Oe$4(ud);break;case W$2:case S:{let yd=_d===W$2?ud:ud.parts;for(let gd=yd.length-1;gd>=0;gd--)od.push({mode:cd,doc:yd[gd]});break}case L$3:case P$2:case R$2:case N$3:od.push({mode:cd,doc:ud.contents});break;case I:Ja+=Ot$3(ld);break;case x$1:{if(rd&&ud.break)return!1;let yd=ud.break?H$2:cd,gd=ud.expandedStates&&yd===H$2?y$1(!1,ud.expandedStates,-1):ud.contents;od.push({mode:yd,doc:gd});break}case _$2:{let yd=(ud.groupId?td[ud.groupId]||J$2:cd)===H$2?ud.breakContents:ud.flatContents;yd&&od.push({mode:cd,doc:yd});break}case B$1:if(cd===H$2||ud.hard)return!0;ud.soft||(ld.push(" "),Ja--);break;case Y$2:ed=!0;break;case j:if(ed)return!1;break}}return!1}function Fe$2(Ra,qa){let Ja={},ed=qa.printWidth,td=Be$4(qa.endOfLine),rd=0,sd=[{ind:Wr$3(),mode:H$2,doc:Ra}],od=[],ld=!1,cd=[],ud=0;for(Rr$2(Ra);sd.length>0;){let{ind:yd,mode:gd,doc:Ed}=sd.pop();switch(G$3(Ed)){case U$2:{let Td=td!==`
|
||
`?ne$3(!1,Ed,`
|
||
`,td):Ed;od.push(Td),sd.length>0&&(rd+=Oe$4(Td));break}case W$2:for(let Td=Ed.length-1;Td>=0;Td--)sd.push({ind:yd,mode:gd,doc:Ed[Td]});break;case z$2:if(ud>=2)throw new Error("There are too many 'cursor' in doc.");od.push(Te$3),ud++;break;case L$3:sd.push({ind:zu$1(yd,qa),mode:gd,doc:Ed.contents});break;case P$2:sd.push({ind:Gu$2(yd,Ed.n,qa),mode:gd,doc:Ed.contents});break;case I:rd-=Ot$3(od);break;case x$1:switch(gd){case J$2:if(!ld){sd.push({ind:yd,mode:Ed.break?H$2:J$2,doc:Ed.contents});break}case H$2:{ld=!1;let Td={ind:yd,mode:J$2,doc:Ed.contents},kd=ed-rd,Rd=cd.length>0;if(!Ed.break&&et$2(Td,sd,kd,Rd,Ja))sd.push(Td);else if(Ed.expandedStates){let Nd=y$1(!1,Ed.expandedStates,-1);if(Ed.break){sd.push({ind:yd,mode:H$2,doc:Nd});break}else for(let Id=1;Id<Ed.expandedStates.length+1;Id++)if(Id>=Ed.expandedStates.length){sd.push({ind:yd,mode:H$2,doc:Nd});break}else{let Md=Ed.expandedStates[Id],Ld={ind:yd,mode:J$2,doc:Md};if(et$2(Ld,sd,kd,Rd,Ja)){sd.push(Ld);break}}}else sd.push({ind:yd,mode:H$2,doc:Ed.contents});break}}Ed.id&&(Ja[Ed.id]=y$1(!1,sd,-1).mode);break;case S:{let Td=ed-rd,{parts:kd}=Ed;if(kd.length===0)break;let[Rd,Nd]=kd,Id={ind:yd,mode:J$2,doc:Rd},Md={ind:yd,mode:H$2,doc:Rd},Ld=et$2(Id,[],Td,cd.length>0,Ja,!0);if(kd.length===1){Ld?sd.push(Id):sd.push(Md);break}let Pd={ind:yd,mode:J$2,doc:Nd},qd={ind:yd,mode:H$2,doc:Nd};if(kd.length===2){Ld?sd.push(Pd,Id):sd.push(qd,Md);break}kd.splice(0,2);let Yd={ind:yd,mode:gd,doc:Ge$2(kd)},Ud=kd[0];et$2({ind:yd,mode:J$2,doc:[Rd,Nd,Ud]},[],Td,cd.length>0,Ja,!0)?sd.push(Yd,Pd,Id):Ld?sd.push(Yd,qd,Id):sd.push(Yd,qd,Md);break}case _$2:case R$2:{let Td=Ed.groupId?Ja[Ed.groupId]:gd;if(Td===H$2){let kd=Ed.type===_$2?Ed.breakContents:Ed.negate?Ed.contents:De$2(Ed.contents);kd&&sd.push({ind:yd,mode:gd,doc:kd})}if(Td===J$2){let kd=Ed.type===_$2?Ed.flatContents:Ed.negate?De$2(Ed.contents):Ed.contents;kd&&sd.push({ind:yd,mode:gd,doc:kd})}break}case Y$2:cd.push({ind:yd,mode:gd,doc:Ed.contents});break;case j:cd.length>0&&sd.push({ind:yd,mode:gd,doc:_e$4});break;case B$1:switch(gd){case J$2:if(Ed.hard)ld=!0;else{Ed.soft||(od.push(" "),rd+=1);break}case H$2:if(cd.length>0){sd.push({ind:yd,mode:gd,doc:Ed},...cd.reverse()),cd.length=0;break}Ed.literal?yd.root?(od.push(td,yd.root.value),rd=yd.root.length):(od.push(td),rd=0):(rd-=Ot$3(od),od.push(td+yd.value),rd=yd.length);break}break;case N$3:sd.push({ind:yd,mode:gd,doc:Ed.contents});break;case b$1:break;default:throw new Q$3(Ed)}sd.length===0&&cd.length>0&&(sd.push(...cd.reverse()),cd.length=0)}let _d=od.indexOf(Te$3);if(_d!==-1){let yd=od.indexOf(Te$3,_d+1),gd=od.slice(0,_d).join(""),Ed=od.slice(_d+1,yd).join(""),Td=od.slice(yd+1).join("");return{formatted:gd+Ed+Td,cursorNodeStart:gd.length,cursorNodeText:Ed}}return{formatted:od.join("")}}function Ku$3(Ra,qa,Ja=0){let ed=0;for(let td=Ja;td<Ra.length;++td)Ra[td]===" "?ed=ed+qa-ed%qa:ed++;return ed}var me$1=Ku$3,te$3,Nt$3,tt$2,St$3=class{constructor(qa){Dr$2(this,te$3),this.stack=[qa]}get key(){let{stack:qa,siblings:Ja}=this;return y$1(!1,qa,Ja===null?-2:-4)??null}get index(){return this.siblings===null?null:y$1(!1,this.stack,-2)}get node(){return y$1(!1,this.stack,-1)}get parent(){return this.getNode(1)}get grandparent(){return this.getNode(2)}get isInArray(){return this.siblings!==null}get siblings(){let{stack:qa}=this,Ja=y$1(!1,qa,-3);return Array.isArray(Ja)?Ja:null}get next(){let{siblings:qa}=this;return qa===null?null:qa[this.index+1]}get previous(){let{siblings:qa}=this;return qa===null?null:qa[this.index-1]}get isFirst(){return this.index===0}get isLast(){let{siblings:qa,index:Ja}=this;return qa!==null&&Ja===qa.length-1}get isRoot(){return this.stack.length===1}get root(){return this.stack[0]}get ancestors(){return[...pe$1(this,te$3,tt$2).call(this)]}getName(){let{stack:qa}=this,{length:Ja}=qa;return Ja>1?y$1(!1,qa,-2):null}getValue(){return y$1(!1,this.stack,-1)}getNode(qa=0){let Ja=pe$1(this,te$3,Nt$3).call(this,qa);return Ja===-1?null:this.stack[Ja]}getParentNode(qa=0){return this.getNode(qa+1)}call(qa,...Ja){let{stack:ed}=this,{length:td}=ed,rd=y$1(!1,ed,-1);for(let sd of Ja)rd=rd[sd],ed.push(sd,rd);try{return qa(this)}finally{ed.length=td}}callParent(qa,Ja=0){let ed=pe$1(this,te$3,Nt$3).call(this,Ja+1),td=this.stack.splice(ed+1);try{return qa(this)}finally{this.stack.push(...td)}}each(qa,...Ja){let{stack:ed}=this,{length:td}=ed,rd=y$1(!1,ed,-1);for(let sd of Ja)rd=rd[sd],ed.push(sd,rd);try{for(let sd=0;sd<rd.length;++sd)ed.push(sd,rd[sd]),qa(this,sd,rd),ed.length-=2}finally{ed.length=td}}map(qa,...Ja){let ed=[];return this.each((td,rd,sd)=>{ed[rd]=qa(td,rd,sd)},...Ja),ed}match(...qa){let Ja=this.stack.length-1,ed=null,td=this.stack[Ja--];for(let rd of qa){if(td===void 0)return!1;let sd=null;if(typeof ed=="number"&&(sd=ed,ed=this.stack[Ja--],td=this.stack[Ja--]),rd&&!rd(td,ed,sd))return!1;ed=this.stack[Ja--],td=this.stack[Ja--]}return!0}findAncestor(qa){for(let Ja of pe$1(this,te$3,tt$2).call(this))if(qa(Ja))return Ja}hasAncestor(qa){for(let Ja of pe$1(this,te$3,tt$2).call(this))if(qa(Ja))return!0;return!1}};te$3=new WeakSet,Nt$3=function(Ra){let{stack:qa}=this;for(let Ja=qa.length-1;Ja>=0;Ja-=2)if(!Array.isArray(qa[Ja])&&--Ra<0)return Ja;return-1},tt$2=function*(){let{stack:Ra}=this;for(let qa=Ra.length-3;qa>=0;qa-=2){let Ja=Ra[qa];Array.isArray(Ja)||(yield Ja)}};var Mr$3=St$3,$r$3=new Proxy(()=>{},{get:()=>$r$3}),ke$3=$r$3;function qu$3(Ra){return Ra!==null&&typeof Ra=="object"}var Vr$3=qu$3;function*Tt$3(Ra,qa){let{getVisitorKeys:Ja,filter:ed=()=>!0}=qa,td=rd=>Vr$3(rd)&&ed(rd);for(let rd of Ja(Ra)){let sd=Ra[rd];if(Array.isArray(sd))for(let od of sd)td(od)&&(yield od);else td(sd)&&(yield sd)}}function*Ur$3(Ra,qa){let Ja=[Ra];for(let ed=0;ed<Ja.length;ed++){let td=Ja[ed];for(let rd of Tt$3(td,qa))yield rd,Ja.push(rd)}}function Ee$3(Ra){return(qa,Ja,ed)=>{let td=!!(ed!=null&&ed.backwards);if(Ja===!1)return!1;let{length:rd}=qa,sd=Ja;for(;sd>=0&&sd<rd;){let od=qa.charAt(sd);if(Ra instanceof RegExp){if(!Ra.test(od))return sd}else if(!Ra.includes(od))return sd;td?sd--:sd++}return sd===-1||sd===rd?sd:!1}}var zr$3=Ee$3(/\s/u),T$1=Ee$3(" "),rt$2=Ee$3(",; "),nt$1=Ee$3(/[^\n\r]/u);function Ju$3(Ra,qa,Ja){let ed=!!(Ja!=null&&Ja.backwards);if(qa===!1)return!1;let td=Ra.charAt(qa);if(ed){if(Ra.charAt(qa-1)==="\r"&&td===`
|
||
`)return qa-2;if(td===`
|
||
`||td==="\r"||td==="\u2028"||td==="\u2029")return qa-1}else{if(td==="\r"&&Ra.charAt(qa+1)===`
|
||
`)return qa+2;if(td===`
|
||
`||td==="\r"||td==="\u2028"||td==="\u2029")return qa+1}return qa}var M$3=Ju$3;function Xu$3(Ra,qa,Ja={}){let ed=T$1(Ra,Ja.backwards?qa-1:qa,Ja),td=M$3(Ra,ed,Ja);return ed!==td}var V$4=Xu$3;function Zu$4(Ra){return Array.isArray(Ra)&&Ra.length>0}var kt$3=Zu$4,Gr$3=new Set(["tokens","comments","parent","enclosingNode","precedingNode","followingNode"]),Qu$2=Ra=>Object.keys(Ra).filter(qa=>!Gr$3.has(qa));function eo$1(Ra){return Ra?qa=>Ra(qa,Gr$3):Qu$2}var X$2=eo$1;function to$1(Ra){let qa=Ra.type||Ra.kind||"(unknown type)",Ja=String(Ra.name||Ra.id&&(typeof Ra.id=="object"?Ra.id.name:Ra.id)||Ra.key&&(typeof Ra.key=="object"?Ra.key.name:Ra.key)||Ra.value&&(typeof Ra.value=="object"?"":String(Ra.value))||Ra.operator||"");return Ja.length>20&&(Ja=Ja.slice(0,19)+"…"),qa+(Ja?" "+Ja:"")}function Lt$2(Ra,qa){(Ra.comments??(Ra.comments=[])).push(qa),qa.printed=!1,qa.nodeDescription=to$1(Ra)}function ue$2(Ra,qa){qa.leading=!0,qa.trailing=!1,Lt$2(Ra,qa)}function re$4(Ra,qa,Ja){qa.leading=!1,qa.trailing=!1,Ja&&(qa.marker=Ja),Lt$2(Ra,qa)}function oe$3(Ra,qa){qa.leading=!1,qa.trailing=!0,Lt$2(Ra,qa)}var Pt$2=new WeakMap;function ut$1(Ra,qa){if(Pt$2.has(Ra))return Pt$2.get(Ra);let{printer:{getCommentChildNodes:Ja,canAttachComment:ed,getVisitorKeys:td},locStart:rd,locEnd:sd}=qa;if(!ed)return[];let od=((Ja==null?void 0:Ja(Ra,qa))??[...Tt$3(Ra,{getVisitorKeys:X$2(td)})]).flatMap(ld=>ed(ld)?[ld]:ut$1(ld,qa));return od.sort((ld,cd)=>rd(ld)-rd(cd)||sd(ld)-sd(cd)),Pt$2.set(Ra,od),od}function qr$3(Ra,qa,Ja,ed){let{locStart:td,locEnd:rd}=Ja,sd=td(qa),od=rd(qa),ld=ut$1(Ra,Ja),cd,ud,_d=0,yd=ld.length;for(;_d<yd;){let gd=_d+yd>>1,Ed=ld[gd],Td=td(Ed),kd=rd(Ed);if(Td<=sd&&od<=kd)return qr$3(Ed,qa,Ja,Ed);if(kd<=sd){cd=Ed,_d=gd+1;continue}if(od<=Td){ud=Ed,yd=gd;continue}throw new Error("Comment location overlaps with node location")}if((ed==null?void 0:ed.type)==="TemplateLiteral"){let{quasis:gd}=ed,Ed=Rt$4(gd,qa,Ja);cd&&Rt$4(gd,cd,Ja)!==Ed&&(cd=null),ud&&Rt$4(gd,ud,Ja)!==Ed&&(ud=null)}return{enclosingNode:ed,precedingNode:cd,followingNode:ud}}var It$3=()=>!1;function Jr$3(Ra,qa){let{comments:Ja}=Ra;if(delete Ra.comments,!kt$3(Ja)||!qa.printer.canAttachComment)return;let ed=[],{locStart:td,locEnd:rd,printer:{experimentalFeatures:{avoidAstMutation:sd=!1}={},handleComments:od={}},originalText:ld}=qa,{ownLine:cd=It$3,endOfLine:ud=It$3,remaining:_d=It$3}=od,yd=Ja.map((gd,Ed)=>({...qr$3(Ra,gd,qa),comment:gd,text:ld,options:qa,ast:Ra,isLastComment:Ja.length-1===Ed}));for(let[gd,Ed]of yd.entries()){let{comment:Td,precedingNode:kd,enclosingNode:Rd,followingNode:Nd,text:Id,options:Md,ast:Ld,isLastComment:Pd}=Ed;if(Md.parser==="json"||Md.parser==="json5"||Md.parser==="jsonc"||Md.parser==="__js_expression"||Md.parser==="__ts_expression"||Md.parser==="__vue_expression"||Md.parser==="__vue_ts_expression"){if(td(Td)-td(Ld)<=0){ue$2(Ld,Td);continue}if(rd(Td)-rd(Ld)>=0){oe$3(Ld,Td);continue}}let qd;if(sd?qd=[Ed]:(Td.enclosingNode=Rd,Td.precedingNode=kd,Td.followingNode=Nd,qd=[Td,Id,Md,Ld,Pd]),ro$1(Id,Md,yd,gd))Td.placement="ownLine",cd(...qd)||(Nd?ue$2(Nd,Td):kd?oe$3(kd,Td):re$4(Rd||Ld,Td));else if(no$2(Id,Md,yd,gd))Td.placement="endOfLine",ud(...qd)||(kd?oe$3(kd,Td):Nd?ue$2(Nd,Td):re$4(Rd||Ld,Td));else if(Td.placement="remaining",!_d(...qd))if(kd&&Nd){let Yd=ed.length;Yd>0&&ed[Yd-1].followingNode!==Nd&&Kr$4(ed,Md),ed.push(Ed)}else kd?oe$3(kd,Td):Nd?ue$2(Nd,Td):re$4(Rd||Ld,Td)}if(Kr$4(ed,qa),!sd)for(let gd of Ja)delete gd.precedingNode,delete gd.enclosingNode,delete gd.followingNode}var Xr$3=Ra=>!/[\S\n\u2028\u2029]/u.test(Ra);function ro$1(Ra,qa,Ja,ed){let{comment:td,precedingNode:rd}=Ja[ed],{locStart:sd,locEnd:od}=qa,ld=sd(td);if(rd)for(let cd=ed-1;cd>=0;cd--){let{comment:ud,precedingNode:_d}=Ja[cd];if(_d!==rd||!Xr$3(Ra.slice(od(ud),ld)))break;ld=sd(ud)}return V$4(Ra,ld,{backwards:!0})}function no$2(Ra,qa,Ja,ed){let{comment:td,followingNode:rd}=Ja[ed],{locStart:sd,locEnd:od}=qa,ld=od(td);if(rd)for(let cd=ed+1;cd<Ja.length;cd++){let{comment:ud,followingNode:_d}=Ja[cd];if(_d!==rd||!Xr$3(Ra.slice(ld,sd(ud))))break;ld=od(ud)}return V$4(Ra,ld)}function Kr$4(Ra,qa){var Ja,ed;let td=Ra.length;if(td===0)return;let{precedingNode:rd,followingNode:sd}=Ra[0],od=qa.locStart(sd),ld;for(ld=td;ld>0;--ld){let{comment:cd,precedingNode:ud,followingNode:_d}=Ra[ld-1];ke$3.strictEqual(ud,rd),ke$3.strictEqual(_d,sd);let yd=qa.originalText.slice(qa.locEnd(cd),od);if(((ed=(Ja=qa.printer).isGap)==null?void 0:ed.call(Ja,yd,qa))??/^[\s(]*$/u.test(yd))od=qa.locStart(cd);else break}for(let[cd,{comment:ud}]of Ra.entries())cd<ld?oe$3(rd,ud):ue$2(sd,ud);for(let cd of[rd,sd])cd.comments&&cd.comments.length>1&&cd.comments.sort((ud,_d)=>qa.locStart(ud)-qa.locStart(_d));Ra.length=0}function Rt$4(Ra,qa,Ja){let ed=Ja.locStart(qa)-1;for(let td=1;td<Ra.length;++td)if(ed<Ja.locStart(Ra[td]))return td-1;return 0}function uo$3(Ra,qa){let Ja=qa-1;Ja=T$1(Ra,Ja,{backwards:!0}),Ja=M$3(Ra,Ja,{backwards:!0}),Ja=T$1(Ra,Ja,{backwards:!0});let ed=M$3(Ra,Ja,{backwards:!0});return Ja!==ed}var Le$3=uo$3;function Zr$3(Ra,qa){let Ja=Ra.node;return Ja.printed=!0,qa.printer.printComment(Ra,qa)}function oo$4(Ra,qa){var Ja;let ed=Ra.node,td=[Zr$3(Ra,qa)],{printer:rd,originalText:sd,locStart:od,locEnd:ld}=qa;if((Ja=rd.isBlockComment)!=null&&Ja.call(rd,ed)){let ud=V$4(sd,ld(ed))?V$4(sd,od(ed),{backwards:!0})?q$2:Ke$2:" ";td.push(ud)}else td.push(q$2);let cd=M$3(sd,T$1(sd,ld(ed)));return cd!==!1&&V$4(sd,cd)&&td.push(q$2),td}function io$2(Ra,qa,Ja){var ed;let td=Ra.node,rd=Zr$3(Ra,qa),{printer:sd,originalText:od,locStart:ld}=qa,cd=(ed=sd.isBlockComment)==null?void 0:ed.call(sd,td);if(Ja!=null&&Ja.hasLineSuffix&&!(Ja!=null&&Ja.isBlock)||V$4(od,ld(td),{backwards:!0})){let ud=Le$3(od,ld(td));return{doc:xe$3([q$2,ud?q$2:"",rd]),isBlock:cd,hasLineSuffix:!0}}return!cd||Ja!=null&&Ja.hasLineSuffix?{doc:[xe$3([" ",rd]),de$2],isBlock:cd,hasLineSuffix:!0}:{doc:[" ",rd],isBlock:cd,hasLineSuffix:!1}}function so$2(Ra,qa){let Ja=Ra.node;if(!Ja)return{};let ed=qa[Symbol.for("printedComments")];if((Ja.comments||[]).filter(od=>!ed.has(od)).length===0)return{leading:"",trailing:""};let td=[],rd=[],sd;return Ra.each(()=>{let od=Ra.node;if(ed!=null&&ed.has(od))return;let{leading:ld,trailing:cd}=od;ld?td.push(oo$4(Ra,qa)):cd&&(sd=io$2(Ra,qa,sd),rd.push(sd.doc))},"comments"),{leading:td,trailing:rd}}function Qr$4(Ra,qa,Ja){let{leading:ed,trailing:td}=so$2(Ra,Ja);return!ed&&!td?qa:Qe$2(qa,rd=>[ed,rd,td])}function en$2(Ra){let{[Symbol.for("comments")]:qa,[Symbol.for("printedComments")]:Ja}=Ra;for(let ed of qa){if(!ed.printed&&!Ja.has(ed))throw new Error('Comment "'+ed.value.trim()+'" was not printed. Please report this error!');delete ed.printed}}var Pe$4=class extends Error{constructor(){super(...arguments);n1(this,"name","ConfigError")}},Ie$2=class extends Error{constructor(){super(...arguments);n1(this,"name","UndefinedParserError")}},rn$2={cursorOffset:{category:"Special",type:"int",default:-1,range:{start:-1,end:1/0,step:1},description:"Print (to stderr) where a cursor at the given position would move to after formatting.",cliCategory:"Editor"},endOfLine:{category:"Global",type:"choice",default:"lf",description:"Which end of line characters to apply.",choices:[{value:"lf",description:"Line Feed only (\\n), common on Linux and macOS as well as inside git repos"},{value:"crlf",description:"Carriage Return + Line Feed characters (\\r\\n), common on Windows"},{value:"cr",description:"Carriage Return character only (\\r), used very rarely"},{value:"auto",description:`Maintain existing
|
||
(mixed values within one file are normalised by looking at what's used after the first line)`}]},filepath:{category:"Special",type:"path",description:"Specify the input filepath. This will be used to do parser inference.",cliName:"stdin-filepath",cliCategory:"Other",cliDescription:"Path to the file to pretend that stdin comes from."},insertPragma:{category:"Special",type:"boolean",default:!1,description:"Insert @format pragma into file's first docblock comment.",cliCategory:"Other"},parser:{category:"Global",type:"choice",default:void 0,description:"Which parser to use.",exception:Ra=>typeof Ra=="string"||typeof Ra=="function",choices:[{value:"flow",description:"Flow"},{value:"babel",description:"JavaScript"},{value:"babel-flow",description:"Flow"},{value:"babel-ts",description:"TypeScript"},{value:"typescript",description:"TypeScript"},{value:"acorn",description:"JavaScript"},{value:"espree",description:"JavaScript"},{value:"meriyah",description:"JavaScript"},{value:"css",description:"CSS"},{value:"less",description:"Less"},{value:"scss",description:"SCSS"},{value:"json",description:"JSON"},{value:"json5",description:"JSON5"},{value:"jsonc",description:"JSON with Comments"},{value:"json-stringify",description:"JSON.stringify"},{value:"graphql",description:"GraphQL"},{value:"markdown",description:"Markdown"},{value:"mdx",description:"MDX"},{value:"vue",description:"Vue"},{value:"yaml",description:"YAML"},{value:"glimmer",description:"Ember / Handlebars"},{value:"html",description:"HTML"},{value:"angular",description:"Angular"},{value:"lwc",description:"Lightning Web Components"}]},plugins:{type:"path",array:!0,default:[{value:[]}],category:"Global",description:"Add a plugin. Multiple plugins can be passed as separate `--plugin`s.",exception:Ra=>typeof Ra=="string"||typeof Ra=="object",cliName:"plugin",cliCategory:"Config"},printWidth:{category:"Global",type:"int",default:80,description:"The line length where Prettier will try wrap.",range:{start:0,end:1/0,step:1}},rangeEnd:{category:"Special",type:"int",default:1/0,range:{start:0,end:1/0,step:1},description:`Format code ending at a given character offset (exclusive).
|
||
The range will extend forwards to the end of the selected statement.`,cliCategory:"Editor"},rangeStart:{category:"Special",type:"int",default:0,range:{start:0,end:1/0,step:1},description:`Format code starting at a given character offset.
|
||
The range will extend backwards to the start of the first line containing the selected statement.`,cliCategory:"Editor"},requirePragma:{category:"Special",type:"boolean",default:!1,description:`Require either '@prettier' or '@format' to be present in the file's first docblock comment
|
||
in order for it to be formatted.`,cliCategory:"Other"},tabWidth:{type:"int",category:"Global",default:2,description:"Number of spaces per indentation level.",range:{start:0,end:1/0,step:1}},useTabs:{category:"Global",type:"boolean",default:!1,description:"Indent with tabs instead of spaces."},embeddedLanguageFormatting:{category:"Global",type:"choice",default:"auto",description:"Control how Prettier formats quoted code embedded in the file.",choices:[{value:"auto",description:"Format embedded code if Prettier can automatically identify it."},{value:"off",description:"Never automatically format embedded code."}]}};function ot$1({plugins:Ra=[],showDeprecated:qa=!1}={}){let Ja=Ra.flatMap(td=>td.languages??[]),ed=[];for(let td of lo$3(Object.assign({},...Ra.map(({options:rd})=>rd),rn$2)))!qa&&td.deprecated||(Array.isArray(td.choices)&&(qa||(td.choices=td.choices.filter(rd=>!rd.deprecated)),td.name==="parser"&&(td.choices=[...td.choices,...Do$2(td.choices,Ja,Ra)])),td.pluginDefaults=Object.fromEntries(Ra.filter(rd=>{var sd;return((sd=rd.defaultOptions)==null?void 0:sd[td.name])!==void 0}).map(rd=>[rd.name,rd.defaultOptions[td.name]])),ed.push(td));return{languages:Ja,options:ed}}function*Do$2(Ra,qa,Ja){let ed=new Set(Ra.map(td=>td.value));for(let td of qa)if(td.parsers){for(let rd of td.parsers)if(!ed.has(rd)){ed.add(rd);let sd=Ja.find(ld=>ld.parsers&&Object.prototype.hasOwnProperty.call(ld.parsers,rd)),od=td.name;sd!=null&&sd.name&&(od+=` (plugin: ${sd.name})`),yield{value:rd,description:od}}}}function lo$3(Ra){let qa=[];for(let[Ja,ed]of Object.entries(Ra)){let td={name:Ja,...ed};Array.isArray(td.default)&&(td.default=y$1(!1,td.default,-1).value),qa.push(td)}return qa}var co$2=Ra=>String(Ra).split(/[/\\]/u).pop();function nn$2(Ra,qa){if(!qa)return;let Ja=co$2(qa).toLowerCase();return Ra.find(({filenames:ed})=>ed==null?void 0:ed.some(td=>td.toLowerCase()===Ja))??Ra.find(({extensions:ed})=>ed==null?void 0:ed.some(td=>Ja.endsWith(td)))}function fo$1(Ra,qa){if(qa)return Ra.find(({name:Ja})=>Ja.toLowerCase()===qa)??Ra.find(({aliases:Ja})=>Ja==null?void 0:Ja.includes(qa))??Ra.find(({extensions:Ja})=>Ja==null?void 0:Ja.includes(`.${qa}`))}function po$2(Ra,qa){let Ja=Ra.plugins.flatMap(td=>td.languages??[]),ed=fo$1(Ja,qa.language)??nn$2(Ja,qa.physicalFile)??nn$2(Ja,qa.file)??(qa.physicalFile,void 0);return ed==null?void 0:ed.parsers[0]}var un$2=po$2,ie$3={key:Ra=>/^[$_a-zA-Z][$_a-zA-Z0-9]*$/.test(Ra)?Ra:JSON.stringify(Ra),value(Ra){if(Ra===null||typeof Ra!="object")return JSON.stringify(Ra);if(Array.isArray(Ra))return`[${Ra.map(Ja=>ie$3.value(Ja)).join(", ")}]`;let qa=Object.keys(Ra);return qa.length===0?"{}":`{ ${qa.map(Ja=>`${ie$3.key(Ja)}: ${ie$3.value(Ra[Ja])}`).join(", ")} }`},pair:({key:Ra,value:qa})=>ie$3.value({[Ra]:qa})},Yt$1=Me$5(it$2()),an$2=(Ra,qa,{descriptor:Ja})=>{let ed=[`${Yt$1.default.yellow(typeof Ra=="string"?Ja.key(Ra):Ja.pair(Ra))} is deprecated`];return qa&&ed.push(`we now treat it as ${Yt$1.default.blue(typeof qa=="string"?Ja.key(qa):Ja.pair(qa))}`),ed.join("; ")+"."},le$3=Me$5(it$2()),st$1=Symbol.for("vnopts.VALUE_NOT_EXIST"),he$2=Symbol.for("vnopts.VALUE_UNCHANGED"),Dn$3=" ".repeat(2),cn$3=(Ra,qa,Ja)=>{let{text:ed,list:td}=Ja.normalizeExpectedResult(Ja.schemas[Ra].expected(Ja)),rd=[];return ed&&rd.push(ln$2(Ra,qa,ed,Ja.descriptor)),td&&rd.push([ln$2(Ra,qa,td.title,Ja.descriptor)].concat(td.values.map(sd=>fn$2(sd,Ja.loggerPrintWidth))).join(`
|
||
`)),pn$2(rd,Ja.loggerPrintWidth)};function ln$2(Ra,qa,Ja,ed){return[`Invalid ${le$3.default.red(ed.key(Ra))} value.`,`Expected ${le$3.default.blue(Ja)},`,`but received ${qa===st$1?le$3.default.gray("nothing"):le$3.default.red(ed.value(qa))}.`].join(" ")}function fn$2({text:Ra,list:qa},Ja){let ed=[];return Ra&&ed.push(`- ${le$3.default.blue(Ra)}`),qa&&ed.push([`- ${le$3.default.blue(qa.title)}:`].concat(qa.values.map(td=>fn$2(td,Ja-Dn$3.length).replace(/^|\n/g,`$&${Dn$3}`))).join(`
|
||
`)),pn$2(ed,Ja)}function pn$2(Ra,qa){if(Ra.length===1)return Ra[0];let[Ja,ed]=Ra,[td,rd]=Ra.map(sd=>sd.split(`
|
||
`,1)[0].length);return td>qa&&td>rd?ed:Ja}var Wt$1=Me$5(it$2()),jt$2=[],dn$3=[];function Ht$2(Ra,qa){if(Ra===qa)return 0;let Ja=Ra;Ra.length>qa.length&&(Ra=qa,qa=Ja);let ed=Ra.length,td=qa.length;for(;ed>0&&Ra.charCodeAt(~-ed)===qa.charCodeAt(~-td);)ed--,td--;let rd=0;for(;rd<ed&&Ra.charCodeAt(rd)===qa.charCodeAt(rd);)rd++;if(ed-=rd,td-=rd,ed===0)return td;let sd,od,ld,cd,ud=0,_d=0;for(;ud<ed;)dn$3[ud]=Ra.charCodeAt(rd+ud),jt$2[ud]=++ud;for(;_d<td;)for(sd=qa.charCodeAt(rd+_d),ld=_d++,od=_d,ud=0;ud<ed;ud++)cd=sd===dn$3[ud]?ld:ld+1,ld=jt$2[ud],od=jt$2[ud]=ld>od?cd>od?od+1:cd:cd>ld?ld+1:cd;return od}var at$2=(Ra,qa,{descriptor:Ja,logger:ed,schemas:td})=>{let rd=[`Ignored unknown option ${Wt$1.default.yellow(Ja.pair({key:Ra,value:qa}))}.`],sd=Object.keys(td).sort().find(od=>Ht$2(Ra,od)<3);sd&&rd.push(`Did you mean ${Wt$1.default.blue(Ja.key(sd))}?`),ed.warn(rd.join(" "))},Fo$2=["default","expected","validate","deprecated","forward","redirect","overlap","preprocess","postprocess"];function mo$3(Ra,qa){let Ja=new Ra(qa),ed=Object.create(Ja);for(let td of Fo$2)td in qa&&(ed[td]=Eo$1(qa[td],Ja,O$2.prototype[td].length));return ed}var O$2=class{static create(qa){return mo$3(this,qa)}constructor(qa){this.name=qa.name}default(qa){}expected(qa){return"nothing"}validate(qa,Ja){return!1}deprecated(qa,Ja){return!1}forward(qa,Ja){}redirect(qa,Ja){}overlap(qa,Ja,ed){return qa}preprocess(qa,Ja){return qa}postprocess(qa,Ja){return he$2}};function Eo$1(Ra,qa,Ja){return typeof Ra=="function"?(...ed)=>Ra(...ed.slice(0,Ja-1),qa,...ed.slice(Ja-1)):()=>Ra}var Dt$4=class extends O$2{constructor(qa){super(qa),this._sourceName=qa.sourceName}expected(qa){return qa.schemas[this._sourceName].expected(qa)}validate(qa,Ja){return Ja.schemas[this._sourceName].validate(qa,Ja)}redirect(qa,Ja){return this._sourceName}},lt$2=class extends O$2{expected(){return"anything"}validate(){return!0}},ct$1=class extends O$2{constructor({valueSchema:qa,name:Ja=qa.name,...ed}){super({...ed,name:Ja}),this._valueSchema=qa}expected(qa){let{text:Ja,list:ed}=qa.normalizeExpectedResult(this._valueSchema.expected(qa));return{text:Ja&&`an array of ${Ja}`,list:ed&&{title:"an array of the following values",values:[{list:ed}]}}}validate(qa,Ja){if(!Array.isArray(qa))return!1;let ed=[];for(let td of qa){let rd=Ja.normalizeValidateResult(this._valueSchema.validate(td,Ja),td);rd!==!0&&ed.push(rd.value)}return ed.length===0?!0:{value:ed}}deprecated(qa,Ja){let ed=[];for(let td of qa){let rd=Ja.normalizeDeprecatedResult(this._valueSchema.deprecated(td,Ja),td);rd!==!1&&ed.push(...rd.map(({value:sd})=>({value:[sd]})))}return ed}forward(qa,Ja){let ed=[];for(let td of qa){let rd=Ja.normalizeForwardResult(this._valueSchema.forward(td,Ja),td);ed.push(...rd.map(Fn$2))}return ed}redirect(qa,Ja){let ed=[],td=[];for(let rd of qa){let sd=Ja.normalizeRedirectResult(this._valueSchema.redirect(rd,Ja),rd);"remain"in sd&&ed.push(sd.remain),td.push(...sd.redirect.map(Fn$2))}return ed.length===0?{redirect:td}:{redirect:td,remain:ed}}overlap(qa,Ja){return qa.concat(Ja)}};function Fn$2({from:Ra,to:qa}){return{from:[Ra],to:qa}}var ft$2=class extends O$2{expected(){return"true or false"}validate(qa){return typeof qa=="boolean"}};function En$2(Ra,qa){let Ja=Object.create(null);for(let ed of Ra){let td=ed[qa];if(Ja[td])throw new Error(`Duplicate ${qa} ${JSON.stringify(td)}`);Ja[td]=ed}return Ja}function hn$2(Ra,qa){let Ja=new Map;for(let ed of Ra){let td=ed[qa];if(Ja.has(td))throw new Error(`Duplicate ${qa} ${JSON.stringify(td)}`);Ja.set(td,ed)}return Ja}function Cn$3(){let Ra=Object.create(null);return qa=>{let Ja=JSON.stringify(qa);return Ra[Ja]?!0:(Ra[Ja]=!0,!1)}}function gn$3(Ra,qa){let Ja=[],ed=[];for(let td of Ra)qa(td)?Ja.push(td):ed.push(td);return[Ja,ed]}function yn$3(Ra){return Ra===Math.floor(Ra)}function An$2(Ra,qa){if(Ra===qa)return 0;let Ja=typeof Ra,ed=typeof qa,td=["undefined","object","boolean","number","string"];return Ja!==ed?td.indexOf(Ja)-td.indexOf(ed):Ja!=="string"?Number(Ra)-Number(qa):Ra.localeCompare(qa)}function Bn$3(Ra){return(...qa)=>{let Ja=Ra(...qa);return typeof Ja=="string"?new Error(Ja):Ja}}function Mt$3(Ra){return Ra===void 0?{}:Ra}function $t$3(Ra){if(typeof Ra=="string")return{text:Ra};let{text:qa,list:Ja}=Ra;return ho$2((qa||Ja)!==void 0,"Unexpected `expected` result, there should be at least one field."),Ja?{text:qa,list:{title:Ja.title,values:Ja.values.map($t$3)}}:{text:qa}}function Vt$2(Ra,qa){return Ra===!0?!0:Ra===!1?{value:qa}:Ra}function Ut$2(Ra,qa,Ja=!1){return Ra===!1?!1:Ra===!0?Ja?!0:[{value:qa}]:"value"in Ra?[Ra]:Ra.length===0?!1:Ra}function mn$2(Ra,qa){return typeof Ra=="string"||"key"in Ra?{from:qa,to:Ra}:"from"in Ra?{from:Ra.from,to:Ra.to}:{from:qa,to:Ra.to}}function pt$3(Ra,qa){return Ra===void 0?[]:Array.isArray(Ra)?Ra.map(Ja=>mn$2(Ja,qa)):[mn$2(Ra,qa)]}function zt(Ra,qa){let Ja=pt$3(typeof Ra=="object"&&"redirect"in Ra?Ra.redirect:Ra,qa);return Ja.length===0?{remain:qa,redirect:Ja}:typeof Ra=="object"&&"remain"in Ra?{remain:Ra.remain,redirect:Ja}:{redirect:Ja}}function ho$2(Ra,qa){if(!Ra)throw new Error(qa)}var dt$3=class extends O$2{constructor(qa){super(qa),this._choices=hn$2(qa.choices.map(Ja=>Ja&&typeof Ja=="object"?Ja:{value:Ja}),"value")}expected({descriptor:qa}){let Ja=Array.from(this._choices.keys()).map(rd=>this._choices.get(rd)).filter(({hidden:rd})=>!rd).map(rd=>rd.value).sort(An$2).map(qa.value),ed=Ja.slice(0,-2),td=Ja.slice(-2);return{text:ed.concat(td.join(" or ")).join(", "),list:{title:"one of the following values",values:Ja}}}validate(qa){return this._choices.has(qa)}deprecated(qa){let Ja=this._choices.get(qa);return Ja&&Ja.deprecated?{value:qa}:!1}forward(qa){let Ja=this._choices.get(qa);return Ja?Ja.forward:void 0}redirect(qa){let Ja=this._choices.get(qa);return Ja?Ja.redirect:void 0}},Ft$4=class extends O$2{expected(){return"a number"}validate(qa,Ja){return typeof qa=="number"}},mt$3=class extends Ft$4{expected(){return"an integer"}validate(qa,Ja){return Ja.normalizeValidateResult(super.validate(qa,Ja),qa)===!0&&yn$3(qa)}},Re$5=class extends O$2{expected(){return"a string"}validate(qa){return typeof qa=="string"}},wn$3=ie$3,xn$2=at$2,_n$3=cn$3,vn$2=an$2,Et$4=class{constructor(qa,Ja){let{logger:ed=console,loggerPrintWidth:td=80,descriptor:rd=wn$3,unknown:sd=xn$2,invalid:od=_n$3,deprecated:ld=vn$2,missing:cd=()=>!1,required:ud=()=>!1,preprocess:_d=gd=>gd,postprocess:yd=()=>he$2}=Ja||{};this._utils={descriptor:rd,logger:ed||{warn:()=>{}},loggerPrintWidth:td,schemas:En$2(qa,"name"),normalizeDefaultResult:Mt$3,normalizeExpectedResult:$t$3,normalizeDeprecatedResult:Ut$2,normalizeForwardResult:pt$3,normalizeRedirectResult:zt,normalizeValidateResult:Vt$2},this._unknownHandler=sd,this._invalidHandler=Bn$3(od),this._deprecatedHandler=ld,this._identifyMissing=(gd,Ed)=>!(gd in Ed)||cd(gd,Ed),this._identifyRequired=ud,this._preprocess=_d,this._postprocess=yd,this.cleanHistory()}cleanHistory(){this._hasDeprecationWarned=Cn$3()}normalize(qa){let Ja={},ed=[this._preprocess(qa,this._utils)],td=()=>{for(;ed.length!==0;){let rd=ed.shift(),sd=this._applyNormalization(rd,Ja);ed.push(...sd)}};td();for(let rd of Object.keys(this._utils.schemas)){let sd=this._utils.schemas[rd];if(!(rd in Ja)){let od=Mt$3(sd.default(this._utils));"value"in od&&ed.push({[rd]:od.value})}}td();for(let rd of Object.keys(this._utils.schemas)){if(!(rd in Ja))continue;let sd=this._utils.schemas[rd],od=Ja[rd],ld=sd.postprocess(od,this._utils);ld!==he$2&&(this._applyValidation(ld,rd,sd),Ja[rd]=ld)}return this._applyPostprocess(Ja),this._applyRequiredCheck(Ja),Ja}_applyNormalization(qa,Ja){let ed=[],{knownKeys:td,unknownKeys:rd}=this._partitionOptionKeys(qa);for(let sd of td){let od=this._utils.schemas[sd],ld=od.preprocess(qa[sd],this._utils);this._applyValidation(ld,sd,od);let cd=({from:yd,to:gd})=>{ed.push(typeof gd=="string"?{[gd]:yd}:{[gd.key]:gd.value})},ud=({value:yd,redirectTo:gd})=>{let Ed=Ut$2(od.deprecated(yd,this._utils),ld,!0);if(Ed!==!1)if(Ed===!0)this._hasDeprecationWarned(sd)||this._utils.logger.warn(this._deprecatedHandler(sd,gd,this._utils));else for(let{value:Td}of Ed){let kd={key:sd,value:Td};if(!this._hasDeprecationWarned(kd)){let Rd=typeof gd=="string"?{key:gd,value:Td}:gd;this._utils.logger.warn(this._deprecatedHandler(kd,Rd,this._utils))}}};pt$3(od.forward(ld,this._utils),ld).forEach(cd);let _d=zt(od.redirect(ld,this._utils),ld);if(_d.redirect.forEach(cd),"remain"in _d){let yd=_d.remain;Ja[sd]=sd in Ja?od.overlap(Ja[sd],yd,this._utils):yd,ud({value:yd})}for(let{from:yd,to:gd}of _d.redirect)ud({value:yd,redirectTo:gd})}for(let sd of rd){let od=qa[sd];this._applyUnknownHandler(sd,od,Ja,(ld,cd)=>{ed.push({[ld]:cd})})}return ed}_applyRequiredCheck(qa){for(let Ja of Object.keys(this._utils.schemas))if(this._identifyMissing(Ja,qa)&&this._identifyRequired(Ja))throw this._invalidHandler(Ja,st$1,this._utils)}_partitionOptionKeys(qa){let[Ja,ed]=gn$3(Object.keys(qa).filter(td=>!this._identifyMissing(td,qa)),td=>td in this._utils.schemas);return{knownKeys:Ja,unknownKeys:ed}}_applyValidation(qa,Ja,ed){let td=Vt$2(ed.validate(qa,this._utils),qa);if(td!==!0)throw this._invalidHandler(Ja,td.value,this._utils)}_applyUnknownHandler(qa,Ja,ed,td){let rd=this._unknownHandler(qa,Ja,this._utils);if(rd)for(let sd of Object.keys(rd)){if(this._identifyMissing(sd,rd))continue;let od=rd[sd];sd in this._utils.schemas?td(sd,od):ed[sd]=od}}_applyPostprocess(qa){let Ja=this._postprocess(qa,this._utils);if(Ja!==he$2){if(Ja.delete)for(let ed of Ja.delete)delete qa[ed];if(Ja.override){let{knownKeys:ed,unknownKeys:td}=this._partitionOptionKeys(Ja.override);for(let rd of ed){let sd=Ja.override[rd];this._applyValidation(sd,rd,this._utils.schemas[rd]),qa[rd]=sd}for(let rd of td){let sd=Ja.override[rd];this._applyUnknownHandler(rd,sd,qa,(od,ld)=>{let cd=this._utils.schemas[od];this._applyValidation(ld,od,cd),qa[od]=ld})}}}}},Gt$3;function go$3(Ra,qa,{logger:Ja=!1,isCLI:ed=!1,passThrough:td=!1,FlagSchema:rd,descriptor:sd}={}){if(ed){if(!rd)throw new Error("'FlagSchema' option is required.");if(!sd)throw new Error("'descriptor' option is required.")}else sd=ie$3;let od=td?Array.isArray(td)?(yd,gd)=>td.includes(yd)?{[yd]:gd}:void 0:(yd,gd)=>({[yd]:gd}):(yd,gd,Ed)=>{let{_:Td,...kd}=Ed.schemas;return at$2(yd,gd,{...Ed,schemas:kd})},ld=yo$3(qa,{isCLI:ed,FlagSchema:rd}),cd=new Et$4(ld,{logger:Ja,unknown:od,descriptor:sd}),ud=Ja!==!1;ud&&Gt$3&&(cd._hasDeprecationWarned=Gt$3);let _d=cd.normalize(Ra);return ud&&(Gt$3=cd._hasDeprecationWarned),_d}function yo$3(Ra,{isCLI:qa,FlagSchema:Ja}){let ed=[];qa&&ed.push(lt$2.create({name:"_"}));for(let td of Ra)ed.push(Ao$1(td,{isCLI:qa,optionInfos:Ra,FlagSchema:Ja})),td.alias&&qa&&ed.push(Dt$4.create({name:td.alias,sourceName:td.name}));return ed}function Ao$1(Ra,{isCLI:qa,optionInfos:Ja,FlagSchema:ed}){let{name:td}=Ra,rd={name:td},sd,od={};switch(Ra.type){case"int":sd=mt$3,qa&&(rd.preprocess=Number);break;case"string":sd=Re$5;break;case"choice":sd=dt$3,rd.choices=Ra.choices.map(ld=>ld!=null&&ld.redirect?{...ld,redirect:{to:{key:Ra.name,value:ld.redirect}}}:ld);break;case"boolean":sd=ft$2;break;case"flag":sd=ed,rd.flags=Ja.flatMap(ld=>[ld.alias,ld.description&&ld.name,ld.oppositeDescription&&`no-${ld.name}`].filter(Boolean));break;case"path":sd=Re$5;break;default:throw new Error(`Unexpected type ${Ra.type}`)}if(Ra.exception?rd.validate=(ld,cd,ud)=>Ra.exception(ld)||cd.validate(ld,ud):rd.validate=(ld,cd,ud)=>ld===void 0||cd.validate(ld,ud),Ra.redirect&&(od.redirect=ld=>ld?{to:typeof Ra.redirect=="string"?Ra.redirect:{key:Ra.redirect.option,value:Ra.redirect.value}}:void 0),Ra.deprecated&&(od.deprecated=!0),qa&&!Ra.array){let ld=rd.preprocess||(cd=>cd);rd.preprocess=(cd,ud,_d)=>ud.preprocess(ld(Array.isArray(cd)?y$1(!1,cd,-1):cd),_d)}return Ra.array?ct$1.create({...qa?{preprocess:ld=>Array.isArray(ld)?ld:[ld]}:{},...od,valueSchema:sd.create(rd)}):sd.create({...rd,...od})}var bn$3=go$3,Bo$2=(Ra,qa,Ja)=>{if(!(Ra&&qa==null)){if(qa.findLast)return qa.findLast(Ja);for(let ed=qa.length-1;ed>=0;ed--){let td=qa[ed];if(Ja(td,ed,qa))return td}}},Kt$1=Bo$2;function qt$2(Ra,qa){if(!qa)throw new Error("parserName is required.");let Ja=Kt$1(!1,Ra,td=>td.parsers&&Object.prototype.hasOwnProperty.call(td.parsers,qa));if(Ja)return Ja;let ed=`Couldn't resolve parser "${qa}".`;throw ed+=" Plugins must be explicitly added to the standalone bundle.",new Pe$4(ed)}function On$3(Ra,qa){if(!qa)throw new Error("astFormat is required.");let Ja=Kt$1(!1,Ra,td=>td.printers&&Object.prototype.hasOwnProperty.call(td.printers,qa));if(Ja)return Ja;let ed=`Couldn't find plugin for AST format "${qa}".`;throw ed+=" Plugins must be explicitly added to the standalone bundle.",new Pe$4(ed)}function ht$3({plugins:Ra,parser:qa}){let Ja=qt$2(Ra,qa);return Jt$3(Ja,qa)}function Jt$3(Ra,qa){let Ja=Ra.parsers[qa];return typeof Ja=="function"?Ja():Ja}function Sn$3(Ra,qa){let Ja=Ra.printers[qa];return typeof Ja=="function"?Ja():Ja}var Nn$3={astFormat:"estree",printer:{},originalText:void 0,locStart:null,locEnd:null};async function wo$2(Ra,qa={}){var Ja;let ed={...Ra};if(!ed.parser)if(ed.filepath){if(ed.parser=un$2(ed,{physicalFile:ed.filepath}),!ed.parser)throw new Ie$2(`No parser could be inferred for file "${ed.filepath}".`)}else throw new Ie$2("No parser and no file path given, couldn't infer a parser.");let td=ot$1({plugins:Ra.plugins,showDeprecated:!0}).options,rd={...Nn$3,...Object.fromEntries(td.filter(yd=>yd.default!==void 0).map(yd=>[yd.name,yd.default]))},sd=qt$2(ed.plugins,ed.parser),od=await Jt$3(sd,ed.parser);ed.astFormat=od.astFormat,ed.locEnd=od.locEnd,ed.locStart=od.locStart;let ld=(Ja=sd.printers)!=null&&Ja[od.astFormat]?sd:On$3(ed.plugins,od.astFormat),cd=await Sn$3(ld,od.astFormat);ed.printer=cd;let ud=ld.defaultOptions?Object.fromEntries(Object.entries(ld.defaultOptions).filter(([,yd])=>yd!==void 0)):{},_d={...rd,...ud};for(let[yd,gd]of Object.entries(_d))(ed[yd]===null||ed[yd]===void 0)&&(ed[yd]=gd);return ed.parser==="json"&&(ed.trailingComma="none"),bn$3(ed,td,{passThrough:Object.keys(Nn$3),...qa})}var se$2=wo$2,Vn$3=Me$5($n$4());async function ko$1(Ra,qa){let Ja=await ht$3(qa),ed=Ja.preprocess?Ja.preprocess(Ra,qa):Ra;qa.originalText=ed;let td;try{td=await Ja.parse(ed,qa,qa)}catch(rd){Lo$3(rd,Ra)}return{text:ed,ast:td}}function Lo$3(Ra,qa){let{loc:Ja}=Ra;if(Ja){let ed=(0,Vn$3.codeFrameColumns)(qa,Ja,{highlightCode:!0});throw Ra.message+=`
|
||
`+ed,Ra.codeFrame=ed,Ra}throw Ra}var ce$3=ko$1;async function Un$3(Ra,qa,Ja,ed,td){let{embeddedLanguageFormatting:rd,printer:{embed:sd,hasPrettierIgnore:od=()=>!1,getVisitorKeys:ld}}=Ja;if(!sd||rd!=="auto")return;if(sd.length>2)throw new Error("printer.embed has too many parameters. The API changed in Prettier v3. Please update your plugin. See https://prettier.io/docs/en/plugins.html#optional-embed");let cd=X$2(sd.getVisitorKeys??ld),ud=[];gd();let _d=Ra.stack;for(let{print:Ed,node:Td,pathStack:kd}of ud)try{Ra.stack=kd;let Rd=await Ed(yd,qa,Ra,Ja);Rd&&td.set(Td,Rd)}catch(Rd){if(globalThis.PRETTIER_DEBUG)throw Rd}Ra.stack=_d;function yd(Ed,Td){return Po$1(Ed,Td,Ja,ed)}function gd(){let{node:Ed}=Ra;if(Ed===null||typeof Ed!="object"||od(Ra))return;for(let kd of cd(Ed))Array.isArray(Ed[kd])?Ra.each(gd,kd):Ra.call(gd,kd);let Td=sd(Ra,Ja);if(Td){if(typeof Td=="function"){ud.push({print:Td,node:Ed,pathStack:[...Ra.stack]});return}td.set(Ed,Td)}}}async function Po$1(Ra,qa,Ja,ed){let td=await se$2({...Ja,...qa,parentParser:Ja.parser,originalText:Ra},{passThrough:!0}),{ast:rd}=await ce$3(Ra,td),sd=await ed(rd,td);return Ze$3(sd)}function Io$1(Ra,qa){let{originalText:Ja,[Symbol.for("comments")]:ed,locStart:td,locEnd:rd,[Symbol.for("printedComments")]:sd}=qa,{node:od}=Ra,ld=td(od),cd=rd(od);for(let ud of ed)td(ud)>=ld&&rd(ud)<=cd&&sd.add(ud);return Ja.slice(ld,cd)}var zn$3=Io$1;async function Ye$2(Ra,qa){({ast:Ra}=await Qt$2(Ra,qa));let Ja=new Map,ed=new Mr$3(Ra),td=new Map;await Un$3(ed,sd,qa,Ye$2,td);let rd=await Gn$4(ed,qa,sd,void 0,td);return en$2(qa),rd;function sd(ld,cd){return ld===void 0||ld===ed?od(cd):Array.isArray(ld)?ed.call(()=>od(cd),...ld):ed.call(()=>od(cd),ld)}function od(ld){let cd=ed.node;if(cd==null)return"";let ud=cd&&typeof cd=="object"&&ld===void 0;if(ud&&Ja.has(cd))return Ja.get(cd);let _d=Gn$4(ed,qa,sd,ld,td);return ud&&Ja.set(cd,_d),_d}}function Gn$4(Ra,qa,Ja,ed,td){var rd;let{node:sd}=Ra,{printer:od}=qa,ld;return(rd=od.hasPrettierIgnore)!=null&&rd.call(od,Ra)?ld=zn$3(Ra,qa):td.has(sd)?ld=td.get(sd):ld=od.print(Ra,qa,Ja,ed),sd===qa.cursorNode&&(ld=Qe$2(ld,cd=>[ve$3,cd,ve$3])),od.printComment&&(!od.willPrintOwnComments||!od.willPrintOwnComments(Ra,qa))&&(ld=Qr$4(Ra,ld,qa)),ld}async function Qt$2(Ra,qa){let Ja=Ra.comments??[];qa[Symbol.for("comments")]=Ja,qa[Symbol.for("tokens")]=Ra.tokens??[],qa[Symbol.for("printedComments")]=new Set,Jr$3(Ra,qa);let{printer:{preprocess:ed}}=qa;return Ra=ed?await ed(Ra,qa):Ra,{ast:Ra,comments:Ja}}function Ro$1(Ra,qa){let{cursorOffset:Ja,locStart:ed,locEnd:td}=qa,rd=X$2(qa.printer.getVisitorKeys),sd=ld=>ed(ld)<=Ja&&td(ld)>=Ja,od=Ra;for(let ld of Ur$3(Ra,{getVisitorKeys:rd,filter:sd}))od=ld;return od}var Kn$3=Ro$1;function Yo$1(Ra,qa){let{printer:{massageAstNode:Ja,getVisitorKeys:ed}}=qa;if(!Ja)return Ra;let td=X$2(ed),rd=Ja.ignoredProperties??new Set;return sd(Ra);function sd(od,ld){if(!(od!==null&&typeof od=="object"))return od;if(Array.isArray(od))return od.map(yd=>sd(yd,ld)).filter(Boolean);let cd={},ud=new Set(td(od));for(let yd in od)!Object.prototype.hasOwnProperty.call(od,yd)||rd.has(yd)||(ud.has(yd)?cd[yd]=sd(od[yd],od):cd[yd]=od[yd]);let _d=Ja(od,cd,ld);if(_d!==null)return _d??cd}}var qn$3=Yo$1,jo$2=(Ra,qa,Ja)=>{if(!(Ra&&qa==null)){if(qa.findLastIndex)return qa.findLastIndex(Ja);for(let ed=qa.length-1;ed>=0;ed--){let td=qa[ed];if(Ja(td,ed,qa))return ed}return-1}},Jn$4=jo$2,Ho$3=({parser:Ra})=>Ra==="json"||Ra==="json5"||Ra==="jsonc"||Ra==="json-stringify";function Wo$2(Ra,qa){let Ja=[Ra.node,...Ra.parentNodes],ed=new Set([qa.node,...qa.parentNodes]);return Ja.find(td=>Qn$4.has(td.type)&&ed.has(td))}function Xn$4(Ra){let qa=Jn$4(!1,Ra,Ja=>Ja.type!=="Program"&&Ja.type!=="File");return qa===-1?Ra:Ra.slice(0,qa+1)}function Mo$3(Ra,qa,{locStart:Ja,locEnd:ed}){let td=Ra.node,rd=qa.node;if(td===rd)return{startNode:td,endNode:rd};let sd=Ja(Ra.node);for(let ld of Xn$4(qa.parentNodes))if(Ja(ld)>=sd)rd=ld;else break;let od=ed(qa.node);for(let ld of Xn$4(Ra.parentNodes)){if(ed(ld)<=od)td=ld;else break;if(td===rd)break}return{startNode:td,endNode:rd}}function er$2(Ra,qa,Ja,ed,td=[],rd){let{locStart:sd,locEnd:od}=Ja,ld=sd(Ra),cd=od(Ra);if(!(qa>cd||qa<ld||rd==="rangeEnd"&&qa===ld||rd==="rangeStart"&&qa===cd)){for(let ud of ut$1(Ra,Ja)){let _d=er$2(ud,qa,Ja,ed,[Ra,...td],rd);if(_d)return _d}if(!ed||ed(Ra,td[0]))return{node:Ra,parentNodes:td}}}function $o$1(Ra,qa){return qa!=="DeclareExportDeclaration"&&Ra!=="TypeParameterDeclaration"&&(Ra==="Directive"||Ra==="TypeAlias"||Ra==="TSExportAssignment"||Ra.startsWith("Declare")||Ra.startsWith("TSDeclare")||Ra.endsWith("Statement")||Ra.endsWith("Declaration"))}var Qn$4=new Set(["JsonRoot","ObjectExpression","ArrayExpression","StringLiteral","NumericLiteral","BooleanLiteral","NullLiteral","UnaryExpression","TemplateLiteral"]),Vo$2=new Set(["OperationDefinition","FragmentDefinition","VariableDefinition","TypeExtensionDefinition","ObjectTypeDefinition","FieldDefinition","DirectiveDefinition","EnumTypeDefinition","EnumValueDefinition","InputValueDefinition","InputObjectTypeDefinition","SchemaDefinition","OperationTypeDefinition","InterfaceTypeDefinition","UnionTypeDefinition","ScalarTypeDefinition"]);function Zn$3(Ra,qa,Ja){if(!qa)return!1;switch(Ra.parser){case"flow":case"babel":case"babel-flow":case"babel-ts":case"typescript":case"acorn":case"espree":case"meriyah":case"__babel_estree":return $o$1(qa.type,Ja==null?void 0:Ja.type);case"json":case"json5":case"jsonc":case"json-stringify":return Qn$4.has(qa.type);case"graphql":return Vo$2.has(qa.kind);case"vue":return qa.tag!=="root"}return!1}function eu$2(Ra,qa,Ja){let{rangeStart:ed,rangeEnd:td,locStart:rd,locEnd:sd}=qa;ke$3.ok(td>ed);let od=Ra.slice(ed,td).search(/\S/u),ld=od===-1;if(!ld)for(ed+=od;td>ed&&!/\S/u.test(Ra[td-1]);--td);let cd=er$2(Ja,ed,qa,(gd,Ed)=>Zn$3(qa,gd,Ed),[],"rangeStart"),ud=ld?cd:er$2(Ja,td,qa,gd=>Zn$3(qa,gd),[],"rangeEnd");if(!cd||!ud)return{rangeStart:0,rangeEnd:0};let _d,yd;if(Ho$3(qa)){let gd=Wo$2(cd,ud);_d=gd,yd=gd}else({startNode:_d,endNode:yd}=Mo$3(cd,ud,qa));return{rangeStart:Math.min(rd(_d),rd(yd)),rangeEnd:Math.max(sd(_d),sd(yd))}}var uu$4="\uFEFF",tu$3=Symbol("cursor");async function ou$3(Ra,qa,Ja=0){if(!Ra||Ra.trim().length===0)return{formatted:"",cursorOffset:-1,comments:[]};let{ast:ed,text:td}=await ce$3(Ra,qa);qa.cursorOffset>=0&&(qa.cursorNode=Kn$3(ed,qa));let rd=await Ye$2(ed,qa);Ja>0&&(rd=Je$2([q$2,rd],Ja,qa.tabWidth));let sd=Fe$2(rd,qa);if(Ja>0){let ld=sd.formatted.trim();sd.cursorNodeStart!==void 0&&(sd.cursorNodeStart-=sd.formatted.indexOf(ld)),sd.formatted=ld+Be$4(qa.endOfLine)}let od=qa[Symbol.for("comments")];if(qa.cursorOffset>=0){let ld,cd,ud,_d,yd;if(qa.cursorNode&&sd.cursorNodeText?(ld=qa.locStart(qa.cursorNode),cd=td.slice(ld,qa.locEnd(qa.cursorNode)),ud=qa.cursorOffset-ld,_d=sd.cursorNodeStart,yd=sd.cursorNodeText):(ld=0,cd=td,ud=qa.cursorOffset,_d=0,yd=sd.formatted),cd===yd)return{formatted:sd.formatted,cursorOffset:_d+ud,comments:od};let gd=cd.split("");gd.splice(ud,0,tu$3);let Ed=yd.split(""),Td=dr$2(gd,Ed),kd=_d;for(let Rd of Td)if(Rd.removed){if(Rd.value.includes(tu$3))break}else kd+=Rd.count;return{formatted:sd.formatted,cursorOffset:kd,comments:od}}return{formatted:sd.formatted,cursorOffset:-1,comments:od}}async function Uo$3(Ra,qa){let{ast:Ja,text:ed}=await ce$3(Ra,qa),{rangeStart:td,rangeEnd:rd}=eu$2(ed,qa,Ja),sd=ed.slice(td,rd),od=Math.min(td,ed.lastIndexOf(`
|
||
`,td)+1),ld=ed.slice(od,td).match(/^\s*/u)[0],cd=me$1(ld,qa.tabWidth),ud=await ou$3(sd,{...qa,rangeStart:0,rangeEnd:Number.POSITIVE_INFINITY,cursorOffset:qa.cursorOffset>td&&qa.cursorOffset<=rd?qa.cursorOffset-td:-1,endOfLine:"lf"},cd),_d=ud.formatted.trimEnd(),{cursorOffset:yd}=qa;yd>rd?yd+=_d.length-sd.length:ud.cursorOffset>=0&&(yd=ud.cursorOffset+td);let gd=ed.slice(0,td)+_d+ed.slice(rd);if(qa.endOfLine!=="lf"){let Ed=Be$4(qa.endOfLine);yd>=0&&Ed===`\r
|
||
`&&(yd+=wt$2(gd.slice(0,yd),`
|
||
`)),gd=ne$3(!1,gd,`
|
||
`,Ed)}return{formatted:gd,cursorOffset:yd,comments:ud.comments}}function tr$2(Ra,qa,Ja){return typeof qa!="number"||Number.isNaN(qa)||qa<0||qa>Ra.length?Ja:qa}function ru$3(Ra,qa){let{cursorOffset:Ja,rangeStart:ed,rangeEnd:td}=qa;return Ja=tr$2(Ra,Ja,-1),ed=tr$2(Ra,ed,0),td=tr$2(Ra,td,Ra.length),{...qa,cursorOffset:Ja,rangeStart:ed,rangeEnd:td}}function iu$2(Ra,qa){let{cursorOffset:Ja,rangeStart:ed,rangeEnd:td,endOfLine:rd}=ru$3(Ra,qa),sd=Ra.charAt(0)===uu$4;if(sd&&(Ra=Ra.slice(1),Ja--,ed--,td--),rd==="auto"&&(rd=Fr$2(Ra)),Ra.includes("\r")){let od=ld=>wt$2(Ra.slice(0,Math.max(ld,0)),`\r
|
||
`);Ja-=od(Ja),ed-=od(ed),td-=od(td),Ra=mr$2(Ra)}return{hasBOM:sd,text:Ra,options:ru$3(Ra,{...qa,cursorOffset:Ja,rangeStart:ed,rangeEnd:td,endOfLine:rd})}}async function nu$4(Ra,qa){let Ja=await ht$3(qa);return!Ja.hasPragma||Ja.hasPragma(Ra)}async function rr$2(Ra,qa){let{hasBOM:Ja,text:ed,options:td}=iu$2(Ra,await se$2(qa));if(td.rangeStart>=td.rangeEnd&&ed!==""||td.requirePragma&&!await nu$4(ed,td))return{formatted:Ra,cursorOffset:qa.cursorOffset,comments:[]};let rd;return td.rangeStart>0||td.rangeEnd<ed.length?rd=await Uo$3(ed,td):(!td.requirePragma&&td.insertPragma&&td.printer.insertPragma&&!await nu$4(ed,td)&&(ed=td.printer.insertPragma(ed)),rd=await ou$3(ed,td)),Ja&&(rd.formatted=uu$4+rd.formatted,rd.cursorOffset>=0&&rd.cursorOffset++),rd}async function su$3(Ra,qa,Ja){let{text:ed,options:td}=iu$2(Ra,await se$2(qa)),rd=await ce$3(ed,td);return Ja&&(Ja.preprocessForPrint&&(rd.ast=await Qt$2(rd.ast,td)),Ja.massage&&(rd.ast=qn$3(rd.ast,td))),rd}async function au$3(Ra,qa){qa=await se$2(qa);let Ja=await Ye$2(Ra,qa);return Fe$2(Ja,qa)}async function Du$2(Ra,qa){let Ja=Or$3(Ra),{formatted:ed}=await rr$2(Ja,{...qa,parser:"__js_expression"});return ed}async function lu$3(Ra,qa){qa=await se$2(qa);let{ast:Ja}=await ce$3(Ra,qa);return Ye$2(Ja,qa)}async function cu$2(Ra,qa){return Fe$2(Ra,await se$2(qa))}var nr$2={};We$1(nr$2,{builders:()=>Go$1,printer:()=>Ko$2,utils:()=>qo$1});var Go$1={join:be$5,line:Ke$2,softline:vr$2,hardline:q$2,literalline:qe$3,group:_t$2,conditionalGroup:Ar$2,fill:Ge$2,lineSuffix:xe$3,lineSuffixBoundary:xr$3,cursor:ve$3,breakParent:de$2,ifBreak:Br$4,trim:_r$3,indent:De$2,indentIfBreak:wr$3,align:ae$2,addAlignmentToDoc:Je$2,markAsRoot:gr$2,dedentToRoot:Cr$1,dedent:yr$2,hardlineWithoutBreakParent:_e$4,literallineWithoutBreakParent:vt$3,label:br$2,concat:Ra=>Ra},Ko$2={printDocToString:Fe$2},qo$1={willBreak:Ir$3,traverseDoc:we$2,findInDoc:Xe$2,mapDoc:Ne$2,removeLines:Yr$4,stripTrailingHardline:Ze$3,replaceEndOfLine:jr$3,canBreak:Hr$4},fu$1="3.3.3",or$2={};We$1(or$2,{addDanglingComment:()=>re$4,addLeadingComment:()=>ue$2,addTrailingComment:()=>oe$3,getAlignmentSize:()=>me$1,getIndentSize:()=>pu$2,getMaxContinuousCount:()=>du$3,getNextNonSpaceNonCommentCharacter:()=>Fu$2,getNextNonSpaceNonCommentCharacterIndex:()=>si$4,getStringWidth:()=>Oe$4,hasNewline:()=>V$4,hasNewlineInRange:()=>mu$2,hasSpaces:()=>Eu$2,isNextLineEmpty:()=>ci$3,isNextLineEmptyAfterIndex:()=>gt$2,isPreviousLineEmpty:()=>Di$3,makeString:()=>hu$2,skip:()=>Ee$3,skipEverythingButNewLine:()=>nt$1,skipInlineComment:()=>Ce$2,skipNewline:()=>M$3,skipSpaces:()=>T$1,skipToLineEnd:()=>rt$2,skipTrailingComment:()=>ge$4,skipWhitespace:()=>zr$3});function Jo$2(Ra,qa){if(qa===!1)return!1;if(Ra.charAt(qa)==="/"&&Ra.charAt(qa+1)==="*"){for(let Ja=qa+2;Ja<Ra.length;++Ja)if(Ra.charAt(Ja)==="*"&&Ra.charAt(Ja+1)==="/")return Ja+2}return qa}var Ce$2=Jo$2;function Xo$1(Ra,qa){return qa===!1?!1:Ra.charAt(qa)==="/"&&Ra.charAt(qa+1)==="/"?nt$1(Ra,qa):qa}var ge$4=Xo$1;function Zo$2(Ra,qa){let Ja=null,ed=qa;for(;ed!==Ja;)Ja=ed,ed=T$1(Ra,ed),ed=Ce$2(Ra,ed),ed=ge$4(Ra,ed),ed=M$3(Ra,ed);return ed}var je$4=Zo$2;function Qo$2(Ra,qa){let Ja=null,ed=qa;for(;ed!==Ja;)Ja=ed,ed=rt$2(Ra,ed),ed=Ce$2(Ra,ed),ed=T$1(Ra,ed);return ed=ge$4(Ra,ed),ed=M$3(Ra,ed),ed!==!1&&V$4(Ra,ed)}var gt$2=Qo$2;function ei$3(Ra,qa){let Ja=Ra.lastIndexOf(`
|
||
`);return Ja===-1?0:me$1(Ra.slice(Ja+1).match(/^[\t ]*/u)[0],qa)}var pu$2=ei$3;function ur$2(Ra){if(typeof Ra!="string")throw new TypeError("Expected a string");return Ra.replace(/[|\\{}()[\]^$+*?.]/g,"\\$&").replace(/-/g,"\\x2d")}function ti$4(Ra,qa){let Ja=Ra.match(new RegExp(`(${ur$2(qa)})+`,"gu"));return Ja===null?0:Ja.reduce((ed,td)=>Math.max(ed,td.length/qa.length),0)}var du$3=ti$4;function ri$4(Ra,qa){let Ja=je$4(Ra,qa);return Ja===!1?"":Ra.charAt(Ja)}var Fu$2=ri$4;function ni$4(Ra,qa,Ja){for(let ed=qa;ed<Ja;++ed)if(Ra.charAt(ed)===`
|
||
`)return!0;return!1}var mu$2=ni$4;function ui$3(Ra,qa,Ja={}){return T$1(Ra,Ja.backwards?qa-1:qa,Ja)!==qa}var Eu$2=ui$3;function oi$3(Ra,qa,Ja){let ed=qa==='"'?"'":'"',td=ne$3(!1,Ra,/\\(.)|(["'])/gsu,(rd,sd,od)=>sd===ed?sd:od===qa?"\\"+od:od||(Ja&&/^[^\n\r"'0-7\\bfnrt-vx\u2028\u2029]$/u.test(sd)?sd:"\\"+sd));return qa+td+qa}var hu$2=oi$3;function ii$4(Ra,qa,Ja){return je$4(Ra,Ja(qa))}function si$4(Ra,qa){return arguments.length===2||typeof qa=="number"?je$4(Ra,qa):ii$4(...arguments)}function ai$4(Ra,qa,Ja){return Le$3(Ra,Ja(qa))}function Di$3(Ra,qa){return arguments.length===2||typeof qa=="number"?Le$3(Ra,qa):ai$4(...arguments)}function li$4(Ra,qa,Ja){return gt$2(Ra,Ja(qa))}function ci$3(Ra,qa){return arguments.length===2||typeof qa=="number"?gt$2(Ra,qa):li$4(...arguments)}function fe$3(Ra,qa=1){return async(...Ja)=>{let ed=Ja[qa]??{},td=ed.plugins??[];return Ja[qa]={...ed,plugins:Array.isArray(td)?td:Object.values(td)},Ra(...Ja)}}var Cu$2=fe$3(rr$2);async function gu$1(Ra,qa){let{formatted:Ja}=await Cu$2(Ra,{...qa,cursorOffset:-1});return Ja}async function fi$3(Ra,qa){return await gu$1(Ra,qa)===Ra}var pi$4=fe$3(ot$1,0),di$3={parse:fe$3(su$3),formatAST:fe$3(au$3),formatDoc:fe$3(Du$2),printToDoc:fe$3(lu$3),printDocToString:fe$3(cu$2)},el$2=Object.create,ft$1=Object.defineProperty,rl$2=Object.getOwnPropertyDescriptor,tl$2=Object.getOwnPropertyNames,nl$2=Object.getPrototypeOf,il$2=Object.prototype.hasOwnProperty,C=(Ra,qa)=>()=>(qa||Ra((qa={exports:{}}).exports,qa),qa.exports),Ln$3=(Ra,qa)=>{for(var Ja in qa)ft$1(Ra,Ja,{get:qa[Ja],enumerable:!0})},ul$2=(Ra,qa,Ja,ed)=>{if(qa&&typeof qa=="object"||typeof qa=="function")for(let td of tl$2(qa))!il$2.call(Ra,td)&&td!==Ja&&ft$1(Ra,td,{get:()=>qa[td],enumerable:!(ed=rl$2(qa,td))||ed.enumerable});return Ra},Ue$3=(Ra,qa,Ja)=>(Ja=Ra!=null?el$2(nl$2(Ra)):{},ul$2(ft$1(Ja,"default",{value:Ra,enumerable:!0}),Ra)),xr$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed){return String(ed).replace(/\s+/g," ")}}),Pi$2=C((Ra,qa)=>{qa.exports=Ed;var Ja=9,ed=10,td=32,rd=33,sd=58,od=91,ld=92,cd=93,ud=94,_d=96,yd=4,gd=1024;function Ed(Ld){var Pd=this.Parser,qd=this.Compiler;Td(Pd)&&Rd(Pd,Ld),kd(qd)&&Nd(qd)}function Td(Ld){return!!(Ld&&Ld.prototype&&Ld.prototype.blockTokenizers)}function kd(Ld){return!!(Ld&&Ld.prototype&&Ld.prototype.visitors)}function Rd(Ld,Pd){for(var qd=Pd||{},Yd=Ld.prototype,Ud=Yd.blockTokenizers,Hd=Yd.inlineTokenizers,Vd=Yd.blockMethods,Jd=Yd.inlineMethods,Zd=Ud.definition,pf=Hd.reference,Xd=[],hf=-1,_f=Vd.length,xf;++hf<_f;)xf=Vd[hf],!(xf==="newline"||xf==="indentedCode"||xf==="paragraph"||xf==="footnoteDefinition")&&Xd.push([xf]);Xd.push(["footnoteDefinition"]),qd.inlineNotes&&(Id(Jd,"reference","inlineNote"),Hd.inlineNote=Yf),Id(Vd,"definition","footnoteDefinition"),Id(Jd,"reference","footnoteCall"),Ud.definition=Sf,Ud.footnoteDefinition=Lf,Hd.footnoteCall=Wf,Hd.reference=If,Yd.interruptFootnoteDefinition=Xd,If.locator=pf.locator,Wf.locator=wf,Yf.locator=Kf;function Lf(Gf,gf,mf){for(var $f=this,zf=$f.interruptFootnoteDefinition,hh=$f.offset,Vf=gf.length+1,kf=0,Jf=[],Ch,qf,Tf,Af,Pf,gh,Nh,dh,$h,Rh,jh,Zh,Wh;kf<Vf&&(Af=gf.charCodeAt(kf),!(Af!==Ja&&Af!==td));)kf++;if(gf.charCodeAt(kf++)===od&&gf.charCodeAt(kf++)===ud){for(qf=kf;kf<Vf;){if(Af=gf.charCodeAt(kf),Af!==Af||Af===ed||Af===Ja||Af===td)return;if(Af===cd){Tf=kf,kf++;break}kf++}if(!(Tf===void 0||qf===Tf||gf.charCodeAt(kf++)!==sd)){if(mf)return!0;for(Ch=gf.slice(qf,Tf),Pf=Gf.now(),$h=0,Rh=0,jh=kf,Zh=[];kf<Vf;){if(Af=gf.charCodeAt(kf),Af!==Af||Af===ed)Wh={start:$h,contentStart:jh||kf,contentEnd:kf,end:kf},Zh.push(Wh),Af===ed&&($h=kf+1,Rh=0,jh=void 0,Wh.end=$h);else if(Rh!==void 0)if(Af===td||Af===Ja)Rh+=Af===td?1:yd-Rh%yd,Rh>yd&&(Rh=void 0,jh=kf);else{if(Rh<yd&&Wh&&(Wh.contentStart===Wh.contentEnd||Md(zf,Ud,$f,[Gf,gf.slice(kf,gd),!0])))break;Rh=void 0,jh=kf}kf++}for(kf=-1,Vf=Zh.length;Vf>0&&(Wh=Zh[Vf-1],Wh.contentStart===Wh.contentEnd);)Vf--;for(gh=Gf(gf.slice(0,Wh.contentEnd));++kf<Vf;)Wh=Zh[kf],hh[Pf.line+kf]=(hh[Pf.line+kf]||0)+(Wh.contentStart-Wh.start),Jf.push(gf.slice(Wh.contentStart,Wh.end));return Nh=$f.enterBlock(),dh=$f.tokenizeBlock(Jf.join(""),Pf),Nh(),gh({type:"footnoteDefinition",identifier:Ch.toLowerCase(),label:Ch,children:dh})}}}function Wf(Gf,gf,mf){var $f=gf.length+1,zf=0,hh,Vf,kf,Jf;if(gf.charCodeAt(zf++)===od&&gf.charCodeAt(zf++)===ud){for(Vf=zf;zf<$f;){if(Jf=gf.charCodeAt(zf),Jf!==Jf||Jf===ed||Jf===Ja||Jf===td)return;if(Jf===cd){kf=zf,zf++;break}zf++}if(!(kf===void 0||Vf===kf))return mf?!0:(hh=gf.slice(Vf,kf),Gf(gf.slice(0,zf))({type:"footnoteReference",identifier:hh.toLowerCase(),label:hh}))}}function Yf(Gf,gf,mf){var $f=this,zf=gf.length+1,hh=0,Vf=0,kf,Jf,Ch,qf,Tf,Af,Pf;if(gf.charCodeAt(hh++)===ud&&gf.charCodeAt(hh++)===od){for(Ch=hh;hh<zf;){if(Jf=gf.charCodeAt(hh),Jf!==Jf)return;if(Af===void 0)if(Jf===ld)hh+=2;else if(Jf===od)Vf++,hh++;else if(Jf===cd)if(Vf===0){qf=hh,hh++;break}else Vf--,hh++;else if(Jf===_d){for(Tf=hh,Af=1;gf.charCodeAt(Tf+Af)===_d;)Af++;hh+=Af}else hh++;else if(Jf===_d){for(Tf=hh,Pf=1;gf.charCodeAt(Tf+Pf)===_d;)Pf++;hh+=Pf,Af===Pf&&(Af=void 0),Pf=void 0}else hh++}if(qf!==void 0)return mf?!0:(kf=Gf.now(),kf.column+=2,kf.offset+=2,Gf(gf.slice(0,hh))({type:"footnote",children:$f.tokenizeInline(gf.slice(Ch,qf),kf)}))}}function If(Gf,gf,mf){var $f=0;if(gf.charCodeAt($f)===rd&&$f++,gf.charCodeAt($f)===od&&gf.charCodeAt($f+1)!==ud)return pf.call(this,Gf,gf,mf)}function Sf(Gf,gf,mf){for(var $f=0,zf=gf.charCodeAt($f);zf===td||zf===Ja;)zf=gf.charCodeAt(++$f);if(zf===od&&gf.charCodeAt($f+1)!==ud)return Zd.call(this,Gf,gf,mf)}function wf(Gf,gf){return Gf.indexOf("[",gf)}function Kf(Gf,gf){return Gf.indexOf("^[",gf)}}function Nd(Ld){var Pd=Ld.prototype.visitors,qd=" ";Pd.footnote=Yd,Pd.footnoteReference=Ud,Pd.footnoteDefinition=Hd;function Yd(Vd){return"^["+this.all(Vd).join("")+"]"}function Ud(Vd){return"[^"+(Vd.label||Vd.identifier)+"]"}function Hd(Vd){for(var Jd=this.all(Vd).join(`
|
||
|
||
`).split(`
|
||
`),Zd=0,pf=Jd.length,Xd;++Zd<pf;)Xd=Jd[Zd],Xd!==""&&(Jd[Zd]=qd+Xd);return"[^"+(Vd.label||Vd.identifier)+"]: "+Jd.join(`
|
||
`)}}function Id(Ld,Pd,qd){Ld.splice(Ld.indexOf(Pd),0,qd)}function Md(Ld,Pd,qd,Yd){for(var Ud=Ld.length,Hd=-1;++Hd<Ud;)if(Pd[Ld[Hd][0]].apply(qd,Yd))return!0;return!1}}),Bt$3=C(Ra=>{Ra.isRemarkParser=qa,Ra.isRemarkCompiler=Ja;function qa(ed){return!!(ed&&ed.prototype&&ed.prototype.blockTokenizers)}function Ja(ed){return!!(ed&&ed.prototype&&ed.prototype.visitors)}}),Mi$1=C((Ra,qa)=>{var Ja=Bt$3();qa.exports=_d;var ed=9,td=32,rd=36,sd=48,od=57,ld=92,cd=["math","math-inline"],ud="math-display";function _d(Ed){let Td=this.Parser,kd=this.Compiler;Ja.isRemarkParser(Td)&&yd(Td,Ed),Ja.isRemarkCompiler(kd)&&gd(kd)}function yd(Ed,Td){let kd=Ed.prototype,Rd=kd.inlineMethods;Id.locator=Nd,kd.inlineTokenizers.math=Id,Rd.splice(Rd.indexOf("text"),0,"math");function Nd(Md,Ld){return Md.indexOf("$",Ld)}function Id(Md,Ld,Pd){let qd=Ld.length,Yd=!1,Ud=!1,Hd=0,Vd,Jd,Zd,pf,Xd,hf,_f;if(Ld.charCodeAt(Hd)===ld&&(Ud=!0,Hd++),Ld.charCodeAt(Hd)===rd){if(Hd++,Ud)return Pd?!0:Md(Ld.slice(0,Hd))({type:"text",value:"$"});if(Ld.charCodeAt(Hd)===rd&&(Yd=!0,Hd++),Zd=Ld.charCodeAt(Hd),!(Zd===td||Zd===ed)){for(pf=Hd;Hd<qd;){if(Jd=Zd,Zd=Ld.charCodeAt(Hd+1),Jd===rd){if(Vd=Ld.charCodeAt(Hd-1),Vd!==td&&Vd!==ed&&(Zd!==Zd||Zd<sd||Zd>od)&&(!Yd||Zd===rd)){Xd=Hd-1,Hd++,Yd&&Hd++,hf=Hd;break}}else Jd===ld&&(Hd++,Zd=Ld.charCodeAt(Hd+1));Hd++}if(hf!==void 0)return Pd?!0:(_f=Ld.slice(pf,Xd+1),Md(Ld.slice(0,hf))({type:"inlineMath",value:_f,data:{hName:"span",hProperties:{className:cd.concat(Yd&&Td.inlineMathDouble?[ud]:[])},hChildren:[{type:"text",value:_f}]}}))}}}}function gd(Ed){let Td=Ed.prototype;Td.visitors.inlineMath=kd;function kd(Rd){let Nd="$";return(Rd.data&&Rd.data.hProperties&&Rd.data.hProperties.className||[]).includes(ud)&&(Nd="$$"),Nd+Rd.value+Nd}}}),$i$2=C((Ra,qa)=>{var Ja=Bt$3();qa.exports=ud;var ed=10,td=32,rd=36,sd=`
|
||
`,od="$",ld=2,cd=["math","math-display"];function ud(){let gd=this.Parser,Ed=this.Compiler;Ja.isRemarkParser(gd)&&_d(gd),Ja.isRemarkCompiler(Ed)&&yd(Ed)}function _d(gd){let Ed=gd.prototype,Td=Ed.blockMethods,kd=Ed.interruptParagraph,Rd=Ed.interruptList,Nd=Ed.interruptBlockquote;Ed.blockTokenizers.math=Id,Td.splice(Td.indexOf("fencedCode")+1,0,"math"),kd.splice(kd.indexOf("fencedCode")+1,0,["math"]),Rd.splice(Rd.indexOf("fencedCode")+1,0,["math"]),Nd.splice(Nd.indexOf("fencedCode")+1,0,["math"]);function Id(Md,Ld,Pd){var qd=Ld.length,Yd=0;let Ud,Hd,Vd,Jd,Zd,pf,Xd,hf,_f,xf,Lf;for(;Yd<qd&&Ld.charCodeAt(Yd)===td;)Yd++;for(Zd=Yd;Yd<qd&&Ld.charCodeAt(Yd)===rd;)Yd++;if(pf=Yd-Zd,!(pf<ld)){for(;Yd<qd&&Ld.charCodeAt(Yd)===td;)Yd++;for(Xd=Yd;Yd<qd;){if(Ud=Ld.charCodeAt(Yd),Ud===rd)return;if(Ud===ed)break;Yd++}if(Ld.charCodeAt(Yd)===ed){if(Pd)return!0;for(Hd=[],Xd!==Yd&&Hd.push(Ld.slice(Xd,Yd)),Yd++,Vd=Ld.indexOf(sd,Yd+1),Vd=Vd===-1?qd:Vd;Yd<qd;){for(hf=!1,xf=Yd,Lf=Vd,Jd=Vd,_f=0;Jd>xf&&Ld.charCodeAt(Jd-1)===td;)Jd--;for(;Jd>xf&&Ld.charCodeAt(Jd-1)===rd;)_f++,Jd--;for(pf<=_f&&Ld.indexOf(od,xf)===Jd&&(hf=!0,Lf=Jd);xf<=Lf&&xf-Yd<Zd&&Ld.charCodeAt(xf)===td;)xf++;if(hf)for(;Lf>xf&&Ld.charCodeAt(Lf-1)===td;)Lf--;if((!hf||xf!==Lf)&&Hd.push(Ld.slice(xf,Lf)),hf)break;Yd=Vd+1,Vd=Ld.indexOf(sd,Yd+1),Vd=Vd===-1?qd:Vd}return Hd=Hd.join(`
|
||
`),Md(Ld.slice(0,Vd))({type:"math",value:Hd,data:{hName:"div",hProperties:{className:cd.concat()},hChildren:[{type:"text",value:Hd}]}})}}}}function yd(gd){let Ed=gd.prototype;Ed.visitors.math=Td;function Td(kd){return`$$
|
||
`+kd.value+`
|
||
$$`}}}),Wi$1=C((Ra,qa)=>{var Ja=Mi$1(),ed=$i$2();qa.exports=td;function td(rd){var sd=rd||{};ed.call(this,sd),Ja.call(this,sd)}}),Ie$1=C((Ra,qa)=>{qa.exports=ed;var Ja=Object.prototype.hasOwnProperty;function ed(){for(var td={},rd=0;rd<arguments.length;rd++){var sd=arguments[rd];for(var od in sd)Ja.call(sd,od)&&(td[od]=sd[od])}return td}}),Ji$3=C((Ra,qa)=>{typeof Object.create=="function"?qa.exports=function(Ja,ed){ed&&(Ja.super_=ed,Ja.prototype=Object.create(ed.prototype,{constructor:{value:Ja,enumerable:!1,writable:!0,configurable:!0}}))}:qa.exports=function(Ja,ed){if(ed){Ja.super_=ed;var td=function(){};td.prototype=ed.prototype,Ja.prototype=new td,Ja.prototype.constructor=Ja}}}),Zi$1=C((Ra,qa)=>{var Ja=Ie$1(),ed=Ji$3();qa.exports=td;function td(rd){var sd,od,ld;ed(ud,rd),ed(cd,ud),sd=ud.prototype;for(od in sd)ld=sd[od],ld&&typeof ld=="object"&&(sd[od]="concat"in ld?ld.concat():Ja(ld));return ud;function cd(_d){return rd.apply(this,_d)}function ud(){return this instanceof ud?rd.apply(this,arguments):new cd(arguments)}}}),ru$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td,rd){return sd;function sd(){var od=rd||this,ld=od[ed];return od[ed]=!td,cd;function cd(){od[ed]=ld}}}}),nu$3=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed){for(var td=String(ed),rd=[],sd=/\r?\n|\r/g;sd.exec(td);)rd.push(sd.lastIndex);return rd.push(td.length+1),{toPoint:od,toPosition:od,toOffset:ld};function od(cd){var ud=-1;if(cd>-1&&cd<rd[rd.length-1]){for(;++ud<rd.length;)if(rd[ud]>cd)return{line:ud+1,column:cd-(rd[ud-1]||0)+1,offset:cd}}return{}}function ld(cd){var ud=cd&&cd.line,_d=cd&&cd.column,yd;return!isNaN(ud)&&!isNaN(_d)&&ud-1 in rd&&(yd=(rd[ud-2]||0)+_d-1||0),yd>-1&&yd<rd[rd.length-1]?yd:-1}}}),uu$3=C((Ra,qa)=>{qa.exports=ed;var Ja="\\";function ed(td,rd){return sd;function sd(od){for(var ld=0,cd=od.indexOf(Ja),ud=td[rd],_d=[],yd;cd!==-1;)_d.push(od.slice(ld,cd)),ld=cd+1,yd=od.charAt(ld),(!yd||ud.indexOf(yd)===-1)&&_d.push(Ja),cd=od.indexOf(Ja,ld+1);return _d.push(od.slice(ld)),_d.join("")}}}),au$2=C((Ra,qa)=>{qa.exports={AElig:"Æ",AMP:"&",Aacute:"Á",Acirc:"Â",Agrave:"À",Aring:"Å",Atilde:"Ã",Auml:"Ä",COPY:"©",Ccedil:"Ç",ETH:"Ð",Eacute:"É",Ecirc:"Ê",Egrave:"È",Euml:"Ë",GT:">",Iacute:"Í",Icirc:"Î",Igrave:"Ì",Iuml:"Ï",LT:"<",Ntilde:"Ñ",Oacute:"Ó",Ocirc:"Ô",Ograve:"Ò",Oslash:"Ø",Otilde:"Õ",Ouml:"Ö",QUOT:'"',REG:"®",THORN:"Þ",Uacute:"Ú",Ucirc:"Û",Ugrave:"Ù",Uuml:"Ü",Yacute:"Ý",aacute:"á",acirc:"â",acute:"´",aelig:"æ",agrave:"à",amp:"&",aring:"å",atilde:"ã",auml:"ä",brvbar:"¦",ccedil:"ç",cedil:"¸",cent:"¢",copy:"©",curren:"¤",deg:"°",divide:"÷",eacute:"é",ecirc:"ê",egrave:"è",eth:"ð",euml:"ë",frac12:"½",frac14:"¼",frac34:"¾",gt:">",iacute:"í",icirc:"î",iexcl:"¡",igrave:"ì",iquest:"¿",iuml:"ï",laquo:"«",lt:"<",macr:"¯",micro:"µ",middot:"·",nbsp:" ",not:"¬",ntilde:"ñ",oacute:"ó",ocirc:"ô",ograve:"ò",ordf:"ª",ordm:"º",oslash:"ø",otilde:"õ",ouml:"ö",para:"¶",plusmn:"±",pound:"£",quot:'"',raquo:"»",reg:"®",sect:"§",shy:"",sup1:"¹",sup2:"²",sup3:"³",szlig:"ß",thorn:"þ",times:"×",uacute:"ú",ucirc:"û",ugrave:"ù",uml:"¨",uuml:"ü",yacute:"ý",yen:"¥",yuml:"ÿ"}}),ou$2=C((Ra,qa)=>{qa.exports={0:"<22>",128:"€",130:"‚",131:"ƒ",132:"„",133:"…",134:"†",135:"‡",136:"ˆ",137:"‰",138:"Š",139:"‹",140:"Œ",142:"Ž",145:"‘",146:"’",147:"“",148:"”",149:"•",150:"–",151:"—",152:"˜",153:"™",154:"š",155:"›",156:"œ",158:"ž",159:"Ÿ"}}),Ne$1=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed){var td=typeof ed=="string"?ed.charCodeAt(0):ed;return td>=48&&td<=57}}),lu$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed){var td=typeof ed=="string"?ed.charCodeAt(0):ed;return td>=97&&td<=102||td>=65&&td<=70||td>=48&&td<=57}}),Ve$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed){var td=typeof ed=="string"?ed.charCodeAt(0):ed;return td>=97&&td<=122||td>=65&&td<=90}}),pu$1=C((Ra,qa)=>{var Ja=Ve$2(),ed=Ne$1();qa.exports=td;function td(rd){return Ja(rd)||ed(rd)}}),du$2=C((Ra,qa)=>{qa.exports={AEli:"Æ",AElig:"Æ",AM:"&",AMP:"&",Aacut:"Á",Aacute:"Á",Abreve:"Ă",Acir:"Â",Acirc:"Â",Acy:"А",Afr:"𝔄",Agrav:"À",Agrave:"À",Alpha:"Α",Amacr:"Ā",And:"⩓",Aogon:"Ą",Aopf:"𝔸",ApplyFunction:"",Arin:"Å",Aring:"Å",Ascr:"𝒜",Assign:"≔",Atild:"Ã",Atilde:"Ã",Aum:"Ä",Auml:"Ä",Backslash:"∖",Barv:"⫧",Barwed:"⌆",Bcy:"Б",Because:"∵",Bernoullis:"ℬ",Beta:"Β",Bfr:"𝔅",Bopf:"𝔹",Breve:"˘",Bscr:"ℬ",Bumpeq:"≎",CHcy:"Ч",COP:"©",COPY:"©",Cacute:"Ć",Cap:"⋒",CapitalDifferentialD:"ⅅ",Cayleys:"ℭ",Ccaron:"Č",Ccedi:"Ç",Ccedil:"Ç",Ccirc:"Ĉ",Cconint:"∰",Cdot:"Ċ",Cedilla:"¸",CenterDot:"·",Cfr:"ℭ",Chi:"Χ",CircleDot:"⊙",CircleMinus:"⊖",CirclePlus:"⊕",CircleTimes:"⊗",ClockwiseContourIntegral:"∲",CloseCurlyDoubleQuote:"”",CloseCurlyQuote:"’",Colon:"∷",Colone:"⩴",Congruent:"≡",Conint:"∯",ContourIntegral:"∮",Copf:"ℂ",Coproduct:"∐",CounterClockwiseContourIntegral:"∳",Cross:"⨯",Cscr:"𝒞",Cup:"⋓",CupCap:"≍",DD:"ⅅ",DDotrahd:"⤑",DJcy:"Ђ",DScy:"Ѕ",DZcy:"Џ",Dagger:"‡",Darr:"↡",Dashv:"⫤",Dcaron:"Ď",Dcy:"Д",Del:"∇",Delta:"Δ",Dfr:"𝔇",DiacriticalAcute:"´",DiacriticalDot:"˙",DiacriticalDoubleAcute:"˝",DiacriticalGrave:"`",DiacriticalTilde:"˜",Diamond:"⋄",DifferentialD:"ⅆ",Dopf:"𝔻",Dot:"¨",DotDot:"⃜",DotEqual:"≐",DoubleContourIntegral:"∯",DoubleDot:"¨",DoubleDownArrow:"⇓",DoubleLeftArrow:"⇐",DoubleLeftRightArrow:"⇔",DoubleLeftTee:"⫤",DoubleLongLeftArrow:"⟸",DoubleLongLeftRightArrow:"⟺",DoubleLongRightArrow:"⟹",DoubleRightArrow:"⇒",DoubleRightTee:"⊨",DoubleUpArrow:"⇑",DoubleUpDownArrow:"⇕",DoubleVerticalBar:"∥",DownArrow:"↓",DownArrowBar:"⤓",DownArrowUpArrow:"⇵",DownBreve:"̑",DownLeftRightVector:"⥐",DownLeftTeeVector:"⥞",DownLeftVector:"↽",DownLeftVectorBar:"⥖",DownRightTeeVector:"⥟",DownRightVector:"⇁",DownRightVectorBar:"⥗",DownTee:"⊤",DownTeeArrow:"↧",Downarrow:"⇓",Dscr:"𝒟",Dstrok:"Đ",ENG:"Ŋ",ET:"Ð",ETH:"Ð",Eacut:"É",Eacute:"É",Ecaron:"Ě",Ecir:"Ê",Ecirc:"Ê",Ecy:"Э",Edot:"Ė",Efr:"𝔈",Egrav:"È",Egrave:"È",Element:"∈",Emacr:"Ē",EmptySmallSquare:"◻",EmptyVerySmallSquare:"▫",Eogon:"Ę",Eopf:"𝔼",Epsilon:"Ε",Equal:"⩵",EqualTilde:"≂",Equilibrium:"⇌",Escr:"ℰ",Esim:"⩳",Eta:"Η",Eum:"Ë",Euml:"Ë",Exists:"∃",ExponentialE:"ⅇ",Fcy:"Ф",Ffr:"𝔉",FilledSmallSquare:"◼",FilledVerySmallSquare:"▪",Fopf:"𝔽",ForAll:"∀",Fouriertrf:"ℱ",Fscr:"ℱ",GJcy:"Ѓ",G:">",GT:">",Gamma:"Γ",Gammad:"Ϝ",Gbreve:"Ğ",Gcedil:"Ģ",Gcirc:"Ĝ",Gcy:"Г",Gdot:"Ġ",Gfr:"𝔊",Gg:"⋙",Gopf:"𝔾",GreaterEqual:"≥",GreaterEqualLess:"⋛",GreaterFullEqual:"≧",GreaterGreater:"⪢",GreaterLess:"≷",GreaterSlantEqual:"⩾",GreaterTilde:"≳",Gscr:"𝒢",Gt:"≫",HARDcy:"Ъ",Hacek:"ˇ",Hat:"^",Hcirc:"Ĥ",Hfr:"ℌ",HilbertSpace:"ℋ",Hopf:"ℍ",HorizontalLine:"─",Hscr:"ℋ",Hstrok:"Ħ",HumpDownHump:"≎",HumpEqual:"≏",IEcy:"Е",IJlig:"IJ",IOcy:"Ё",Iacut:"Í",Iacute:"Í",Icir:"Î",Icirc:"Î",Icy:"И",Idot:"İ",Ifr:"ℑ",Igrav:"Ì",Igrave:"Ì",Im:"ℑ",Imacr:"Ī",ImaginaryI:"ⅈ",Implies:"⇒",Int:"∬",Integral:"∫",Intersection:"⋂",InvisibleComma:"",InvisibleTimes:"",Iogon:"Į",Iopf:"𝕀",Iota:"Ι",Iscr:"ℐ",Itilde:"Ĩ",Iukcy:"І",Ium:"Ï",Iuml:"Ï",Jcirc:"Ĵ",Jcy:"Й",Jfr:"𝔍",Jopf:"𝕁",Jscr:"𝒥",Jsercy:"Ј",Jukcy:"Є",KHcy:"Х",KJcy:"Ќ",Kappa:"Κ",Kcedil:"Ķ",Kcy:"К",Kfr:"𝔎",Kopf:"𝕂",Kscr:"𝒦",LJcy:"Љ",L:"<",LT:"<",Lacute:"Ĺ",Lambda:"Λ",Lang:"⟪",Laplacetrf:"ℒ",Larr:"↞",Lcaron:"Ľ",Lcedil:"Ļ",Lcy:"Л",LeftAngleBracket:"⟨",LeftArrow:"←",LeftArrowBar:"⇤",LeftArrowRightArrow:"⇆",LeftCeiling:"⌈",LeftDoubleBracket:"⟦",LeftDownTeeVector:"⥡",LeftDownVector:"⇃",LeftDownVectorBar:"⥙",LeftFloor:"⌊",LeftRightArrow:"↔",LeftRightVector:"⥎",LeftTee:"⊣",LeftTeeArrow:"↤",LeftTeeVector:"⥚",LeftTriangle:"⊲",LeftTriangleBar:"⧏",LeftTriangleEqual:"⊴",LeftUpDownVector:"⥑",LeftUpTeeVector:"⥠",LeftUpVector:"↿",LeftUpVectorBar:"⥘",LeftVector:"↼",LeftVectorBar:"⥒",Leftarrow:"⇐",Leftrightarrow:"⇔",LessEqualGreater:"⋚",LessFullEqual:"≦",LessGreater:"≶",LessLess:"⪡",LessSlantEqual:"⩽",LessTilde:"≲",Lfr:"𝔏",Ll:"⋘",Lleftarrow:"⇚",Lmidot:"Ŀ",LongLeftArrow:"⟵",LongLeftRightArrow:"⟷",LongRightArrow:"⟶",Longleftarrow:"⟸",Longleftrightarrow:"⟺",Longrightarrow:"⟹",Lopf:"𝕃",LowerLeftArrow:"↙",LowerRightArrow:"↘",Lscr:"ℒ",Lsh:"↰",Lstrok:"Ł",Lt:"≪",Map:"⤅",Mcy:"М",MediumSpace:" ",Mellintrf:"ℳ",Mfr:"𝔐",MinusPlus:"∓",Mopf:"𝕄",Mscr:"ℳ",Mu:"Μ",NJcy:"Њ",Nacute:"Ń",Ncaron:"Ň",Ncedil:"Ņ",Ncy:"Н",NegativeMediumSpace:"",NegativeThickSpace:"",NegativeThinSpace:"",NegativeVeryThinSpace:"",NestedGreaterGreater:"≫",NestedLessLess:"≪",NewLine:`
|
||
`,Nfr:"𝔑",NoBreak:"",NonBreakingSpace:" ",Nopf:"ℕ",Not:"⫬",NotCongruent:"≢",NotCupCap:"≭",NotDoubleVerticalBar:"∦",NotElement:"∉",NotEqual:"≠",NotEqualTilde:"≂̸",NotExists:"∄",NotGreater:"≯",NotGreaterEqual:"≱",NotGreaterFullEqual:"≧̸",NotGreaterGreater:"≫̸",NotGreaterLess:"≹",NotGreaterSlantEqual:"⩾̸",NotGreaterTilde:"≵",NotHumpDownHump:"≎̸",NotHumpEqual:"≏̸",NotLeftTriangle:"⋪",NotLeftTriangleBar:"⧏̸",NotLeftTriangleEqual:"⋬",NotLess:"≮",NotLessEqual:"≰",NotLessGreater:"≸",NotLessLess:"≪̸",NotLessSlantEqual:"⩽̸",NotLessTilde:"≴",NotNestedGreaterGreater:"⪢̸",NotNestedLessLess:"⪡̸",NotPrecedes:"⊀",NotPrecedesEqual:"⪯̸",NotPrecedesSlantEqual:"⋠",NotReverseElement:"∌",NotRightTriangle:"⋫",NotRightTriangleBar:"⧐̸",NotRightTriangleEqual:"⋭",NotSquareSubset:"⊏̸",NotSquareSubsetEqual:"⋢",NotSquareSuperset:"⊐̸",NotSquareSupersetEqual:"⋣",NotSubset:"⊂⃒",NotSubsetEqual:"⊈",NotSucceeds:"⊁",NotSucceedsEqual:"⪰̸",NotSucceedsSlantEqual:"⋡",NotSucceedsTilde:"≿̸",NotSuperset:"⊃⃒",NotSupersetEqual:"⊉",NotTilde:"≁",NotTildeEqual:"≄",NotTildeFullEqual:"≇",NotTildeTilde:"≉",NotVerticalBar:"∤",Nscr:"𝒩",Ntild:"Ñ",Ntilde:"Ñ",Nu:"Ν",OElig:"Œ",Oacut:"Ó",Oacute:"Ó",Ocir:"Ô",Ocirc:"Ô",Ocy:"О",Odblac:"Ő",Ofr:"𝔒",Ograv:"Ò",Ograve:"Ò",Omacr:"Ō",Omega:"Ω",Omicron:"Ο",Oopf:"𝕆",OpenCurlyDoubleQuote:"“",OpenCurlyQuote:"‘",Or:"⩔",Oscr:"𝒪",Oslas:"Ø",Oslash:"Ø",Otild:"Õ",Otilde:"Õ",Otimes:"⨷",Oum:"Ö",Ouml:"Ö",OverBar:"‾",OverBrace:"⏞",OverBracket:"⎴",OverParenthesis:"⏜",PartialD:"∂",Pcy:"П",Pfr:"𝔓",Phi:"Φ",Pi:"Π",PlusMinus:"±",Poincareplane:"ℌ",Popf:"ℙ",Pr:"⪻",Precedes:"≺",PrecedesEqual:"⪯",PrecedesSlantEqual:"≼",PrecedesTilde:"≾",Prime:"″",Product:"∏",Proportion:"∷",Proportional:"∝",Pscr:"𝒫",Psi:"Ψ",QUO:'"',QUOT:'"',Qfr:"𝔔",Qopf:"ℚ",Qscr:"𝒬",RBarr:"⤐",RE:"®",REG:"®",Racute:"Ŕ",Rang:"⟫",Rarr:"↠",Rarrtl:"⤖",Rcaron:"Ř",Rcedil:"Ŗ",Rcy:"Р",Re:"ℜ",ReverseElement:"∋",ReverseEquilibrium:"⇋",ReverseUpEquilibrium:"⥯",Rfr:"ℜ",Rho:"Ρ",RightAngleBracket:"⟩",RightArrow:"→",RightArrowBar:"⇥",RightArrowLeftArrow:"⇄",RightCeiling:"⌉",RightDoubleBracket:"⟧",RightDownTeeVector:"⥝",RightDownVector:"⇂",RightDownVectorBar:"⥕",RightFloor:"⌋",RightTee:"⊢",RightTeeArrow:"↦",RightTeeVector:"⥛",RightTriangle:"⊳",RightTriangleBar:"⧐",RightTriangleEqual:"⊵",RightUpDownVector:"⥏",RightUpTeeVector:"⥜",RightUpVector:"↾",RightUpVectorBar:"⥔",RightVector:"⇀",RightVectorBar:"⥓",Rightarrow:"⇒",Ropf:"ℝ",RoundImplies:"⥰",Rrightarrow:"⇛",Rscr:"ℛ",Rsh:"↱",RuleDelayed:"⧴",SHCHcy:"Щ",SHcy:"Ш",SOFTcy:"Ь",Sacute:"Ś",Sc:"⪼",Scaron:"Š",Scedil:"Ş",Scirc:"Ŝ",Scy:"С",Sfr:"𝔖",ShortDownArrow:"↓",ShortLeftArrow:"←",ShortRightArrow:"→",ShortUpArrow:"↑",Sigma:"Σ",SmallCircle:"∘",Sopf:"𝕊",Sqrt:"√",Square:"□",SquareIntersection:"⊓",SquareSubset:"⊏",SquareSubsetEqual:"⊑",SquareSuperset:"⊐",SquareSupersetEqual:"⊒",SquareUnion:"⊔",Sscr:"𝒮",Star:"⋆",Sub:"⋐",Subset:"⋐",SubsetEqual:"⊆",Succeeds:"≻",SucceedsEqual:"⪰",SucceedsSlantEqual:"≽",SucceedsTilde:"≿",SuchThat:"∋",Sum:"∑",Sup:"⋑",Superset:"⊃",SupersetEqual:"⊇",Supset:"⋑",THOR:"Þ",THORN:"Þ",TRADE:"™",TSHcy:"Ћ",TScy:"Ц",Tab:" ",Tau:"Τ",Tcaron:"Ť",Tcedil:"Ţ",Tcy:"Т",Tfr:"𝔗",Therefore:"∴",Theta:"Θ",ThickSpace:" ",ThinSpace:" ",Tilde:"∼",TildeEqual:"≃",TildeFullEqual:"≅",TildeTilde:"≈",Topf:"𝕋",TripleDot:"⃛",Tscr:"𝒯",Tstrok:"Ŧ",Uacut:"Ú",Uacute:"Ú",Uarr:"↟",Uarrocir:"⥉",Ubrcy:"Ў",Ubreve:"Ŭ",Ucir:"Û",Ucirc:"Û",Ucy:"У",Udblac:"Ű",Ufr:"𝔘",Ugrav:"Ù",Ugrave:"Ù",Umacr:"Ū",UnderBar:"_",UnderBrace:"⏟",UnderBracket:"⎵",UnderParenthesis:"⏝",Union:"⋃",UnionPlus:"⊎",Uogon:"Ų",Uopf:"𝕌",UpArrow:"↑",UpArrowBar:"⤒",UpArrowDownArrow:"⇅",UpDownArrow:"↕",UpEquilibrium:"⥮",UpTee:"⊥",UpTeeArrow:"↥",Uparrow:"⇑",Updownarrow:"⇕",UpperLeftArrow:"↖",UpperRightArrow:"↗",Upsi:"ϒ",Upsilon:"Υ",Uring:"Ů",Uscr:"𝒰",Utilde:"Ũ",Uum:"Ü",Uuml:"Ü",VDash:"⊫",Vbar:"⫫",Vcy:"В",Vdash:"⊩",Vdashl:"⫦",Vee:"⋁",Verbar:"‖",Vert:"‖",VerticalBar:"∣",VerticalLine:"|",VerticalSeparator:"❘",VerticalTilde:"≀",VeryThinSpace:" ",Vfr:"𝔙",Vopf:"𝕍",Vscr:"𝒱",Vvdash:"⊪",Wcirc:"Ŵ",Wedge:"⋀",Wfr:"𝔚",Wopf:"𝕎",Wscr:"𝒲",Xfr:"𝔛",Xi:"Ξ",Xopf:"𝕏",Xscr:"𝒳",YAcy:"Я",YIcy:"Ї",YUcy:"Ю",Yacut:"Ý",Yacute:"Ý",Ycirc:"Ŷ",Ycy:"Ы",Yfr:"𝔜",Yopf:"𝕐",Yscr:"𝒴",Yuml:"Ÿ",ZHcy:"Ж",Zacute:"Ź",Zcaron:"Ž",Zcy:"З",Zdot:"Ż",ZeroWidthSpace:"",Zeta:"Ζ",Zfr:"ℨ",Zopf:"ℤ",Zscr:"𝒵",aacut:"á",aacute:"á",abreve:"ă",ac:"∾",acE:"∾̳",acd:"∿",acir:"â",acirc:"â",acut:"´",acute:"´",acy:"а",aeli:"æ",aelig:"æ",af:"",afr:"𝔞",agrav:"à",agrave:"à",alefsym:"ℵ",aleph:"ℵ",alpha:"α",amacr:"ā",amalg:"⨿",am:"&",amp:"&",and:"∧",andand:"⩕",andd:"⩜",andslope:"⩘",andv:"⩚",ang:"∠",ange:"⦤",angle:"∠",angmsd:"∡",angmsdaa:"⦨",angmsdab:"⦩",angmsdac:"⦪",angmsdad:"⦫",angmsdae:"⦬",angmsdaf:"⦭",angmsdag:"⦮",angmsdah:"⦯",angrt:"∟",angrtvb:"⊾",angrtvbd:"⦝",angsph:"∢",angst:"Å",angzarr:"⍼",aogon:"ą",aopf:"𝕒",ap:"≈",apE:"⩰",apacir:"⩯",ape:"≊",apid:"≋",apos:"'",approx:"≈",approxeq:"≊",arin:"å",aring:"å",ascr:"𝒶",ast:"*",asymp:"≈",asympeq:"≍",atild:"ã",atilde:"ã",aum:"ä",auml:"ä",awconint:"∳",awint:"⨑",bNot:"⫭",backcong:"≌",backepsilon:"϶",backprime:"‵",backsim:"∽",backsimeq:"⋍",barvee:"⊽",barwed:"⌅",barwedge:"⌅",bbrk:"⎵",bbrktbrk:"⎶",bcong:"≌",bcy:"б",bdquo:"„",becaus:"∵",because:"∵",bemptyv:"⦰",bepsi:"϶",bernou:"ℬ",beta:"β",beth:"ℶ",between:"≬",bfr:"𝔟",bigcap:"⋂",bigcirc:"◯",bigcup:"⋃",bigodot:"⨀",bigoplus:"⨁",bigotimes:"⨂",bigsqcup:"⨆",bigstar:"★",bigtriangledown:"▽",bigtriangleup:"△",biguplus:"⨄",bigvee:"⋁",bigwedge:"⋀",bkarow:"⤍",blacklozenge:"⧫",blacksquare:"▪",blacktriangle:"▴",blacktriangledown:"▾",blacktriangleleft:"◂",blacktriangleright:"▸",blank:"␣",blk12:"▒",blk14:"░",blk34:"▓",block:"█",bne:"=⃥",bnequiv:"≡⃥",bnot:"⌐",bopf:"𝕓",bot:"⊥",bottom:"⊥",bowtie:"⋈",boxDL:"╗",boxDR:"╔",boxDl:"╖",boxDr:"╓",boxH:"═",boxHD:"╦",boxHU:"╩",boxHd:"╤",boxHu:"╧",boxUL:"╝",boxUR:"╚",boxUl:"╜",boxUr:"╙",boxV:"║",boxVH:"╬",boxVL:"╣",boxVR:"╠",boxVh:"╫",boxVl:"╢",boxVr:"╟",boxbox:"⧉",boxdL:"╕",boxdR:"╒",boxdl:"┐",boxdr:"┌",boxh:"─",boxhD:"╥",boxhU:"╨",boxhd:"┬",boxhu:"┴",boxminus:"⊟",boxplus:"⊞",boxtimes:"⊠",boxuL:"╛",boxuR:"╘",boxul:"┘",boxur:"└",boxv:"│",boxvH:"╪",boxvL:"╡",boxvR:"╞",boxvh:"┼",boxvl:"┤",boxvr:"├",bprime:"‵",breve:"˘",brvba:"¦",brvbar:"¦",bscr:"𝒷",bsemi:"⁏",bsim:"∽",bsime:"⋍",bsol:"\\",bsolb:"⧅",bsolhsub:"⟈",bull:"•",bullet:"•",bump:"≎",bumpE:"⪮",bumpe:"≏",bumpeq:"≏",cacute:"ć",cap:"∩",capand:"⩄",capbrcup:"⩉",capcap:"⩋",capcup:"⩇",capdot:"⩀",caps:"∩︀",caret:"⁁",caron:"ˇ",ccaps:"⩍",ccaron:"č",ccedi:"ç",ccedil:"ç",ccirc:"ĉ",ccups:"⩌",ccupssm:"⩐",cdot:"ċ",cedi:"¸",cedil:"¸",cemptyv:"⦲",cen:"¢",cent:"¢",centerdot:"·",cfr:"𝔠",chcy:"ч",check:"✓",checkmark:"✓",chi:"χ",cir:"○",cirE:"⧃",circ:"ˆ",circeq:"≗",circlearrowleft:"↺",circlearrowright:"↻",circledR:"®",circledS:"Ⓢ",circledast:"⊛",circledcirc:"⊚",circleddash:"⊝",cire:"≗",cirfnint:"⨐",cirmid:"⫯",cirscir:"⧂",clubs:"♣",clubsuit:"♣",colon:":",colone:"≔",coloneq:"≔",comma:",",commat:"@",comp:"∁",compfn:"∘",complement:"∁",complexes:"ℂ",cong:"≅",congdot:"⩭",conint:"∮",copf:"𝕔",coprod:"∐",cop:"©",copy:"©",copysr:"℗",crarr:"↵",cross:"✗",cscr:"𝒸",csub:"⫏",csube:"⫑",csup:"⫐",csupe:"⫒",ctdot:"⋯",cudarrl:"⤸",cudarrr:"⤵",cuepr:"⋞",cuesc:"⋟",cularr:"↶",cularrp:"⤽",cup:"∪",cupbrcap:"⩈",cupcap:"⩆",cupcup:"⩊",cupdot:"⊍",cupor:"⩅",cups:"∪︀",curarr:"↷",curarrm:"⤼",curlyeqprec:"⋞",curlyeqsucc:"⋟",curlyvee:"⋎",curlywedge:"⋏",curre:"¤",curren:"¤",curvearrowleft:"↶",curvearrowright:"↷",cuvee:"⋎",cuwed:"⋏",cwconint:"∲",cwint:"∱",cylcty:"⌭",dArr:"⇓",dHar:"⥥",dagger:"†",daleth:"ℸ",darr:"↓",dash:"‐",dashv:"⊣",dbkarow:"⤏",dblac:"˝",dcaron:"ď",dcy:"д",dd:"ⅆ",ddagger:"‡",ddarr:"⇊",ddotseq:"⩷",de:"°",deg:"°",delta:"δ",demptyv:"⦱",dfisht:"⥿",dfr:"𝔡",dharl:"⇃",dharr:"⇂",diam:"⋄",diamond:"⋄",diamondsuit:"♦",diams:"♦",die:"¨",digamma:"ϝ",disin:"⋲",div:"÷",divid:"÷",divide:"÷",divideontimes:"⋇",divonx:"⋇",djcy:"ђ",dlcorn:"⌞",dlcrop:"⌍",dollar:"$",dopf:"𝕕",dot:"˙",doteq:"≐",doteqdot:"≑",dotminus:"∸",dotplus:"∔",dotsquare:"⊡",doublebarwedge:"⌆",downarrow:"↓",downdownarrows:"⇊",downharpoonleft:"⇃",downharpoonright:"⇂",drbkarow:"⤐",drcorn:"⌟",drcrop:"⌌",dscr:"𝒹",dscy:"ѕ",dsol:"⧶",dstrok:"đ",dtdot:"⋱",dtri:"▿",dtrif:"▾",duarr:"⇵",duhar:"⥯",dwangle:"⦦",dzcy:"џ",dzigrarr:"⟿",eDDot:"⩷",eDot:"≑",eacut:"é",eacute:"é",easter:"⩮",ecaron:"ě",ecir:"ê",ecirc:"ê",ecolon:"≕",ecy:"э",edot:"ė",ee:"ⅇ",efDot:"≒",efr:"𝔢",eg:"⪚",egrav:"è",egrave:"è",egs:"⪖",egsdot:"⪘",el:"⪙",elinters:"⏧",ell:"ℓ",els:"⪕",elsdot:"⪗",emacr:"ē",empty:"∅",emptyset:"∅",emptyv:"∅",emsp13:" ",emsp14:" ",emsp:" ",eng:"ŋ",ensp:" ",eogon:"ę",eopf:"𝕖",epar:"⋕",eparsl:"⧣",eplus:"⩱",epsi:"ε",epsilon:"ε",epsiv:"ϵ",eqcirc:"≖",eqcolon:"≕",eqsim:"≂",eqslantgtr:"⪖",eqslantless:"⪕",equals:"=",equest:"≟",equiv:"≡",equivDD:"⩸",eqvparsl:"⧥",erDot:"≓",erarr:"⥱",escr:"ℯ",esdot:"≐",esim:"≂",eta:"η",et:"ð",eth:"ð",eum:"ë",euml:"ë",euro:"€",excl:"!",exist:"∃",expectation:"ℰ",exponentiale:"ⅇ",fallingdotseq:"≒",fcy:"ф",female:"♀",ffilig:"ffi",fflig:"ff",ffllig:"ffl",ffr:"𝔣",filig:"fi",fjlig:"fj",flat:"♭",fllig:"fl",fltns:"▱",fnof:"ƒ",fopf:"𝕗",forall:"∀",fork:"⋔",forkv:"⫙",fpartint:"⨍",frac1:"¼",frac12:"½",frac13:"⅓",frac14:"¼",frac15:"⅕",frac16:"⅙",frac18:"⅛",frac23:"⅔",frac25:"⅖",frac3:"¾",frac34:"¾",frac35:"⅗",frac38:"⅜",frac45:"⅘",frac56:"⅚",frac58:"⅝",frac78:"⅞",frasl:"⁄",frown:"⌢",fscr:"𝒻",gE:"≧",gEl:"⪌",gacute:"ǵ",gamma:"γ",gammad:"ϝ",gap:"⪆",gbreve:"ğ",gcirc:"ĝ",gcy:"г",gdot:"ġ",ge:"≥",gel:"⋛",geq:"≥",geqq:"≧",geqslant:"⩾",ges:"⩾",gescc:"⪩",gesdot:"⪀",gesdoto:"⪂",gesdotol:"⪄",gesl:"⋛︀",gesles:"⪔",gfr:"𝔤",gg:"≫",ggg:"⋙",gimel:"ℷ",gjcy:"ѓ",gl:"≷",glE:"⪒",gla:"⪥",glj:"⪤",gnE:"≩",gnap:"⪊",gnapprox:"⪊",gne:"⪈",gneq:"⪈",gneqq:"≩",gnsim:"⋧",gopf:"𝕘",grave:"`",gscr:"ℊ",gsim:"≳",gsime:"⪎",gsiml:"⪐",g:">",gt:">",gtcc:"⪧",gtcir:"⩺",gtdot:"⋗",gtlPar:"⦕",gtquest:"⩼",gtrapprox:"⪆",gtrarr:"⥸",gtrdot:"⋗",gtreqless:"⋛",gtreqqless:"⪌",gtrless:"≷",gtrsim:"≳",gvertneqq:"≩︀",gvnE:"≩︀",hArr:"⇔",hairsp:" ",half:"½",hamilt:"ℋ",hardcy:"ъ",harr:"↔",harrcir:"⥈",harrw:"↭",hbar:"ℏ",hcirc:"ĥ",hearts:"♥",heartsuit:"♥",hellip:"…",hercon:"⊹",hfr:"𝔥",hksearow:"⤥",hkswarow:"⤦",hoarr:"⇿",homtht:"∻",hookleftarrow:"↩",hookrightarrow:"↪",hopf:"𝕙",horbar:"―",hscr:"𝒽",hslash:"ℏ",hstrok:"ħ",hybull:"⁃",hyphen:"‐",iacut:"í",iacute:"í",ic:"",icir:"î",icirc:"î",icy:"и",iecy:"е",iexc:"¡",iexcl:"¡",iff:"⇔",ifr:"𝔦",igrav:"ì",igrave:"ì",ii:"ⅈ",iiiint:"⨌",iiint:"∭",iinfin:"⧜",iiota:"℩",ijlig:"ij",imacr:"ī",image:"ℑ",imagline:"ℐ",imagpart:"ℑ",imath:"ı",imof:"⊷",imped:"Ƶ",in:"∈",incare:"℅",infin:"∞",infintie:"⧝",inodot:"ı",int:"∫",intcal:"⊺",integers:"ℤ",intercal:"⊺",intlarhk:"⨗",intprod:"⨼",iocy:"ё",iogon:"į",iopf:"𝕚",iota:"ι",iprod:"⨼",iques:"¿",iquest:"¿",iscr:"𝒾",isin:"∈",isinE:"⋹",isindot:"⋵",isins:"⋴",isinsv:"⋳",isinv:"∈",it:"",itilde:"ĩ",iukcy:"і",ium:"ï",iuml:"ï",jcirc:"ĵ",jcy:"й",jfr:"𝔧",jmath:"ȷ",jopf:"𝕛",jscr:"𝒿",jsercy:"ј",jukcy:"є",kappa:"κ",kappav:"ϰ",kcedil:"ķ",kcy:"к",kfr:"𝔨",kgreen:"ĸ",khcy:"х",kjcy:"ќ",kopf:"𝕜",kscr:"𝓀",lAarr:"⇚",lArr:"⇐",lAtail:"⤛",lBarr:"⤎",lE:"≦",lEg:"⪋",lHar:"⥢",lacute:"ĺ",laemptyv:"⦴",lagran:"ℒ",lambda:"λ",lang:"⟨",langd:"⦑",langle:"⟨",lap:"⪅",laqu:"«",laquo:"«",larr:"←",larrb:"⇤",larrbfs:"⤟",larrfs:"⤝",larrhk:"↩",larrlp:"↫",larrpl:"⤹",larrsim:"⥳",larrtl:"↢",lat:"⪫",latail:"⤙",late:"⪭",lates:"⪭︀",lbarr:"⤌",lbbrk:"❲",lbrace:"{",lbrack:"[",lbrke:"⦋",lbrksld:"⦏",lbrkslu:"⦍",lcaron:"ľ",lcedil:"ļ",lceil:"⌈",lcub:"{",lcy:"л",ldca:"⤶",ldquo:"“",ldquor:"„",ldrdhar:"⥧",ldrushar:"⥋",ldsh:"↲",le:"≤",leftarrow:"←",leftarrowtail:"↢",leftharpoondown:"↽",leftharpoonup:"↼",leftleftarrows:"⇇",leftrightarrow:"↔",leftrightarrows:"⇆",leftrightharpoons:"⇋",leftrightsquigarrow:"↭",leftthreetimes:"⋋",leg:"⋚",leq:"≤",leqq:"≦",leqslant:"⩽",les:"⩽",lescc:"⪨",lesdot:"⩿",lesdoto:"⪁",lesdotor:"⪃",lesg:"⋚︀",lesges:"⪓",lessapprox:"⪅",lessdot:"⋖",lesseqgtr:"⋚",lesseqqgtr:"⪋",lessgtr:"≶",lesssim:"≲",lfisht:"⥼",lfloor:"⌊",lfr:"𝔩",lg:"≶",lgE:"⪑",lhard:"↽",lharu:"↼",lharul:"⥪",lhblk:"▄",ljcy:"љ",ll:"≪",llarr:"⇇",llcorner:"⌞",llhard:"⥫",lltri:"◺",lmidot:"ŀ",lmoust:"⎰",lmoustache:"⎰",lnE:"≨",lnap:"⪉",lnapprox:"⪉",lne:"⪇",lneq:"⪇",lneqq:"≨",lnsim:"⋦",loang:"⟬",loarr:"⇽",lobrk:"⟦",longleftarrow:"⟵",longleftrightarrow:"⟷",longmapsto:"⟼",longrightarrow:"⟶",looparrowleft:"↫",looparrowright:"↬",lopar:"⦅",lopf:"𝕝",loplus:"⨭",lotimes:"⨴",lowast:"∗",lowbar:"_",loz:"◊",lozenge:"◊",lozf:"⧫",lpar:"(",lparlt:"⦓",lrarr:"⇆",lrcorner:"⌟",lrhar:"⇋",lrhard:"⥭",lrm:"",lrtri:"⊿",lsaquo:"‹",lscr:"𝓁",lsh:"↰",lsim:"≲",lsime:"⪍",lsimg:"⪏",lsqb:"[",lsquo:"‘",lsquor:"‚",lstrok:"ł",l:"<",lt:"<",ltcc:"⪦",ltcir:"⩹",ltdot:"⋖",lthree:"⋋",ltimes:"⋉",ltlarr:"⥶",ltquest:"⩻",ltrPar:"⦖",ltri:"◃",ltrie:"⊴",ltrif:"◂",lurdshar:"⥊",luruhar:"⥦",lvertneqq:"≨︀",lvnE:"≨︀",mDDot:"∺",mac:"¯",macr:"¯",male:"♂",malt:"✠",maltese:"✠",map:"↦",mapsto:"↦",mapstodown:"↧",mapstoleft:"↤",mapstoup:"↥",marker:"▮",mcomma:"⨩",mcy:"м",mdash:"—",measuredangle:"∡",mfr:"𝔪",mho:"℧",micr:"µ",micro:"µ",mid:"∣",midast:"*",midcir:"⫰",middo:"·",middot:"·",minus:"−",minusb:"⊟",minusd:"∸",minusdu:"⨪",mlcp:"⫛",mldr:"…",mnplus:"∓",models:"⊧",mopf:"𝕞",mp:"∓",mscr:"𝓂",mstpos:"∾",mu:"μ",multimap:"⊸",mumap:"⊸",nGg:"⋙̸",nGt:"≫⃒",nGtv:"≫̸",nLeftarrow:"⇍",nLeftrightarrow:"⇎",nLl:"⋘̸",nLt:"≪⃒",nLtv:"≪̸",nRightarrow:"⇏",nVDash:"⊯",nVdash:"⊮",nabla:"∇",nacute:"ń",nang:"∠⃒",nap:"≉",napE:"⩰̸",napid:"≋̸",napos:"ʼn",napprox:"≉",natur:"♮",natural:"♮",naturals:"ℕ",nbs:" ",nbsp:" ",nbump:"≎̸",nbumpe:"≏̸",ncap:"⩃",ncaron:"ň",ncedil:"ņ",ncong:"≇",ncongdot:"⩭̸",ncup:"⩂",ncy:"н",ndash:"–",ne:"≠",neArr:"⇗",nearhk:"⤤",nearr:"↗",nearrow:"↗",nedot:"≐̸",nequiv:"≢",nesear:"⤨",nesim:"≂̸",nexist:"∄",nexists:"∄",nfr:"𝔫",ngE:"≧̸",nge:"≱",ngeq:"≱",ngeqq:"≧̸",ngeqslant:"⩾̸",nges:"⩾̸",ngsim:"≵",ngt:"≯",ngtr:"≯",nhArr:"⇎",nharr:"↮",nhpar:"⫲",ni:"∋",nis:"⋼",nisd:"⋺",niv:"∋",njcy:"њ",nlArr:"⇍",nlE:"≦̸",nlarr:"↚",nldr:"‥",nle:"≰",nleftarrow:"↚",nleftrightarrow:"↮",nleq:"≰",nleqq:"≦̸",nleqslant:"⩽̸",nles:"⩽̸",nless:"≮",nlsim:"≴",nlt:"≮",nltri:"⋪",nltrie:"⋬",nmid:"∤",nopf:"𝕟",no:"¬",not:"¬",notin:"∉",notinE:"⋹̸",notindot:"⋵̸",notinva:"∉",notinvb:"⋷",notinvc:"⋶",notni:"∌",notniva:"∌",notnivb:"⋾",notnivc:"⋽",npar:"∦",nparallel:"∦",nparsl:"⫽⃥",npart:"∂̸",npolint:"⨔",npr:"⊀",nprcue:"⋠",npre:"⪯̸",nprec:"⊀",npreceq:"⪯̸",nrArr:"⇏",nrarr:"↛",nrarrc:"⤳̸",nrarrw:"↝̸",nrightarrow:"↛",nrtri:"⋫",nrtrie:"⋭",nsc:"⊁",nsccue:"⋡",nsce:"⪰̸",nscr:"𝓃",nshortmid:"∤",nshortparallel:"∦",nsim:"≁",nsime:"≄",nsimeq:"≄",nsmid:"∤",nspar:"∦",nsqsube:"⋢",nsqsupe:"⋣",nsub:"⊄",nsubE:"⫅̸",nsube:"⊈",nsubset:"⊂⃒",nsubseteq:"⊈",nsubseteqq:"⫅̸",nsucc:"⊁",nsucceq:"⪰̸",nsup:"⊅",nsupE:"⫆̸",nsupe:"⊉",nsupset:"⊃⃒",nsupseteq:"⊉",nsupseteqq:"⫆̸",ntgl:"≹",ntild:"ñ",ntilde:"ñ",ntlg:"≸",ntriangleleft:"⋪",ntrianglelefteq:"⋬",ntriangleright:"⋫",ntrianglerighteq:"⋭",nu:"ν",num:"#",numero:"№",numsp:" ",nvDash:"⊭",nvHarr:"⤄",nvap:"≍⃒",nvdash:"⊬",nvge:"≥⃒",nvgt:">⃒",nvinfin:"⧞",nvlArr:"⤂",nvle:"≤⃒",nvlt:"<⃒",nvltrie:"⊴⃒",nvrArr:"⤃",nvrtrie:"⊵⃒",nvsim:"∼⃒",nwArr:"⇖",nwarhk:"⤣",nwarr:"↖",nwarrow:"↖",nwnear:"⤧",oS:"Ⓢ",oacut:"ó",oacute:"ó",oast:"⊛",ocir:"ô",ocirc:"ô",ocy:"о",odash:"⊝",odblac:"ő",odiv:"⨸",odot:"⊙",odsold:"⦼",oelig:"œ",ofcir:"⦿",ofr:"𝔬",ogon:"˛",ograv:"ò",ograve:"ò",ogt:"⧁",ohbar:"⦵",ohm:"Ω",oint:"∮",olarr:"↺",olcir:"⦾",olcross:"⦻",oline:"‾",olt:"⧀",omacr:"ō",omega:"ω",omicron:"ο",omid:"⦶",ominus:"⊖",oopf:"𝕠",opar:"⦷",operp:"⦹",oplus:"⊕",or:"∨",orarr:"↻",ord:"º",order:"ℴ",orderof:"ℴ",ordf:"ª",ordm:"º",origof:"⊶",oror:"⩖",orslope:"⩗",orv:"⩛",oscr:"ℴ",oslas:"ø",oslash:"ø",osol:"⊘",otild:"õ",otilde:"õ",otimes:"⊗",otimesas:"⨶",oum:"ö",ouml:"ö",ovbar:"⌽",par:"¶",para:"¶",parallel:"∥",parsim:"⫳",parsl:"⫽",part:"∂",pcy:"п",percnt:"%",period:".",permil:"‰",perp:"⊥",pertenk:"‱",pfr:"𝔭",phi:"φ",phiv:"ϕ",phmmat:"ℳ",phone:"☎",pi:"π",pitchfork:"⋔",piv:"ϖ",planck:"ℏ",planckh:"ℎ",plankv:"ℏ",plus:"+",plusacir:"⨣",plusb:"⊞",pluscir:"⨢",plusdo:"∔",plusdu:"⨥",pluse:"⩲",plusm:"±",plusmn:"±",plussim:"⨦",plustwo:"⨧",pm:"±",pointint:"⨕",popf:"𝕡",poun:"£",pound:"£",pr:"≺",prE:"⪳",prap:"⪷",prcue:"≼",pre:"⪯",prec:"≺",precapprox:"⪷",preccurlyeq:"≼",preceq:"⪯",precnapprox:"⪹",precneqq:"⪵",precnsim:"⋨",precsim:"≾",prime:"′",primes:"ℙ",prnE:"⪵",prnap:"⪹",prnsim:"⋨",prod:"∏",profalar:"⌮",profline:"⌒",profsurf:"⌓",prop:"∝",propto:"∝",prsim:"≾",prurel:"⊰",pscr:"𝓅",psi:"ψ",puncsp:" ",qfr:"𝔮",qint:"⨌",qopf:"𝕢",qprime:"⁗",qscr:"𝓆",quaternions:"ℍ",quatint:"⨖",quest:"?",questeq:"≟",quo:'"',quot:'"',rAarr:"⇛",rArr:"⇒",rAtail:"⤜",rBarr:"⤏",rHar:"⥤",race:"∽̱",racute:"ŕ",radic:"√",raemptyv:"⦳",rang:"⟩",rangd:"⦒",range:"⦥",rangle:"⟩",raqu:"»",raquo:"»",rarr:"→",rarrap:"⥵",rarrb:"⇥",rarrbfs:"⤠",rarrc:"⤳",rarrfs:"⤞",rarrhk:"↪",rarrlp:"↬",rarrpl:"⥅",rarrsim:"⥴",rarrtl:"↣",rarrw:"↝",ratail:"⤚",ratio:"∶",rationals:"ℚ",rbarr:"⤍",rbbrk:"❳",rbrace:"}",rbrack:"]",rbrke:"⦌",rbrksld:"⦎",rbrkslu:"⦐",rcaron:"ř",rcedil:"ŗ",rceil:"⌉",rcub:"}",rcy:"р",rdca:"⤷",rdldhar:"⥩",rdquo:"”",rdquor:"”",rdsh:"↳",real:"ℜ",realine:"ℛ",realpart:"ℜ",reals:"ℝ",rect:"▭",re:"®",reg:"®",rfisht:"⥽",rfloor:"⌋",rfr:"𝔯",rhard:"⇁",rharu:"⇀",rharul:"⥬",rho:"ρ",rhov:"ϱ",rightarrow:"→",rightarrowtail:"↣",rightharpoondown:"⇁",rightharpoonup:"⇀",rightleftarrows:"⇄",rightleftharpoons:"⇌",rightrightarrows:"⇉",rightsquigarrow:"↝",rightthreetimes:"⋌",ring:"˚",risingdotseq:"≓",rlarr:"⇄",rlhar:"⇌",rlm:"",rmoust:"⎱",rmoustache:"⎱",rnmid:"⫮",roang:"⟭",roarr:"⇾",robrk:"⟧",ropar:"⦆",ropf:"𝕣",roplus:"⨮",rotimes:"⨵",rpar:")",rpargt:"⦔",rppolint:"⨒",rrarr:"⇉",rsaquo:"›",rscr:"𝓇",rsh:"↱",rsqb:"]",rsquo:"’",rsquor:"’",rthree:"⋌",rtimes:"⋊",rtri:"▹",rtrie:"⊵",rtrif:"▸",rtriltri:"⧎",ruluhar:"⥨",rx:"℞",sacute:"ś",sbquo:"‚",sc:"≻",scE:"⪴",scap:"⪸",scaron:"š",sccue:"≽",sce:"⪰",scedil:"ş",scirc:"ŝ",scnE:"⪶",scnap:"⪺",scnsim:"⋩",scpolint:"⨓",scsim:"≿",scy:"с",sdot:"⋅",sdotb:"⊡",sdote:"⩦",seArr:"⇘",searhk:"⤥",searr:"↘",searrow:"↘",sec:"§",sect:"§",semi:";",seswar:"⤩",setminus:"∖",setmn:"∖",sext:"✶",sfr:"𝔰",sfrown:"⌢",sharp:"♯",shchcy:"щ",shcy:"ш",shortmid:"∣",shortparallel:"∥",sh:"",shy:"",sigma:"σ",sigmaf:"ς",sigmav:"ς",sim:"∼",simdot:"⩪",sime:"≃",simeq:"≃",simg:"⪞",simgE:"⪠",siml:"⪝",simlE:"⪟",simne:"≆",simplus:"⨤",simrarr:"⥲",slarr:"←",smallsetminus:"∖",smashp:"⨳",smeparsl:"⧤",smid:"∣",smile:"⌣",smt:"⪪",smte:"⪬",smtes:"⪬︀",softcy:"ь",sol:"/",solb:"⧄",solbar:"⌿",sopf:"𝕤",spades:"♠",spadesuit:"♠",spar:"∥",sqcap:"⊓",sqcaps:"⊓︀",sqcup:"⊔",sqcups:"⊔︀",sqsub:"⊏",sqsube:"⊑",sqsubset:"⊏",sqsubseteq:"⊑",sqsup:"⊐",sqsupe:"⊒",sqsupset:"⊐",sqsupseteq:"⊒",squ:"□",square:"□",squarf:"▪",squf:"▪",srarr:"→",sscr:"𝓈",ssetmn:"∖",ssmile:"⌣",sstarf:"⋆",star:"☆",starf:"★",straightepsilon:"ϵ",straightphi:"ϕ",strns:"¯",sub:"⊂",subE:"⫅",subdot:"⪽",sube:"⊆",subedot:"⫃",submult:"⫁",subnE:"⫋",subne:"⊊",subplus:"⪿",subrarr:"⥹",subset:"⊂",subseteq:"⊆",subseteqq:"⫅",subsetneq:"⊊",subsetneqq:"⫋",subsim:"⫇",subsub:"⫕",subsup:"⫓",succ:"≻",succapprox:"⪸",succcurlyeq:"≽",succeq:"⪰",succnapprox:"⪺",succneqq:"⪶",succnsim:"⋩",succsim:"≿",sum:"∑",sung:"♪",sup:"⊃",sup1:"¹",sup2:"²",sup3:"³",supE:"⫆",supdot:"⪾",supdsub:"⫘",supe:"⊇",supedot:"⫄",suphsol:"⟉",suphsub:"⫗",suplarr:"⥻",supmult:"⫂",supnE:"⫌",supne:"⊋",supplus:"⫀",supset:"⊃",supseteq:"⊇",supseteqq:"⫆",supsetneq:"⊋",supsetneqq:"⫌",supsim:"⫈",supsub:"⫔",supsup:"⫖",swArr:"⇙",swarhk:"⤦",swarr:"↙",swarrow:"↙",swnwar:"⤪",szli:"ß",szlig:"ß",target:"⌖",tau:"τ",tbrk:"⎴",tcaron:"ť",tcedil:"ţ",tcy:"т",tdot:"⃛",telrec:"⌕",tfr:"𝔱",there4:"∴",therefore:"∴",theta:"θ",thetasym:"ϑ",thetav:"ϑ",thickapprox:"≈",thicksim:"∼",thinsp:" ",thkap:"≈",thksim:"∼",thor:"þ",thorn:"þ",tilde:"˜",time:"×",times:"×",timesb:"⊠",timesbar:"⨱",timesd:"⨰",tint:"∭",toea:"⤨",top:"⊤",topbot:"⌶",topcir:"⫱",topf:"𝕥",topfork:"⫚",tosa:"⤩",tprime:"‴",trade:"™",triangle:"▵",triangledown:"▿",triangleleft:"◃",trianglelefteq:"⊴",triangleq:"≜",triangleright:"▹",trianglerighteq:"⊵",tridot:"◬",trie:"≜",triminus:"⨺",triplus:"⨹",trisb:"⧍",tritime:"⨻",trpezium:"⏢",tscr:"𝓉",tscy:"ц",tshcy:"ћ",tstrok:"ŧ",twixt:"≬",twoheadleftarrow:"↞",twoheadrightarrow:"↠",uArr:"⇑",uHar:"⥣",uacut:"ú",uacute:"ú",uarr:"↑",ubrcy:"ў",ubreve:"ŭ",ucir:"û",ucirc:"û",ucy:"у",udarr:"⇅",udblac:"ű",udhar:"⥮",ufisht:"⥾",ufr:"𝔲",ugrav:"ù",ugrave:"ù",uharl:"↿",uharr:"↾",uhblk:"▀",ulcorn:"⌜",ulcorner:"⌜",ulcrop:"⌏",ultri:"◸",umacr:"ū",um:"¨",uml:"¨",uogon:"ų",uopf:"𝕦",uparrow:"↑",updownarrow:"↕",upharpoonleft:"↿",upharpoonright:"↾",uplus:"⊎",upsi:"υ",upsih:"ϒ",upsilon:"υ",upuparrows:"⇈",urcorn:"⌝",urcorner:"⌝",urcrop:"⌎",uring:"ů",urtri:"◹",uscr:"𝓊",utdot:"⋰",utilde:"ũ",utri:"▵",utrif:"▴",uuarr:"⇈",uum:"ü",uuml:"ü",uwangle:"⦧",vArr:"⇕",vBar:"⫨",vBarv:"⫩",vDash:"⊨",vangrt:"⦜",varepsilon:"ϵ",varkappa:"ϰ",varnothing:"∅",varphi:"ϕ",varpi:"ϖ",varpropto:"∝",varr:"↕",varrho:"ϱ",varsigma:"ς",varsubsetneq:"⊊︀",varsubsetneqq:"⫋︀",varsupsetneq:"⊋︀",varsupsetneqq:"⫌︀",vartheta:"ϑ",vartriangleleft:"⊲",vartriangleright:"⊳",vcy:"в",vdash:"⊢",vee:"∨",veebar:"⊻",veeeq:"≚",vellip:"⋮",verbar:"|",vert:"|",vfr:"𝔳",vltri:"⊲",vnsub:"⊂⃒",vnsup:"⊃⃒",vopf:"𝕧",vprop:"∝",vrtri:"⊳",vscr:"𝓋",vsubnE:"⫋︀",vsubne:"⊊︀",vsupnE:"⫌︀",vsupne:"⊋︀",vzigzag:"⦚",wcirc:"ŵ",wedbar:"⩟",wedge:"∧",wedgeq:"≙",weierp:"℘",wfr:"𝔴",wopf:"𝕨",wp:"℘",wr:"≀",wreath:"≀",wscr:"𝓌",xcap:"⋂",xcirc:"◯",xcup:"⋃",xdtri:"▽",xfr:"𝔵",xhArr:"⟺",xharr:"⟷",xi:"ξ",xlArr:"⟸",xlarr:"⟵",xmap:"⟼",xnis:"⋻",xodot:"⨀",xopf:"𝕩",xoplus:"⨁",xotime:"⨂",xrArr:"⟹",xrarr:"⟶",xscr:"𝓍",xsqcup:"⨆",xuplus:"⨄",xutri:"△",xvee:"⋁",xwedge:"⋀",yacut:"ý",yacute:"ý",yacy:"я",ycirc:"ŷ",ycy:"ы",ye:"¥",yen:"¥",yfr:"𝔶",yicy:"ї",yopf:"𝕪",yscr:"𝓎",yucy:"ю",yum:"ÿ",yuml:"ÿ",zacute:"ź",zcaron:"ž",zcy:"з",zdot:"ż",zeetrf:"ℨ",zeta:"ζ",zfr:"𝔷",zhcy:"ж",zigrarr:"⇝",zopf:"𝕫",zscr:"𝓏",zwj:"",zwnj:""}}),Fu$1=C((Ra,qa)=>{var Ja=du$2();qa.exports=td;var ed={}.hasOwnProperty;function td(rd){return ed.call(Ja,rd)?Ja[rd]:!1}}),pr$1=C((Ra,qa)=>{var Ja=au$2(),ed=ou$2(),td=Ne$1(),rd=lu$2(),sd=pu$1(),od=Fu$1();qa.exports=Yf;var ld={}.hasOwnProperty,cd=String.fromCharCode,ud=Function.prototype,_d={warning:null,reference:null,text:null,warningContext:null,referenceContext:null,textContext:null,position:{},additional:null,attribute:!1,nonTerminated:!0},yd=9,gd=10,Ed=12,Td=32,kd=38,Rd=59,Nd=60,Id=61,Md=35,Ld=88,Pd=120,qd=65533,Yd="named",Ud="hexadecimal",Hd="decimal",Vd={};Vd[Ud]=16,Vd[Hd]=10;var Jd={};Jd[Yd]=sd,Jd[Hd]=td,Jd[Ud]=rd;var Zd=1,pf=2,Xd=3,hf=4,_f=5,xf=6,Lf=7,Wf={};Wf[Zd]="Named character references must be terminated by a semicolon",Wf[pf]="Numeric character references must be terminated by a semicolon",Wf[Xd]="Named character references cannot be empty",Wf[hf]="Numeric character references cannot be empty",Wf[_f]="Named character references must be known",Wf[xf]="Numeric character references cannot be disallowed",Wf[Lf]="Numeric character references cannot be outside the permissible Unicode range";function Yf(Kf,Gf){var gf={},mf,$f;Gf||(Gf={});for($f in _d)mf=Gf[$f],gf[$f]=mf??_d[$f];return(gf.position.indent||gf.position.start)&&(gf.indent=gf.position.indent||[],gf.position=gf.position.start),If(Kf,gf)}function If(Kf,Gf){var gf=Gf.additional,mf=Gf.nonTerminated,$f=Gf.text,zf=Gf.reference,hh=Gf.warning,Vf=Gf.textContext,kf=Gf.referenceContext,Jf=Gf.warningContext,Ch=Gf.position,qf=Gf.indent||[],Tf=Kf.length,Af=0,Pf=-1,gh=Ch.column||1,Nh=Ch.line||1,dh="",$h=[],Rh,jh,Zh,Wh,sm,fm,ih,Rf,Df,Zf,bh,Lh,Hh,Xh,gm,om,Gm,Fm,Om;for(typeof gf=="string"&&(gf=gf.charCodeAt(0)),om=Jm(),Rf=hh?N1:ud,Af--,Tf++;++Af<Tf;)if(sm===gd&&(gh=qf[Pf]||1),sm=Kf.charCodeAt(Af),sm===kd){if(ih=Kf.charCodeAt(Af+1),ih===yd||ih===gd||ih===Ed||ih===Td||ih===kd||ih===Nd||ih!==ih||gf&&ih===gf){dh+=cd(sm),gh++;continue}for(Hh=Af+1,Lh=Hh,Om=Hh,ih===Md?(Om=++Lh,ih=Kf.charCodeAt(Om),ih===Ld||ih===Pd?(Xh=Ud,Om=++Lh):Xh=Hd):Xh=Yd,Rh="",bh="",Wh="",gm=Jd[Xh],Om--;++Om<Tf&&(ih=Kf.charCodeAt(Om),!!gm(ih));)Wh+=cd(ih),Xh===Yd&&ld.call(Ja,Wh)&&(Rh=Wh,bh=Ja[Wh]);Zh=Kf.charCodeAt(Om)===Rd,Zh&&(Om++,jh=Xh===Yd?od(Wh):!1,jh&&(Rh=Wh,bh=jh)),Fm=1+Om-Hh,!Zh&&!mf||(Wh?Xh===Yd?(Zh&&!bh?Rf(_f,1):(Rh!==Wh&&(Om=Lh+Rh.length,Fm=1+Om-Lh,Zh=!1),Zh||(Df=Rh?Zd:Xd,Gf.attribute?(ih=Kf.charCodeAt(Om),ih===Id?(Rf(Df,Fm),bh=null):sd(ih)?bh=null:Rf(Df,Fm)):Rf(Df,Fm))),fm=bh):(Zh||Rf(pf,Fm),fm=parseInt(Wh,Vd[Xh]),Sf(fm)?(Rf(Lf,Fm),fm=cd(qd)):fm in ed?(Rf(xf,Fm),fm=ed[fm]):(Zf="",wf(fm)&&Rf(xf,Fm),fm>65535&&(fm-=65536,Zf+=cd(fm>>>10|55296),fm=56320|fm&1023),fm=Zf+cd(fm))):Xh!==Yd&&Rf(hf,Fm)),fm?(R1(),om=Jm(),Af=Om-1,gh+=Om-Hh+1,$h.push(fm),Gm=Jm(),Gm.offset++,zf&&zf.call(kf,fm,{start:om,end:Gm},Kf.slice(Hh-1,Om)),om=Gm):(Wh=Kf.slice(Hh-1,Om),dh+=Wh,gh+=Wh.length,Af=Om-1)}else sm===10&&(Nh++,Pf++,gh=0),sm===sm?(dh+=cd(sm),gh++):R1();return $h.join("");function Jm(){return{line:Nh,column:gh,offset:Af+(Ch.offset||0)}}function N1(x1,Xm){var F1=Jm();F1.column+=Xm,F1.offset+=Xm,hh.call(Jf,Wf[x1],F1,x1)}function R1(){dh&&($h.push(dh),$f&&$f.call(Vf,dh,{start:om,end:Jm()}),dh="")}}function Sf(Kf){return Kf>=55296&&Kf<=57343||Kf>1114111}function wf(Kf){return Kf>=1&&Kf<=8||Kf===11||Kf>=13&&Kf<=31||Kf>=127&&Kf<=159||Kf>=64976&&Kf<=65007||(Kf&65535)===65535||(Kf&65535)===65534}}),Ou$1=C((Ra,qa)=>{var Ja=Ie$1(),ed=pr$1();qa.exports=td;function td(rd){return od.raw=ld,od;function sd(ud){for(var _d=rd.offset,yd=ud.line,gd=[];++yd&&yd in _d;)gd.push((_d[yd]||0)+1);return{start:ud,indent:gd}}function od(ud,_d,yd){ed(ud,{position:sd(_d),warning:cd,text:yd,reference:yd,textContext:rd,referenceContext:rd})}function ld(ud,_d,yd){return ed(ud,Ja(yd,{position:sd(_d),warning:cd}))}function cd(ud,_d,yd){yd!==3&&rd.file.message(ud,_d)}}}),Iu$1=C((Ra,qa)=>{qa.exports=Ja;function Ja(sd){return od;function od(ld,cd){var ud=this,_d=ud.offset,yd=[],gd=ud[sd+"Methods"],Ed=ud[sd+"Tokenizers"],Td=cd.line,kd=cd.column,Rd,Nd,Id,Md,Ld,Pd;if(!ld)return yd;for(pf.now=Ud,pf.file=ud.file,qd("");ld;){for(Rd=-1,Nd=gd.length,Ld=!1;++Rd<Nd&&(Md=gd[Rd],Id=Ed[Md],!(Id&&(!Id.onlyAtStart||ud.atStart)&&(!Id.notInList||!ud.inList)&&(!Id.notInBlock||!ud.inBlock)&&(!Id.notInLink||!ud.inLink)&&(Pd=ld.length,Id.apply(ud,[pf,ld]),Ld=Pd!==ld.length,Ld))););Ld||ud.file.fail(new Error("Infinite loop"),pf.now())}return ud.eof=Ud(),yd;function qd(Xd){for(var hf=-1,_f=Xd.indexOf(`
|
||
`);_f!==-1;)Td++,hf=_f,_f=Xd.indexOf(`
|
||
`,_f+1);hf===-1?kd+=Xd.length:kd=Xd.length-hf,Td in _d&&(hf!==-1?kd+=_d[Td]:kd<=_d[Td]&&(kd=_d[Td]+1))}function Yd(){var Xd=[],hf=Td+1;return function(){for(var _f=Td+1;hf<_f;)Xd.push((_d[hf]||0)+1),hf++;return Xd}}function Ud(){var Xd={line:Td,column:kd};return Xd.offset=ud.toOffset(Xd),Xd}function Hd(Xd){this.start=Xd,this.end=Ud()}function Vd(Xd){ld.slice(0,Xd.length)!==Xd&&ud.file.fail(new Error("Incorrectly eaten value: please report this warning on https://git.io/vg5Ft"),Ud())}function Jd(){var Xd=Ud();return hf;function hf(_f,xf){var Lf=_f.position,Wf=Lf?Lf.start:Xd,Yf=[],If=Lf&&Lf.end.line,Sf=Xd.line;if(_f.position=new Hd(Wf),Lf&&xf&&Lf.indent){if(Yf=Lf.indent,If<Sf){for(;++If<Sf;)Yf.push((_d[If]||0)+1);Yf.push(Xd.column)}xf=Yf.concat(xf)}return _f.position.indent=xf||[],_f}}function Zd(Xd,hf){var _f=hf?hf.children:yd,xf=_f[_f.length-1],Lf;return xf&&Xd.type===xf.type&&(Xd.type==="text"||Xd.type==="blockquote")&&ed(xf)&&ed(Xd)&&(Lf=Xd.type==="text"?td:rd,Xd=Lf.call(ud,xf,Xd)),Xd!==xf&&_f.push(Xd),ud.atStart&&yd.length!==0&&ud.exitStart(),Xd}function pf(Xd){var hf=Yd(),_f=Jd(),xf=Ud();return Vd(Xd),Lf.reset=Wf,Wf.test=Yf,Lf.test=Yf,ld=ld.slice(Xd.length),qd(Xd),hf=hf(),Lf;function Lf(If,Sf){return _f(Zd(_f(If),Sf),hf)}function Wf(){var If=Lf.apply(null,arguments);return Td=xf.line,kd=xf.column,ld=Xd+ld,If}function Yf(){var If=_f({});return Td=xf.line,kd=xf.column,ld=Xd+ld,If.position}}}}function ed(sd){var od,ld;return sd.type!=="text"||!sd.position?!0:(od=sd.position.start,ld=sd.position.end,od.line!==ld.line||ld.column-od.column===sd.value.length)}function td(sd,od){return sd.value+=od.value,sd}function rd(sd,od){return this.options.commonmark||this.options.gfm?od:(sd.children=sd.children.concat(od.children),sd)}}),Uu$2=C((Ra,qa)=>{qa.exports=rd;var Ja=["\\","`","*","{","}","[","]","(",")","#","+","-",".","!","_",">"],ed=Ja.concat(["~","|"]),td=ed.concat([`
|
||
`,'"',"$","%","&","'",",","/",":",";","<","=","?","@","^"]);rd.default=Ja,rd.gfm=ed,rd.commonmark=td;function rd(sd){var od=sd||{};return od.commonmark?td:od.gfm?ed:Ja}}),Mu$1=C((Ra,qa)=>{qa.exports=["address","article","aside","base","basefont","blockquote","body","caption","center","col","colgroup","dd","details","dialog","dir","div","dl","dt","fieldset","figcaption","figure","footer","form","frame","frameset","h1","h2","h3","h4","h5","h6","head","header","hgroup","hr","html","iframe","legend","li","link","main","menu","menuitem","meta","nav","noframes","ol","optgroup","option","p","param","pre","section","source","title","summary","table","tbody","td","tfoot","th","thead","title","tr","track","ul"]}),Rt$3=C((Ra,qa)=>{qa.exports={position:!0,gfm:!0,commonmark:!1,pedantic:!1,blocks:Mu$1()}}),Vu$2=C((Ra,qa)=>{var Ja=Ie$1(),ed=Uu$2(),td=Rt$3();qa.exports=rd;function rd(sd){var od=this,ld=od.options,cd,ud;if(sd==null)sd={};else if(typeof sd=="object")sd=Ja(sd);else throw new Error("Invalid value `"+sd+"` for setting `options`");for(cd in td){if(ud=sd[cd],ud==null&&(ud=ld[cd]),cd!=="blocks"&&typeof ud!="boolean"||cd==="blocks"&&typeof ud!="object")throw new Error("Invalid value `"+ud+"` for setting `options."+cd+"`");sd[cd]=ud}return od.options=sd,od.escape=ed(sd),od}}),Hu$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(od){if(od==null)return sd;if(typeof od=="string")return rd(od);if(typeof od=="object")return"length"in od?td(od):ed(od);if(typeof od=="function")return od;throw new Error("Expected function, string, or object as test")}function ed(od){return ld;function ld(cd){var ud;for(ud in od)if(cd[ud]!==od[ud])return!1;return!0}}function td(od){for(var ld=[],cd=-1;++cd<od.length;)ld[cd]=Ja(od[cd]);return ud;function ud(){for(var _d=-1;++_d<ld.length;)if(ld[_d].apply(this,arguments))return!0;return!1}}function rd(od){return ld;function ld(cd){return!!(cd&&cd.type===od)}}function sd(){return!0}}),Ku$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed){return ed}}),Zu$3=C((Ra,qa)=>{qa.exports=od;var Ja=Hu$2(),ed=Ku$2(),td=!0,rd="skip",sd=!1;od.CONTINUE=td,od.SKIP=rd,od.EXIT=sd;function od(cd,ud,_d,yd){var gd,Ed;typeof ud=="function"&&typeof _d!="function"&&(yd=_d,_d=ud,ud=null),Ed=Ja(ud),gd=yd?-1:1,Td(cd,null,[])();function Td(kd,Rd,Nd){var Id=typeof kd=="object"&&kd!==null?kd:{},Md;return typeof Id.type=="string"&&(Md=typeof Id.tagName=="string"?Id.tagName:typeof Id.name=="string"?Id.name:void 0,Ld.displayName="node ("+ed(Id.type+(Md?"<"+Md+">":""))+")"),Ld;function Ld(){var Pd=Nd.concat(kd),qd=[],Yd,Ud;if((!ud||Ed(kd,Rd,Nd[Nd.length-1]||null))&&(qd=ld(_d(kd,Nd)),qd[0]===sd))return qd;if(kd.children&&qd[0]!==rd)for(Ud=(yd?kd.children.length:-1)+gd;Ud>-1&&Ud<kd.children.length;){if(Yd=Td(kd.children[Ud],Ud,Pd)(),Yd[0]===sd)return Yd;Ud=typeof Yd[1]=="number"?Yd[1]:Ud+gd}return qd}}}function ld(cd){return cd!==null&&typeof cd=="object"&&"length"in cd?cd:typeof cd=="number"?[td,cd]:[cd]}}),ra$2=C((Ra,qa)=>{qa.exports=sd;var Ja=Zu$3(),ed=Ja.CONTINUE,td=Ja.SKIP,rd=Ja.EXIT;sd.CONTINUE=ed,sd.SKIP=td,sd.EXIT=rd;function sd(od,ld,cd,ud){typeof ld=="function"&&typeof cd!="function"&&(ud=cd,cd=ld,ld=null),Ja(od,ld,_d,ud);function _d(yd,gd){var Ed=gd[gd.length-1],Td=Ed?Ed.children.indexOf(yd):null;return cd(yd,Td,Ed)}}}),na$1=C((Ra,qa)=>{var Ja=ra$2();qa.exports=ed;function ed(sd,od){return Ja(sd,od?td:rd),sd}function td(sd){delete sd.position}function rd(sd){sd.position=void 0}}),aa$2=C((Ra,qa)=>{var Ja=Ie$1(),ed=na$1();qa.exports=sd;var td=`
|
||
`,rd=/\r\n|\r/g;function sd(){var od=this,ld=String(od.file),cd={line:1,column:1,offset:0},ud=Ja(cd),_d;return ld=ld.replace(rd,td),ld.charCodeAt(0)===65279&&(ld=ld.slice(1),ud.column++,ud.offset++),_d={type:"root",children:od.tokenizeBlock(ld,ud),position:{start:cd,end:od.eof||Ja(cd)}},od.options.position||ed(_d,!0),_d}}),sa$1=C((Ra,qa)=>{var Ja=/^[ \t]*(\n|$)/;qa.exports=ed;function ed(td,rd,sd){for(var od,ld="",cd=0,ud=rd.length;cd<ud&&(od=Ja.exec(rd.slice(cd)),od!=null);)cd+=od[0].length,ld+=od[0];if(ld!==""){if(sd)return!0;td(ld)}}}),Hr$3=C((Ra,qa)=>{var Ja="",ed;qa.exports=td;function td(rd,sd){if(typeof rd!="string")throw new TypeError("expected a string");if(sd===1)return rd;if(sd===2)return rd+rd;var od=rd.length*sd;if(ed!==rd||typeof ed>"u")ed=rd,Ja="";else if(Ja.length>=od)return Ja.substr(0,od);for(;od>Ja.length&&sd>1;)sd&1&&(Ja+=rd),sd>>=1,rd+=rd;return Ja+=rd,Ja=Ja.substr(0,od),Ja}}),Mt$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed){return String(ed).replace(/\n+$/,"")}}),pa$1=C((Ra,qa)=>{var Ja=Hr$3(),ed=Mt$2();qa.exports=cd;var td=`
|
||
`,rd=" ",sd=" ",od=4,ld=Ja(sd,od);function cd(ud,_d,yd){for(var gd=-1,Ed=_d.length,Td="",kd="",Rd="",Nd="",Id,Md,Ld;++gd<Ed;)if(Id=_d.charAt(gd),Ld)if(Ld=!1,Td+=Rd,kd+=Nd,Rd="",Nd="",Id===td)Rd=Id,Nd=Id;else for(Td+=Id,kd+=Id;++gd<Ed;){if(Id=_d.charAt(gd),!Id||Id===td){Nd=Id,Rd=Id;break}Td+=Id,kd+=Id}else if(Id===sd&&_d.charAt(gd+1)===Id&&_d.charAt(gd+2)===Id&&_d.charAt(gd+3)===Id)Rd+=ld,gd+=3,Ld=!0;else if(Id===rd)Rd+=Id,Ld=!0;else{for(Md="";Id===rd||Id===sd;)Md+=Id,Id=_d.charAt(++gd);if(Id!==td)break;Rd+=Md+Id,Nd+=Id}if(kd)return yd?!0:ud(Td)({type:"code",lang:null,meta:null,value:ed(kd)})}}),ma$1=C((Ra,qa)=>{qa.exports=cd;var Ja=`
|
||
`,ed=" ",td=" ",rd="~",sd="`",od=3,ld=4;function cd(ud,_d,yd){var gd=this,Ed=gd.options.gfm,Td=_d.length+1,kd=0,Rd="",Nd,Id,Md,Ld,Pd,qd,Yd,Ud,Hd,Vd,Jd,Zd,pf;if(Ed){for(;kd<Td&&(Md=_d.charAt(kd),!(Md!==td&&Md!==ed));)Rd+=Md,kd++;if(Zd=kd,Md=_d.charAt(kd),!(Md!==rd&&Md!==sd)){for(kd++,Id=Md,Nd=1,Rd+=Md;kd<Td&&(Md=_d.charAt(kd),Md===Id);)Rd+=Md,Nd++,kd++;if(!(Nd<od)){for(;kd<Td&&(Md=_d.charAt(kd),!(Md!==td&&Md!==ed));)Rd+=Md,kd++;for(Ld="",Yd="";kd<Td&&(Md=_d.charAt(kd),!(Md===Ja||Id===sd&&Md===Id));)Md===td||Md===ed?Yd+=Md:(Ld+=Yd+Md,Yd=""),kd++;if(Md=_d.charAt(kd),!(Md&&Md!==Ja)){if(yd)return!0;pf=ud.now(),pf.column+=Rd.length,pf.offset+=Rd.length,Rd+=Ld,Ld=gd.decode.raw(gd.unescape(Ld),pf),Yd&&(Rd+=Yd),Yd="",Vd="",Jd="",Ud="",Hd="";for(var Xd=!0;kd<Td;){if(Md=_d.charAt(kd),Ud+=Vd,Hd+=Jd,Vd="",Jd="",Md!==Ja){Ud+=Md,Jd+=Md,kd++;continue}for(Xd?(Rd+=Md,Xd=!1):(Vd+=Md,Jd+=Md),Yd="",kd++;kd<Td&&(Md=_d.charAt(kd),Md===td);)Yd+=Md,kd++;if(Vd+=Yd,Jd+=Yd.slice(Zd),!(Yd.length>=ld)){for(Yd="";kd<Td&&(Md=_d.charAt(kd),Md===Id);)Yd+=Md,kd++;if(Vd+=Yd,Jd+=Yd,!(Yd.length<Nd)){for(Yd="";kd<Td&&(Md=_d.charAt(kd),!(Md!==td&&Md!==ed));)Vd+=Md,Jd+=Md,kd++;if(!Md||Md===Ja)break}}}for(Rd+=Ud+Vd,kd=-1,Td=Ld.length;++kd<Td;)if(Md=Ld.charAt(kd),Md===td||Md===ed)Pd||(Pd=Ld.slice(0,kd));else if(Pd){qd=Ld.slice(kd);break}return ud(Rd)({type:"code",lang:Pd||Ld||null,meta:qd||null,value:Hd})}}}}}}),Re$4=C((Ra,qa)=>{Ra=qa.exports=Ja;function Ja(ed){return ed.trim?ed.trim():Ra.right(Ra.left(ed))}Ra.left=function(ed){return ed.trimLeft?ed.trimLeft():ed.replace(/^\s\s*/,"")},Ra.right=function(ed){if(ed.trimRight)return ed.trimRight();for(var td=/\s/,rd=ed.length;td.test(ed.charAt(--rd)););return ed.slice(0,rd+1)}}),Kr$3=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td,rd,sd){for(var od=ed.length,ld=-1,cd,ud;++ld<od;)if(cd=ed[ld],ud=cd[1]||{},!(ud.pedantic!==void 0&&ud.pedantic!==rd.options.pedantic)&&!(ud.commonmark!==void 0&&ud.commonmark!==rd.options.commonmark)&&td[cd[0]].apply(rd,sd))return!0;return!1}}),ba$2=C((Ra,qa)=>{var Ja=Re$4(),ed=Kr$3();qa.exports=ld;var td=`
|
||
`,rd=" ",sd=" ",od=">";function ld(cd,ud,_d){for(var yd=this,gd=yd.offset,Ed=yd.blockTokenizers,Td=yd.interruptBlockquote,kd=cd.now(),Rd=kd.line,Nd=ud.length,Id=[],Md=[],Ld=[],Pd,qd=0,Yd,Ud,Hd,Vd,Jd,Zd,pf,Xd;qd<Nd&&(Yd=ud.charAt(qd),!(Yd!==sd&&Yd!==rd));)qd++;if(ud.charAt(qd)===od){if(_d)return!0;for(qd=0;qd<Nd;){for(Hd=ud.indexOf(td,qd),Zd=qd,pf=!1,Hd===-1&&(Hd=Nd);qd<Nd&&(Yd=ud.charAt(qd),!(Yd!==sd&&Yd!==rd));)qd++;if(ud.charAt(qd)===od?(qd++,pf=!0,ud.charAt(qd)===sd&&qd++):qd=Zd,Vd=ud.slice(qd,Hd),!pf&&!Ja(Vd)){qd=Zd;break}if(!pf&&(Ud=ud.slice(qd),ed(Td,Ed,yd,[cd,Ud,!0])))break;Jd=Zd===qd?Vd:ud.slice(Zd,Hd),Ld.push(qd-Zd),Id.push(Jd),Md.push(Vd),qd=Hd+1}for(qd=-1,Nd=Ld.length,Pd=cd(Id.join(td));++qd<Nd;)gd[Rd]=(gd[Rd]||0)+Ld[qd],Rd++;return Xd=yd.enterBlock(),Md=yd.tokenizeBlock(Md.join(td),kd),Xd(),Pd({type:"blockquote",children:Md})}}}),xa$2=C((Ra,qa)=>{qa.exports=od;var Ja=`
|
||
`,ed=" ",td=" ",rd="#",sd=6;function od(ld,cd,ud){for(var _d=this,yd=_d.options.pedantic,gd=cd.length+1,Ed=-1,Td=ld.now(),kd="",Rd="",Nd,Id,Md;++Ed<gd;){if(Nd=cd.charAt(Ed),Nd!==td&&Nd!==ed){Ed--;break}kd+=Nd}for(Md=0;++Ed<=gd;){if(Nd=cd.charAt(Ed),Nd!==rd){Ed--;break}kd+=Nd,Md++}if(!(Md>sd)&&!(!Md||!yd&&cd.charAt(Ed+1)===rd)){for(gd=cd.length+1,Id="";++Ed<gd;){if(Nd=cd.charAt(Ed),Nd!==td&&Nd!==ed){Ed--;break}Id+=Nd}if(!(!yd&&Id.length===0&&Nd&&Nd!==Ja)){if(ud)return!0;for(kd+=Id,Id="",Rd="";++Ed<gd&&(Nd=cd.charAt(Ed),!(!Nd||Nd===Ja));){if(Nd!==td&&Nd!==ed&&Nd!==rd){Rd+=Id+Nd,Id="";continue}for(;Nd===td||Nd===ed;)Id+=Nd,Nd=cd.charAt(++Ed);if(!yd&&Rd&&!Id&&Nd===rd){Rd+=Nd;continue}for(;Nd===rd;)Id+=Nd,Nd=cd.charAt(++Ed);for(;Nd===td||Nd===ed;)Id+=Nd,Nd=cd.charAt(++Ed);Ed--}return Td.column+=kd.length,Td.offset+=kd.length,kd+=Rd+Id,ld(kd)({type:"heading",depth:Md,children:_d.tokenizeInline(Rd,Td)})}}}}),Ba$1=C((Ra,qa)=>{qa.exports=cd;var Ja=" ",ed=`
|
||
`,td=" ",rd="*",sd="-",od="_",ld=3;function cd(ud,_d,yd){for(var gd=-1,Ed=_d.length+1,Td="",kd,Rd,Nd,Id;++gd<Ed&&(kd=_d.charAt(gd),!(kd!==Ja&&kd!==td));)Td+=kd;if(!(kd!==rd&&kd!==sd&&kd!==od))for(Rd=kd,Td+=kd,Nd=1,Id="";++gd<Ed;)if(kd=_d.charAt(gd),kd===Rd)Nd++,Td+=Id+Rd,Id="";else if(kd===td)Id+=kd;else return Nd>=ld&&(!kd||kd===ed)?(Td+=Id,yd?!0:ud(Td)({type:"thematicBreak"})):void 0}}),$t$2=C((Ra,qa)=>{qa.exports=sd;var Ja=" ",ed=" ",td=1,rd=4;function sd(od){for(var ld=0,cd=0,ud=od.charAt(ld),_d={},yd,gd=0;ud===Ja||ud===ed;){for(yd=ud===Ja?rd:td,cd+=yd,yd>1&&(cd=Math.floor(cd/yd)*yd);gd<cd;)_d[++gd]=ld;ud=od.charAt(++ld)}return{indent:cd,stops:_d}}}),Oa$3=C((Ra,qa)=>{var Ja=Re$4(),ed=Hr$3(),td=$t$2();qa.exports=ld;var rd=`
|
||
`,sd=" ",od="!";function ld(cd,ud){var _d=cd.split(rd),yd=_d.length+1,gd=1/0,Ed=[],Td,kd,Rd;for(_d.unshift(ed(sd,ud)+od);yd--;)if(kd=td(_d[yd]),Ed[yd]=kd.stops,Ja(_d[yd]).length!==0)if(kd.indent)kd.indent>0&&kd.indent<gd&&(gd=kd.indent);else{gd=1/0;break}if(gd!==1/0)for(yd=_d.length;yd--;){for(Rd=Ed[yd],Td=gd;Td&&!(Td in Rd);)Td--;_d[yd]=_d[yd].slice(Rd[Td]+1)}return _d.shift(),_d.join(rd)}}),Ua$3=C((Ra,qa)=>{var Ja=Re$4(),ed=Hr$3(),td=Ne$1(),rd=$t$2(),sd=Oa$3(),od=Kr$3();qa.exports=Yd;var ld="*",cd="_",ud="+",_d="-",yd=".",gd=" ",Ed=`
|
||
`,Td=" ",kd=")",Rd="x",Nd=4,Id=/\n\n(?!\s*$)/,Md=/^\[([ X\tx])][ \t]/,Ld=/^([ \t]*)([*+-]|\d+[.)])( {1,4}(?! )| |\t|$|(?=\n))([^\n]*)/,Pd=/^([ \t]*)([*+-]|\d+[.)])([ \t]+)/,qd=/^( {1,4}|\t)?/gm;function Yd(Jd,Zd,pf){for(var Xd=this,hf=Xd.options.commonmark,_f=Xd.options.pedantic,xf=Xd.blockTokenizers,Lf=Xd.interruptList,Wf=0,Yf=Zd.length,If=null,Sf,wf,Kf,Gf,gf,mf,$f,zf,hh,Vf,kf,Jf,Ch,qf,Tf,Af,Pf,gh,Nh,dh=!1,$h,Rh,jh,Zh;Wf<Yf&&(Gf=Zd.charAt(Wf),!(Gf!==Td&&Gf!==gd));)Wf++;if(Gf=Zd.charAt(Wf),Gf===ld||Gf===ud||Gf===_d)gf=Gf,Kf=!1;else{for(Kf=!0,wf="";Wf<Yf&&(Gf=Zd.charAt(Wf),!!td(Gf));)wf+=Gf,Wf++;if(Gf=Zd.charAt(Wf),!wf||!(Gf===yd||hf&&Gf===kd)||pf&&wf!=="1")return;If=parseInt(wf,10),gf=Gf}if(Gf=Zd.charAt(++Wf),!(Gf!==gd&&Gf!==Td&&(_f||Gf!==Ed&&Gf!==""))){if(pf)return!0;for(Wf=0,qf=[],Tf=[],Af=[];Wf<Yf;){for(mf=Zd.indexOf(Ed,Wf),$f=Wf,zf=!1,Zh=!1,mf===-1&&(mf=Yf),Sf=0;Wf<Yf;){if(Gf=Zd.charAt(Wf),Gf===Td)Sf+=Nd-Sf%Nd;else if(Gf===gd)Sf++;else break;Wf++}if(Pf&&Sf>=Pf.indent&&(Zh=!0),Gf=Zd.charAt(Wf),hh=null,!Zh){if(Gf===ld||Gf===ud||Gf===_d)hh=Gf,Wf++,Sf++;else{for(wf="";Wf<Yf&&(Gf=Zd.charAt(Wf),!!td(Gf));)wf+=Gf,Wf++;Gf=Zd.charAt(Wf),Wf++,wf&&(Gf===yd||hf&&Gf===kd)&&(hh=Gf,Sf+=wf.length+1)}if(hh)if(Gf=Zd.charAt(Wf),Gf===Td)Sf+=Nd-Sf%Nd,Wf++;else if(Gf===gd){for(jh=Wf+Nd;Wf<jh&&Zd.charAt(Wf)===gd;)Wf++,Sf++;Wf===jh&&Zd.charAt(Wf)===gd&&(Wf-=Nd-1,Sf-=Nd-1)}else Gf!==Ed&&Gf!==""&&(hh=null)}if(hh){if(!_f&&gf!==hh)break;zf=!0}else!hf&&!Zh&&Zd.charAt($f)===gd?Zh=!0:hf&&Pf&&(Zh=Sf>=Pf.indent||Sf>Nd),zf=!1,Wf=$f;if(kf=Zd.slice($f,mf),Vf=$f===Wf?kf:Zd.slice(Wf,mf),(hh===ld||hh===cd||hh===_d)&&xf.thematicBreak.call(Xd,Jd,kf,!0))break;if(Jf=Ch,Ch=!zf&&!Ja(Vf).length,Zh&&Pf)Pf.value=Pf.value.concat(Af,kf),Tf=Tf.concat(Af,kf),Af=[];else if(zf)Af.length!==0&&(dh=!0,Pf.value.push(""),Pf.trail=Af.concat()),Pf={value:[kf],indent:Sf,trail:[]},qf.push(Pf),Tf=Tf.concat(Af,kf),Af=[];else if(Ch){if(Jf&&!hf)break;Af.push(kf)}else{if(Jf||od(Lf,xf,Xd,[Jd,kf,!0]))break;Pf.value=Pf.value.concat(Af,kf),Tf=Tf.concat(Af,kf),Af=[]}Wf=mf+1}for($h=Jd(Tf.join(Ed)).reset({type:"list",ordered:Kf,start:If,spread:dh,children:[]}),gh=Xd.enterList(),Nh=Xd.enterBlock(),Wf=-1,Yf=qf.length;++Wf<Yf;)Pf=qf[Wf].value.join(Ed),Rh=Jd.now(),Jd(Pf)(Ud(Xd,Pf,Rh),$h),Pf=qf[Wf].trail.join(Ed),Wf!==Yf-1&&(Pf+=Ed),Jd(Pf);return gh(),Nh(),$h}}function Ud(Jd,Zd,pf){var Xd=Jd.offset,hf=Jd.options.pedantic?Hd:Vd,_f=null,xf,Lf;return Zd=hf.apply(null,arguments),Jd.options.gfm&&(xf=Zd.match(Md),xf&&(Lf=xf[0].length,_f=xf[1].toLowerCase()===Rd,Xd[pf.line]+=Lf,Zd=Zd.slice(Lf))),{type:"listItem",spread:Id.test(Zd),checked:_f,children:Jd.tokenizeBlock(Zd,pf)}}function Hd(Jd,Zd,pf){var Xd=Jd.offset,hf=pf.line;return Zd=Zd.replace(Pd,_f),hf=pf.line,Zd.replace(qd,_f);function _f(xf){return Xd[hf]=(Xd[hf]||0)+xf.length,hf++,""}}function Vd(Jd,Zd,pf){var Xd=Jd.offset,hf=pf.line,_f,xf,Lf,Wf,Yf,If,Sf;for(Zd=Zd.replace(Ld,wf),Wf=Zd.split(Ed),Yf=sd(Zd,rd(_f).indent).split(Ed),Yf[0]=Lf,Xd[hf]=(Xd[hf]||0)+xf.length,hf++,If=0,Sf=Wf.length;++If<Sf;)Xd[hf]=(Xd[hf]||0)+Wf[If].length-Yf[If].length,hf++;return Yf.join(Ed);function wf(Kf,Gf,gf,mf,$f){return xf=Gf+gf+mf,Lf=$f,Number(gf)<10&&xf.length%2===1&&(gf=gd+gf),_f=Gf+ed(gd,gf.length)+mf,_f+Lf}}}),Ga$2=C((Ra,qa)=>{qa.exports=ud;var Ja=`
|
||
`,ed=" ",td=" ",rd="=",sd="-",od=3,ld=1,cd=2;function ud(_d,yd,gd){for(var Ed=this,Td=_d.now(),kd=yd.length,Rd=-1,Nd="",Id,Md,Ld,Pd,qd;++Rd<kd;){if(Ld=yd.charAt(Rd),Ld!==td||Rd>=od){Rd--;break}Nd+=Ld}for(Id="",Md="";++Rd<kd;){if(Ld=yd.charAt(Rd),Ld===Ja){Rd--;break}Ld===td||Ld===ed?Md+=Ld:(Id+=Md+Ld,Md="")}if(Td.column+=Nd.length,Td.offset+=Nd.length,Nd+=Id+Md,Ld=yd.charAt(++Rd),Pd=yd.charAt(++Rd),!(Ld!==Ja||Pd!==rd&&Pd!==sd)){for(Nd+=Ld,Md=Pd,qd=Pd===rd?ld:cd;++Rd<kd;){if(Ld=yd.charAt(Rd),Ld!==Pd){if(Ld!==Ja)return;Rd--;break}Md+=Ld}return gd?!0:_d(Nd+Md)({type:"heading",depth:qd,children:Ed.tokenizeInline(Id,Td)})}}}),Xt$2=C(Ra=>{var qa="[a-zA-Z_:][a-zA-Z0-9:._-]*",Ja="[^\"'=<>`\\u0000-\\u0020]+",ed="'[^']*'",td='"[^"]*"',rd="(?:"+Ja+"|"+ed+"|"+td+")",sd="(?:\\s+"+qa+"(?:\\s*=\\s*"+rd+")?)",od="<[A-Za-z][A-Za-z0-9\\-]*"+sd+"*\\s*\\/?>",ld="<\\/[A-Za-z][A-Za-z0-9\\-]*\\s*>",cd="<!---->|<!--(?:-?[^>-])(?:-?[^-])*-->",ud="<[?].*?[?]>",_d="<![A-Za-z]+\\s+[^>]*>",yd="<!\\[CDATA\\[[\\s\\S]*?\\]\\]>";Ra.openCloseTag=new RegExp("^(?:"+od+"|"+ld+")"),Ra.tag=new RegExp("^(?:"+od+"|"+ld+"|"+cd+"|"+ud+"|"+_d+"|"+yd+")")}),Ka$1=C((Ra,qa)=>{var Ja=Xt$2().openCloseTag;qa.exports=Id;var ed=" ",td=" ",rd=`
|
||
`,sd="<",od=/^<(script|pre|style)(?=(\s|>|$))/i,ld=/<\/(script|pre|style)>/i,cd=/^<!--/,ud=/-->/,_d=/^<\?/,yd=/\?>/,gd=/^<![A-Za-z]/,Ed=/>/,Td=/^<!\[CDATA\[/,kd=/]]>/,Rd=/^$/,Nd=new RegExp(Ja.source+"\\s*$");function Id(Md,Ld,Pd){for(var qd=this,Yd=qd.options.blocks.join("|"),Ud=new RegExp("^</?("+Yd+")(?=(\\s|/?>|$))","i"),Hd=Ld.length,Vd=0,Jd,Zd,pf,Xd,hf,_f,xf,Lf=[[od,ld,!0],[cd,ud,!0],[_d,yd,!0],[gd,Ed,!0],[Td,kd,!0],[Ud,Rd,!0],[Nd,Rd,!1]];Vd<Hd&&(Xd=Ld.charAt(Vd),!(Xd!==ed&&Xd!==td));)Vd++;if(Ld.charAt(Vd)===sd){for(Jd=Ld.indexOf(rd,Vd+1),Jd=Jd===-1?Hd:Jd,Zd=Ld.slice(Vd,Jd),pf=-1,hf=Lf.length;++pf<hf;)if(Lf[pf][0].test(Zd)){_f=Lf[pf];break}if(_f){if(Pd)return _f[2];if(Vd=Jd,!_f[1].test(Zd))for(;Vd<Hd;){if(Jd=Ld.indexOf(rd,Vd+1),Jd=Jd===-1?Hd:Jd,Zd=Ld.slice(Vd+1,Jd),_f[1].test(Zd)){Zd&&(Vd=Jd);break}Vd=Jd}return xf=Ld.slice(0,Vd),Md(xf)({type:"html",value:xf})}}}}),ue$1=C((Ra,qa)=>{qa.exports=td;var Ja=String.fromCharCode,ed=/\s/;function td(rd){return ed.test(typeof rd=="number"?Ja(rd):rd.charAt(0))}}),Qt$1=C((Ra,qa)=>{var Ja=xr$2();qa.exports=ed;function ed(td){return Ja(td).toLowerCase()}}),io$1=C((Ra,qa)=>{var Ja=ue$1(),ed=Qt$1();qa.exports=Rd;var td='"',rd="'",sd="\\",od=`
|
||
`,ld=" ",cd=" ",ud="[",_d="]",yd="(",gd=")",Ed=":",Td="<",kd=">";function Rd(Md,Ld,Pd){for(var qd=this,Yd=qd.options.commonmark,Ud=0,Hd=Ld.length,Vd="",Jd,Zd,pf,Xd,hf,_f,xf,Lf;Ud<Hd&&(Xd=Ld.charAt(Ud),!(Xd!==cd&&Xd!==ld));)Vd+=Xd,Ud++;if(Xd=Ld.charAt(Ud),Xd===ud){for(Ud++,Vd+=Xd,pf="";Ud<Hd&&(Xd=Ld.charAt(Ud),Xd!==_d);)Xd===sd&&(pf+=Xd,Ud++,Xd=Ld.charAt(Ud)),pf+=Xd,Ud++;if(!(!pf||Ld.charAt(Ud)!==_d||Ld.charAt(Ud+1)!==Ed)){for(_f=pf,Vd+=pf+_d+Ed,Ud=Vd.length,pf="";Ud<Hd&&(Xd=Ld.charAt(Ud),!(Xd!==ld&&Xd!==cd&&Xd!==od));)Vd+=Xd,Ud++;if(Xd=Ld.charAt(Ud),pf="",Jd=Vd,Xd===Td){for(Ud++;Ud<Hd&&(Xd=Ld.charAt(Ud),!!Nd(Xd));)pf+=Xd,Ud++;if(Xd=Ld.charAt(Ud),Xd===Nd.delimiter)Vd+=Td+pf+Xd,Ud++;else{if(Yd)return;Ud-=pf.length+1,pf=""}}if(!pf){for(;Ud<Hd&&(Xd=Ld.charAt(Ud),!!Id(Xd));)pf+=Xd,Ud++;Vd+=pf}if(pf){for(xf=pf,pf="";Ud<Hd&&(Xd=Ld.charAt(Ud),!(Xd!==ld&&Xd!==cd&&Xd!==od));)pf+=Xd,Ud++;if(Xd=Ld.charAt(Ud),hf=null,Xd===td?hf=td:Xd===rd?hf=rd:Xd===yd&&(hf=gd),!hf)pf="",Ud=Vd.length;else if(pf){for(Vd+=pf+Xd,Ud=Vd.length,pf="";Ud<Hd&&(Xd=Ld.charAt(Ud),Xd!==hf);){if(Xd===od){if(Ud++,Xd=Ld.charAt(Ud),Xd===od||Xd===hf)return;pf+=od}pf+=Xd,Ud++}if(Xd=Ld.charAt(Ud),Xd!==hf)return;Zd=Vd,Vd+=pf+Xd,Ud++,Lf=pf,pf=""}else return;for(;Ud<Hd&&(Xd=Ld.charAt(Ud),!(Xd!==ld&&Xd!==cd));)Vd+=Xd,Ud++;if(Xd=Ld.charAt(Ud),!Xd||Xd===od)return Pd?!0:(Jd=Md(Jd).test().end,xf=qd.decode.raw(qd.unescape(xf),Jd,{nonTerminated:!1}),Lf&&(Zd=Md(Zd).test().end,Lf=qd.decode.raw(qd.unescape(Lf),Zd)),Md(Vd)({type:"definition",identifier:ed(_f),label:_f,title:Lf||null,url:xf}))}}}}function Nd(Md){return Md!==kd&&Md!==ud&&Md!==_d}Nd.delimiter=kd;function Id(Md){return Md!==ud&&Md!==_d&&!Ja(Md)}}),oo$3=C((Ra,qa)=>{var Ja=ue$1();qa.exports=Td;var ed=" ",td=`
|
||
`,rd=" ",sd="-",od=":",ld="\\",cd="|",ud=1,_d=2,yd="left",gd="center",Ed="right";function Td(kd,Rd,Nd){var Id=this,Md,Ld,Pd,qd,Yd,Ud,Hd,Vd,Jd,Zd,pf,Xd,hf,_f,xf,Lf,Wf,Yf,If,Sf,wf,Kf;if(Id.options.gfm){for(Md=0,Lf=0,Ud=Rd.length+1,Hd=[];Md<Ud;){if(Sf=Rd.indexOf(td,Md),wf=Rd.indexOf(cd,Md+1),Sf===-1&&(Sf=Rd.length),wf===-1||wf>Sf){if(Lf<_d)return;break}Hd.push(Rd.slice(Md,Sf)),Lf++,Md=Sf+1}for(qd=Hd.join(td),Ld=Hd.splice(1,1)[0]||[],Md=0,Ud=Ld.length,Lf--,Pd=!1,pf=[];Md<Ud;){if(Jd=Ld.charAt(Md),Jd===cd){if(Zd=null,Pd===!1){if(Kf===!1)return}else pf.push(Pd),Pd=!1;Kf=!1}else if(Jd===sd)Zd=!0,Pd=Pd||null;else if(Jd===od)Pd===yd?Pd=gd:Zd&&Pd===null?Pd=Ed:Pd=yd;else if(!Ja(Jd))return;Md++}if(Pd!==!1&&pf.push(Pd),!(pf.length<ud)){if(Nd)return!0;for(xf=-1,Yf=[],If=kd(qd).reset({type:"table",align:pf,children:Yf});++xf<Lf;){for(Wf=Hd[xf],Yd={type:"tableRow",children:[]},xf&&kd(td),kd(Wf).reset(Yd,If),Ud=Wf.length+1,Md=0,Vd="",Xd="",hf=!0;Md<Ud;){if(Jd=Wf.charAt(Md),Jd===ed||Jd===rd){Xd?Vd+=Jd:kd(Jd),Md++;continue}Jd===""||Jd===cd?hf?kd(Jd):((Xd||Jd)&&!hf&&(qd=Xd,Vd.length>1&&(Jd?(qd+=Vd.slice(0,-1),Vd=Vd.charAt(Vd.length-1)):(qd+=Vd,Vd="")),_f=kd.now(),kd(qd)({type:"tableCell",children:Id.tokenizeInline(Xd,_f)},Yd)),kd(Vd+Jd),Vd="",Xd=""):(Vd&&(Xd+=Vd,Vd=""),Xd+=Jd,Jd===ld&&Md!==Ud-2&&(Xd+=Wf.charAt(Md+1),Md++)),hf=!1,Md++}xf||kd(td+Ld)}return If}}}}),lo$2=C((Ra,qa)=>{var Ja=Re$4(),ed=Mt$2(),td=Kr$3();qa.exports=cd;var rd=" ",sd=`
|
||
`,od=" ",ld=4;function cd(ud,_d,yd){for(var gd=this,Ed=gd.options,Td=Ed.commonmark,kd=gd.blockTokenizers,Rd=gd.interruptParagraph,Nd=_d.indexOf(sd),Id=_d.length,Md,Ld,Pd,qd,Yd;Nd<Id;){if(Nd===-1){Nd=Id;break}if(_d.charAt(Nd+1)===sd)break;if(Td){for(qd=0,Md=Nd+1;Md<Id;){if(Pd=_d.charAt(Md),Pd===rd){qd=ld;break}else if(Pd===od)qd++;else break;Md++}if(qd>=ld&&Pd!==sd){Nd=_d.indexOf(sd,Nd+1);continue}}if(Ld=_d.slice(Nd+1),td(Rd,kd,gd,[ud,Ld,!0]))break;if(Md=Nd,Nd=_d.indexOf(sd,Nd+1),Nd!==-1&&Ja(_d.slice(Md,Nd))===""){Nd=Md;break}}return Ld=_d.slice(0,Nd),yd?!0:(Yd=ud.now(),Ld=ed(Ld),ud(Ld)({type:"paragraph",children:gd.tokenizeInline(Ld,Yd)}))}}),Do$1=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td){return ed.indexOf("\\",td)}}),Fo$1=C((Ra,qa)=>{var Ja=Do$1();qa.exports=rd,rd.locator=Ja;var ed=`
|
||
`,td="\\";function rd(sd,od,ld){var cd=this,ud,_d;if(od.charAt(0)===td&&(ud=od.charAt(1),cd.escape.indexOf(ud)!==-1))return ld?!0:(ud===ed?_d={type:"break"}:_d={type:"text",value:ud},sd(td+ud)(_d))}}),tn$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td){return ed.indexOf("<",td)}}),yo$2=C((Ra,qa)=>{var Ja=ue$1(),ed=pr$1(),td=tn$2();qa.exports=_d,_d.locator=td,_d.notInLink=!0;var rd="<",sd=">",od="@",ld="/",cd="mailto:",ud=cd.length;function _d(yd,gd,Ed){var Td=this,kd="",Rd=gd.length,Nd=0,Id="",Md=!1,Ld="",Pd,qd,Yd,Ud,Hd;if(gd.charAt(0)===rd){for(Nd++,kd=rd;Nd<Rd&&(Pd=gd.charAt(Nd),!(Ja(Pd)||Pd===sd||Pd===od||Pd===":"&&gd.charAt(Nd+1)===ld));)Id+=Pd,Nd++;if(Id){if(Ld+=Id,Id="",Pd=gd.charAt(Nd),Ld+=Pd,Nd++,Pd===od)Md=!0;else{if(Pd!==":"||gd.charAt(Nd+1)!==ld)return;Ld+=ld,Nd++}for(;Nd<Rd&&(Pd=gd.charAt(Nd),!(Ja(Pd)||Pd===sd));)Id+=Pd,Nd++;if(Pd=gd.charAt(Nd),!(!Id||Pd!==sd))return Ed?!0:(Ld+=Id,Yd=Ld,kd+=Ld+Pd,qd=yd.now(),qd.column++,qd.offset++,Md&&(Ld.slice(0,ud).toLowerCase()===cd?(Yd=Yd.slice(ud),qd.column+=ud,qd.offset+=ud):Ld=cd+Ld),Ud=Td.inlineTokenizers,Td.inlineTokenizers={text:Ud.text},Hd=Td.enterLink(),Yd=Td.tokenizeInline(Yd,qd),Td.inlineTokenizers=Ud,Hd(),yd(kd)({type:"link",title:null,url:ed(Ld,{nonTerminated:!1}),children:Yd}))}}}}),xo$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td){var rd=String(ed),sd=0,od;if(typeof td!="string")throw new Error("Expected character");for(od=rd.indexOf(td);od!==-1;)sd++,od=rd.indexOf(td,od+td.length);return sd}}),Bo$1=C((Ra,qa)=>{qa.exports=ed;var Ja=["www.","http://","https://"];function ed(td,rd){var sd=-1,od,ld,cd;if(!this.options.gfm)return sd;for(ld=Ja.length,od=-1;++od<ld;)cd=td.indexOf(Ja[od],rd),cd!==-1&&(sd===-1||cd<sd)&&(sd=cd);return sd}}),Oo$1=C((Ra,qa)=>{var Ja=xo$2(),ed=pr$1(),td=Ne$1(),rd=Ve$2(),sd=ue$1(),od=Bo$1();qa.exports=qd,qd.locator=od,qd.notInLink=!0;var ld=33,cd=38,ud=41,_d=42,yd=44,gd=45,Ed=46,Td=58,kd=59,Rd=63,Nd=60,Id=95,Md=126,Ld="(",Pd=")";function qd(Yd,Ud,Hd){var Vd=this,Jd=Vd.options.gfm,Zd=Vd.inlineTokenizers,pf=Ud.length,Xd=-1,hf=!1,_f,xf,Lf,Wf,Yf,If,Sf,wf,Kf,Gf,gf,mf,$f,zf;if(Jd){if(Ud.slice(0,4)==="www.")hf=!0,Wf=4;else if(Ud.slice(0,7).toLowerCase()==="http://")Wf=7;else if(Ud.slice(0,8).toLowerCase()==="https://")Wf=8;else return;for(Xd=Wf-1,Lf=Wf,_f=[];Wf<pf;){if(Sf=Ud.charCodeAt(Wf),Sf===Ed){if(Xd===Wf-1)break;_f.push(Wf),Xd=Wf,Wf++;continue}if(td(Sf)||rd(Sf)||Sf===gd||Sf===Id){Wf++;continue}break}if(Sf===Ed&&(_f.pop(),Wf--),_f[0]!==void 0&&(xf=_f.length<2?Lf:_f[_f.length-2]+1,Ud.slice(xf,Wf).indexOf("_")===-1)){if(Hd)return!0;for(wf=Wf,Yf=Wf;Wf<pf&&(Sf=Ud.charCodeAt(Wf),!(sd(Sf)||Sf===Nd));)Wf++,Sf===ld||Sf===_d||Sf===yd||Sf===Ed||Sf===Td||Sf===Rd||Sf===Id||Sf===Md||(wf=Wf);if(Wf=wf,Ud.charCodeAt(Wf-1)===ud)for(If=Ud.slice(Yf,Wf),Kf=Ja(If,Ld),Gf=Ja(If,Pd);Gf>Kf;)Wf=Yf+If.lastIndexOf(Pd),If=Ud.slice(Yf,Wf),Gf--;if(Ud.charCodeAt(Wf-1)===kd&&(Wf--,rd(Ud.charCodeAt(Wf-1)))){for(wf=Wf-2;rd(Ud.charCodeAt(wf));)wf--;Ud.charCodeAt(wf)===cd&&(Wf=wf)}return gf=Ud.slice(0,Wf),$f=ed(gf,{nonTerminated:!1}),hf&&($f="http://"+$f),zf=Vd.enterLink(),Vd.inlineTokenizers={text:Zd.text},mf=Vd.tokenizeInline(gf,Yd.now()),Vd.inlineTokenizers=Zd,zf(),Yd(gf)({type:"link",title:null,url:$f,children:mf})}}}}),No$1=C((Ra,qa)=>{var Ja=Ne$1(),ed=Ve$2(),td=43,rd=45,sd=46,od=95;qa.exports=ld;function ld(ud,_d){var yd=this,gd,Ed;if(!this.options.gfm||(gd=ud.indexOf("@",_d),gd===-1))return-1;if(Ed=gd,Ed===_d||!cd(ud.charCodeAt(Ed-1)))return ld.call(yd,ud,gd+1);for(;Ed>_d&&cd(ud.charCodeAt(Ed-1));)Ed--;return Ed}function cd(ud){return Ja(ud)||ed(ud)||ud===td||ud===rd||ud===sd||ud===od}}),Mo$2=C((Ra,qa)=>{var Ja=pr$1(),ed=Ne$1(),td=Ve$2(),rd=No$1();qa.exports=_d,_d.locator=rd,_d.notInLink=!0;var sd=43,od=45,ld=46,cd=64,ud=95;function _d(yd,gd,Ed){var Td=this,kd=Td.options.gfm,Rd=Td.inlineTokenizers,Nd=0,Id=gd.length,Md=-1,Ld,Pd,qd,Yd;if(kd){for(Ld=gd.charCodeAt(Nd);ed(Ld)||td(Ld)||Ld===sd||Ld===od||Ld===ld||Ld===ud;)Ld=gd.charCodeAt(++Nd);if(Nd!==0&&Ld===cd){for(Nd++;Nd<Id;){if(Ld=gd.charCodeAt(Nd),ed(Ld)||td(Ld)||Ld===od||Ld===ld||Ld===ud){Nd++,Md===-1&&Ld===ld&&(Md=Nd);continue}break}if(!(Md===-1||Md===Nd||Ld===od||Ld===ud))return Ld===ld&&Nd--,Pd=gd.slice(0,Nd),Ed?!0:(Yd=Td.enterLink(),Td.inlineTokenizers={text:Rd.text},qd=Td.tokenizeInline(Pd,yd.now()),Td.inlineTokenizers=Rd,Yd(),yd(Pd)({type:"link",title:null,url:"mailto:"+Ja(Pd,{nonTerminated:!1}),children:qd}))}}}}),Vo$1=C((Ra,qa)=>{var Ja=Ve$2(),ed=tn$2(),td=Xt$2().tag;qa.exports=_d,_d.locator=ed;var rd="<",sd="?",od="!",ld="/",cd=/^<a /i,ud=/^<\/a>/i;function _d(yd,gd,Ed){var Td=this,kd=gd.length,Rd,Nd;if(!(gd.charAt(0)!==rd||kd<3)&&(Rd=gd.charAt(1),!(!Ja(Rd)&&Rd!==sd&&Rd!==od&&Rd!==ld)&&(Nd=gd.match(td),!!Nd)))return Ed?!0:(Nd=Nd[0],!Td.inLink&&cd.test(Nd)?Td.inLink=!0:Td.inLink&&ud.test(Nd)&&(Td.inLink=!1),yd(Nd)({type:"html",value:Nd}))}}),dn$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td){var rd=ed.indexOf("[",td),sd=ed.indexOf("![",td);return sd===-1||rd<sd?rd:sd}}),Qo$1=C((Ra,qa)=>{var Ja=ue$1(),ed=dn$2();qa.exports=kd,kd.locator=ed;var td=`
|
||
`,rd="!",sd='"',od="'",ld="(",cd=")",ud="<",_d=">",yd="[",gd="\\",Ed="]",Td="`";function kd(Rd,Nd,Id){var Md=this,Ld="",Pd=0,qd=Nd.charAt(0),Yd=Md.options.pedantic,Ud=Md.options.commonmark,Hd=Md.options.gfm,Vd,Jd,Zd,pf,Xd,hf,_f,xf,Lf,Wf,Yf,If,Sf,wf,Kf,Gf,gf,mf;if(qd===rd&&(xf=!0,Ld=qd,qd=Nd.charAt(++Pd)),qd===yd&&!(!xf&&Md.inLink)){for(Ld+=qd,wf="",Pd++,Yf=Nd.length,Gf=Rd.now(),Sf=0,Gf.column+=Pd,Gf.offset+=Pd;Pd<Yf;){if(qd=Nd.charAt(Pd),hf=qd,qd===Td){for(Jd=1;Nd.charAt(Pd+1)===Td;)hf+=qd,Pd++,Jd++;Zd?Jd>=Zd&&(Zd=0):Zd=Jd}else if(qd===gd)Pd++,hf+=Nd.charAt(Pd);else if((!Zd||Hd)&&qd===yd)Sf++;else if((!Zd||Hd)&&qd===Ed)if(Sf)Sf--;else{if(Nd.charAt(Pd+1)!==ld)return;hf+=ld,Vd=!0,Pd++;break}wf+=hf,hf="",Pd++}if(Vd){for(Lf=wf,Ld+=wf+hf,Pd++;Pd<Yf&&(qd=Nd.charAt(Pd),!!Ja(qd));)Ld+=qd,Pd++;if(qd=Nd.charAt(Pd),wf="",pf=Ld,qd===ud){for(Pd++,pf+=ud;Pd<Yf&&(qd=Nd.charAt(Pd),qd!==_d);){if(Ud&&qd===td)return;wf+=qd,Pd++}if(Nd.charAt(Pd)!==_d)return;Ld+=ud+wf+_d,Kf=wf,Pd++}else{for(qd=null,hf="";Pd<Yf&&(qd=Nd.charAt(Pd),!(hf&&(qd===sd||qd===od||Ud&&qd===ld)));){if(Ja(qd)){if(!Yd)break;hf+=qd}else{if(qd===ld)Sf++;else if(qd===cd){if(Sf===0)break;Sf--}wf+=hf,hf="",qd===gd&&(wf+=gd,qd=Nd.charAt(++Pd)),wf+=qd}Pd++}Ld+=wf,Kf=wf,Pd=Ld.length}for(wf="";Pd<Yf&&(qd=Nd.charAt(Pd),!!Ja(qd));)wf+=qd,Pd++;if(qd=Nd.charAt(Pd),Ld+=wf,wf&&(qd===sd||qd===od||Ud&&qd===ld))if(Pd++,Ld+=qd,wf="",Wf=qd===ld?cd:qd,Xd=Ld,Ud){for(;Pd<Yf&&(qd=Nd.charAt(Pd),qd!==Wf);)qd===gd&&(wf+=gd,qd=Nd.charAt(++Pd)),Pd++,wf+=qd;if(qd=Nd.charAt(Pd),qd!==Wf)return;for(If=wf,Ld+=wf+qd,Pd++;Pd<Yf&&(qd=Nd.charAt(Pd),!!Ja(qd));)Ld+=qd,Pd++}else for(hf="";Pd<Yf;){if(qd=Nd.charAt(Pd),qd===Wf)_f&&(wf+=Wf+hf,hf=""),_f=!0;else if(!_f)wf+=qd;else if(qd===cd){Ld+=wf+Wf+hf,If=wf;break}else Ja(qd)?hf+=qd:(wf+=Wf+hf+qd,hf="",_f=!1);Pd++}if(Nd.charAt(Pd)===cd)return Id?!0:(Ld+=cd,Kf=Md.decode.raw(Md.unescape(Kf),Rd(pf).test().end,{nonTerminated:!1}),If&&(Xd=Rd(Xd).test().end,If=Md.decode.raw(Md.unescape(If),Xd)),mf={type:xf?"image":"link",title:If||null,url:Kf},xf?mf.alt=Md.decode.raw(Md.unescape(Lf),Gf)||null:(gf=Md.enterLink(),mf.children=Md.tokenizeInline(Lf,Gf),gf()),Rd(Ld)(mf))}}}}),rs$1=C((Ra,qa)=>{var Ja=ue$1(),ed=dn$2(),td=Qt$1();qa.exports=Ed,Ed.locator=ed;var rd="link",sd="image",od="shortcut",ld="collapsed",cd="full",ud="!",_d="[",yd="\\",gd="]";function Ed(Td,kd,Rd){var Nd=this,Id=Nd.options.commonmark,Md=kd.charAt(0),Ld=0,Pd=kd.length,qd="",Yd="",Ud=rd,Hd=od,Vd,Jd,Zd,pf,Xd,hf,_f,xf;if(Md===ud&&(Ud=sd,Yd=Md,Md=kd.charAt(++Ld)),Md===_d){for(Ld++,Yd+=Md,hf="",xf=0;Ld<Pd;){if(Md=kd.charAt(Ld),Md===_d)_f=!0,xf++;else if(Md===gd){if(!xf)break;xf--}Md===yd&&(hf+=yd,Md=kd.charAt(++Ld)),hf+=Md,Ld++}if(qd=hf,Vd=hf,Md=kd.charAt(Ld),Md===gd){if(Ld++,qd+=Md,hf="",!Id)for(;Ld<Pd&&(Md=kd.charAt(Ld),!!Ja(Md));)hf+=Md,Ld++;if(Md=kd.charAt(Ld),Md===_d){for(Jd="",hf+=Md,Ld++;Ld<Pd&&(Md=kd.charAt(Ld),!(Md===_d||Md===gd));)Md===yd&&(Jd+=yd,Md=kd.charAt(++Ld)),Jd+=Md,Ld++;Md=kd.charAt(Ld),Md===gd?(Hd=Jd?cd:ld,hf+=Jd+Md,Ld++):Jd="",qd+=hf,hf=""}else{if(!Vd)return;Jd=Vd}if(!(Hd!==cd&&_f))return qd=Yd+qd,Ud===rd&&Nd.inLink?null:Rd?!0:(Zd=Td.now(),Zd.column+=Yd.length,Zd.offset+=Yd.length,Jd=Hd===cd?Jd:Vd,pf={type:Ud+"Reference",identifier:td(Jd),label:Jd,referenceType:Hd},Ud===rd?(Xd=Nd.enterLink(),pf.children=Nd.tokenizeInline(Vd,Zd),Xd()):pf.alt=Nd.decode.raw(Nd.unescape(Vd),Zd)||null,Td(qd)(pf))}}}}),ns$1=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td){var rd=ed.indexOf("**",td),sd=ed.indexOf("__",td);return sd===-1?rd:rd===-1||sd<rd?sd:rd}}),os$1=C((Ra,qa)=>{var Ja=Re$4(),ed=ue$1(),td=ns$1();qa.exports=ld,ld.locator=td;var rd="\\",sd="*",od="_";function ld(cd,ud,_d){var yd=this,gd=0,Ed=ud.charAt(gd),Td,kd,Rd,Nd,Id,Md,Ld;if(!(Ed!==sd&&Ed!==od||ud.charAt(++gd)!==Ed)&&(kd=yd.options.pedantic,Rd=Ed,Id=Rd+Rd,Md=ud.length,gd++,Nd="",Ed="",!(kd&&ed(ud.charAt(gd)))))for(;gd<Md;){if(Ld=Ed,Ed=ud.charAt(gd),Ed===Rd&&ud.charAt(gd+1)===Rd&&(!kd||!ed(Ld))&&(Ed=ud.charAt(gd+2),Ed!==Rd))return Ja(Nd)?_d?!0:(Td=cd.now(),Td.column+=2,Td.offset+=2,cd(Id+Nd+Id)({type:"strong",children:yd.tokenizeInline(Nd,Td)})):void 0;!kd&&Ed===rd&&(Nd+=Ed,Ed=ud.charAt(++gd)),Nd+=Ed,gd++}}}),cs$3=C((Ra,qa)=>{qa.exports=td;var Ja=String.fromCharCode,ed=/\w/;function td(rd){return ed.test(typeof rd=="number"?Ja(rd):rd.charAt(0))}}),fs$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td){var rd=ed.indexOf("*",td),sd=ed.indexOf("_",td);return sd===-1?rd:rd===-1||sd<rd?sd:rd}}),ms$2=C((Ra,qa)=>{var Ja=Re$4(),ed=cs$3(),td=ue$1(),rd=fs$2();qa.exports=cd,cd.locator=rd;var sd="*",od="_",ld="\\";function cd(ud,_d,yd){var gd=this,Ed=0,Td=_d.charAt(Ed),kd,Rd,Nd,Id,Md,Ld,Pd;if(!(Td!==sd&&Td!==od)&&(Rd=gd.options.pedantic,Md=Td,Nd=Td,Ld=_d.length,Ed++,Id="",Td="",!(Rd&&td(_d.charAt(Ed)))))for(;Ed<Ld;){if(Pd=Td,Td=_d.charAt(Ed),Td===Nd&&(!Rd||!td(Pd))){if(Td=_d.charAt(++Ed),Td!==Nd){if(!Ja(Id)||Pd===Nd)return;if(!Rd&&Nd===od&&ed(Td)){Id+=Nd;continue}return yd?!0:(kd=ud.now(),kd.column++,kd.offset++,ud(Md+Id+Nd)({type:"emphasis",children:gd.tokenizeInline(Id,kd)}))}Id+=Nd}!Rd&&Td===ld&&(Id+=Td,Td=_d.charAt(++Ed)),Id+=Td,Ed++}}}),gs$3=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td){return ed.indexOf("~~",td)}}),ys$2=C((Ra,qa)=>{var Ja=ue$1(),ed=gs$3();qa.exports=sd,sd.locator=ed;var td="~",rd="~~";function sd(od,ld,cd){var ud=this,_d="",yd="",gd="",Ed="",Td,kd,Rd;if(!(!ud.options.gfm||ld.charAt(0)!==td||ld.charAt(1)!==td||Ja(ld.charAt(2))))for(Td=1,kd=ld.length,Rd=od.now(),Rd.column+=2,Rd.offset+=2;++Td<kd;){if(_d=ld.charAt(Td),_d===td&&yd===td&&(!gd||!Ja(gd)))return cd?!0:od(rd+Ed+rd)({type:"delete",children:ud.tokenizeInline(Ed,Rd)});Ed+=yd,gd=yd,yd=_d}}}),xs$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td){return ed.indexOf("`",td)}}),Bs$2=C((Ra,qa)=>{var Ja=xs$2();qa.exports=sd,sd.locator=Ja;var ed=10,td=32,rd=96;function sd(od,ld,cd){for(var ud=ld.length,_d=0,yd,gd,Ed,Td,kd,Rd;_d<ud&&ld.charCodeAt(_d)===rd;)_d++;if(!(_d===0||_d===ud)){for(yd=_d,kd=ld.charCodeAt(_d);_d<ud;){if(Td=kd,kd=ld.charCodeAt(_d+1),Td===rd){if(gd===void 0&&(gd=_d),Ed=_d+1,kd!==rd&&Ed-gd===yd){Rd=!0;break}}else gd!==void 0&&(gd=void 0,Ed=void 0);_d++}if(Rd){if(cd)return!0;if(_d=yd,ud=gd,Td=ld.charCodeAt(_d),kd=ld.charCodeAt(ud-1),Rd=!1,ud-_d>2&&(Td===td||Td===ed)&&(kd===td||kd===ed)){for(_d++,ud--;_d<ud;){if(Td=ld.charCodeAt(_d),Td!==td&&Td!==ed){Rd=!0;break}_d++}Rd===!0&&(yd++,gd--)}return od(ld.slice(0,Ed))({type:"inlineCode",value:ld.slice(yd,gd)})}}}}),Ts$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td){for(var rd=ed.indexOf(`
|
||
`,td);rd>td&&ed.charAt(rd-1)===" ";)rd--;return rd}}),Os$3=C((Ra,qa)=>{var Ja=Ts$2();qa.exports=sd,sd.locator=Ja;var ed=" ",td=`
|
||
`,rd=2;function sd(od,ld,cd){for(var ud=ld.length,_d=-1,yd="",gd;++_d<ud;){if(gd=ld.charAt(_d),gd===td)return _d<rd?void 0:cd?!0:(yd+=gd,od(yd)({type:"break"}));if(gd!==ed)return;yd+=gd}}}),Ls$3=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed,td,rd){var sd=this,od,ld,cd,ud,_d,yd,gd,Ed,Td,kd;if(rd)return!0;for(od=sd.inlineMethods,ud=od.length,ld=sd.inlineTokenizers,cd=-1,Td=td.length;++cd<ud;)Ed=od[cd],!(Ed==="text"||!ld[Ed])&&(gd=ld[Ed].locator,gd||ed.file.fail("Missing locator: `"+Ed+"`"),yd=gd.call(sd,td,1),yd!==-1&&yd<Td&&(Td=yd));_d=td.slice(0,Td),kd=ed.now(),sd.decode(_d,kd,Rd);function Rd(Nd,Id,Md){ed(Md||Nd)({type:"text",value:Nd})}}}),Us$2=C((Ra,qa)=>{var Ja=Ie$1(),ed=ru$2(),td=nu$3(),rd=uu$3(),sd=Ou$1(),od=Iu$1();qa.exports=ld;function ld(_d,yd){this.file=yd,this.offset={},this.options=Ja(this.options),this.setOptions({}),this.inList=!1,this.inBlock=!1,this.inLink=!1,this.atStart=!0,this.toOffset=td(yd).toOffset,this.unescape=rd(this,"escape"),this.decode=sd(this)}var cd=ld.prototype;cd.setOptions=Vu$2(),cd.parse=aa$2(),cd.options=Rt$3(),cd.exitStart=ed("atStart",!0),cd.enterList=ed("inList",!1),cd.enterLink=ed("inLink",!1),cd.enterBlock=ed("inBlock",!1),cd.interruptParagraph=[["thematicBreak"],["list"],["atxHeading"],["fencedCode"],["blockquote"],["html"],["setextHeading",{commonmark:!1}],["definition",{commonmark:!1}]],cd.interruptList=[["atxHeading",{pedantic:!1}],["fencedCode",{pedantic:!1}],["thematicBreak",{pedantic:!1}],["definition",{commonmark:!1}]],cd.interruptBlockquote=[["indentedCode",{commonmark:!0}],["fencedCode",{commonmark:!0}],["atxHeading",{commonmark:!0}],["setextHeading",{commonmark:!0}],["thematicBreak",{commonmark:!0}],["html",{commonmark:!0}],["list",{commonmark:!0}],["definition",{commonmark:!1}]],cd.blockTokenizers={blankLine:sa$1(),indentedCode:pa$1(),fencedCode:ma$1(),blockquote:ba$2(),atxHeading:xa$2(),thematicBreak:Ba$1(),list:Ua$3(),setextHeading:Ga$2(),html:Ka$1(),definition:io$1(),table:oo$3(),paragraph:lo$2()},cd.inlineTokenizers={escape:Fo$1(),autoLink:yo$2(),url:Oo$1(),email:Mo$2(),html:Vo$1(),link:Qo$1(),reference:rs$1(),strong:os$1(),emphasis:ms$2(),deletion:ys$2(),code:Bs$2(),break:Os$3(),text:Ls$3()},cd.blockMethods=ud(cd.blockTokenizers),cd.inlineMethods=ud(cd.inlineTokenizers),cd.tokenizeBlock=od("block"),cd.tokenizeInline=od("inline"),cd.tokenizeFactory=od;function ud(_d){var yd=[],gd;for(gd in _d)yd.push(gd);return yd}}),Gs$1=C((Ra,qa)=>{var Ja=Zi$1(),ed=Ie$1(),td=Us$2();qa.exports=rd,rd.Parser=td;function rd(sd){var od=this.data("settings"),ld=Ja(td);ld.prototype.options=ed(ld.prototype.options,od,sd),this.Parser=ld}}),js$2=C((Ra,qa)=>{qa.exports=Ja;function Ja(ed){if(ed)throw ed}}),yn$2=C((Ra,qa)=>{qa.exports=function(Ja){return Ja!=null&&Ja.constructor!=null&&typeof Ja.constructor.isBuffer=="function"&&Ja.constructor.isBuffer(Ja)}}),rc$2=C((Ra,qa)=>{var Ja=Object.prototype.hasOwnProperty,ed=Object.prototype.toString,td=Object.defineProperty,rd=Object.getOwnPropertyDescriptor,sd=function(ud){return typeof Array.isArray=="function"?Array.isArray(ud):ed.call(ud)==="[object Array]"},od=function(ud){if(!ud||ed.call(ud)!=="[object Object]")return!1;var _d=Ja.call(ud,"constructor"),yd=ud.constructor&&ud.constructor.prototype&&Ja.call(ud.constructor.prototype,"isPrototypeOf");if(ud.constructor&&!_d&&!yd)return!1;var gd;for(gd in ud);return typeof gd>"u"||Ja.call(ud,gd)},ld=function(ud,_d){td&&_d.name==="__proto__"?td(ud,_d.name,{enumerable:!0,configurable:!0,value:_d.newValue,writable:!0}):ud[_d.name]=_d.newValue},cd=function(ud,_d){if(_d==="__proto__")if(Ja.call(ud,_d)){if(rd)return rd(ud,_d).value}else return;return ud[_d]};qa.exports=function ud(){var _d,yd,gd,Ed,Td,kd,Rd=arguments[0],Nd=1,Id=arguments.length,Md=!1;for(typeof Rd=="boolean"&&(Md=Rd,Rd=arguments[1]||{},Nd=2),(Rd==null||typeof Rd!="object"&&typeof Rd!="function")&&(Rd={});Nd<Id;++Nd)if(_d=arguments[Nd],_d!=null)for(yd in _d)gd=cd(Rd,yd),Ed=cd(_d,yd),Rd!==Ed&&(Md&&Ed&&(od(Ed)||(Td=sd(Ed)))?(Td?(Td=!1,kd=gd&&sd(gd)?gd:[]):kd=gd&&od(gd)?gd:{},ld(Rd,{name:yd,newValue:ud(Md,kd,Ed)})):typeof Ed<"u"&&ld(Rd,{name:yd,newValue:Ed}));return Rd}}),nc$3=C((Ra,qa)=>{qa.exports=Ja=>{if(Object.prototype.toString.call(Ja)!=="[object Object]")return!1;let ed=Object.getPrototypeOf(Ja);return ed===null||ed===Object.prototype}}),uc$2=C((Ra,qa)=>{var Ja=[].slice;qa.exports=ed;function ed(td,rd){var sd;return od;function od(){var ud=Ja.call(arguments,0),_d=td.length>ud.length,yd;_d&&ud.push(ld);try{yd=td.apply(null,ud)}catch(gd){if(_d&&sd)throw gd;return ld(gd)}_d||(yd&&typeof yd.then=="function"?yd.then(cd,ld):yd instanceof Error?ld(yd):cd(yd))}function ld(){sd||(sd=!0,rd.apply(null,arguments))}function cd(ud){ld(null,ud)}}}),lc$2=C((Ra,qa)=>{var Ja=uc$2();qa.exports=td,td.wrap=Ja;var ed=[].slice;function td(){var rd=[],sd={};return sd.run=od,sd.use=ld,sd;function od(){var cd=-1,ud=ed.call(arguments,0,-1),_d=arguments[arguments.length-1];if(typeof _d!="function")throw new Error("Expected function as last argument, not "+_d);yd.apply(null,[null].concat(ud));function yd(gd){var Ed=rd[++cd],Td=ed.call(arguments,0),kd=Td.slice(1),Rd=ud.length,Nd=-1;if(gd){_d(gd);return}for(;++Nd<Rd;)(kd[Nd]===null||kd[Nd]===void 0)&&(kd[Nd]=ud[Nd]);ud=kd,Ed?Ja(Ed,yd).apply(null,ud):_d.apply(null,[null].concat(ud))}}function ld(cd){if(typeof cd!="function")throw new Error("Expected `fn` to be a function, not "+cd);return rd.push(cd),sd}}}),dc$1=C((Ra,qa)=>{var Ja={}.hasOwnProperty;qa.exports=ed;function ed(od){return!od||typeof od!="object"?"":Ja.call(od,"position")||Ja.call(od,"type")?rd(od.position):Ja.call(od,"start")||Ja.call(od,"end")?rd(od):Ja.call(od,"line")||Ja.call(od,"column")?td(od):""}function td(od){return(!od||typeof od!="object")&&(od={}),sd(od.line)+":"+sd(od.column)}function rd(od){return(!od||typeof od!="object")&&(od={}),td(od.start)+"-"+td(od.end)}function sd(od){return od&&typeof od=="number"?od:1}}),Fc$1=C((Ra,qa)=>{var Ja=dc$1();qa.exports=rd;function ed(){}ed.prototype=Error.prototype,rd.prototype=new ed;var td=rd.prototype;td.file="",td.name="",td.reason="",td.message="",td.stack="",td.fatal=null,td.column=null,td.line=null;function rd(od,ld,cd){var ud,_d,yd;typeof ld=="string"&&(cd=ld,ld=null),ud=sd(cd),_d=Ja(ld)||"1:1",yd={start:{line:null,column:null},end:{line:null,column:null}},ld&&ld.position&&(ld=ld.position),ld&&(ld.start?(yd=ld,ld=ld.start):yd.start=ld),od.stack&&(this.stack=od.stack,od=od.message),this.message=od,this.name=_d,this.reason=od,this.line=ld?ld.line:null,this.column=ld?ld.column:null,this.location=yd,this.source=ud[0],this.ruleId=ud[1]}function sd(od){var ld=[null,null],cd;return typeof od=="string"&&(cd=od.indexOf(":"),cd===-1?ld[1]=od:(ld[0]=od.slice(0,cd),ld[1]=od.slice(cd+1))),ld}}),gc$1=C(Ra=>{Ra.basename=qa,Ra.dirname=Ja,Ra.extname=ed,Ra.join=td,Ra.sep="/";function qa(ld,cd){var ud=0,_d=-1,yd,gd,Ed,Td;if(cd!==void 0&&typeof cd!="string")throw new TypeError('"ext" argument must be a string');if(od(ld),yd=ld.length,cd===void 0||!cd.length||cd.length>ld.length){for(;yd--;)if(ld.charCodeAt(yd)===47){if(Ed){ud=yd+1;break}}else _d<0&&(Ed=!0,_d=yd+1);return _d<0?"":ld.slice(ud,_d)}if(cd===ld)return"";for(gd=-1,Td=cd.length-1;yd--;)if(ld.charCodeAt(yd)===47){if(Ed){ud=yd+1;break}}else gd<0&&(Ed=!0,gd=yd+1),Td>-1&&(ld.charCodeAt(yd)===cd.charCodeAt(Td--)?Td<0&&(_d=yd):(Td=-1,_d=gd));return ud===_d?_d=gd:_d<0&&(_d=ld.length),ld.slice(ud,_d)}function Ja(ld){var cd,ud,_d;if(od(ld),!ld.length)return".";for(cd=-1,_d=ld.length;--_d;)if(ld.charCodeAt(_d)===47){if(ud){cd=_d;break}}else ud||(ud=!0);return cd<0?ld.charCodeAt(0)===47?"/":".":cd===1&&ld.charCodeAt(0)===47?"//":ld.slice(0,cd)}function ed(ld){var cd=-1,ud=0,_d=-1,yd=0,gd,Ed,Td;for(od(ld),Td=ld.length;Td--;){if(Ed=ld.charCodeAt(Td),Ed===47){if(gd){ud=Td+1;break}continue}_d<0&&(gd=!0,_d=Td+1),Ed===46?cd<0?cd=Td:yd!==1&&(yd=1):cd>-1&&(yd=-1)}return cd<0||_d<0||yd===0||yd===1&&cd===_d-1&&cd===ud+1?"":ld.slice(cd,_d)}function td(){for(var ld=-1,cd;++ld<arguments.length;)od(arguments[ld]),arguments[ld]&&(cd=cd===void 0?arguments[ld]:cd+"/"+arguments[ld]);return cd===void 0?".":rd(cd)}function rd(ld){var cd,ud;return od(ld),cd=ld.charCodeAt(0)===47,ud=sd(ld,!cd),!ud.length&&!cd&&(ud="."),ud.length&&ld.charCodeAt(ld.length-1)===47&&(ud+="/"),cd?"/"+ud:ud}function sd(ld,cd){for(var ud="",_d=0,yd=-1,gd=0,Ed=-1,Td,kd;++Ed<=ld.length;){if(Ed<ld.length)Td=ld.charCodeAt(Ed);else{if(Td===47)break;Td=47}if(Td===47){if(!(yd===Ed-1||gd===1))if(yd!==Ed-1&&gd===2){if(ud.length<2||_d!==2||ud.charCodeAt(ud.length-1)!==46||ud.charCodeAt(ud.length-2)!==46){if(ud.length>2){if(kd=ud.lastIndexOf("/"),kd!==ud.length-1){kd<0?(ud="",_d=0):(ud=ud.slice(0,kd),_d=ud.length-1-ud.lastIndexOf("/")),yd=Ed,gd=0;continue}}else if(ud.length){ud="",_d=0,yd=Ed,gd=0;continue}}cd&&(ud=ud.length?ud+"/..":"..",_d=2)}else ud.length?ud+="/"+ld.slice(yd+1,Ed):ud=ld.slice(yd+1,Ed),_d=Ed-yd-1;yd=Ed,gd=0}else Td===46&&gd>-1?gd++:gd=-1}return ud}function od(ld){if(typeof ld!="string")throw new TypeError("Path must be a string. Received "+JSON.stringify(ld))}}),Ec$1=C(Ra=>{Ra.cwd=qa;function qa(){return"/"}}),yc$1=C((Ra,qa)=>{var Ja=gc$1(),ed=Ec$1(),td=yn$2();qa.exports=od;var rd={}.hasOwnProperty,sd=["history","path","basename","stem","extname","dirname"];od.prototype.toString=Nd,Object.defineProperty(od.prototype,"path",{get:ld,set:cd}),Object.defineProperty(od.prototype,"dirname",{get:ud,set:_d}),Object.defineProperty(od.prototype,"basename",{get:yd,set:gd}),Object.defineProperty(od.prototype,"extname",{get:Ed,set:Td}),Object.defineProperty(od.prototype,"stem",{get:kd,set:Rd});function od(Pd){var qd,Yd;if(!Pd)Pd={};else if(typeof Pd=="string"||td(Pd))Pd={contents:Pd};else if("message"in Pd&&"messages"in Pd)return Pd;if(!(this instanceof od))return new od(Pd);for(this.data={},this.messages=[],this.history=[],this.cwd=ed.cwd(),Yd=-1;++Yd<sd.length;)qd=sd[Yd],rd.call(Pd,qd)&&(this[qd]=Pd[qd]);for(qd in Pd)sd.indexOf(qd)<0&&(this[qd]=Pd[qd])}function ld(){return this.history[this.history.length-1]}function cd(Pd){Md(Pd,"path"),this.path!==Pd&&this.history.push(Pd)}function ud(){return typeof this.path=="string"?Ja.dirname(this.path):void 0}function _d(Pd){Ld(this.path,"dirname"),this.path=Ja.join(Pd||"",this.basename)}function yd(){return typeof this.path=="string"?Ja.basename(this.path):void 0}function gd(Pd){Md(Pd,"basename"),Id(Pd,"basename"),this.path=Ja.join(this.dirname||"",Pd)}function Ed(){return typeof this.path=="string"?Ja.extname(this.path):void 0}function Td(Pd){if(Id(Pd,"extname"),Ld(this.path,"extname"),Pd){if(Pd.charCodeAt(0)!==46)throw new Error("`extname` must start with `.`");if(Pd.indexOf(".",1)>-1)throw new Error("`extname` cannot contain multiple dots")}this.path=Ja.join(this.dirname,this.stem+(Pd||""))}function kd(){return typeof this.path=="string"?Ja.basename(this.path,this.extname):void 0}function Rd(Pd){Md(Pd,"stem"),Id(Pd,"stem"),this.path=Ja.join(this.dirname||"",Pd+(this.extname||""))}function Nd(Pd){return(this.contents||"").toString(Pd)}function Id(Pd,qd){if(Pd&&Pd.indexOf(Ja.sep)>-1)throw new Error("`"+qd+"` cannot be a path: did not expect `"+Ja.sep+"`")}function Md(Pd,qd){if(!Pd)throw new Error("`"+qd+"` cannot be empty")}function Ld(Pd,qd){if(!Pd)throw new Error("Setting `"+qd+"` requires `path` to be set too")}}),xc$1=C((Ra,qa)=>{var Ja=Fc$1(),ed=yc$1();qa.exports=ed,ed.prototype.message=td,ed.prototype.info=sd,ed.prototype.fail=rd;function td(od,ld,cd){var ud=new Ja(od,ld,cd);return this.path&&(ud.name=this.path+":"+ud.name,ud.file=this.path),ud.fatal=!1,this.messages.push(ud),ud}function rd(){var od=this.message.apply(this,arguments);throw od.fatal=!0,od}function sd(){var od=this.message.apply(this,arguments);return od.fatal=null,od}}),kc$1=C((Ra,qa)=>{qa.exports=xc$1()}),Ic$1=C((Ra,qa)=>{var Ja=js$2(),ed=yn$2(),td=rc$2(),rd=nc$3(),sd=lc$2(),od=kc$1();qa.exports=Ed().freeze();var ld=[].slice,cd={}.hasOwnProperty,ud=sd().use(_d).use(yd).use(gd);function _d(Pd,qd){qd.tree=Pd.parse(qd.file)}function yd(Pd,qd,Yd){Pd.run(qd.tree,qd.file,Ud);function Ud(Hd,Vd,Jd){Hd?Yd(Hd):(qd.tree=Vd,qd.file=Jd,Yd())}}function gd(Pd,qd){var Yd=Pd.stringify(qd.tree,qd.file);Yd==null||(typeof Yd=="string"||ed(Yd)?("value"in qd.file&&(qd.file.value=Yd),qd.file.contents=Yd):qd.file.result=Yd)}function Ed(){var Pd=[],qd=sd(),Yd={},Ud=-1,Hd;return Vd.data=Zd,Vd.freeze=Jd,Vd.attachers=Pd,Vd.use=pf,Vd.parse=hf,Vd.stringify=Lf,Vd.run=_f,Vd.runSync=xf,Vd.process=Wf,Vd.processSync=Yf,Vd;function Vd(){for(var If=Ed(),Sf=-1;++Sf<Pd.length;)If.use.apply(null,Pd[Sf]);return If.data(td(!0,{},Yd)),If}function Jd(){var If,Sf;if(Hd)return Vd;for(;++Ud<Pd.length;)If=Pd[Ud],If[1]!==!1&&(If[1]===!0&&(If[1]=void 0),Sf=If[0].apply(Vd,If.slice(1)),typeof Sf=="function"&&qd.use(Sf));return Hd=!0,Ud=1/0,Vd}function Zd(If,Sf){return typeof If=="string"?arguments.length===2?(Id("data",Hd),Yd[If]=Sf,Vd):cd.call(Yd,If)&&Yd[If]||null:If?(Id("data",Hd),Yd=If,Vd):Yd}function pf(If){var Sf;if(Id("use",Hd),If!=null)if(typeof If=="function")gf.apply(null,arguments);else if(typeof If=="object")"length"in If?Gf(If):wf(If);else throw new Error("Expected usable value, not `"+If+"`");return Sf&&(Yd.settings=td(Yd.settings||{},Sf)),Vd;function wf(mf){Gf(mf.plugins),mf.settings&&(Sf=td(Sf||{},mf.settings))}function Kf(mf){if(typeof mf=="function")gf(mf);else if(typeof mf=="object")"length"in mf?gf.apply(null,mf):wf(mf);else throw new Error("Expected usable value, not `"+mf+"`")}function Gf(mf){var $f=-1;if(mf!=null)if(typeof mf=="object"&&"length"in mf)for(;++$f<mf.length;)Kf(mf[$f]);else throw new Error("Expected a list of plugins, not `"+mf+"`")}function gf(mf,$f){var zf=Xd(mf);zf?(rd(zf[1])&&rd($f)&&($f=td(!0,zf[1],$f)),zf[1]=$f):Pd.push(ld.call(arguments))}}function Xd(If){for(var Sf=-1;++Sf<Pd.length;)if(Pd[Sf][0]===If)return Pd[Sf]}function hf(If){var Sf=od(If),wf;return Jd(),wf=Vd.Parser,Rd("parse",wf),Td(wf,"parse")?new wf(String(Sf),Sf).parse():wf(String(Sf),Sf)}function _f(If,Sf,wf){if(Md(If),Jd(),!wf&&typeof Sf=="function"&&(wf=Sf,Sf=null),!wf)return new Promise(Kf);Kf(null,wf);function Kf(Gf,gf){qd.run(If,od(Sf),mf);function mf($f,zf,hh){zf=zf||If,$f?gf($f):Gf?Gf(zf):wf(null,zf,hh)}}}function xf(If,Sf){var wf,Kf;return _f(If,Sf,Gf),Ld("runSync","run",Kf),wf;function Gf(gf,mf){Kf=!0,wf=mf,Ja(gf)}}function Lf(If,Sf){var wf=od(Sf),Kf;return Jd(),Kf=Vd.Compiler,Nd("stringify",Kf),Md(If),Td(Kf,"compile")?new Kf(If,wf).compile():Kf(If,wf)}function Wf(If,Sf){if(Jd(),Rd("process",Vd.Parser),Nd("process",Vd.Compiler),!Sf)return new Promise(wf);wf(null,Sf);function wf(Kf,Gf){var gf=od(If);ud.run(Vd,{file:gf},mf);function mf($f){$f?Gf($f):Kf?Kf(gf):Sf(null,gf)}}}function Yf(If){var Sf,wf;return Jd(),Rd("processSync",Vd.Parser),Nd("processSync",Vd.Compiler),Sf=od(If),Wf(Sf,Kf),Ld("processSync","process",wf),Sf;function Kf(Gf){wf=!0,Ja(Gf)}}}function Td(Pd,qd){return typeof Pd=="function"&&Pd.prototype&&(kd(Pd.prototype)||qd in Pd.prototype)}function kd(Pd){var qd;for(qd in Pd)return!0;return!1}function Rd(Pd,qd){if(typeof qd!="function")throw new Error("Cannot `"+Pd+"` without `Parser`")}function Nd(Pd,qd){if(typeof qd!="function")throw new Error("Cannot `"+Pd+"` without `Compiler`")}function Id(Pd,qd){if(qd)throw new Error("Cannot invoke `"+Pd+"` on a frozen processor.\nCreate a new processor first, by invoking it: use `processor()` instead of `processor`.")}function Md(Pd){if(!Pd||typeof Pd.type!="string")throw new Error("Expected node, got `"+Pd+"`")}function Ld(Pd,qd,Yd){if(!Yd)throw new Error("`"+Pd+"` finished async. Use `"+qd+"` instead")}}),Pn$3={};Ln$3(Pn$3,{languages:()=>_i$2,options:()=>Si$2,parsers:()=>On$2,printers:()=>qm});var al$2=(Ra,qa,Ja,ed)=>{if(!(Ra&&qa==null))return qa.replaceAll?qa.replaceAll(Ja,ed):Ja.global?qa.replace(Ja,ed):qa.split(Ja).join(ed)},N$2=al$2,ol$2=(Ra,qa,Ja)=>{if(!(Ra&&qa==null))return Array.isArray(qa)||typeof qa=="string"?qa[Ja<0?qa.length+Ja:Ja]:qa.at(Ja)},M$2=ol$2,qi$1=Ue$3(xr$2());function Be$3(Ra){if(typeof Ra!="string")throw new TypeError("Expected a string");return Ra.replace(/[|\\{}()[\]^$+*?.]/g,"\\$&").replace(/-/g,"\\x2d")}var $$1="string",H$1="array",ge$3="cursor",ee$1="indent",re$3="align",oe$2="trim",K$2="group",J$1="fill",X$1="if-break",se$1="indent-if-break",ce$2="line-suffix",le$2="line-suffix-boundary",W$1="line",fe$2="label",te$2="break-parent",wr$2=new Set([ge$3,ee$1,re$3,oe$2,K$2,J$1,X$1,se$1,ce$2,le$2,W$1,fe$2,te$2]);function cl$2(Ra){if(typeof Ra=="string")return $$1;if(Array.isArray(Ra))return H$1;if(!Ra)return;let{type:qa}=Ra;if(wr$2.has(qa))return qa}var Y$1=cl$2,ll$2=Ra=>new Intl.ListFormat("en-US",{type:"disjunction"}).format(Ra);function fl$2(Ra){let qa=Ra===null?"null":typeof Ra;if(qa!=="string"&&qa!=="object")return`Unexpected doc '${qa}',
|
||
Expected it to be 'string' or 'object'.`;if(Y$1(Ra))throw new Error("doc is valid.");let Ja=Object.prototype.toString.call(Ra);if(Ja!=="[object Object]")return`Unexpected doc '${Ja}'.`;let ed=ll$2([...wr$2].map(td=>`'${td}'`));return`Unexpected doc.type '${Ra.type}'.
|
||
Expected it to be ${ed}.`}var Dt$3=class extends Error{constructor(Ja){super(fl$2(Ja));n1(this,"name","InvalidDocError");this.doc=Ja}},qe$2=Dt$3,Nn$2={};function Dl$2(Ra,qa,Ja,ed){let td=[Ra];for(;td.length>0;){let rd=td.pop();if(rd===Nn$2){Ja(td.pop());continue}Ja&&td.push(rd,Nn$2);let sd=Y$1(rd);if(!sd)throw new qe$2(rd);if((qa==null?void 0:qa(rd))!==!1)switch(sd){case H$1:case J$1:{let od=sd===H$1?rd:rd.parts;for(let ld=od.length,cd=ld-1;cd>=0;--cd)td.push(od[cd]);break}case X$1:td.push(rd.flatContents,rd.breakContents);break;case K$2:if(ed&&rd.expandedStates)for(let od=rd.expandedStates.length,ld=od-1;ld>=0;--ld)td.push(rd.expandedStates[ld]);else td.push(rd.contents);break;case re$3:case ee$1:case se$1:case fe$2:case ce$2:td.push(rd.contents);break;case $$1:case ge$3:case oe$2:case le$2:case W$1:case te$2:break;default:throw new qe$2(rd)}}}var Rn$2=Dl$2,Un$2=()=>{},kr$2=Un$2;function Ze$2(Ra){return{type:ee$1,contents:Ra}}function ve$2(Ra,qa){return{type:re$3,contents:qa,n:Ra}}function ze$2(Ra,qa={}){return kr$2(qa.expandedStates),{type:K$2,id:qa.id,contents:Ra,break:!!qa.shouldBreak,expandedStates:qa.expandedStates}}function _e$3(Ra){return ve$2({type:"root"},Ra)}function Ee$2(Ra){return{type:J$1,parts:Ra}}function zn$2(Ra,qa="",Ja={}){return{type:X$1,breakContents:Ra,flatContents:qa,groupId:Ja.groupId}}var er$1={type:te$2},rr$1={type:W$1,hard:!0},pl$2={type:W$1,hard:!0,literal:!0},Br$3={type:W$1},qr$2={type:W$1,soft:!0},L$2=[rr$1,er$1],tr$1=[pl$2,er$1];function Tr$2(Ra,qa){let Ja=[];for(let ed=0;ed<qa.length;ed++)ed!==0&&Ja.push(Ra),Ja.push(qa[ed]);return Ja}function dl$2(Ra,qa){if(typeof Ra=="string")return qa(Ra);let Ja=new Map;return ed(Ra);function ed(rd){if(Ja.has(rd))return Ja.get(rd);let sd=td(rd);return Ja.set(rd,sd),sd}function td(rd){switch(Y$1(rd)){case H$1:return qa(rd.map(ed));case J$1:return qa({...rd,parts:rd.parts.map(ed)});case X$1:return qa({...rd,breakContents:ed(rd.breakContents),flatContents:ed(rd.flatContents)});case K$2:{let{expandedStates:sd,contents:od}=rd;return sd?(sd=sd.map(ed),od=sd[0]):od=ed(od),qa({...rd,contents:od,expandedStates:sd})}case re$3:case ee$1:case se$1:case fe$2:case ce$2:return qa({...rd,contents:ed(rd.contents)});case $$1:case ge$3:case oe$2:case le$2:case W$1:case te$2:return qa(rd);default:throw new qe$2(rd)}}}function Mn$2(Ra){if(Ra.length>0){let qa=M$2(!1,Ra,-1);!qa.expandedStates&&!qa.break&&(qa.break="propagated")}return null}function Yn$3(Ra){let qa=new Set,Ja=[];function ed(rd){if(rd.type===te$2&&Mn$2(Ja),rd.type===K$2){if(Ja.push(rd),qa.has(rd))return!1;qa.add(rd)}}function td(rd){rd.type===K$2&&Ja.pop().break&&Mn$2(Ja)}Rn$2(Ra,ed,td,!0)}function Ce$1(Ra,qa=tr$1){return dl$2(Ra,Ja=>typeof Ja=="string"?Tr$2(qa,Ja.split(`
|
||
`)):Ja)}function hl$2(Ra,qa){let Ja=Ra.match(new RegExp(`(${Be$3(qa)})+`,"gu"));return Ja===null?0:Ja.reduce((ed,td)=>Math.max(ed,td.length/qa.length),0)}var _r$2=hl$2;function ml$2(Ra,qa){let Ja=Ra.match(new RegExp(`(${Be$3(qa)})+`,"gu"));if(Ja===null)return 0;let ed=new Map,td=0;for(let rd of Ja){let sd=rd.length/qa.length;ed.set(sd,!0),sd>td&&(td=sd)}for(let rd=1;rd<td;rd++)if(!ed.get(rd))return rd;return td+1}var Gn$3=ml$2,Sr$2="'",Vn$2='"';function Fl$3(Ra,qa){let Ja=qa===!0||qa===Sr$2?Sr$2:Vn$2,ed=Ja===Sr$2?Vn$2:Sr$2,td=0,rd=0;for(let sd of Ra)sd===Ja?td++:sd===ed&&rd++;return td>rd?ed:Ja}var jn$3=Fl$3,pt$2=class extends Error{constructor(Ja,ed,td="type"){super(`Unexpected ${ed} node ${td}: ${JSON.stringify(Ja[td])}.`);n1(this,"name","UnexpectedNodeError");this.node=Ja}},$n$3=pt$2,Xn$3=Ue$3(xr$2());function gl$2(Ra){return(Ra==null?void 0:Ra.type)==="front-matter"}var Hn$2=gl$2,nr$1=3;function vl$2(Ra){let qa=Ra.slice(0,nr$1);if(qa!=="---"&&qa!=="+++")return;let Ja=Ra.indexOf(`
|
||
`,nr$1);if(Ja===-1)return;let ed=Ra.slice(nr$1,Ja).trim(),td=Ra.indexOf(`
|
||
${qa}`,Ja),rd=ed;if(rd||(rd=qa==="+++"?"toml":"yaml"),td===-1&&qa==="---"&&rd==="yaml"&&(td=Ra.indexOf(`
|
||
...`,Ja)),td===-1)return;let sd=td+1+nr$1,od=Ra.charAt(sd+1);if(!/\s?/u.test(od))return;let ld=Ra.slice(0,sd);return{type:"front-matter",language:rd,explicitLanguage:ed,value:Ra.slice(Ja+1,td),startDelimiter:qa,endDelimiter:ld.slice(-nr$1),raw:ld}}function El$3(Ra){let qa=vl$2(Ra);if(!qa)return{content:Ra};let{raw:Ja}=qa;return{frontMatter:qa,content:N$2(!1,Ja,/[^\n]/gu," ")+Ra.slice(Ja.length)}}var ir$2=El$3,Wn$2=["format","prettier"];function dt$2(Ra){let qa=`@(${Wn$2.join("|")})`,Ja=new RegExp([`<!--\\s*${qa}\\s*-->`,`\\{\\s*\\/\\*\\s*${qa}\\s*\\*\\/\\s*\\}`,`<!--.*\r?
|
||
[\\s\\S]*(^|
|
||
)[^\\S
|
||
]*${qa}[^\\S
|
||
]*($|
|
||
)[\\s\\S]*
|
||
.*-->`].join("|"),"mu"),ed=Ra.match(Ja);return(ed==null?void 0:ed.index)===0}var Kn$2=Ra=>dt$2(ir$2(Ra).content.trimStart()),Jn$3=Ra=>{let qa=ir$2(Ra),Ja=`<!-- @${Wn$2[0]} -->`;return qa.frontMatter?`${qa.frontMatter.raw}
|
||
|
||
${Ja}
|
||
|
||
${qa.content}`:`${Ja}
|
||
|
||
${qa.content}`},Cl$2=new Set(["position","raw"]);function Qn$3(Ra,qa,Ja){if((Ra.type==="front-matter"||Ra.type==="code"||Ra.type==="yaml"||Ra.type==="import"||Ra.type==="export"||Ra.type==="jsx")&&delete qa.value,Ra.type==="list"&&delete qa.isAligned,(Ra.type==="list"||Ra.type==="listItem")&&delete qa.spread,Ra.type==="text")return null;if(Ra.type==="inlineCode"&&(qa.value=N$2(!1,Ra.value,`
|
||
`," ")),Ra.type==="wikiLink"&&(qa.value=N$2(!1,Ra.value.trim(),/[\t\n]+/gu," ")),(Ra.type==="definition"||Ra.type==="linkReference"||Ra.type==="imageReference")&&(qa.label=(0,Xn$3.default)(Ra.label)),(Ra.type==="link"||Ra.type==="image")&&Ra.url&&Ra.url.includes("("))for(let ed of"<>")qa.url=N$2(!1,Ra.url,ed,encodeURIComponent(ed));if((Ra.type==="definition"||Ra.type==="link"||Ra.type==="image")&&Ra.title&&(qa.title=N$2(!1,Ra.title,/\\(?=["')])/gu,"")),(Ja==null?void 0:Ja.type)==="root"&&Ja.children.length>0&&(Ja.children[0]===Ra||Hn$2(Ja.children[0])&&Ja.children[1]===Ra)&&Ra.type==="html"&&dt$2(Ra.value))return null}Qn$3.ignoredProperties=Cl$2;var Zn$2=Qn$3,ei$2=/(?:[\u02ea-\u02eb\u1100-\u11ff\u2e80-\u2e99\u2e9b-\u2ef3\u2f00-\u2fd5\u2ff0-\u303f\u3041-\u3096\u3099-\u309f\u30a1-\u30fa\u30fc-\u30ff\u3105-\u312f\u3131-\u318e\u3190-\u4dbf\u4e00-\u9fff\ua700-\ua707\ua960-\ua97c\uac00-\ud7a3\ud7b0-\ud7c6\ud7cb-\ud7fb\uf900-\ufa6d\ufa70-\ufad9\ufe10-\ufe1f\ufe30-\ufe6f\uff00-\uffef]|[\ud840-\ud868\ud86a-\ud86c\ud86f-\ud872\ud874-\ud879\ud880-\ud883\ud885-\ud887][\udc00-\udfff]|\ud81b[\udfe3]|\ud82b[\udff0-\udff3\udff5-\udffb\udffd-\udffe]|\ud82c[\udc00-\udd22\udd32\udd50-\udd52\udd55\udd64-\udd67]|\ud83c[\ude00\ude50-\ude51]|\ud869[\udc00-\udedf\udf00-\udfff]|\ud86d[\udc00-\udf39\udf40-\udfff]|\ud86e[\udc00-\udc1d\udc20-\udfff]|\ud873[\udc00-\udea1\udeb0-\udfff]|\ud87a[\udc00-\udfe0]|\ud87e[\udc00-\ude1d]|\ud884[\udc00-\udf4a\udf50-\udfff]|\ud888[\udc00-\udfaf])(?:[\ufe00-\ufe0f]|\udb40[\udd00-\uddef])?/u,Se$2=new RegExp("(?:[\\u0021-\\u002f\\u003a-\\u0040\\u005b-\\u0060\\u007b-\\u007e]|\\p{General_Category=Connector_Punctuation}|\\p{General_Category=Dash_Punctuation}|\\p{General_Category=Close_Punctuation}|\\p{General_Category=Final_Punctuation}|\\p{General_Category=Initial_Punctuation}|\\p{General_Category=Other_Punctuation}|\\p{General_Category=Open_Punctuation})","u");async function bl$2(Ra,qa){if(Ra.language==="yaml"){let Ja=Ra.value.trim(),ed=Ja?await qa(Ja,{parser:"yaml"}):"";return _e$3([Ra.startDelimiter,Ra.explicitLanguage,L$2,ed,ed?L$2:"",Ra.endDelimiter])}}var ri$3=bl$2,yl$2=Ra=>String(Ra).split(/[/\\]/u).pop();function ti$3(Ra,qa){if(!qa)return;let Ja=yl$2(qa).toLowerCase();return Ra.find(({filenames:ed})=>ed==null?void 0:ed.some(td=>td.toLowerCase()===Ja))??Ra.find(({extensions:ed})=>ed==null?void 0:ed.some(td=>Ja.endsWith(td)))}function Al$3(Ra,qa){if(qa)return Ra.find(({name:Ja})=>Ja.toLowerCase()===qa)??Ra.find(({aliases:Ja})=>Ja==null?void 0:Ja.includes(qa))??Ra.find(({extensions:Ja})=>Ja==null?void 0:Ja.includes(`.${qa}`))}function xl$3(Ra,qa){let Ja=Ra.plugins.flatMap(td=>td.languages??[]),ed=Al$3(Ja,qa.language)??ti$3(Ja,qa.physicalFile)??ti$3(Ja,qa.file)??(qa.physicalFile,void 0);return ed==null?void 0:ed.parsers[0]}var ni$3=xl$3,wl$3=new Proxy(()=>{},{get:()=>wl$3});function Oe$3(Ra){return Ra.position.start.offset}function Pe$3(Ra){return Ra.position.end.offset}var ht$2=new Set(["liquidNode","inlineCode","emphasis","esComment","strong","delete","wikiLink","link","linkReference","image","imageReference","footnote","footnoteReference","sentence","whitespace","word","break","inlineMath"]),Or$2=new Set([...ht$2,"tableCell","paragraph","heading"]),Le$2="non-cjk",De$1="cj-letter",be$4="k-letter",ur$1="cjk-punctuation",kl$2=new RegExp("\\p{Script_Extensions=Hangul}","u");function Pr$2(Ra){let qa=[],Ja=Ra.split(/([\t\n ]+)/u);for(let[td,rd]of Ja.entries()){if(td%2===1){qa.push({type:"whitespace",value:/\n/u.test(rd)?`
|
||
`:" "});continue}if((td===0||td===Ja.length-1)&&rd==="")continue;let sd=rd.split(new RegExp(`(${ei$2.source})`,"u"));for(let[od,ld]of sd.entries())if(!((od===0||od===sd.length-1)&&ld==="")){if(od%2===0){ld!==""&&ed({type:"word",value:ld,kind:Le$2,hasLeadingPunctuation:Se$2.test(ld[0]),hasTrailingPunctuation:Se$2.test(M$2(!1,ld,-1))});continue}ed(Se$2.test(ld)?{type:"word",value:ld,kind:ur$1,hasLeadingPunctuation:!0,hasTrailingPunctuation:!0}:{type:"word",value:ld,kind:kl$2.test(ld)?be$4:De$1,hasLeadingPunctuation:!1,hasTrailingPunctuation:!1})}}return qa;function ed(td){let rd=M$2(!1,qa,-1);(rd==null?void 0:rd.type)==="word"&&!sd(Le$2,ur$1)&&![rd.value,td.value].some(od=>/\u3000/u.test(od))&&qa.push({type:"whitespace",value:""}),qa.push(td);function sd(od,ld){return rd.kind===od&&td.kind===ld||rd.kind===ld&&td.kind===od}}}function Me$4(Ra,qa){let Ja=qa.originalText.slice(Ra.position.start.offset,Ra.position.end.offset),{numberText:ed,leadingSpaces:td}=Ja.match(/^\s*(?<numberText>\d+)(\.|\))(?<leadingSpaces>\s*)/u).groups;return{number:Number(ed),leadingSpaces:td}}function ii$3(Ra,qa){return!Ra.ordered||Ra.children.length<2||Me$4(Ra.children[1],qa).number!==1?!1:Me$4(Ra.children[0],qa).number!==0?!0:Ra.children.length>2&&Me$4(Ra.children[2],qa).number===1}function Lr$2(Ra,qa){let{value:Ja}=Ra;return Ra.position.end.offset===qa.length&&Ja.endsWith(`
|
||
`)&&qa.endsWith(`
|
||
`)?Ja.slice(0,-1):Ja}function ye$2(Ra,qa){return function Ja(ed,td,rd){let sd={...qa(ed,td,rd)};return sd.children&&(sd.children=sd.children.map((od,ld)=>Ja(od,ld,[sd,...rd]))),sd}(Ra,null,[])}function mt$2(Ra){if((Ra==null?void 0:Ra.type)!=="link"||Ra.children.length!==1)return!1;let[qa]=Ra.children;return Oe$3(Ra)===Oe$3(qa)&&Pe$3(Ra)===Pe$3(qa)}function Bl$2(Ra,qa){let{node:Ja}=Ra;if(Ja.type==="code"&&Ja.lang!==null){let ed=ni$3(qa,{language:Ja.lang});if(ed)return async td=>{let rd=qa.__inJsTemplate?"~":"`",sd=rd.repeat(Math.max(3,_r$2(Ja.value,rd)+1)),od={parser:ed};Ja.lang==="ts"||Ja.lang==="typescript"?od.filepath="dummy.ts":Ja.lang==="tsx"&&(od.filepath="dummy.tsx");let ld=await td(Lr$2(Ja,qa.originalText),od);return _e$3([sd,Ja.lang,Ja.meta?" "+Ja.meta:"",L$2,Ce$1(ld),L$2,sd])}}switch(Ja.type){case"front-matter":return ed=>ri$3(Ja,ed);case"import":case"export":return ed=>ed(Ja.value,{parser:"babel"});case"jsx":return ed=>ed(`<$>${Ja.value}</$>`,{parser:"__js_expression",rootMarker:"mdx"})}return null}var ui$2=Bl$2,ar$1=null;function or$1(Ra){if(ar$1!==null&&typeof ar$1.property){let qa=ar$1;return ar$1=or$1.prototype=null,qa}return ar$1=or$1.prototype=Ra??Object.create(null),new or$1}var ql$3=10;for(let Ra=0;Ra<=ql$3;Ra++)or$1();function Ft$3(Ra){return or$1(Ra)}function Tl$3(Ra,qa="type"){Ft$3(Ra);function Ja(ed){let td=ed[qa],rd=Ra[td];if(!Array.isArray(rd))throw Object.assign(new Error(`Missing visitor keys for '${td}'.`),{node:ed});return rd}return Ja}var ai$3=Tl$3,_l$2={"front-matter":[],root:["children"],paragraph:["children"],sentence:["children"],word:[],whitespace:[],emphasis:["children"],strong:["children"],delete:["children"],inlineCode:[],wikiLink:[],link:["children"],image:[],blockquote:["children"],heading:["children"],code:[],html:[],list:["children"],thematicBreak:[],linkReference:["children"],imageReference:[],definition:[],footnote:["children"],footnoteReference:[],footnoteDefinition:["children"],table:["children"],tableCell:["children"],break:[],liquidNode:[],import:[],export:[],esComment:[],jsx:[],math:[],inlineMath:[],tableRow:["children"],listItem:["children"],text:[]},oi$2=_l$2,Sl$3=ai$3(oi$2),si$3=Sl$3;function ci$2(Ra){switch(Ra){case"cr":return"\r";case"crlf":return`\r
|
||
`;default:return`
|
||
`}}var li$3=()=>/[#*0-9]\uFE0F?\u20E3|[\xA9\xAE\u203C\u2049\u2122\u2139\u2194-\u2199\u21A9\u21AA\u231A\u231B\u2328\u23CF\u23ED-\u23EF\u23F1\u23F2\u23F8-\u23FA\u24C2\u25AA\u25AB\u25B6\u25C0\u25FB\u25FC\u25FE\u2600-\u2604\u260E\u2611\u2614\u2615\u2618\u2620\u2622\u2623\u2626\u262A\u262E\u262F\u2638-\u263A\u2640\u2642\u2648-\u2653\u265F\u2660\u2663\u2665\u2666\u2668\u267B\u267E\u267F\u2692\u2694-\u2697\u2699\u269B\u269C\u26A0\u26A7\u26AA\u26B0\u26B1\u26BD\u26BE\u26C4\u26C8\u26CF\u26D1\u26E9\u26F0-\u26F5\u26F7\u26F8\u26FA\u2702\u2708\u2709\u270F\u2712\u2714\u2716\u271D\u2721\u2733\u2734\u2744\u2747\u2757\u2763\u27A1\u2934\u2935\u2B05-\u2B07\u2B1B\u2B1C\u2B55\u3030\u303D\u3297\u3299]\uFE0F?|[\u261D\u270C\u270D](?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?|[\u270A\u270B](?:\uD83C[\uDFFB-\uDFFF])?|[\u23E9-\u23EC\u23F0\u23F3\u25FD\u2693\u26A1\u26AB\u26C5\u26CE\u26D4\u26EA\u26FD\u2705\u2728\u274C\u274E\u2753-\u2755\u2795-\u2797\u27B0\u27BF\u2B50]|\u26D3\uFE0F?(?:\u200D\uD83D\uDCA5)?|\u26F9(?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|\u2764\uFE0F?(?:\u200D(?:\uD83D\uDD25|\uD83E\uDE79))?|\uD83C(?:[\uDC04\uDD70\uDD71\uDD7E\uDD7F\uDE02\uDE37\uDF21\uDF24-\uDF2C\uDF36\uDF7D\uDF96\uDF97\uDF99-\uDF9B\uDF9E\uDF9F\uDFCD\uDFCE\uDFD4-\uDFDF\uDFF5\uDFF7]\uFE0F?|[\uDF85\uDFC2\uDFC7](?:\uD83C[\uDFFB-\uDFFF])?|[\uDFC4\uDFCA](?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDFCB\uDFCC](?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDCCF\uDD8E\uDD91-\uDD9A\uDE01\uDE1A\uDE2F\uDE32-\uDE36\uDE38-\uDE3A\uDE50\uDE51\uDF00-\uDF20\uDF2D-\uDF35\uDF37-\uDF43\uDF45-\uDF4A\uDF4C-\uDF7C\uDF7E-\uDF84\uDF86-\uDF93\uDFA0-\uDFC1\uDFC5\uDFC6\uDFC8\uDFC9\uDFCF-\uDFD3\uDFE0-\uDFF0\uDFF8-\uDFFF]|\uDDE6\uD83C[\uDDE8-\uDDEC\uDDEE\uDDF1\uDDF2\uDDF4\uDDF6-\uDDFA\uDDFC\uDDFD\uDDFF]|\uDDE7\uD83C[\uDDE6\uDDE7\uDDE9-\uDDEF\uDDF1-\uDDF4\uDDF6-\uDDF9\uDDFB\uDDFC\uDDFE\uDDFF]|\uDDE8\uD83C[\uDDE6\uDDE8\uDDE9\uDDEB-\uDDEE\uDDF0-\uDDF5\uDDF7\uDDFA-\uDDFF]|\uDDE9\uD83C[\uDDEA\uDDEC\uDDEF\uDDF0\uDDF2\uDDF4\uDDFF]|\uDDEA\uD83C[\uDDE6\uDDE8\uDDEA\uDDEC\uDDED\uDDF7-\uDDFA]|\uDDEB\uD83C[\uDDEE-\uDDF0\uDDF2\uDDF4\uDDF7]|\uDDEC\uD83C[\uDDE6\uDDE7\uDDE9-\uDDEE\uDDF1-\uDDF3\uDDF5-\uDDFA\uDDFC\uDDFE]|\uDDED\uD83C[\uDDF0\uDDF2\uDDF3\uDDF7\uDDF9\uDDFA]|\uDDEE\uD83C[\uDDE8-\uDDEA\uDDF1-\uDDF4\uDDF6-\uDDF9]|\uDDEF\uD83C[\uDDEA\uDDF2\uDDF4\uDDF5]|\uDDF0\uD83C[\uDDEA\uDDEC-\uDDEE\uDDF2\uDDF3\uDDF5\uDDF7\uDDFC\uDDFE\uDDFF]|\uDDF1\uD83C[\uDDE6-\uDDE8\uDDEE\uDDF0\uDDF7-\uDDFB\uDDFE]|\uDDF2\uD83C[\uDDE6\uDDE8-\uDDED\uDDF0-\uDDFF]|\uDDF3\uD83C[\uDDE6\uDDE8\uDDEA-\uDDEC\uDDEE\uDDF1\uDDF4\uDDF5\uDDF7\uDDFA\uDDFF]|\uDDF4\uD83C\uDDF2|\uDDF5\uD83C[\uDDE6\uDDEA-\uDDED\uDDF0-\uDDF3\uDDF7-\uDDF9\uDDFC\uDDFE]|\uDDF6\uD83C\uDDE6|\uDDF7\uD83C[\uDDEA\uDDF4\uDDF8\uDDFA\uDDFC]|\uDDF8\uD83C[\uDDE6-\uDDEA\uDDEC-\uDDF4\uDDF7-\uDDF9\uDDFB\uDDFD-\uDDFF]|\uDDF9\uD83C[\uDDE6\uDDE8\uDDE9\uDDEB-\uDDED\uDDEF-\uDDF4\uDDF7\uDDF9\uDDFB\uDDFC\uDDFF]|\uDDFA\uD83C[\uDDE6\uDDEC\uDDF2\uDDF3\uDDF8\uDDFE\uDDFF]|\uDDFB\uD83C[\uDDE6\uDDE8\uDDEA\uDDEC\uDDEE\uDDF3\uDDFA]|\uDDFC\uD83C[\uDDEB\uDDF8]|\uDDFD\uD83C\uDDF0|\uDDFE\uD83C[\uDDEA\uDDF9]|\uDDFF\uD83C[\uDDE6\uDDF2\uDDFC]|\uDF44(?:\u200D\uD83D\uDFEB)?|\uDF4B(?:\u200D\uD83D\uDFE9)?|\uDFC3(?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D(?:[\u2640\u2642]\uFE0F?(?:\u200D\u27A1\uFE0F?)?|\u27A1\uFE0F?))?|\uDFF3\uFE0F?(?:\u200D(?:\u26A7\uFE0F?|\uD83C\uDF08))?|\uDFF4(?:\u200D\u2620\uFE0F?|\uDB40\uDC67\uDB40\uDC62\uDB40(?:\uDC65\uDB40\uDC6E\uDB40\uDC67|\uDC73\uDB40\uDC63\uDB40\uDC74|\uDC77\uDB40\uDC6C\uDB40\uDC73)\uDB40\uDC7F)?)|\uD83D(?:[\uDC3F\uDCFD\uDD49\uDD4A\uDD6F\uDD70\uDD73\uDD76-\uDD79\uDD87\uDD8A-\uDD8D\uDDA5\uDDA8\uDDB1\uDDB2\uDDBC\uDDC2-\uDDC4\uDDD1-\uDDD3\uDDDC-\uDDDE\uDDE1\uDDE3\uDDE8\uDDEF\uDDF3\uDDFA\uDECB\uDECD-\uDECF\uDEE0-\uDEE5\uDEE9\uDEF0\uDEF3]\uFE0F?|[\uDC42\uDC43\uDC46-\uDC50\uDC66\uDC67\uDC6B-\uDC6D\uDC72\uDC74-\uDC76\uDC78\uDC7C\uDC83\uDC85\uDC8F\uDC91\uDCAA\uDD7A\uDD95\uDD96\uDE4C\uDE4F\uDEC0\uDECC](?:\uD83C[\uDFFB-\uDFFF])?|[\uDC6E\uDC70\uDC71\uDC73\uDC77\uDC81\uDC82\uDC86\uDC87\uDE45-\uDE47\uDE4B\uDE4D\uDE4E\uDEA3\uDEB4\uDEB5](?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDD74\uDD90](?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?|[\uDC00-\uDC07\uDC09-\uDC14\uDC16-\uDC25\uDC27-\uDC3A\uDC3C-\uDC3E\uDC40\uDC44\uDC45\uDC51-\uDC65\uDC6A\uDC79-\uDC7B\uDC7D-\uDC80\uDC84\uDC88-\uDC8E\uDC90\uDC92-\uDCA9\uDCAB-\uDCFC\uDCFF-\uDD3D\uDD4B-\uDD4E\uDD50-\uDD67\uDDA4\uDDFB-\uDE2D\uDE2F-\uDE34\uDE37-\uDE41\uDE43\uDE44\uDE48-\uDE4A\uDE80-\uDEA2\uDEA4-\uDEB3\uDEB7-\uDEBF\uDEC1-\uDEC5\uDED0-\uDED2\uDED5-\uDED7\uDEDC-\uDEDF\uDEEB\uDEEC\uDEF4-\uDEFC\uDFE0-\uDFEB\uDFF0]|\uDC08(?:\u200D\u2B1B)?|\uDC15(?:\u200D\uD83E\uDDBA)?|\uDC26(?:\u200D(?:\u2B1B|\uD83D\uDD25))?|\uDC3B(?:\u200D\u2744\uFE0F?)?|\uDC41\uFE0F?(?:\u200D\uD83D\uDDE8\uFE0F?)?|\uDC68(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D(?:[\uDC68\uDC69]\u200D\uD83D(?:\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?)|[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?)|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]))|\uD83C(?:\uDFFB(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFC-\uDFFF])))?|\uDFFC(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB\uDFFD-\uDFFF])))?|\uDFFD(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF])))?|\uDFFE(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB-\uDFFD\uDFFF])))?|\uDFFF(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB-\uDFFE])))?))?|\uDC69(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?[\uDC68\uDC69]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D(?:[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?|\uDC69\u200D\uD83D(?:\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?))|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]))|\uD83C(?:\uDFFB(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFC-\uDFFF])))?|\uDFFC(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB\uDFFD-\uDFFF])))?|\uDFFD(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF])))?|\uDFFE(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB-\uDFFD\uDFFF])))?|\uDFFF(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB-\uDFFE])))?))?|\uDC6F(?:\u200D[\u2640\u2642]\uFE0F?)?|\uDD75(?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|\uDE2E(?:\u200D\uD83D\uDCA8)?|\uDE35(?:\u200D\uD83D\uDCAB)?|\uDE36(?:\u200D\uD83C\uDF2B\uFE0F?)?|\uDE42(?:\u200D[\u2194\u2195]\uFE0F?)?|\uDEB6(?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D(?:[\u2640\u2642]\uFE0F?(?:\u200D\u27A1\uFE0F?)?|\u27A1\uFE0F?))?)|\uD83E(?:[\uDD0C\uDD0F\uDD18-\uDD1F\uDD30-\uDD34\uDD36\uDD77\uDDB5\uDDB6\uDDBB\uDDD2\uDDD3\uDDD5\uDEC3-\uDEC5\uDEF0\uDEF2-\uDEF8](?:\uD83C[\uDFFB-\uDFFF])?|[\uDD26\uDD35\uDD37-\uDD39\uDD3D\uDD3E\uDDB8\uDDB9\uDDCD\uDDCF\uDDD4\uDDD6-\uDDDD](?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDDDE\uDDDF](?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDD0D\uDD0E\uDD10-\uDD17\uDD20-\uDD25\uDD27-\uDD2F\uDD3A\uDD3F-\uDD45\uDD47-\uDD76\uDD78-\uDDB4\uDDB7\uDDBA\uDDBC-\uDDCC\uDDD0\uDDE0-\uDDFF\uDE70-\uDE7C\uDE80-\uDE88\uDE90-\uDEBD\uDEBF-\uDEC2\uDECE-\uDEDB\uDEE0-\uDEE8]|\uDD3C(?:\u200D[\u2640\u2642]\uFE0F?|\uD83C[\uDFFB-\uDFFF])?|\uDDCE(?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D(?:[\u2640\u2642]\uFE0F?(?:\u200D\u27A1\uFE0F?)?|\u27A1\uFE0F?))?|\uDDD1(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1|\uDDD1\u200D\uD83E\uDDD2(?:\u200D\uD83E\uDDD2)?|\uDDD2(?:\u200D\uD83E\uDDD2)?))|\uD83C(?:\uDFFB(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFC-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFC(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB\uDFFD-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFD(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFE(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB-\uDFFD\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFF(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB-\uDFFE]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?))?|\uDEF1(?:\uD83C(?:\uDFFB(?:\u200D\uD83E\uDEF2\uD83C[\uDFFC-\uDFFF])?|\uDFFC(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB\uDFFD-\uDFFF])?|\uDFFD(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF])?|\uDFFE(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB-\uDFFD\uDFFF])?|\uDFFF(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB-\uDFFE])?))?)/g;function fi$2(Ra){return Ra===12288||Ra>=65281&&Ra<=65376||Ra>=65504&&Ra<=65510}function Di$2(Ra){return Ra>=4352&&Ra<=4447||Ra===8986||Ra===8987||Ra===9001||Ra===9002||Ra>=9193&&Ra<=9196||Ra===9200||Ra===9203||Ra===9725||Ra===9726||Ra===9748||Ra===9749||Ra>=9800&&Ra<=9811||Ra===9855||Ra===9875||Ra===9889||Ra===9898||Ra===9899||Ra===9917||Ra===9918||Ra===9924||Ra===9925||Ra===9934||Ra===9940||Ra===9962||Ra===9970||Ra===9971||Ra===9973||Ra===9978||Ra===9981||Ra===9989||Ra===9994||Ra===9995||Ra===10024||Ra===10060||Ra===10062||Ra>=10067&&Ra<=10069||Ra===10071||Ra>=10133&&Ra<=10135||Ra===10160||Ra===10175||Ra===11035||Ra===11036||Ra===11088||Ra===11093||Ra>=11904&&Ra<=11929||Ra>=11931&&Ra<=12019||Ra>=12032&&Ra<=12245||Ra>=12272&&Ra<=12287||Ra>=12289&&Ra<=12350||Ra>=12353&&Ra<=12438||Ra>=12441&&Ra<=12543||Ra>=12549&&Ra<=12591||Ra>=12593&&Ra<=12686||Ra>=12688&&Ra<=12771||Ra>=12783&&Ra<=12830||Ra>=12832&&Ra<=12871||Ra>=12880&&Ra<=19903||Ra>=19968&&Ra<=42124||Ra>=42128&&Ra<=42182||Ra>=43360&&Ra<=43388||Ra>=44032&&Ra<=55203||Ra>=63744&&Ra<=64255||Ra>=65040&&Ra<=65049||Ra>=65072&&Ra<=65106||Ra>=65108&&Ra<=65126||Ra>=65128&&Ra<=65131||Ra>=94176&&Ra<=94180||Ra===94192||Ra===94193||Ra>=94208&&Ra<=100343||Ra>=100352&&Ra<=101589||Ra>=101632&&Ra<=101640||Ra>=110576&&Ra<=110579||Ra>=110581&&Ra<=110587||Ra===110589||Ra===110590||Ra>=110592&&Ra<=110882||Ra===110898||Ra>=110928&&Ra<=110930||Ra===110933||Ra>=110948&&Ra<=110951||Ra>=110960&&Ra<=111355||Ra===126980||Ra===127183||Ra===127374||Ra>=127377&&Ra<=127386||Ra>=127488&&Ra<=127490||Ra>=127504&&Ra<=127547||Ra>=127552&&Ra<=127560||Ra===127568||Ra===127569||Ra>=127584&&Ra<=127589||Ra>=127744&&Ra<=127776||Ra>=127789&&Ra<=127797||Ra>=127799&&Ra<=127868||Ra>=127870&&Ra<=127891||Ra>=127904&&Ra<=127946||Ra>=127951&&Ra<=127955||Ra>=127968&&Ra<=127984||Ra===127988||Ra>=127992&&Ra<=128062||Ra===128064||Ra>=128066&&Ra<=128252||Ra>=128255&&Ra<=128317||Ra>=128331&&Ra<=128334||Ra>=128336&&Ra<=128359||Ra===128378||Ra===128405||Ra===128406||Ra===128420||Ra>=128507&&Ra<=128591||Ra>=128640&&Ra<=128709||Ra===128716||Ra>=128720&&Ra<=128722||Ra>=128725&&Ra<=128727||Ra>=128732&&Ra<=128735||Ra===128747||Ra===128748||Ra>=128756&&Ra<=128764||Ra>=128992&&Ra<=129003||Ra===129008||Ra>=129292&&Ra<=129338||Ra>=129340&&Ra<=129349||Ra>=129351&&Ra<=129535||Ra>=129648&&Ra<=129660||Ra>=129664&&Ra<=129672||Ra>=129680&&Ra<=129725||Ra>=129727&&Ra<=129733||Ra>=129742&&Ra<=129755||Ra>=129760&&Ra<=129768||Ra>=129776&&Ra<=129784||Ra>=131072&&Ra<=196605||Ra>=196608&&Ra<=262141}var pi$3=Ra=>!(fi$2(Ra)||Di$2(Ra)),Ol$3=/[^\x20-\x7F]/u;function Pl$2(Ra){if(!Ra)return 0;if(!Ol$3.test(Ra))return Ra.length;Ra=Ra.replace(li$3()," ");let qa=0;for(let Ja of Ra){let ed=Ja.codePointAt(0);ed<=31||ed>=127&&ed<=159||ed>=768&&ed<=879||(qa+=pi$3(ed)?1:2)}return qa}var sr$1=Pl$2,G$2=Symbol("MODE_BREAK"),ne$2=Symbol("MODE_FLAT"),cr$1=Symbol("cursor");function di$2(){return{value:"",length:0,queue:[]}}function Ll$3(Ra,qa){return gt$1(Ra,{type:"indent"},qa)}function Il$3(Ra,qa,Ja){return qa===Number.NEGATIVE_INFINITY?Ra.root||di$2():qa<0?gt$1(Ra,{type:"dedent"},Ja):qa?qa.type==="root"?{...Ra,root:Ra}:gt$1(Ra,{type:typeof qa=="string"?"stringAlign":"numberAlign",n:qa},Ja):Ra}function gt$1(Ra,qa,Ja){let ed=qa.type==="dedent"?Ra.queue.slice(0,-1):[...Ra.queue,qa],td="",rd=0,sd=0,od=0;for(let Ed of ed)switch(Ed.type){case"indent":ud(),Ja.useTabs?ld(1):cd(Ja.tabWidth);break;case"stringAlign":ud(),td+=Ed.n,rd+=Ed.n.length;break;case"numberAlign":sd+=1,od+=Ed.n;break;default:throw new Error(`Unexpected type '${Ed.type}'`)}return yd(),{...Ra,value:td,length:rd,queue:ed};function ld(Ed){td+=" ".repeat(Ed),rd+=Ja.tabWidth*Ed}function cd(Ed){td+=" ".repeat(Ed),rd+=Ed}function ud(){Ja.useTabs?_d():yd()}function _d(){sd>0&&ld(sd),gd()}function yd(){od>0&&cd(od),gd()}function gd(){sd=0,od=0}}function vt$2(Ra){let qa=0,Ja=0,ed=Ra.length;e:for(;ed--;){let td=Ra[ed];if(td===cr$1){Ja++;continue}for(let rd=td.length-1;rd>=0;rd--){let sd=td[rd];if(sd===" "||sd===" ")qa++;else{Ra[ed]=td.slice(0,rd+1);break e}}}if(qa>0||Ja>0)for(Ra.length=ed+1;Ja-- >0;)Ra.push(cr$1);return qa}function Ir$2(Ra,qa,Ja,ed,td,rd){if(Ja===Number.POSITIVE_INFINITY)return!0;let sd=qa.length,od=[Ra],ld=[];for(;Ja>=0;){if(od.length===0){if(sd===0)return!0;od.push(qa[--sd]);continue}let{mode:cd,doc:ud}=od.pop(),_d=Y$1(ud);switch(_d){case $$1:ld.push(ud),Ja-=sr$1(ud);break;case H$1:case J$1:{let yd=_d===H$1?ud:ud.parts;for(let gd=yd.length-1;gd>=0;gd--)od.push({mode:cd,doc:yd[gd]});break}case ee$1:case re$3:case se$1:case fe$2:od.push({mode:cd,doc:ud.contents});break;case oe$2:Ja+=vt$2(ld);break;case K$2:{if(rd&&ud.break)return!1;let yd=ud.break?G$2:cd,gd=ud.expandedStates&&yd===G$2?M$2(!1,ud.expandedStates,-1):ud.contents;od.push({mode:yd,doc:gd});break}case X$1:{let yd=(ud.groupId?td[ud.groupId]||ne$2:cd)===G$2?ud.breakContents:ud.flatContents;yd&&od.push({mode:cd,doc:yd});break}case W$1:if(cd===G$2||ud.hard)return!0;ud.soft||(ld.push(" "),Ja--);break;case ce$2:ed=!0;break;case le$2:if(ed)return!1;break}}return!1}function hi$2(Ra,qa){let Ja={},ed=qa.printWidth,td=ci$2(qa.endOfLine),rd=0,sd=[{ind:di$2(),mode:G$2,doc:Ra}],od=[],ld=!1,cd=[],ud=0;for(Yn$3(Ra);sd.length>0;){let{ind:yd,mode:gd,doc:Ed}=sd.pop();switch(Y$1(Ed)){case $$1:{let Td=td!==`
|
||
`?N$2(!1,Ed,`
|
||
`,td):Ed;od.push(Td),sd.length>0&&(rd+=sr$1(Td));break}case H$1:for(let Td=Ed.length-1;Td>=0;Td--)sd.push({ind:yd,mode:gd,doc:Ed[Td]});break;case ge$3:if(ud>=2)throw new Error("There are too many 'cursor' in doc.");od.push(cr$1),ud++;break;case ee$1:sd.push({ind:Ll$3(yd,qa),mode:gd,doc:Ed.contents});break;case re$3:sd.push({ind:Il$3(yd,Ed.n,qa),mode:gd,doc:Ed.contents});break;case oe$2:rd-=vt$2(od);break;case K$2:switch(gd){case ne$2:if(!ld){sd.push({ind:yd,mode:Ed.break?G$2:ne$2,doc:Ed.contents});break}case G$2:{ld=!1;let Td={ind:yd,mode:ne$2,doc:Ed.contents},kd=ed-rd,Rd=cd.length>0;if(!Ed.break&&Ir$2(Td,sd,kd,Rd,Ja))sd.push(Td);else if(Ed.expandedStates){let Nd=M$2(!1,Ed.expandedStates,-1);if(Ed.break){sd.push({ind:yd,mode:G$2,doc:Nd});break}else for(let Id=1;Id<Ed.expandedStates.length+1;Id++)if(Id>=Ed.expandedStates.length){sd.push({ind:yd,mode:G$2,doc:Nd});break}else{let Md=Ed.expandedStates[Id],Ld={ind:yd,mode:ne$2,doc:Md};if(Ir$2(Ld,sd,kd,Rd,Ja)){sd.push(Ld);break}}}else sd.push({ind:yd,mode:G$2,doc:Ed.contents});break}}Ed.id&&(Ja[Ed.id]=M$2(!1,sd,-1).mode);break;case J$1:{let Td=ed-rd,{parts:kd}=Ed;if(kd.length===0)break;let[Rd,Nd]=kd,Id={ind:yd,mode:ne$2,doc:Rd},Md={ind:yd,mode:G$2,doc:Rd},Ld=Ir$2(Id,[],Td,cd.length>0,Ja,!0);if(kd.length===1){Ld?sd.push(Id):sd.push(Md);break}let Pd={ind:yd,mode:ne$2,doc:Nd},qd={ind:yd,mode:G$2,doc:Nd};if(kd.length===2){Ld?sd.push(Pd,Id):sd.push(qd,Md);break}kd.splice(0,2);let Yd={ind:yd,mode:gd,doc:Ee$2(kd)},Ud=kd[0];Ir$2({ind:yd,mode:ne$2,doc:[Rd,Nd,Ud]},[],Td,cd.length>0,Ja,!0)?sd.push(Yd,Pd,Id):Ld?sd.push(Yd,qd,Id):sd.push(Yd,qd,Md);break}case X$1:case se$1:{let Td=Ed.groupId?Ja[Ed.groupId]:gd;if(Td===G$2){let kd=Ed.type===X$1?Ed.breakContents:Ed.negate?Ed.contents:Ze$2(Ed.contents);kd&&sd.push({ind:yd,mode:gd,doc:kd})}if(Td===ne$2){let kd=Ed.type===X$1?Ed.flatContents:Ed.negate?Ze$2(Ed.contents):Ed.contents;kd&&sd.push({ind:yd,mode:gd,doc:kd})}break}case ce$2:cd.push({ind:yd,mode:gd,doc:Ed.contents});break;case le$2:cd.length>0&&sd.push({ind:yd,mode:gd,doc:rr$1});break;case W$1:switch(gd){case ne$2:if(Ed.hard)ld=!0;else{Ed.soft||(od.push(" "),rd+=1);break}case G$2:if(cd.length>0){sd.push({ind:yd,mode:gd,doc:Ed},...cd.reverse()),cd.length=0;break}Ed.literal?yd.root?(od.push(td,yd.root.value),rd=yd.root.length):(od.push(td),rd=0):(rd-=vt$2(od),od.push(td+yd.value),rd=yd.length);break}break;case fe$2:sd.push({ind:yd,mode:gd,doc:Ed.contents});break;case te$2:break;default:throw new qe$2(Ed)}sd.length===0&&cd.length>0&&(sd.push(...cd.reverse()),cd.length=0)}let _d=od.indexOf(cr$1);if(_d!==-1){let yd=od.indexOf(cr$1,_d+1),gd=od.slice(0,_d).join(""),Ed=od.slice(_d+1,yd).join(""),Td=od.slice(yd+1).join("");return{formatted:gd+Ed+Td,cursorNodeStart:gd.length,cursorNodeText:Ed}}return{formatted:od.join("")}}function mi$1(Ra,qa,Ja){let{node:ed}=Ra,td=[],rd=Ra.map(()=>Ra.map(({index:_d})=>{let yd=hi$2(Ja(),qa).formatted,gd=sr$1(yd);return td[_d]=Math.max(td[_d]??3,gd),{text:yd,width:gd}},"children"),"children"),sd=ld(!1);if(qa.proseWrap!=="never")return[er$1,sd];let od=ld(!0);return[er$1,ze$2(zn$2(od,sd))];function ld(_d){return Tr$2(rr$1,[ud(rd[0],_d),cd(_d),...rd.slice(1).map(yd=>ud(yd,_d))].map(yd=>`| ${yd.join(" | ")} |`))}function cd(_d){return td.map((yd,gd)=>{let Ed=ed.align[gd],Td=Ed==="center"||Ed==="left"?":":"-",kd=Ed==="center"||Ed==="right"?":":"-",Rd=_d?"-":"-".repeat(yd-2);return`${Td}${Rd}${kd}`})}function ud(_d,yd){return _d.map(({text:gd,width:Ed},Td)=>{if(yd)return gd;let kd=td[Td]-Ed,Rd=ed.align[Td],Nd=0;Rd==="right"?Nd=kd:Rd==="center"&&(Nd=Math.floor(kd/2));let Id=kd-Nd;return`${" ".repeat(Nd)}${gd}${" ".repeat(Id)}`})}}function Fi$1(Ra,qa,Ja){let ed=Ra.map(Ja,"children");return Nl$3(ed)}function Nl$3(Ra){let qa=[""];return function Ja(ed){for(let td of ed){let rd=Y$1(td);if(rd===H$1){Ja(td);continue}let sd=td,od=[];rd===J$1&&([sd,...od]=td.parts),qa.push([qa.pop(),sd],...od)}}(Ra),Ee$2(qa)}var Rl$3=/^.$/su;function Ul$2(Ra,qa){return Ra=zl$3(Ra,qa),Ra=Yl$2(Ra),Ra=Vl$2(Ra,qa),Ra=jl$2(Ra,qa),Ra=Gl$3(Ra),Ra}function zl$3(Ra,qa){return ye$2(Ra,Ja=>Ja.type!=="text"||Ja.value==="*"||Ja.value==="_"||!Rl$3.test(Ja.value)||Ja.position.end.offset-Ja.position.start.offset===Ja.value.length?Ja:{...Ja,value:qa.originalText.slice(Ja.position.start.offset,Ja.position.end.offset)})}function Ml$2(Ra,qa,Ja){return ye$2(Ra,ed=>{if(!ed.children)return ed;let td=ed.children.reduce((rd,sd)=>{let od=M$2(!1,rd,-1);return od&&qa(od,sd)?rd.splice(-1,1,Ja(od,sd)):rd.push(sd),rd},[]);return{...ed,children:td}})}function Yl$2(Ra){return Ml$2(Ra,(qa,Ja)=>qa.type==="text"&&Ja.type==="text",(qa,Ja)=>({type:"text",value:qa.value+Ja.value,position:{start:qa.position.start,end:Ja.position.end}}))}function Gl$3(Ra){return ye$2(Ra,(qa,Ja,[ed])=>{if(qa.type!=="text")return qa;let{value:td}=qa;return ed.type==="paragraph"&&(Ja===0&&(td=td.trimStart()),Ja===ed.children.length-1&&(td=td.trimEnd())),{type:"sentence",position:qa.position,children:Pr$2(td)}})}function Vl$2(Ra,qa){return ye$2(Ra,(Ja,ed,td)=>{if(Ja.type==="code"){let rd=/^\n?(?: {4,}|\t)/u.test(qa.originalText.slice(Ja.position.start.offset,Ja.position.end.offset));if(Ja.isIndented=rd,rd)for(let sd=0;sd<td.length;sd++){let od=td[sd];if(od.hasIndentedCodeblock)break;od.type==="list"&&(od.hasIndentedCodeblock=!0)}}return Ja})}function jl$2(Ra,qa){return ye$2(Ra,(td,rd,sd)=>{if(td.type==="list"&&td.children.length>0){for(let od=0;od<sd.length;od++){let ld=sd[od];if(ld.type==="list"&&!ld.isAligned)return td.isAligned=!1,td}td.isAligned=ed(td)}return td});function Ja(td){return td.children.length===0?-1:td.children[0].position.start.column-1}function ed(td){if(!td.ordered)return!0;let[rd,sd]=td.children;if(Me$4(rd,qa).leadingSpaces.length>1)return!0;let od=Ja(rd);if(od===-1)return!1;if(td.children.length===1)return od%qa.tabWidth===0;let ld=Ja(sd);return od!==ld?!1:od%qa.tabWidth===0?!0:Me$4(sd,qa).leadingSpaces.length>1}}var gi$2=Ul$2;function vi$2(Ra,qa){let Ja=[""];return Ra.each(()=>{let{node:ed}=Ra,td=qa();switch(ed.type){case"whitespace":if(Y$1(td)!==$$1){Ja.push(td,"");break}default:Ja.push([Ja.pop(),td])}},"children"),Ee$2(Ja)}var $l$2=new Set(["heading","tableCell","link","wikiLink"]),Hl$2=new Set(`$(£¥·'"〈《「『【〔〖〝﹙﹛$([{£¥[{‵︴︵︷︹︻︽︿﹁﹃﹏〘⦅«`),Wl$2=new Set(`!%),.:;?]}¢°·'"†‡›℃∶、。〃〆〕〗〞﹚﹜!"%'),.:;?]}~–—•〉》」︰︱︲︳﹐﹑﹒﹔﹕﹖﹘︶︸︺︼︾﹀﹂﹗|、』】〙〟⦆»ヽヾーァィゥェォッャュョヮヵヶぁぃぅぇぉっゃゅょゎゕゖㇰㇱㇲㇳㇴㇵㇶㇷㇸㇹㇺㇻㇼㇽㇾㇿ々〻‐゠〜~‼⁇⁈⁉・゙゚`),Ei$2=new Set("!\"#$%&'()*+,-./:;<=>?@[\\]^_`{|}~");function Kl$2({parent:Ra}){if(Ra.usesCJSpaces===void 0){let qa={" ":0,"":0},{children:Ja}=Ra;for(let ed=1;ed<Ja.length-1;++ed){let td=Ja[ed];if(td.type==="whitespace"&&(td.value===" "||td.value==="")){let rd=Ja[ed-1].kind,sd=Ja[ed+1].kind;(rd===De$1&&sd===Le$2||rd===Le$2&&sd===De$1)&&++qa[td.value]}}Ra.usesCJSpaces=qa[" "]>qa[""]}return Ra.usesCJSpaces}function Jl$3(Ra,qa){if(qa)return!0;let{previous:Ja,next:ed}=Ra;if(!Ja||!ed)return!0;let td=Ja.kind,rd=ed.kind;return bi$2(td)&&bi$2(rd)||td===be$4&&rd===De$1||rd===be$4&&td===De$1?!0:td===ur$1||rd===ur$1||td===De$1&&rd===De$1?!1:Ei$2.has(ed.value[0])||Ei$2.has(M$2(!1,Ja.value,-1))?!0:Ja.hasTrailingPunctuation||ed.hasLeadingPunctuation?!1:Kl$2(Ra)}function Ci$2(Ra){return Ra===Le$2||Ra===De$1||Ra===be$4}function bi$2(Ra){return Ra===Le$2||Ra===be$4}function Xl$3(Ra,qa,Ja,ed,td){if(Ja!=="always"||Ra.hasAncestor(od=>$l$2.has(od.type)))return!1;if(ed)return qa!=="";if(qa===" ")return!0;let{previous:rd,next:sd}=Ra;return!(qa===""&&((rd==null?void 0:rd.kind)===be$4&&Ci$2(sd==null?void 0:sd.kind)||(sd==null?void 0:sd.kind)===be$4&&Ci$2(rd==null?void 0:rd.kind))||!td&&(sd&&Wl$2.has(sd.value[0])||rd&&Hl$2.has(M$2(!1,rd.value,-1))))}function Et$3(Ra,qa,Ja,ed){if(Ja==="preserve"&&qa===`
|
||
`)return L$2;let td=qa===" "||qa===`
|
||
`&&Jl$3(Ra,ed);return Xl$3(Ra,qa,Ja,ed,td)?td?Br$3:qr$2:td?" ":""}var Ql$3=new Set(["listItem","definition"]);function Zl$2(Ra,qa,Ja){var ed,td;let{node:rd}=Ra;if(af(Ra)){let sd=[""],od=Pr$2(qa.originalText.slice(rd.position.start.offset,rd.position.end.offset));for(let ld of od){if(ld.type==="word"){sd.push([sd.pop(),ld.value]);continue}let cd=Et$3(Ra,ld.value,qa.proseWrap,!0);if(Y$1(cd)===$$1){sd.push([sd.pop(),cd]);continue}sd.push(cd)}return Ee$2(sd)}switch(rd.type){case"front-matter":return qa.originalText.slice(rd.position.start.offset,rd.position.end.offset);case"root":return rd.children.length===0?"":[tf(Ra,qa,Ja),L$2];case"paragraph":return Fi$1(Ra,qa,Ja);case"sentence":return vi$2(Ra,Ja);case"word":{let sd=N$2(!1,N$2(!1,rd.value,"*",String.raw`\*`),new RegExp([`(^|${Se$2.source})(_+)`,`(_+)(${Se$2.source}|$)`].join("|"),"gu"),(cd,ud,_d,yd,gd)=>N$2(!1,_d?`${ud}${_d}`:`${yd}${gd}`,"_",String.raw`\_`)),od=(cd,ud,_d)=>cd.type==="sentence"&&_d===0,ld=(cd,ud,_d)=>mt$2(cd.children[_d-1]);return sd!==rd.value&&(Ra.match(void 0,od,ld)||Ra.match(void 0,od,(cd,ud,_d)=>cd.type==="emphasis"&&_d===0,ld))&&(sd=sd.replace(/^(\\?[*_])+/u,cd=>N$2(!1,cd,"\\",""))),sd}case"whitespace":{let{next:sd}=Ra,od=sd&&/^>|^(?:[*+-]|#{1,6}|\d+[).])$/u.test(sd.value)?"never":qa.proseWrap;return Et$3(Ra,rd.value,od)}case"emphasis":{let sd;if(mt$2(rd.children[0]))sd=qa.originalText[rd.position.start.offset];else{let{previous:od,next:ld}=Ra;sd=(od==null?void 0:od.type)==="sentence"&&((ed=M$2(!1,od.children,-1))==null?void 0:ed.type)==="word"&&!M$2(!1,od.children,-1).hasTrailingPunctuation||(ld==null?void 0:ld.type)==="sentence"&&((td=ld.children[0])==null?void 0:td.type)==="word"&&!ld.children[0].hasLeadingPunctuation||Ra.hasAncestor(cd=>cd.type==="emphasis")?"*":"_"}return[sd,V$3(Ra,qa,Ja),sd]}case"strong":return["**",V$3(Ra,qa,Ja),"**"];case"delete":return["~~",V$3(Ra,qa,Ja),"~~"];case"inlineCode":{let sd=qa.proseWrap==="preserve"?rd.value:N$2(!1,rd.value,`
|
||
`," "),od=Gn$3(sd,"`"),ld="`".repeat(od||1),cd=sd.startsWith("`")||sd.endsWith("`")||/^[\n ]/u.test(sd)&&/[\n ]$/u.test(sd)&&/[^\n ]/u.test(sd)?" ":"";return[ld,cd,sd,cd,ld]}case"wikiLink":{let sd="";return qa.proseWrap==="preserve"?sd=rd.value:sd=N$2(!1,rd.value,/[\t\n]+/gu," "),["[[",sd,"]]"]}case"link":switch(qa.originalText[rd.position.start.offset]){case"<":{let sd="mailto:";return["<",rd.url.startsWith(sd)&&qa.originalText.slice(rd.position.start.offset+1,rd.position.start.offset+1+sd.length)!==sd?rd.url.slice(sd.length):rd.url,">"]}case"[":return["[",V$3(Ra,qa,Ja),"](",Ct$3(rd.url,")"),Nr$2(rd.title,qa),")"];default:return qa.originalText.slice(rd.position.start.offset,rd.position.end.offset)}case"image":return["![",rd.alt||"","](",Ct$3(rd.url,")"),Nr$2(rd.title,qa),")"];case"blockquote":return["> ",ve$2("> ",V$3(Ra,qa,Ja))];case"heading":return["#".repeat(rd.depth)+" ",V$3(Ra,qa,Ja)];case"code":{if(rd.isIndented){let ld=" ".repeat(4);return ve$2(ld,[ld,Ce$1(rd.value,L$2)])}let sd=qa.__inJsTemplate?"~":"`",od=sd.repeat(Math.max(3,_r$2(rd.value,sd)+1));return[od,rd.lang||"",rd.meta?" "+rd.meta:"",L$2,Ce$1(Lr$2(rd,qa.originalText),L$2),L$2,od]}case"html":{let{parent:sd,isLast:od}=Ra,ld=sd.type==="root"&&od?rd.value.trimEnd():rd.value,cd=/^<!--.*-->$/su.test(ld);return Ce$1(ld,cd?L$2:_e$3(tr$1))}case"list":{let sd=Ai$2(rd,Ra.parent),od=ii$3(rd,qa);return V$3(Ra,qa,Ja,{processor(ld){let cd=_d(),ud=ld.node;if(ud.children.length===2&&ud.children[1].type==="html"&&ud.children[0].position.start.column!==ud.children[1].position.start.column)return[cd,yi$3(ld,qa,Ja,cd)];return[cd,ve$2(" ".repeat(cd.length),yi$3(ld,qa,Ja,cd))];function _d(){let yd=rd.ordered?(ld.isFirst?rd.start:od?1:rd.start+ld.index)+(sd%2===0?". ":") "):sd%2===0?"- ":"* ";return rd.isAligned||rd.hasIndentedCodeblock?ef(yd,qa):yd}}})}case"thematicBreak":{let{ancestors:sd}=Ra,od=sd.findIndex(ld=>ld.type==="list");return od===-1?"---":Ai$2(sd[od],sd[od+1])%2===0?"***":"---"}case"linkReference":return["[",V$3(Ra,qa,Ja),"]",rd.referenceType==="full"?bt$2(rd):rd.referenceType==="collapsed"?"[]":""];case"imageReference":switch(rd.referenceType){case"full":return["![",rd.alt||"","]",bt$2(rd)];default:return["![",rd.alt,"]",rd.referenceType==="collapsed"?"[]":""]}case"definition":{let sd=qa.proseWrap==="always"?Br$3:" ";return ze$2([bt$2(rd),":",Ze$2([sd,Ct$3(rd.url),rd.title===null?"":[sd,Nr$2(rd.title,qa,!1)]])])}case"footnote":return["[^",V$3(Ra,qa,Ja),"]"];case"footnoteReference":return Bi$2(rd);case"footnoteDefinition":{let sd=rd.children.length===1&&rd.children[0].type==="paragraph"&&(qa.proseWrap==="never"||qa.proseWrap==="preserve"&&rd.children[0].position.start.line===rd.children[0].position.end.line);return[Bi$2(rd),": ",sd?V$3(Ra,qa,Ja):ze$2([ve$2(" ".repeat(4),V$3(Ra,qa,Ja,{processor:({isFirst:od})=>od?ze$2([qr$2,Ja()]):Ja()}))])]}case"table":return mi$1(Ra,qa,Ja);case"tableCell":return V$3(Ra,qa,Ja);case"break":return/\s/u.test(qa.originalText[rd.position.start.offset])?[" ",_e$3(tr$1)]:["\\",L$2];case"liquidNode":return Ce$1(rd.value,L$2);case"import":case"export":case"jsx":return rd.value;case"esComment":return["{/* ",rd.value," */}"];case"math":return["$$",L$2,rd.value?[Ce$1(rd.value,L$2),L$2]:"","$$"];case"inlineMath":return qa.originalText.slice(Oe$3(rd),Pe$3(rd));case"tableRow":case"listItem":case"text":default:throw new $n$3(rd,"Markdown")}}function yi$3(Ra,qa,Ja,ed){let{node:td}=Ra,rd=td.checked===null?"":td.checked?"[x] ":"[ ] ";return[rd,V$3(Ra,qa,Ja,{processor({node:sd,isFirst:od}){if(od&&sd.type!=="list")return ve$2(" ".repeat(rd.length),Ja());let ld=" ".repeat(sf(qa.tabWidth-ed.length,0,3));return[ld,ve$2(ld,Ja())]}})]}function ef(Ra,qa){let Ja=ed();return Ra+" ".repeat(Ja>=4?0:Ja);function ed(){let td=Ra.length%qa.tabWidth;return td===0?0:qa.tabWidth-td}}function Ai$2(Ra,qa){return rf(Ra,qa,Ja=>Ja.ordered===Ra.ordered)}function rf(Ra,qa,Ja){let ed=-1;for(let td of qa.children)if(td.type===Ra.type&&Ja(td)?ed++:ed=-1,td===Ra)return ed}function tf(Ra,qa,Ja){let ed=[],td=null,{children:rd}=Ra.node;for(let[sd,od]of rd.entries())switch(yt$2(od)){case"start":td===null&&(td={index:sd,offset:od.position.end.offset});break;case"end":td!==null&&(ed.push({start:td,end:{index:sd,offset:od.position.start.offset}}),td=null);break}return V$3(Ra,qa,Ja,{processor({index:sd}){if(ed.length>0){let od=ed[0];if(sd===od.start.index)return[xi$2(rd[od.start.index]),qa.originalText.slice(od.start.offset,od.end.offset),xi$2(rd[od.end.index])];if(od.start.index<sd&&sd<od.end.index)return!1;if(sd===od.end.index)return ed.shift(),!1}return Ja()}})}function V$3(Ra,qa,Ja,ed={}){let{processor:td=Ja}=ed,rd=[];return Ra.each(()=>{let sd=td(Ra);sd!==!1&&(rd.length>0&&nf(Ra)&&(rd.push(L$2),(uf(Ra,qa)||ki$2(Ra))&&rd.push(L$2),ki$2(Ra)&&rd.push(L$2)),rd.push(sd))},"children"),rd}function xi$2(Ra){if(Ra.type==="html")return Ra.value;if(Ra.type==="paragraph"&&Array.isArray(Ra.children)&&Ra.children.length===1&&Ra.children[0].type==="esComment")return["{/* ",Ra.children[0].value," */}"]}function yt$2(Ra){let qa;if(Ra.type==="html")qa=Ra.value.match(/^<!--\s*prettier-ignore(?:-(start|end))?\s*-->$/u);else{let Ja;Ra.type==="esComment"?Ja=Ra:Ra.type==="paragraph"&&Ra.children.length===1&&Ra.children[0].type==="esComment"&&(Ja=Ra.children[0]),Ja&&(qa=Ja.value.match(/^prettier-ignore(?:-(start|end))?$/u))}return qa?qa[1]||"next":!1}function nf({node:Ra,parent:qa}){let Ja=ht$2.has(Ra.type),ed=Ra.type==="html"&&Or$2.has(qa.type);return!Ja&&!ed}function wi$2(Ra,qa){return Ra.type==="listItem"&&(Ra.spread||qa.originalText.charAt(Ra.position.end.offset-1)===`
|
||
`)}function uf({node:Ra,previous:qa,parent:Ja},ed){if(wi$2(qa,ed))return!0;let td=qa.type===Ra.type&&Ql$3.has(Ra.type),rd=Ja.type==="listItem"&&!wi$2(Ja,ed),sd=yt$2(qa)==="next",od=Ra.type==="html"&&qa.type==="html"&&qa.position.end.line+1===Ra.position.start.line,ld=Ra.type==="html"&&Ja.type==="listItem"&&qa.type==="paragraph"&&qa.position.end.line+1===Ra.position.start.line;return!(td||rd||sd||od||ld)}function ki$2({node:Ra,previous:qa}){let Ja=qa.type==="list",ed=Ra.type==="code"&&Ra.isIndented;return Ja&&ed}function af(Ra){let qa=Ra.findAncestor(Ja=>Ja.type==="linkReference"||Ja.type==="imageReference");return qa&&(qa.type!=="linkReference"||qa.referenceType!=="full")}var of=(Ra,qa)=>{for(let Ja of qa)Ra=N$2(!1,Ra,Ja,encodeURIComponent(Ja));return Ra};function Ct$3(Ra,qa=[]){let Ja=[" ",...Array.isArray(qa)?qa:[qa]];return new RegExp(Ja.map(ed=>Be$3(ed)).join("|"),"u").test(Ra)?`<${of(Ra,"<>")}>`:Ra}function Nr$2(Ra,qa,Ja=!0){if(!Ra)return"";if(Ja)return" "+Nr$2(Ra,qa,!1);if(Ra=N$2(!1,Ra,/\\(?=["')])/gu,""),Ra.includes('"')&&Ra.includes("'")&&!Ra.includes(")"))return`(${Ra})`;let ed=jn$3(Ra,qa.singleQuote);return Ra=N$2(!1,Ra,"\\","\\\\"),Ra=N$2(!1,Ra,ed,`\\${ed}`),`${ed}${Ra}${ed}`}function sf(Ra,qa,Ja){return Ra<qa?qa:Ra>Ja?Ja:Ra}function cf(Ra){return Ra.index>0&&yt$2(Ra.previous)==="next"}function bt$2(Ra){return`[${(0,qi$1.default)(Ra.label)}]`}function Bi$2(Ra){return`[^${Ra.label}]`}var lf={preprocess:gi$2,print:Zl$2,embed:ui$2,massageAstNode:Zn$2,hasPrettierIgnore:cf,insertPragma:Jn$3,getVisitorKeys:si$3},Ti$2=lf,_i$2=[{linguistLanguageId:222,name:"Markdown",type:"prose",color:"#083fa1",aliases:["md","pandoc"],aceMode:"markdown",codemirrorMode:"gfm",codemirrorMimeType:"text/x-gfm",wrap:!0,extensions:[".md",".livemd",".markdown",".mdown",".mdwn",".mkd",".mkdn",".mkdown",".ronn",".scd",".workbook"],filenames:["contents.lr","README"],tmScope:"text.md",parsers:["markdown"],vscodeLanguageIds:["markdown"]},{linguistLanguageId:222,name:"MDX",type:"prose",color:"#083fa1",aliases:["md","pandoc"],aceMode:"markdown",codemirrorMode:"gfm",codemirrorMimeType:"text/x-gfm",wrap:!0,extensions:[".mdx"],filenames:[],tmScope:"text.md",parsers:["mdx"],vscodeLanguageIds:["mdx"]}],At$4={bracketSpacing:{category:"Common",type:"boolean",default:!0,description:"Print spaces between brackets.",oppositeDescription:"Do not print spaces between brackets."},singleQuote:{category:"Common",type:"boolean",default:!1,description:"Use single quotes instead of double quotes."},proseWrap:{category:"Common",type:"choice",default:"preserve",description:"How to wrap prose.",choices:[{value:"always",description:"Wrap prose if it exceeds the print width."},{value:"never",description:"Do not wrap prose."},{value:"preserve",description:"Wrap prose as-is."}]},bracketSameLine:{category:"Common",type:"boolean",default:!1,description:"Put > of opening tags on the last line instead of on a new line."},singleAttributePerLine:{category:"Common",type:"boolean",default:!1,description:"Enforce single attribute per line in HTML, Vue and JSX."}},ff={proseWrap:At$4.proseWrap,singleQuote:At$4.singleQuote},Si$2=ff,On$2={};Ln$3(On$2,{markdown:()=>km,mdx:()=>Bm,remark:()=>km});var Wc$1=Ue$3(Pi$2()),Kc$1=Ue$3(Wi$1()),Jc$1=Ue$3(Gs$1()),Xc$1=Ue$3(Ic$1()),vm=/^import\s/u,Em=/^export\s/u,Nc$1=String.raw`[a-z][a-z0-9]*(\.[a-z][a-z0-9]*)*|`,Rc$1=/<!---->|<!---?[^>-](?:-?[^-])*-->/u,Cm=/^\{\s*\/\*(.*)\*\/\s*\}/u,bm$1=`
|
||
|
||
`,Uc$1=Ra=>vm.test(Ra),Sn$2=Ra=>Em.test(Ra),zc$1=(Ra,qa)=>{let Ja=qa.indexOf(bm$1),ed=qa.slice(0,Ja);if(Sn$2(ed)||Uc$1(ed))return Ra(ed)({type:Sn$2(ed)?"export":"import",value:ed})},Mc$1=(Ra,qa)=>{let Ja=Cm.exec(qa);if(Ja)return Ra(Ja[0])({type:"esComment",value:Ja[1].trim()})};zc$1.locator=Ra=>Sn$2(Ra)||Uc$1(Ra)?-1:1;Mc$1.locator=(Ra,qa)=>Ra.indexOf("{",qa);var Yc$1=function(){let{Parser:Ra}=this,{blockTokenizers:qa,blockMethods:Ja,inlineTokenizers:ed,inlineMethods:td}=Ra.prototype;qa.esSyntax=zc$1,ed.esComment=Mc$1,Ja.splice(Ja.indexOf("paragraph"),0,"esSyntax"),td.splice(td.indexOf("text"),0,"esComment")},ym=function(){let Ra=this.Parser.prototype;Ra.blockMethods=["frontMatter",...Ra.blockMethods],Ra.blockTokenizers.frontMatter=qa;function qa(Ja,ed){let td=ir$2(ed);if(td.frontMatter)return Ja(td.frontMatter.raw)(td.frontMatter)}qa.onlyAtStart=!0},Gc$1=ym;function Am(){return Ra=>ye$2(Ra,(qa,Ja,[ed])=>qa.type!=="html"||Rc$1.test(qa.value)||Or$2.has(ed.type)?qa:{...qa,type:"jsx"})}var Vc$1=Am,xm=function(){let Ra=this.Parser.prototype,qa=Ra.inlineMethods;qa.splice(qa.indexOf("text"),0,"liquid"),Ra.inlineTokenizers.liquid=Ja;function Ja(ed,td){let rd=td.match(/^(\{%.*?%\}|\{\{.*?\}\})/su);if(rd)return ed(rd[0])({type:"liquidNode",value:rd[0]})}Ja.locator=function(ed,td){return ed.indexOf("{",td)}},jc$1=xm,wm=function(){let Ra="wikiLink",qa=/^\[\[(?<linkContents>.+?)\]\]/su,Ja=this.Parser.prototype,ed=Ja.inlineMethods;ed.splice(ed.indexOf("link"),0,Ra),Ja.inlineTokenizers.wikiLink=td;function td(rd,sd){let od=qa.exec(sd);if(od){let ld=od.groups.linkContents.trim();return rd(od[0])({type:Ra,value:ld})}}td.locator=function(rd,sd){return rd.indexOf("[",sd)}},$c$1=wm;function Qc$1({isMDX:Ra}){return qa=>{let Ja=(0,Xc$1.default)().use(Jc$1.default,{commonmark:!0,...Ra&&{blocks:[Nc$1]}}).use(Wc$1.default).use(Gc$1).use(Kc$1.default).use(Ra?Yc$1:Hc$1).use(jc$1).use(Ra?Vc$1:Hc$1).use($c$1);return Ja.run(Ja.parse(qa))}}function Hc$1(){}var Zc$1={astFormat:"mdast",hasPragma:Kn$2,locStart:Oe$3,locEnd:Pe$3},km={...Zc$1,parse:Qc$1({isMDX:!1})},Bm={...Zc$1,parse:Qc$1({isMDX:!0})},qm={mdast:Ti$2},fC=Pn$3;const prettierPluginMarkdown=Object.freeze(Object.defineProperty({__proto__:null,default:fC,languages:_i$2,options:Si$2,parsers:On$2,printers:qm},Symbol.toStringTag,{value:"Module"}));var $s$1=Object.create,je$3=Object.defineProperty,Vs$1=Object.getOwnPropertyDescriptor,qs$2=Object.getOwnPropertyNames,zs$1=Object.getPrototypeOf,Ks$2=Object.prototype.hasOwnProperty,Hs$2=(Ra,qa)=>()=>(qa||Ra((qa={exports:{}}).exports,qa),qa.exports),Ws$1=(Ra,qa)=>{for(var Ja in qa)je$3(Ra,Ja,{get:qa[Ja],enumerable:!0})},Js$1=(Ra,qa,Ja,ed)=>{if(qa&&typeof qa=="object"||typeof qa=="function")for(let td of qs$2(qa))!Ks$2.call(Ra,td)&&td!==Ja&&je$3(Ra,td,{get:()=>qa[td],enumerable:!(ed=Vs$1(qa,td))||ed.enumerable});return Ra},vt$1=(Ra,qa,Ja)=>(Ja=Ra!=null?$s$1(zs$1(Ra)):{},Js$1(je$3(Ja,"default",{value:Ra,enumerable:!0}),Ra)),At$3=Hs$2(Ra=>{Object.defineProperty(Ra,"__esModule",{value:!0});function qa(jd,Od){if(jd==null)return{};var Gd={},df=Object.keys(jd),Nf,Xf;for(Xf=0;Xf<df.length;Xf++)Nf=df[Xf],!(Od.indexOf(Nf)>=0)&&(Gd[Nf]=jd[Nf]);return Gd}var Ja=class{constructor(jd,Od,Gd){this.line=void 0,this.column=void 0,this.index=void 0,this.line=jd,this.column=Od,this.index=Gd}},ed=class{constructor(jd,Od){this.start=void 0,this.end=void 0,this.filename=void 0,this.identifierName=void 0,this.start=jd,this.end=Od}};function td(jd,Od){let{line:Gd,column:df,index:Nf}=jd;return new Ja(Gd,df+Od,Nf+Od)}var rd="BABEL_PARSER_SOURCETYPE_MODULE_REQUIRED",sd={ImportMetaOutsideModule:{message:`import.meta may appear only with 'sourceType: "module"'`,code:rd},ImportOutsideModule:{message:`'import' and 'export' may appear only with 'sourceType: "module"'`,code:rd}},od={ArrayPattern:"array destructuring pattern",AssignmentExpression:"assignment expression",AssignmentPattern:"assignment expression",ArrowFunctionExpression:"arrow function expression",ConditionalExpression:"conditional expression",CatchClause:"catch clause",ForOfStatement:"for-of statement",ForInStatement:"for-in statement",ForStatement:"for-loop",FormalParameters:"function parameter list",Identifier:"identifier",ImportSpecifier:"import specifier",ImportDefaultSpecifier:"import default specifier",ImportNamespaceSpecifier:"import namespace specifier",ObjectPattern:"object destructuring pattern",ParenthesizedExpression:"parenthesized expression",RestElement:"rest element",UpdateExpression:{true:"prefix operation",false:"postfix operation"},VariableDeclarator:"variable declaration",YieldExpression:"yield expression"},ld=jd=>jd.type==="UpdateExpression"?od.UpdateExpression[`${jd.prefix}`]:od[jd.type],cd={AccessorIsGenerator:({kind:jd})=>`A ${jd}ter cannot be a generator.`,ArgumentsInClass:"'arguments' is only allowed in functions and class methods.",AsyncFunctionInSingleStatementContext:"Async functions can only be declared at the top level or inside a block.",AwaitBindingIdentifier:"Can not use 'await' as identifier inside an async function.",AwaitBindingIdentifierInStaticBlock:"Can not use 'await' as identifier inside a static block.",AwaitExpressionFormalParameter:"'await' is not allowed in async function parameters.",AwaitUsingNotInAsyncContext:"'await using' is only allowed within async functions and at the top levels of modules.",AwaitNotInAsyncContext:"'await' is only allowed within async functions and at the top levels of modules.",AwaitNotInAsyncFunction:"'await' is only allowed within async functions.",BadGetterArity:"A 'get' accessor must not have any formal parameters.",BadSetterArity:"A 'set' accessor must have exactly one formal parameter.",BadSetterRestParameter:"A 'set' accessor function argument must not be a rest parameter.",ConstructorClassField:"Classes may not have a field named 'constructor'.",ConstructorClassPrivateField:"Classes may not have a private field named '#constructor'.",ConstructorIsAccessor:"Class constructor may not be an accessor.",ConstructorIsAsync:"Constructor can't be an async function.",ConstructorIsGenerator:"Constructor can't be a generator.",DeclarationMissingInitializer:({kind:jd})=>`Missing initializer in ${jd} declaration.`,DecoratorArgumentsOutsideParentheses:"Decorator arguments must be moved inside parentheses: use '@(decorator(args))' instead of '@(decorator)(args)'.",DecoratorBeforeExport:"Decorators must be placed *before* the 'export' keyword. Remove the 'decoratorsBeforeExport: true' option to use the 'export @decorator class {}' syntax.",DecoratorsBeforeAfterExport:"Decorators can be placed *either* before or after the 'export' keyword, but not in both locations at the same time.",DecoratorConstructor:"Decorators can't be used with a constructor. Did you mean '@dec class { ... }'?",DecoratorExportClass:"Decorators must be placed *after* the 'export' keyword. Remove the 'decoratorsBeforeExport: false' option to use the '@decorator export class {}' syntax.",DecoratorSemicolon:"Decorators must not be followed by a semicolon.",DecoratorStaticBlock:"Decorators can't be used with a static block.",DeferImportRequiresNamespace:'Only `import defer * as x from "./module"` is valid.',DeletePrivateField:"Deleting a private field is not allowed.",DestructureNamedImport:"ES2015 named imports do not destructure. Use another statement for destructuring after the import.",DuplicateConstructor:"Duplicate constructor in the same class.",DuplicateDefaultExport:"Only one default export allowed per module.",DuplicateExport:({exportName:jd})=>`\`${jd}\` has already been exported. Exported identifiers must be unique.`,DuplicateProto:"Redefinition of __proto__ property.",DuplicateRegExpFlags:"Duplicate regular expression flag.",DynamicImportPhaseRequiresImportExpressions:({phase:jd})=>`'import.${jd}(...)' can only be parsed when using the 'createImportExpressions' option.`,ElementAfterRest:"Rest element must be last element.",EscapedCharNotAnIdentifier:"Invalid Unicode escape.",ExportBindingIsString:({localName:jd,exportName:Od})=>`A string literal cannot be used as an exported binding without \`from\`.
|
||
- Did you mean \`export { '${jd}' as '${Od}' } from 'some-module'\`?`,ExportDefaultFromAsIdentifier:"'from' is not allowed as an identifier after 'export default'.",ForInOfLoopInitializer:({type:jd})=>`'${jd==="ForInStatement"?"for-in":"for-of"}' loop variable declaration may not have an initializer.`,ForInUsing:"For-in loop may not start with 'using' declaration.",ForOfAsync:"The left-hand side of a for-of loop may not be 'async'.",ForOfLet:"The left-hand side of a for-of loop may not start with 'let'.",GeneratorInSingleStatementContext:"Generators can only be declared at the top level or inside a block.",IllegalBreakContinue:({type:jd})=>`Unsyntactic ${jd==="BreakStatement"?"break":"continue"}.`,IllegalLanguageModeDirective:"Illegal 'use strict' directive in function with non-simple parameter list.",IllegalReturn:"'return' outside of function.",ImportAttributesUseAssert:"The `assert` keyword in import attributes is deprecated and it has been replaced by the `with` keyword. You can enable the `deprecatedAssertSyntax: true` option in the import attributes plugin to suppress this error.",ImportBindingIsString:({importName:jd})=>`A string literal cannot be used as an imported binding.
|
||
- Did you mean \`import { "${jd}" as foo }\`?`,ImportCallArgumentTrailingComma:"Trailing comma is disallowed inside import(...) arguments.",ImportCallArity:({maxArgumentCount:jd})=>`\`import()\` requires exactly ${jd===1?"one argument":"one or two arguments"}.`,ImportCallNotNewExpression:"Cannot use new with import(...).",ImportCallSpreadArgument:"`...` is not allowed in `import()`.",ImportJSONBindingNotDefault:"A JSON module can only be imported with `default`.",ImportReflectionHasAssertion:"`import module x` cannot have assertions.",ImportReflectionNotBinding:'Only `import module x from "./module"` is valid.',IncompatibleRegExpUVFlags:"The 'u' and 'v' regular expression flags cannot be enabled at the same time.",InvalidBigIntLiteral:"Invalid BigIntLiteral.",InvalidCodePoint:"Code point out of bounds.",InvalidCoverInitializedName:"Invalid shorthand property initializer.",InvalidDecimal:"Invalid decimal.",InvalidDigit:({radix:jd})=>`Expected number in radix ${jd}.`,InvalidEscapeSequence:"Bad character escape sequence.",InvalidEscapeSequenceTemplate:"Invalid escape sequence in template.",InvalidEscapedReservedWord:({reservedWord:jd})=>`Escape sequence in keyword ${jd}.`,InvalidIdentifier:({identifierName:jd})=>`Invalid identifier ${jd}.`,InvalidLhs:({ancestor:jd})=>`Invalid left-hand side in ${ld(jd)}.`,InvalidLhsBinding:({ancestor:jd})=>`Binding invalid left-hand side in ${ld(jd)}.`,InvalidLhsOptionalChaining:({ancestor:jd})=>`Invalid optional chaining in the left-hand side of ${ld(jd)}.`,InvalidNumber:"Invalid number.",InvalidOrMissingExponent:"Floating-point numbers require a valid exponent after the 'e'.",InvalidOrUnexpectedToken:({unexpected:jd})=>`Unexpected character '${jd}'.`,InvalidParenthesizedAssignment:"Invalid parenthesized assignment pattern.",InvalidPrivateFieldResolution:({identifierName:jd})=>`Private name #${jd} is not defined.`,InvalidPropertyBindingPattern:"Binding member expression.",InvalidRecordProperty:"Only properties and spread elements are allowed in record definitions.",InvalidRestAssignmentPattern:"Invalid rest operator's argument.",LabelRedeclaration:({labelName:jd})=>`Label '${jd}' is already declared.`,LetInLexicalBinding:"'let' is disallowed as a lexically bound name.",LineTerminatorBeforeArrow:"No line break is allowed before '=>'.",MalformedRegExpFlags:"Invalid regular expression flag.",MissingClassName:"A class name is required.",MissingEqInAssignment:"Only '=' operator can be used for specifying default value.",MissingSemicolon:"Missing semicolon.",MissingPlugin:({missingPlugin:jd})=>`This experimental syntax requires enabling the parser plugin: ${jd.map(Od=>JSON.stringify(Od)).join(", ")}.`,MissingOneOfPlugins:({missingPlugin:jd})=>`This experimental syntax requires enabling one of the following parser plugin(s): ${jd.map(Od=>JSON.stringify(Od)).join(", ")}.`,MissingUnicodeEscape:"Expecting Unicode escape sequence \\uXXXX.",MixingCoalesceWithLogical:"Nullish coalescing operator(??) requires parens when mixing with logical operators.",ModuleAttributeDifferentFromType:"The only accepted module attribute is `type`.",ModuleAttributeInvalidValue:"Only string literals are allowed as module attribute values.",ModuleAttributesWithDuplicateKeys:({key:jd})=>`Duplicate key "${jd}" is not allowed in module attributes.`,ModuleExportNameHasLoneSurrogate:({surrogateCharCode:jd})=>`An export name cannot include a lone surrogate, found '\\u${jd.toString(16)}'.`,ModuleExportUndefined:({localName:jd})=>`Export '${jd}' is not defined.`,MultipleDefaultsInSwitch:"Multiple default clauses.",NewlineAfterThrow:"Illegal newline after throw.",NoCatchOrFinally:"Missing catch or finally clause.",NumberIdentifier:"Identifier directly after number.",NumericSeparatorInEscapeSequence:"Numeric separators are not allowed inside unicode escape sequences or hex escape sequences.",ObsoleteAwaitStar:"'await*' has been removed from the async functions proposal. Use Promise.all() instead.",OptionalChainingNoNew:"Constructors in/after an Optional Chain are not allowed.",OptionalChainingNoTemplate:"Tagged Template Literals are not allowed in optionalChain.",OverrideOnConstructor:"'override' modifier cannot appear on a constructor declaration.",ParamDupe:"Argument name clash.",PatternHasAccessor:"Object pattern can't contain getter or setter.",PatternHasMethod:"Object pattern can't contain methods.",PrivateInExpectedIn:({identifierName:jd})=>`Private names are only allowed in property accesses (\`obj.#${jd}\`) or in \`in\` expressions (\`#${jd} in obj\`).`,PrivateNameRedeclaration:({identifierName:jd})=>`Duplicate private name #${jd}.`,RecordExpressionBarIncorrectEndSyntaxType:"Record expressions ending with '|}' are only allowed when the 'syntaxType' option of the 'recordAndTuple' plugin is set to 'bar'.",RecordExpressionBarIncorrectStartSyntaxType:"Record expressions starting with '{|' are only allowed when the 'syntaxType' option of the 'recordAndTuple' plugin is set to 'bar'.",RecordExpressionHashIncorrectStartSyntaxType:"Record expressions starting with '#{' are only allowed when the 'syntaxType' option of the 'recordAndTuple' plugin is set to 'hash'.",RecordNoProto:"'__proto__' is not allowed in Record expressions.",RestTrailingComma:"Unexpected trailing comma after rest element.",SloppyFunction:"In non-strict mode code, functions can only be declared at top level or inside a block.",SloppyFunctionAnnexB:"In non-strict mode code, functions can only be declared at top level, inside a block, or as the body of an if statement.",SourcePhaseImportRequiresDefault:'Only `import source x from "./module"` is valid.',StaticPrototype:"Classes may not have static property named prototype.",SuperNotAllowed:"`super()` is only valid inside a class constructor of a subclass. Maybe a typo in the method name ('constructor') or not extending another class?",SuperPrivateField:"Private fields can't be accessed on super.",TrailingDecorator:"Decorators must be attached to a class element.",TupleExpressionBarIncorrectEndSyntaxType:"Tuple expressions ending with '|]' are only allowed when the 'syntaxType' option of the 'recordAndTuple' plugin is set to 'bar'.",TupleExpressionBarIncorrectStartSyntaxType:"Tuple expressions starting with '[|' are only allowed when the 'syntaxType' option of the 'recordAndTuple' plugin is set to 'bar'.",TupleExpressionHashIncorrectStartSyntaxType:"Tuple expressions starting with '#[' are only allowed when the 'syntaxType' option of the 'recordAndTuple' plugin is set to 'hash'.",UnexpectedArgumentPlaceholder:"Unexpected argument placeholder.",UnexpectedAwaitAfterPipelineBody:'Unexpected "await" after pipeline body; await must have parentheses in minimal proposal.',UnexpectedDigitAfterHash:"Unexpected digit after hash token.",UnexpectedImportExport:"'import' and 'export' may only appear at the top level.",UnexpectedKeyword:({keyword:jd})=>`Unexpected keyword '${jd}'.`,UnexpectedLeadingDecorator:"Leading decorators must be attached to a class declaration.",UnexpectedLexicalDeclaration:"Lexical declaration cannot appear in a single-statement context.",UnexpectedNewTarget:"`new.target` can only be used in functions or class properties.",UnexpectedNumericSeparator:"A numeric separator is only allowed between two digits.",UnexpectedPrivateField:"Unexpected private name.",UnexpectedReservedWord:({reservedWord:jd})=>`Unexpected reserved word '${jd}'.`,UnexpectedSuper:"'super' is only allowed in object methods and classes.",UnexpectedToken:({expected:jd,unexpected:Od})=>`Unexpected token${Od?` '${Od}'.`:""}${jd?`, expected "${jd}"`:""}`,UnexpectedTokenUnaryExponentiation:"Illegal expression. Wrap left hand side or entire exponentiation in parentheses.",UnexpectedUsingDeclaration:"Using declaration cannot appear in the top level when source type is `script`.",UnsupportedBind:"Binding should be performed on object property.",UnsupportedDecoratorExport:"A decorated export must export a class declaration.",UnsupportedDefaultExport:"Only expressions, functions or classes are allowed as the `default` export.",UnsupportedImport:"`import` can only be used in `import()` or `import.meta`.",UnsupportedMetaProperty:({target:jd,onlyValidPropertyName:Od})=>`The only valid meta property for ${jd} is ${jd}.${Od}.`,UnsupportedParameterDecorator:"Decorators cannot be used to decorate parameters.",UnsupportedPropertyDecorator:"Decorators cannot be used to decorate object literal properties.",UnsupportedSuper:"'super' can only be used with function calls (i.e. super()) or in property accesses (i.e. super.prop or super[prop]).",UnterminatedComment:"Unterminated comment.",UnterminatedRegExp:"Unterminated regular expression.",UnterminatedString:"Unterminated string constant.",UnterminatedTemplate:"Unterminated template.",UsingDeclarationExport:"Using declaration cannot be exported.",UsingDeclarationHasBindingPattern:"Using declaration cannot have destructuring patterns.",VarRedeclaration:({identifierName:jd})=>`Identifier '${jd}' has already been declared.`,YieldBindingIdentifier:"Can not use 'yield' as identifier inside a generator.",YieldInParameter:"Yield expression is not allowed in formal parameters.",ZeroDigitNumericSeparator:"Numeric separator can not be used after leading 0."},ud={StrictDelete:"Deleting local variable in strict mode.",StrictEvalArguments:({referenceName:jd})=>`Assigning to '${jd}' in strict mode.`,StrictEvalArgumentsBinding:({bindingName:jd})=>`Binding '${jd}' in strict mode.`,StrictFunction:"In strict mode code, functions can only be declared at top level or inside a block.",StrictNumericEscape:"The only valid numeric escape in strict mode is '\\0'.",StrictOctalLiteral:"Legacy octal literals are not allowed in strict mode.",StrictWith:"'with' in strict mode."},_d=new Set(["ArrowFunctionExpression","AssignmentExpression","ConditionalExpression","YieldExpression"]),yd={PipeBodyIsTighter:"Unexpected yield after pipeline body; any yield expression acting as Hack-style pipe body must be parenthesized due to its loose operator precedence.",PipeTopicRequiresHackPipes:'Topic reference is used, but the pipelineOperator plugin was not passed a "proposal": "hack" or "smart" option.',PipeTopicUnbound:"Topic reference is unbound; it must be inside a pipe body.",PipeTopicUnconfiguredToken:({token:jd})=>`Invalid topic token ${jd}. In order to use ${jd} as a topic reference, the pipelineOperator plugin must be configured with { "proposal": "hack", "topicToken": "${jd}" }.`,PipeTopicUnused:"Hack-style pipe body does not contain a topic reference; Hack-style pipes must use topic at least once.",PipeUnparenthesizedBody:({type:jd})=>`Hack-style pipe body cannot be an unparenthesized ${ld({type:jd})}; please wrap it in parentheses.`,PipelineBodyNoArrow:'Unexpected arrow "=>" after pipeline body; arrow function in pipeline body must be parenthesized.',PipelineBodySequenceExpression:"Pipeline body may not be a comma-separated sequence expression.",PipelineHeadSequenceExpression:"Pipeline head should not be a comma-separated sequence expression.",PipelineTopicUnused:"Pipeline is in topic style but does not use topic reference.",PrimaryTopicNotAllowed:"Topic reference was used in a lexical context without topic binding.",PrimaryTopicRequiresSmartPipeline:'Topic reference is used, but the pipelineOperator plugin was not passed a "proposal": "hack" or "smart" option.'},gd=["toMessage"],Ed=["message"];function Td(jd,Od,Gd){Object.defineProperty(jd,Od,{enumerable:!1,configurable:!0,value:Gd})}function kd(jd){let{toMessage:Od}=jd,Gd=qa(jd,gd);return function df(Nf,Xf){let Th=new SyntaxError;return Object.assign(Th,Gd,{loc:Nf,pos:Nf.index}),"missingPlugin"in Xf&&Object.assign(Th,{missingPlugin:Xf.missingPlugin}),Td(Th,"clone",function(Ih={}){var zh;let{line:Uh,column:Sm,index:Wm}=(zh=Ih.loc)!=null?zh:Nf;return df(new Ja(Uh,Sm,Wm),Object.assign({},Xf,Ih.details))}),Td(Th,"details",Xf),Object.defineProperty(Th,"message",{configurable:!0,get(){let Ih=`${Od(Xf)} (${Nf.line}:${Nf.column})`;return this.message=Ih,Ih},set(Ih){Object.defineProperty(this,"message",{value:Ih,writable:!0})}}),Th}}function Rd(jd,Od){if(Array.isArray(jd))return df=>Rd(df,jd[0]);let Gd={};for(let df of Object.keys(jd)){let Nf=jd[df],Xf=typeof Nf=="string"?{message:()=>Nf}:typeof Nf=="function"?{message:Nf}:Nf,{message:Th}=Xf,Ih=qa(Xf,Ed),zh=typeof Th=="string"?()=>Th:Th;Gd[df]=kd(Object.assign({code:"BABEL_PARSER_SYNTAX_ERROR",reasonCode:df,toMessage:zh},Od?{syntaxPlugin:Od}:{},Ih))}return Gd}var Nd=Object.assign({},Rd(sd),Rd(cd),Rd(ud),Rd`pipelineOperator`(yd)),{defineProperty:Id}=Object,Md=(jd,Od)=>{jd&&Id(jd,Od,{enumerable:!1,value:jd[Od]})};function Ld(jd){return Md(jd.loc.start,"index"),Md(jd.loc.end,"index"),jd}var Pd=jd=>class extends jd{parse(){let Od=Ld(super.parse());return this.options.tokens&&(Od.tokens=Od.tokens.map(Ld)),Od}parseRegExpLiteral({pattern:Od,flags:Gd}){let df=null;try{df=new RegExp(Od,Gd)}catch{}let Nf=this.estreeParseLiteral(df);return Nf.regex={pattern:Od,flags:Gd},Nf}parseBigIntLiteral(Od){let Gd;try{Gd=BigInt(Od)}catch{Gd=null}let df=this.estreeParseLiteral(Gd);return df.bigint=String(df.value||Od),df}parseDecimalLiteral(Od){let Gd=this.estreeParseLiteral(null);return Gd.decimal=String(Gd.value||Od),Gd}estreeParseLiteral(Od){return this.parseLiteral(Od,"Literal")}parseStringLiteral(Od){return this.estreeParseLiteral(Od)}parseNumericLiteral(Od){return this.estreeParseLiteral(Od)}parseNullLiteral(){return this.estreeParseLiteral(null)}parseBooleanLiteral(Od){return this.estreeParseLiteral(Od)}directiveToStmt(Od){let Gd=Od.value;delete Od.value,Gd.type="Literal",Gd.raw=Gd.extra.raw,Gd.value=Gd.extra.expressionValue;let df=Od;return df.type="ExpressionStatement",df.expression=Gd,df.directive=Gd.extra.rawValue,delete Gd.extra,df}initFunction(Od,Gd){super.initFunction(Od,Gd),Od.expression=!1}checkDeclaration(Od){Od!=null&&this.isObjectProperty(Od)?this.checkDeclaration(Od.value):super.checkDeclaration(Od)}getObjectOrClassMethodParams(Od){return Od.value.params}isValidDirective(Od){var Gd;return Od.type==="ExpressionStatement"&&Od.expression.type==="Literal"&&typeof Od.expression.value=="string"&&!((Gd=Od.expression.extra)!=null&&Gd.parenthesized)}parseBlockBody(Od,Gd,df,Nf,Xf){super.parseBlockBody(Od,Gd,df,Nf,Xf);let Th=Od.directives.map(Ih=>this.directiveToStmt(Ih));Od.body=Th.concat(Od.body),delete Od.directives}pushClassMethod(Od,Gd,df,Nf,Xf,Th){this.parseMethod(Gd,df,Nf,Xf,Th,"ClassMethod",!0),Gd.typeParameters&&(Gd.value.typeParameters=Gd.typeParameters,delete Gd.typeParameters),Od.body.push(Gd)}parsePrivateName(){let Od=super.parsePrivateName();return this.getPluginOption("estree","classFeatures")?this.convertPrivateNameToPrivateIdentifier(Od):Od}convertPrivateNameToPrivateIdentifier(Od){let Gd=super.getPrivateNameSV(Od);return Od=Od,delete Od.id,Od.name=Gd,Od.type="PrivateIdentifier",Od}isPrivateName(Od){return this.getPluginOption("estree","classFeatures")?Od.type==="PrivateIdentifier":super.isPrivateName(Od)}getPrivateNameSV(Od){return this.getPluginOption("estree","classFeatures")?Od.name:super.getPrivateNameSV(Od)}parseLiteral(Od,Gd){let df=super.parseLiteral(Od,Gd);return df.raw=df.extra.raw,delete df.extra,df}parseFunctionBody(Od,Gd,df=!1){super.parseFunctionBody(Od,Gd,df),Od.expression=Od.body.type!=="BlockStatement"}parseMethod(Od,Gd,df,Nf,Xf,Th,Ih=!1){let zh=this.startNode();return zh.kind=Od.kind,zh=super.parseMethod(zh,Gd,df,Nf,Xf,Th,Ih),zh.type="FunctionExpression",delete zh.kind,Od.value=zh,Th==="ClassPrivateMethod"&&(Od.computed=!1),this.finishNode(Od,"MethodDefinition")}nameIsConstructor(Od){return Od.type==="Literal"?Od.value==="constructor":super.nameIsConstructor(Od)}parseClassProperty(...Od){let Gd=super.parseClassProperty(...Od);return this.getPluginOption("estree","classFeatures")&&(Gd.type="PropertyDefinition"),Gd}parseClassPrivateProperty(...Od){let Gd=super.parseClassPrivateProperty(...Od);return this.getPluginOption("estree","classFeatures")&&(Gd.type="PropertyDefinition",Gd.computed=!1),Gd}parseObjectMethod(Od,Gd,df,Nf,Xf){let Th=super.parseObjectMethod(Od,Gd,df,Nf,Xf);return Th&&(Th.type="Property",Th.kind==="method"&&(Th.kind="init"),Th.shorthand=!1),Th}parseObjectProperty(Od,Gd,df,Nf){let Xf=super.parseObjectProperty(Od,Gd,df,Nf);return Xf&&(Xf.kind="init",Xf.type="Property"),Xf}isValidLVal(Od,Gd,df){return Od==="Property"?"value":super.isValidLVal(Od,Gd,df)}isAssignable(Od,Gd){return Od!=null&&this.isObjectProperty(Od)?this.isAssignable(Od.value,Gd):super.isAssignable(Od,Gd)}toAssignable(Od,Gd=!1){if(Od!=null&&this.isObjectProperty(Od)){let{key:df,value:Nf}=Od;this.isPrivateName(df)&&this.classScope.usePrivateName(this.getPrivateNameSV(df),df.loc.start),this.toAssignable(Nf,Gd)}else super.toAssignable(Od,Gd)}toAssignableObjectExpressionProp(Od,Gd,df){Od.type==="Property"&&(Od.kind==="get"||Od.kind==="set")?this.raise(Nd.PatternHasAccessor,Od.key):Od.type==="Property"&&Od.method?this.raise(Nd.PatternHasMethod,Od.key):super.toAssignableObjectExpressionProp(Od,Gd,df)}finishCallExpression(Od,Gd){let df=super.finishCallExpression(Od,Gd);if(df.callee.type==="Import"){if(df.type="ImportExpression",df.source=df.arguments[0],this.hasPlugin("importAttributes")||this.hasPlugin("importAssertions")){var Nf,Xf;df.options=(Nf=df.arguments[1])!=null?Nf:null,df.attributes=(Xf=df.arguments[1])!=null?Xf:null}delete df.arguments,delete df.callee}return df}toReferencedArguments(Od){Od.type!=="ImportExpression"&&super.toReferencedArguments(Od)}parseExport(Od,Gd){let df=this.state.lastTokStartLoc,Nf=super.parseExport(Od,Gd);switch(Nf.type){case"ExportAllDeclaration":Nf.exported=null;break;case"ExportNamedDeclaration":Nf.specifiers.length===1&&Nf.specifiers[0].type==="ExportNamespaceSpecifier"&&(Nf.type="ExportAllDeclaration",Nf.exported=Nf.specifiers[0].exported,delete Nf.specifiers);case"ExportDefaultDeclaration":{var Xf;let{declaration:Th}=Nf;(Th==null?void 0:Th.type)==="ClassDeclaration"&&((Xf=Th.decorators)==null?void 0:Xf.length)>0&&Th.start===Nf.start&&this.resetStartLocation(Nf,df)}break}return Nf}parseSubscript(Od,Gd,df,Nf){let Xf=super.parseSubscript(Od,Gd,df,Nf);if(Nf.optionalChainMember){if((Xf.type==="OptionalMemberExpression"||Xf.type==="OptionalCallExpression")&&(Xf.type=Xf.type.substring(8)),Nf.stop){let Th=this.startNodeAtNode(Xf);return Th.expression=Xf,this.finishNode(Th,"ChainExpression")}}else(Xf.type==="MemberExpression"||Xf.type==="CallExpression")&&(Xf.optional=!1);return Xf}isOptionalMemberExpression(Od){return Od.type==="ChainExpression"?Od.expression.type==="MemberExpression":super.isOptionalMemberExpression(Od)}hasPropertyAsPrivateName(Od){return Od.type==="ChainExpression"&&(Od=Od.expression),super.hasPropertyAsPrivateName(Od)}isObjectProperty(Od){return Od.type==="Property"&&Od.kind==="init"&&!Od.method}isObjectMethod(Od){return Od.type==="Property"&&(Od.method||Od.kind==="get"||Od.kind==="set")}finishNodeAt(Od,Gd,df){return Ld(super.finishNodeAt(Od,Gd,df))}resetStartLocation(Od,Gd){super.resetStartLocation(Od,Gd),Ld(Od)}resetEndLocation(Od,Gd=this.state.lastTokEndLoc){super.resetEndLocation(Od,Gd),Ld(Od)}},qd=class{constructor(jd,Od){this.token=void 0,this.preserveSpace=void 0,this.token=jd,this.preserveSpace=!!Od}},Yd={brace:new qd("{"),j_oTag:new qd("<tag"),j_cTag:new qd("</tag"),j_expr:new qd("<tag>...</tag>",!0)};Yd.template=new qd("`",!0);var Ud=!0,Hd=!0,Vd=!0,Jd=!0,Zd=!0,pf=!0,Xd=class{constructor(jd,Od={}){this.label=void 0,this.keyword=void 0,this.beforeExpr=void 0,this.startsExpr=void 0,this.rightAssociative=void 0,this.isLoop=void 0,this.isAssign=void 0,this.prefix=void 0,this.postfix=void 0,this.binop=void 0,this.label=jd,this.keyword=Od.keyword,this.beforeExpr=!!Od.beforeExpr,this.startsExpr=!!Od.startsExpr,this.rightAssociative=!!Od.rightAssociative,this.isLoop=!!Od.isLoop,this.isAssign=!!Od.isAssign,this.prefix=!!Od.prefix,this.postfix=!!Od.postfix,this.binop=Od.binop!=null?Od.binop:null,this.updateContext=null}},hf=new Map;function _f(jd,Od={}){Od.keyword=jd;let Gd=Gf(jd,Od);return hf.set(jd,Gd),Gd}function xf(jd,Od){return Gf(jd,{beforeExpr:Ud,binop:Od})}var Lf=-1,Wf=[],Yf=[],If=[],Sf=[],wf=[],Kf=[];function Gf(jd,Od={}){var Gd,df,Nf,Xf;return++Lf,Yf.push(jd),If.push((Gd=Od.binop)!=null?Gd:-1),Sf.push((df=Od.beforeExpr)!=null?df:!1),wf.push((Nf=Od.startsExpr)!=null?Nf:!1),Kf.push((Xf=Od.prefix)!=null?Xf:!1),Wf.push(new Xd(jd,Od)),Lf}function gf(jd,Od={}){var Gd,df,Nf,Xf;return++Lf,hf.set(jd,Lf),Yf.push(jd),If.push((Gd=Od.binop)!=null?Gd:-1),Sf.push((df=Od.beforeExpr)!=null?df:!1),wf.push((Nf=Od.startsExpr)!=null?Nf:!1),Kf.push((Xf=Od.prefix)!=null?Xf:!1),Wf.push(new Xd("name",Od)),Lf}var mf={bracketL:Gf("[",{beforeExpr:Ud,startsExpr:Hd}),bracketHashL:Gf("#[",{beforeExpr:Ud,startsExpr:Hd}),bracketBarL:Gf("[|",{beforeExpr:Ud,startsExpr:Hd}),bracketR:Gf("]"),bracketBarR:Gf("|]"),braceL:Gf("{",{beforeExpr:Ud,startsExpr:Hd}),braceBarL:Gf("{|",{beforeExpr:Ud,startsExpr:Hd}),braceHashL:Gf("#{",{beforeExpr:Ud,startsExpr:Hd}),braceR:Gf("}"),braceBarR:Gf("|}"),parenL:Gf("(",{beforeExpr:Ud,startsExpr:Hd}),parenR:Gf(")"),comma:Gf(",",{beforeExpr:Ud}),semi:Gf(";",{beforeExpr:Ud}),colon:Gf(":",{beforeExpr:Ud}),doubleColon:Gf("::",{beforeExpr:Ud}),dot:Gf("."),question:Gf("?",{beforeExpr:Ud}),questionDot:Gf("?."),arrow:Gf("=>",{beforeExpr:Ud}),template:Gf("template"),ellipsis:Gf("...",{beforeExpr:Ud}),backQuote:Gf("`",{startsExpr:Hd}),dollarBraceL:Gf("${",{beforeExpr:Ud,startsExpr:Hd}),templateTail:Gf("...`",{startsExpr:Hd}),templateNonTail:Gf("...${",{beforeExpr:Ud,startsExpr:Hd}),at:Gf("@"),hash:Gf("#",{startsExpr:Hd}),interpreterDirective:Gf("#!..."),eq:Gf("=",{beforeExpr:Ud,isAssign:Jd}),assign:Gf("_=",{beforeExpr:Ud,isAssign:Jd}),slashAssign:Gf("_=",{beforeExpr:Ud,isAssign:Jd}),xorAssign:Gf("_=",{beforeExpr:Ud,isAssign:Jd}),moduloAssign:Gf("_=",{beforeExpr:Ud,isAssign:Jd}),incDec:Gf("++/--",{prefix:Zd,postfix:pf,startsExpr:Hd}),bang:Gf("!",{beforeExpr:Ud,prefix:Zd,startsExpr:Hd}),tilde:Gf("~",{beforeExpr:Ud,prefix:Zd,startsExpr:Hd}),doubleCaret:Gf("^^",{startsExpr:Hd}),doubleAt:Gf("@@",{startsExpr:Hd}),pipeline:xf("|>",0),nullishCoalescing:xf("??",1),logicalOR:xf("||",1),logicalAND:xf("&&",2),bitwiseOR:xf("|",3),bitwiseXOR:xf("^",4),bitwiseAND:xf("&",5),equality:xf("==/!=/===/!==",6),lt:xf("</>/<=/>=",7),gt:xf("</>/<=/>=",7),relational:xf("</>/<=/>=",7),bitShift:xf("<</>>/>>>",8),bitShiftL:xf("<</>>/>>>",8),bitShiftR:xf("<</>>/>>>",8),plusMin:Gf("+/-",{beforeExpr:Ud,binop:9,prefix:Zd,startsExpr:Hd}),modulo:Gf("%",{binop:10,startsExpr:Hd}),star:Gf("*",{binop:10}),slash:xf("/",10),exponent:Gf("**",{beforeExpr:Ud,binop:11,rightAssociative:!0}),_in:_f("in",{beforeExpr:Ud,binop:7}),_instanceof:_f("instanceof",{beforeExpr:Ud,binop:7}),_break:_f("break"),_case:_f("case",{beforeExpr:Ud}),_catch:_f("catch"),_continue:_f("continue"),_debugger:_f("debugger"),_default:_f("default",{beforeExpr:Ud}),_else:_f("else",{beforeExpr:Ud}),_finally:_f("finally"),_function:_f("function",{startsExpr:Hd}),_if:_f("if"),_return:_f("return",{beforeExpr:Ud}),_switch:_f("switch"),_throw:_f("throw",{beforeExpr:Ud,prefix:Zd,startsExpr:Hd}),_try:_f("try"),_var:_f("var"),_const:_f("const"),_with:_f("with"),_new:_f("new",{beforeExpr:Ud,startsExpr:Hd}),_this:_f("this",{startsExpr:Hd}),_super:_f("super",{startsExpr:Hd}),_class:_f("class",{startsExpr:Hd}),_extends:_f("extends",{beforeExpr:Ud}),_export:_f("export"),_import:_f("import",{startsExpr:Hd}),_null:_f("null",{startsExpr:Hd}),_true:_f("true",{startsExpr:Hd}),_false:_f("false",{startsExpr:Hd}),_typeof:_f("typeof",{beforeExpr:Ud,prefix:Zd,startsExpr:Hd}),_void:_f("void",{beforeExpr:Ud,prefix:Zd,startsExpr:Hd}),_delete:_f("delete",{beforeExpr:Ud,prefix:Zd,startsExpr:Hd}),_do:_f("do",{isLoop:Vd,beforeExpr:Ud}),_for:_f("for",{isLoop:Vd}),_while:_f("while",{isLoop:Vd}),_as:gf("as",{startsExpr:Hd}),_assert:gf("assert",{startsExpr:Hd}),_async:gf("async",{startsExpr:Hd}),_await:gf("await",{startsExpr:Hd}),_defer:gf("defer",{startsExpr:Hd}),_from:gf("from",{startsExpr:Hd}),_get:gf("get",{startsExpr:Hd}),_let:gf("let",{startsExpr:Hd}),_meta:gf("meta",{startsExpr:Hd}),_of:gf("of",{startsExpr:Hd}),_sent:gf("sent",{startsExpr:Hd}),_set:gf("set",{startsExpr:Hd}),_source:gf("source",{startsExpr:Hd}),_static:gf("static",{startsExpr:Hd}),_using:gf("using",{startsExpr:Hd}),_yield:gf("yield",{startsExpr:Hd}),_asserts:gf("asserts",{startsExpr:Hd}),_checks:gf("checks",{startsExpr:Hd}),_exports:gf("exports",{startsExpr:Hd}),_global:gf("global",{startsExpr:Hd}),_implements:gf("implements",{startsExpr:Hd}),_intrinsic:gf("intrinsic",{startsExpr:Hd}),_infer:gf("infer",{startsExpr:Hd}),_is:gf("is",{startsExpr:Hd}),_mixins:gf("mixins",{startsExpr:Hd}),_proto:gf("proto",{startsExpr:Hd}),_require:gf("require",{startsExpr:Hd}),_satisfies:gf("satisfies",{startsExpr:Hd}),_keyof:gf("keyof",{startsExpr:Hd}),_readonly:gf("readonly",{startsExpr:Hd}),_unique:gf("unique",{startsExpr:Hd}),_abstract:gf("abstract",{startsExpr:Hd}),_declare:gf("declare",{startsExpr:Hd}),_enum:gf("enum",{startsExpr:Hd}),_module:gf("module",{startsExpr:Hd}),_namespace:gf("namespace",{startsExpr:Hd}),_interface:gf("interface",{startsExpr:Hd}),_type:gf("type",{startsExpr:Hd}),_opaque:gf("opaque",{startsExpr:Hd}),name:Gf("name",{startsExpr:Hd}),string:Gf("string",{startsExpr:Hd}),num:Gf("num",{startsExpr:Hd}),bigint:Gf("bigint",{startsExpr:Hd}),decimal:Gf("decimal",{startsExpr:Hd}),regexp:Gf("regexp",{startsExpr:Hd}),privateName:Gf("#name",{startsExpr:Hd}),eof:Gf("eof"),jsxName:Gf("jsxName"),jsxText:Gf("jsxText",{beforeExpr:!0}),jsxTagStart:Gf("jsxTagStart",{startsExpr:!0}),jsxTagEnd:Gf("jsxTagEnd"),placeholder:Gf("%%",{startsExpr:!0})};function $f(jd){return jd>=93&&jd<=132}function zf(jd){return jd<=92}function hh(jd){return jd>=58&&jd<=132}function Vf(jd){return jd>=58&&jd<=136}function kf(jd){return Sf[jd]}function Jf(jd){return wf[jd]}function Ch(jd){return jd>=29&&jd<=33}function qf(jd){return jd>=129&&jd<=131}function Tf(jd){return jd>=90&&jd<=92}function Af(jd){return jd>=58&&jd<=92}function Pf(jd){return jd>=39&&jd<=59}function gh(jd){return jd===34}function Nh(jd){return Kf[jd]}function dh(jd){return jd>=121&&jd<=123}function $h(jd){return jd>=124&&jd<=130}function Rh(jd){return Yf[jd]}function jh(jd){return If[jd]}function Zh(jd){return jd===57}function Wh(jd){return jd>=24&&jd<=25}function sm(jd){return Wf[jd]}Wf[8].updateContext=jd=>{jd.pop()},Wf[5].updateContext=Wf[7].updateContext=Wf[23].updateContext=jd=>{jd.push(Yd.brace)},Wf[22].updateContext=jd=>{jd[jd.length-1]===Yd.template?jd.pop():jd.push(Yd.template)},Wf[142].updateContext=jd=>{jd.push(Yd.j_expr,Yd.j_oTag)};var fm="ªµºÀ-ÖØ-öø-ˁˆ-ˑˠ-ˤˬˮͰ-ʹͶͷͺ-ͽͿΆΈ-ΊΌΎ-ΡΣ-ϵϷ-ҁҊ-ԯԱ-Ֆՙՠ-ֈא-תׯ-ײؠ-يٮٯٱ-ۓەۥۦۮۯۺ-ۼۿܐܒ-ܯݍ-ޥޱߊ-ߪߴߵߺࠀ-ࠕࠚࠤࠨࡀ-ࡘࡠ-ࡪࡰ-ࢇࢉ-ࢎࢠ-ࣉऄ-हऽॐक़-ॡॱ-ঀঅ-ঌএঐও-নপ-রলশ-হঽৎড়ঢ়য়-ৡৰৱৼਅ-ਊਏਐਓ-ਨਪ-ਰਲਲ਼ਵਸ਼ਸਹਖ਼-ੜਫ਼ੲ-ੴઅ-ઍએ-ઑઓ-નપ-રલળવ-હઽૐૠૡૹଅ-ଌଏଐଓ-ନପ-ରଲଳଵ-ହଽଡ଼ଢ଼ୟ-ୡୱஃஅ-ஊஎ-ஐஒ-கஙசஜஞடணதந-பம-ஹௐఅ-ఌఎ-ఐఒ-నప-హఽౘ-ౚౝౠౡಀಅ-ಌಎ-ಐಒ-ನಪ-ಳವ-ಹಽೝೞೠೡೱೲഄ-ഌഎ-ഐഒ-ഺഽൎൔ-ൖൟ-ൡൺ-ൿඅ-ඖක-නඳ-රලව-ෆก-ะาำเ-ๆກຂຄຆ-ຊຌ-ຣລວ-ະາຳຽເ-ໄໆໜ-ໟༀཀ-ཇཉ-ཬྈ-ྌက-ဪဿၐ-ၕၚ-ၝၡၥၦၮ-ၰၵ-ႁႎႠ-ჅჇჍა-ჺჼ-ቈቊ-ቍቐ-ቖቘቚ-ቝበ-ኈኊ-ኍነ-ኰኲ-ኵኸ-ኾዀዂ-ዅወ-ዖዘ-ጐጒ-ጕጘ-ፚᎀ-ᎏᎠ-Ᏽᏸ-ᏽᐁ-ᙬᙯ-ᙿᚁ-ᚚᚠ-ᛪᛮ-ᛸᜀ-ᜑᜟ-ᜱᝀ-ᝑᝠ-ᝬᝮ-ᝰក-ឳៗៜᠠ-ᡸᢀ-ᢨᢪᢰ-ᣵᤀ-ᤞᥐ-ᥭᥰ-ᥴᦀ-ᦫᦰ-ᧉᨀ-ᨖᨠ-ᩔᪧᬅ-ᬳᭅ-ᭌᮃ-ᮠᮮᮯᮺ-ᯥᰀ-ᰣᱍ-ᱏᱚ-ᱽᲀ-ᲈᲐ-ᲺᲽ-Ჿᳩ-ᳬᳮ-ᳳᳵᳶᳺᴀ-ᶿḀ-ἕἘ-Ἕἠ-ὅὈ-Ὅὐ-ὗὙὛὝὟ-ώᾀ-ᾴᾶ-ᾼιῂ-ῄῆ-ῌῐ-ΐῖ-Ίῠ-Ῥῲ-ῴῶ-ῼⁱⁿₐ-ₜℂℇℊ-ℓℕ℘-ℝℤΩℨK-ℹℼ-ℿⅅ-ⅉⅎⅠ-ↈⰀ-ⳤⳫ-ⳮⳲⳳⴀ-ⴥⴧⴭⴰ-ⵧⵯⶀ-ⶖⶠ-ⶦⶨ-ⶮⶰ-ⶶⶸ-ⶾⷀ-ⷆⷈ-ⷎⷐ-ⷖⷘ-ⷞ々-〇〡-〩〱-〵〸-〼ぁ-ゖ゛-ゟァ-ヺー-ヿㄅ-ㄯㄱ-ㆎㆠ-ㆿㇰ-ㇿ㐀-䶿一-ꒌꓐ-ꓽꔀ-ꘌꘐ-ꘟꘪꘫꙀ-ꙮꙿ-ꚝꚠ-ꛯꜗ-ꜟꜢ-ꞈꞋ-ꟊꟐꟑꟓꟕ-ꟙꟲ-ꠁꠃ-ꠅꠇ-ꠊꠌ-ꠢꡀ-ꡳꢂ-ꢳꣲ-ꣷꣻꣽꣾꤊ-ꤥꤰ-ꥆꥠ-ꥼꦄ-ꦲꧏꧠ-ꧤꧦ-ꧯꧺ-ꧾꨀ-ꨨꩀ-ꩂꩄ-ꩋꩠ-ꩶꩺꩾ-ꪯꪱꪵꪶꪹ-ꪽꫀꫂꫛ-ꫝꫠ-ꫪꫲ-ꫴꬁ-ꬆꬉ-ꬎꬑ-ꬖꬠ-ꬦꬨ-ꬮꬰ-ꭚꭜ-ꭩꭰ-ꯢ가-힣ힰ-ퟆퟋ-ퟻ豈-舘並-龎ff-stﬓ-ﬗיִײַ-ﬨשׁ-זּטּ-לּמּנּסּףּפּצּ-ﮱﯓ-ﴽﵐ-ﶏﶒ-ﷇﷰ-ﷻﹰ-ﹴﹶ-ﻼA-Za-zヲ-하-ᅦᅧ-ᅬᅭ-ᅲᅳ-ᅵ",ih="·̀-ͯ·҃-֑҇-ׇֽֿׁׂׅׄؐ-ًؚ-٩ٰۖ-ۜ۟-۪ۤۧۨ-ۭ۰-۹ܑܰ-݊ަ-ް߀-߉߫-߽߳ࠖ-࠙ࠛ-ࠣࠥ-ࠧࠩ-࡙࠭-࡛࢘-࢟࣊-ࣣ࣡-ःऺ-़ा-ॏ॑-ॗॢॣ०-९ঁ-ঃ়া-ৄেৈো-্ৗৢৣ০-৯৾ਁ-ਃ਼ਾ-ੂੇੈੋ-੍ੑ੦-ੱੵઁ-ઃ઼ા-ૅે-ૉો-્ૢૣ૦-૯ૺ-૿ଁ-ଃ଼ା-ୄେୈୋ-୍୕-ୗୢୣ୦-୯ஂா-ூெ-ைொ-்ௗ௦-௯ఀ-ఄ఼ా-ౄె-ైొ-్ౕౖౢౣ౦-౯ಁ-ಃ಼ಾ-ೄೆ-ೈೊ-್ೕೖೢೣ೦-೯ೳഀ-ഃ഻഼ാ-ൄെ-ൈൊ-്ൗൢൣ൦-൯ඁ-ඃ්ා-ුූෘ-ෟ෦-෯ෲෳัิ-ฺ็-๎๐-๙ັິ-ຼ່-໎໐-໙༘༙༠-༩༹༵༷༾༿ཱ-྄྆྇ྍ-ྗྙ-ྼ࿆ါ-ှ၀-၉ၖ-ၙၞ-ၠၢ-ၤၧ-ၭၱ-ၴႂ-ႍႏ-ႝ፝-፟፩-፱ᜒ-᜕ᜲ-᜴ᝒᝓᝲᝳ឴-៓៝០-៩᠋-᠍᠏-᠙ᢩᤠ-ᤫᤰ-᤻᥆-᥏᧐-᧚ᨗ-ᨛᩕ-ᩞ᩠-᩿᩼-᪉᪐-᪙᪰-᪽ᪿ-ᫎᬀ-ᬄ᬴-᭄᭐-᭙᭫-᭳ᮀ-ᮂᮡ-ᮭ᮰-᮹᯦-᯳ᰤ-᰷᱀-᱉᱐-᱙᳐-᳔᳒-᳨᳭᳴᳷-᳹᷀-᷿‿⁀⁔⃐-⃥⃜⃡-⃰⳯-⵿⳱ⷠ-〪ⷿ-゙゚〯・꘠-꘩꙯ꙴ-꙽ꚞꚟ꛰꛱ꠂ꠆ꠋꠣ-ꠧ꠬ꢀꢁꢴ-ꣅ꣐-꣙꣠-꣱ꣿ-꤉ꤦ-꤭ꥇ-꥓ꦀ-ꦃ꦳-꧀꧐-꧙ꧥ꧰-꧹ꨩ-ꨶꩃꩌꩍ꩐-꩙ꩻ-ꩽꪰꪲ-ꪴꪷꪸꪾ꪿꫁ꫫ-ꫯꫵ꫶ꯣ-ꯪ꯬꯭꯰-꯹ﬞ︀-️︠-︯︳︴﹍-﹏0-9_・",Rf=new RegExp("["+fm+"]"),Df=new RegExp("["+fm+ih+"]");fm=ih=null;var Zf=[0,11,2,25,2,18,2,1,2,14,3,13,35,122,70,52,268,28,4,48,48,31,14,29,6,37,11,29,3,35,5,7,2,4,43,157,19,35,5,35,5,39,9,51,13,10,2,14,2,6,2,1,2,10,2,14,2,6,2,1,68,310,10,21,11,7,25,5,2,41,2,8,70,5,3,0,2,43,2,1,4,0,3,22,11,22,10,30,66,18,2,1,11,21,11,25,71,55,7,1,65,0,16,3,2,2,2,28,43,28,4,28,36,7,2,27,28,53,11,21,11,18,14,17,111,72,56,50,14,50,14,35,349,41,7,1,79,28,11,0,9,21,43,17,47,20,28,22,13,52,58,1,3,0,14,44,33,24,27,35,30,0,3,0,9,34,4,0,13,47,15,3,22,0,2,0,36,17,2,24,20,1,64,6,2,0,2,3,2,14,2,9,8,46,39,7,3,1,3,21,2,6,2,1,2,4,4,0,19,0,13,4,159,52,19,3,21,2,31,47,21,1,2,0,185,46,42,3,37,47,21,0,60,42,14,0,72,26,38,6,186,43,117,63,32,7,3,0,3,7,2,1,2,23,16,0,2,0,95,7,3,38,17,0,2,0,29,0,11,39,8,0,22,0,12,45,20,0,19,72,264,8,2,36,18,0,50,29,113,6,2,1,2,37,22,0,26,5,2,1,2,31,15,0,328,18,16,0,2,12,2,33,125,0,80,921,103,110,18,195,2637,96,16,1071,18,5,4026,582,8634,568,8,30,18,78,18,29,19,47,17,3,32,20,6,18,689,63,129,74,6,0,67,12,65,1,2,0,29,6135,9,1237,43,8,8936,3,2,6,2,1,2,290,16,0,30,2,3,0,15,3,9,395,2309,106,6,12,4,8,8,9,5991,84,2,70,2,1,3,0,3,1,3,3,2,11,2,0,2,6,2,64,2,3,3,7,2,6,2,27,2,3,2,4,2,0,4,6,2,339,3,24,2,24,2,30,2,24,2,30,2,24,2,30,2,24,2,30,2,24,2,7,1845,30,7,5,262,61,147,44,11,6,17,0,322,29,19,43,485,27,757,6,2,3,2,1,2,14,2,196,60,67,8,0,1205,3,2,26,2,1,2,0,3,0,2,9,2,3,2,0,2,0,7,0,5,0,2,0,2,0,2,2,2,1,2,0,3,0,2,0,2,0,2,0,2,0,2,1,2,0,3,3,2,6,2,3,2,3,2,0,2,9,2,16,6,2,2,4,2,16,4421,42719,33,4153,7,221,3,5761,15,7472,16,621,2467,541,1507,4938,6,4191],bh=[509,0,227,0,150,4,294,9,1368,2,2,1,6,3,41,2,5,0,166,1,574,3,9,9,370,1,81,2,71,10,50,3,123,2,54,14,32,10,3,1,11,3,46,10,8,0,46,9,7,2,37,13,2,9,6,1,45,0,13,2,49,13,9,3,2,11,83,11,7,0,3,0,158,11,6,9,7,3,56,1,2,6,3,1,3,2,10,0,11,1,3,6,4,4,193,17,10,9,5,0,82,19,13,9,214,6,3,8,28,1,83,16,16,9,82,12,9,9,84,14,5,9,243,14,166,9,71,5,2,1,3,3,2,0,2,1,13,9,120,6,3,6,4,0,29,9,41,6,2,3,9,0,10,10,47,15,406,7,2,7,17,9,57,21,2,13,123,5,4,0,2,1,2,6,2,0,9,9,49,4,2,1,2,4,9,9,330,3,10,1,2,0,49,6,4,4,14,9,5351,0,7,14,13835,9,87,9,39,4,60,6,26,9,1014,0,2,54,8,3,82,0,12,1,19628,1,4706,45,3,22,543,4,4,5,9,7,3,6,31,3,149,2,1418,49,513,54,5,49,9,0,15,0,23,4,2,14,1361,6,2,16,3,6,2,1,2,4,101,0,161,6,10,9,357,0,62,13,499,13,983,6,110,6,6,9,4759,9,787719,239];function Lh(jd,Od){let Gd=65536;for(let df=0,Nf=Od.length;df<Nf;df+=2){if(Gd+=Od[df],Gd>jd)return!1;if(Gd+=Od[df+1],Gd>=jd)return!0}return!1}function Hh(jd){return jd<65?jd===36:jd<=90?!0:jd<97?jd===95:jd<=122?!0:jd<=65535?jd>=170&&Rf.test(String.fromCharCode(jd)):Lh(jd,Zf)}function Xh(jd){return jd<48?jd===36:jd<58?!0:jd<65?!1:jd<=90?!0:jd<97?jd===95:jd<=122?!0:jd<=65535?jd>=170&&Df.test(String.fromCharCode(jd)):Lh(jd,Zf)||Lh(jd,bh)}var gm={keyword:["break","case","catch","continue","debugger","default","do","else","finally","for","function","if","return","switch","throw","try","var","const","while","with","new","this","super","class","extends","export","import","null","true","false","in","instanceof","typeof","void","delete"],strict:["implements","interface","let","package","private","protected","public","static","yield"],strictBind:["eval","arguments"]},om=new Set(gm.keyword),Gm=new Set(gm.strict),Fm=new Set(gm.strictBind);function Om(jd,Od){return Od&&jd==="await"||jd==="enum"}function Jm(jd,Od){return Om(jd,Od)||Gm.has(jd)}function N1(jd){return Fm.has(jd)}function R1(jd,Od){return Jm(jd,Od)||N1(jd)}function x1(jd){return om.has(jd)}function Xm(jd,Od,Gd){return jd===64&&Od===64&&Hh(Gd)}var F1=new Set(["break","case","catch","continue","debugger","default","do","else","finally","for","function","if","return","switch","throw","try","var","const","while","with","new","this","super","class","extends","export","import","null","true","false","in","instanceof","typeof","void","delete","implements","interface","let","package","private","protected","public","static","yield","eval","arguments","enum","await"]);function y1(jd){return F1.has(jd)}var V1=class{constructor(jd){this.flags=0,this.names=new Map,this.firstLexicalName="",this.flags=jd}},Z1=class{constructor(jd,Od){this.parser=void 0,this.scopeStack=[],this.inModule=void 0,this.undefinedExports=new Map,this.parser=jd,this.inModule=Od}get inTopLevel(){return(this.currentScope().flags&1)>0}get inFunction(){return(this.currentVarScopeFlags()&2)>0}get allowSuper(){return(this.currentThisScopeFlags()&16)>0}get allowDirectSuper(){return(this.currentThisScopeFlags()&32)>0}get inClass(){return(this.currentThisScopeFlags()&64)>0}get inClassAndNotInNonArrowFunction(){let jd=this.currentThisScopeFlags();return(jd&64)>0&&(jd&2)===0}get inStaticBlock(){for(let jd=this.scopeStack.length-1;;jd--){let{flags:Od}=this.scopeStack[jd];if(Od&128)return!0;if(Od&451)return!1}}get inNonArrowFunction(){return(this.currentThisScopeFlags()&2)>0}get treatFunctionsAsVar(){return this.treatFunctionsAsVarInScope(this.currentScope())}createScope(jd){return new V1(jd)}enter(jd){this.scopeStack.push(this.createScope(jd))}exit(){return this.scopeStack.pop().flags}treatFunctionsAsVarInScope(jd){return!!(jd.flags&130||!this.parser.inModule&&jd.flags&1)}declareName(jd,Od,Gd){let df=this.currentScope();if(Od&8||Od&16){this.checkRedeclarationInScope(df,jd,Od,Gd);let Nf=df.names.get(jd)||0;Od&16?Nf=Nf|4:(df.firstLexicalName||(df.firstLexicalName=jd),Nf=Nf|2),df.names.set(jd,Nf),Od&8&&this.maybeExportDefined(df,jd)}else if(Od&4)for(let Nf=this.scopeStack.length-1;Nf>=0&&(df=this.scopeStack[Nf],this.checkRedeclarationInScope(df,jd,Od,Gd),df.names.set(jd,(df.names.get(jd)||0)|1),this.maybeExportDefined(df,jd),!(df.flags&387));--Nf);this.parser.inModule&&df.flags&1&&this.undefinedExports.delete(jd)}maybeExportDefined(jd,Od){this.parser.inModule&&jd.flags&1&&this.undefinedExports.delete(Od)}checkRedeclarationInScope(jd,Od,Gd,df){this.isRedeclaredInScope(jd,Od,Gd)&&this.parser.raise(Nd.VarRedeclaration,df,{identifierName:Od})}isRedeclaredInScope(jd,Od,Gd){if(!(Gd&1))return!1;if(Gd&8)return jd.names.has(Od);let df=jd.names.get(Od);return Gd&16?(df&2)>0||!this.treatFunctionsAsVarInScope(jd)&&(df&1)>0:(df&2)>0&&!(jd.flags&8&&jd.firstLexicalName===Od)||!this.treatFunctionsAsVarInScope(jd)&&(df&4)>0}checkLocalExport(jd){let{name:Od}=jd;this.scopeStack[0].names.has(Od)||this.undefinedExports.set(Od,jd.loc.start)}currentScope(){return this.scopeStack[this.scopeStack.length-1]}currentVarScopeFlags(){for(let jd=this.scopeStack.length-1;;jd--){let{flags:Od}=this.scopeStack[jd];if(Od&387)return Od}}currentThisScopeFlags(){for(let jd=this.scopeStack.length-1;;jd--){let{flags:Od}=this.scopeStack[jd];if(Od&451&&!(Od&4))return Od}}},I1=class extends V1{constructor(...jd){super(...jd),this.declareFunctions=new Set}},O1=class extends Z1{createScope(jd){return new I1(jd)}declareName(jd,Od,Gd){let df=this.currentScope();if(Od&2048){this.checkRedeclarationInScope(df,jd,Od,Gd),this.maybeExportDefined(df,jd),df.declareFunctions.add(jd);return}super.declareName(jd,Od,Gd)}isRedeclaredInScope(jd,Od,Gd){if(super.isRedeclaredInScope(jd,Od,Gd))return!0;if(Gd&2048&&!jd.declareFunctions.has(Od)){let df=jd.names.get(Od);return(df&4)>0||(df&2)>0}return!1}checkLocalExport(jd){this.scopeStack[0].declareFunctions.has(jd.name)||super.checkLocalExport(jd)}},jm=class{constructor(){this.sawUnambiguousESM=!1,this.ambiguousScriptDifferentAst=!1}hasPlugin(jd){if(typeof jd=="string")return this.plugins.has(jd);{let[Od,Gd]=jd;if(!this.hasPlugin(Od))return!1;let df=this.plugins.get(Od);for(let Nf of Object.keys(Gd))if((df==null?void 0:df[Nf])!==Gd[Nf])return!1;return!0}}getPluginOption(jd,Od){var Gd;return(Gd=this.plugins.get(jd))==null?void 0:Gd[Od]}};function g1(jd,Od){jd.trailingComments===void 0?jd.trailingComments=Od:jd.trailingComments.unshift(...Od)}function l0(jd,Od){jd.leadingComments===void 0?jd.leadingComments=Od:jd.leadingComments.unshift(...Od)}function B1(jd,Od){jd.innerComments===void 0?jd.innerComments=Od:jd.innerComments.unshift(...Od)}function yf(jd,Od,Gd){let df=null,Nf=Od.length;for(;df===null&&Nf>0;)df=Od[--Nf];df===null||df.start>Gd.start?B1(jd,Gd.comments):g1(df,Gd.comments)}var ph=class extends jm{addComment(jd){this.filename&&(jd.loc.filename=this.filename);let{commentsLen:Od}=this.state;this.comments.length!==Od&&(this.comments.length=Od),this.comments.push(jd),this.state.commentsLen++}processComment(jd){let{commentStack:Od}=this.state,Gd=Od.length;if(Gd===0)return;let df=Gd-1,Nf=Od[df];Nf.start===jd.end&&(Nf.leadingNode=jd,df--);let{start:Xf}=jd;for(;df>=0;df--){let Th=Od[df],Ih=Th.end;if(Ih>Xf)Th.containingNode=jd,this.finalizeComment(Th),Od.splice(df,1);else{Ih===Xf&&(Th.trailingNode=jd);break}}}finalizeComment(jd){let{comments:Od}=jd;if(jd.leadingNode!==null||jd.trailingNode!==null)jd.leadingNode!==null&&g1(jd.leadingNode,Od),jd.trailingNode!==null&&l0(jd.trailingNode,Od);else{let{containingNode:Gd,start:df}=jd;if(this.input.charCodeAt(df-1)===44)switch(Gd.type){case"ObjectExpression":case"ObjectPattern":case"RecordExpression":yf(Gd,Gd.properties,jd);break;case"CallExpression":case"OptionalCallExpression":yf(Gd,Gd.arguments,jd);break;case"FunctionDeclaration":case"FunctionExpression":case"ArrowFunctionExpression":case"ObjectMethod":case"ClassMethod":case"ClassPrivateMethod":yf(Gd,Gd.params,jd);break;case"ArrayExpression":case"ArrayPattern":case"TupleExpression":yf(Gd,Gd.elements,jd);break;case"ExportNamedDeclaration":case"ImportDeclaration":yf(Gd,Gd.specifiers,jd);break;default:B1(Gd,Od)}else B1(Gd,Od)}}finalizeRemainingComments(){let{commentStack:jd}=this.state;for(let Od=jd.length-1;Od>=0;Od--)this.finalizeComment(jd[Od]);this.state.commentStack=[]}resetPreviousNodeTrailingComments(jd){let{commentStack:Od}=this.state,{length:Gd}=Od;if(Gd===0)return;let df=Od[Gd-1];df.leadingNode===jd&&(df.leadingNode=null)}resetPreviousIdentifierLeadingComments(jd){let{commentStack:Od}=this.state,{length:Gd}=Od;Gd!==0&&(Od[Gd-1].trailingNode===jd?Od[Gd-1].trailingNode=null:Gd>=2&&Od[Gd-2].trailingNode===jd&&(Od[Gd-2].trailingNode=null))}takeSurroundingComments(jd,Od,Gd){let{commentStack:df}=this.state,Nf=df.length;if(Nf===0)return;let Xf=Nf-1;for(;Xf>=0;Xf--){let Th=df[Xf],Ih=Th.end;if(Th.start===Gd)Th.leadingNode=jd;else if(Ih===Od)Th.trailingNode=jd;else if(Ih<Od)break}}},sh=/\r\n?|[\n\u2028\u2029]/,yh=new RegExp(sh.source,"g");function Bh(jd){switch(jd){case 10:case 13:case 8232:case 8233:return!0;default:return!1}}var Mh=/(?:\s|\/\/.*|\/\*[^]*?\*\/)*/g,Gh=/(?:[^\S\n\r\u2028\u2029]|\/\/.*|\/\*.*?\*\/)*/g,Fh=new RegExp("(?=("+Gh.source+"))\\1"+/(?=[\n\r\u2028\u2029]|\/\*(?!.*?\*\/)|$)/.source,"y");function Ah(jd){switch(jd){case 9:case 11:case 12:case 32:case 160:case 5760:case 8192:case 8193:case 8194:case 8195:case 8196:case 8197:case 8198:case 8199:case 8200:case 8201:case 8202:case 8239:case 8287:case 12288:case 65279:return!0;default:return!1}}var cm=class eS{constructor(){this.flags=1024,this.curLine=void 0,this.lineStart=void 0,this.startLoc=void 0,this.endLoc=void 0,this.errors=[],this.potentialArrowAt=-1,this.noArrowAt=[],this.noArrowParamsConversionAt=[],this.topicContext={maxNumOfResolvableTopics:0,maxTopicIndex:null},this.labels=[],this.commentsLen=0,this.commentStack=[],this.pos=0,this.type=139,this.value=null,this.start=0,this.end=0,this.lastTokEndLoc=null,this.lastTokStartLoc=null,this.context=[Yd.brace],this.firstInvalidTemplateEscapePos=null,this.strictErrors=new Map,this.tokensLength=0}get strict(){return(this.flags&1)>0}set strict(Od){Od?this.flags|=1:this.flags&=-2}init({strictMode:Od,sourceType:Gd,startLine:df,startColumn:Nf}){this.strict=Od===!1?!1:Od===!0?!0:Gd==="module",this.curLine=df,this.lineStart=-Nf,this.startLoc=this.endLoc=new Ja(df,Nf,0)}get maybeInArrowParameters(){return(this.flags&2)>0}set maybeInArrowParameters(Od){Od?this.flags|=2:this.flags&=-3}get inType(){return(this.flags&4)>0}set inType(Od){Od?this.flags|=4:this.flags&=-5}get noAnonFunctionType(){return(this.flags&8)>0}set noAnonFunctionType(Od){Od?this.flags|=8:this.flags&=-9}get hasFlowComment(){return(this.flags&16)>0}set hasFlowComment(Od){Od?this.flags|=16:this.flags&=-17}get isAmbientContext(){return(this.flags&32)>0}set isAmbientContext(Od){Od?this.flags|=32:this.flags&=-33}get inAbstractClass(){return(this.flags&64)>0}set inAbstractClass(Od){Od?this.flags|=64:this.flags&=-65}get inDisallowConditionalTypesContext(){return(this.flags&128)>0}set inDisallowConditionalTypesContext(Od){Od?this.flags|=128:this.flags&=-129}get soloAwait(){return(this.flags&256)>0}set soloAwait(Od){Od?this.flags|=256:this.flags&=-257}get inFSharpPipelineDirectBody(){return(this.flags&512)>0}set inFSharpPipelineDirectBody(Od){Od?this.flags|=512:this.flags&=-513}get canStartJSXElement(){return(this.flags&1024)>0}set canStartJSXElement(Od){Od?this.flags|=1024:this.flags&=-1025}get containsEsc(){return(this.flags&2048)>0}set containsEsc(Od){Od?this.flags|=2048:this.flags&=-2049}curPosition(){return new Ja(this.curLine,this.pos-this.lineStart,this.pos)}clone(){let Od=new eS;return Od.flags=this.flags,Od.curLine=this.curLine,Od.lineStart=this.lineStart,Od.startLoc=this.startLoc,Od.endLoc=this.endLoc,Od.errors=this.errors.slice(),Od.potentialArrowAt=this.potentialArrowAt,Od.noArrowAt=this.noArrowAt.slice(),Od.noArrowParamsConversionAt=this.noArrowParamsConversionAt.slice(),Od.topicContext=this.topicContext,Od.labels=this.labels.slice(),Od.commentsLen=this.commentsLen,Od.commentStack=this.commentStack.slice(),Od.pos=this.pos,Od.type=this.type,Od.value=this.value,Od.start=this.start,Od.end=this.end,Od.lastTokEndLoc=this.lastTokEndLoc,Od.lastTokStartLoc=this.lastTokStartLoc,Od.context=this.context.slice(),Od.firstInvalidTemplateEscapePos=this.firstInvalidTemplateEscapePos,Od.strictErrors=this.strictErrors,Od.tokensLength=this.tokensLength,Od}},um=function(jd){return jd>=48&&jd<=57},_m={decBinOct:new Set([46,66,69,79,95,98,101,111]),hex:new Set([46,88,95,120])},Ff={bin:jd=>jd===48||jd===49,oct:jd=>jd>=48&&jd<=55,dec:jd=>jd>=48&&jd<=57,hex:jd=>jd>=48&&jd<=57||jd>=65&&jd<=70||jd>=97&&jd<=102};function $m(jd,Od,Gd,df,Nf,Xf){let Th=Gd,Ih=df,zh=Nf,Uh="",Sm=null,Wm=Gd,{length:Zm}=Od;for(;;){if(Gd>=Zm){Xf.unterminated(Th,Ih,zh),Uh+=Od.slice(Wm,Gd);break}let r1=Od.charCodeAt(Gd);if(m1(jd,r1,Od,Gd)){Uh+=Od.slice(Wm,Gd);break}if(r1===92){Uh+=Od.slice(Wm,Gd);let C1=f1(Od,Gd,df,Nf,jd==="template",Xf);C1.ch===null&&!Sm?Sm={pos:Gd,lineStart:df,curLine:Nf}:Uh+=C1.ch,{pos:Gd,lineStart:df,curLine:Nf}=C1,Wm=Gd}else r1===8232||r1===8233?(++Gd,++Nf,df=Gd):r1===10||r1===13?jd==="template"?(Uh+=Od.slice(Wm,Gd)+`
|
||
`,++Gd,r1===13&&Od.charCodeAt(Gd)===10&&++Gd,++Nf,Wm=df=Gd):Xf.unterminated(Th,Ih,zh):++Gd}return{pos:Gd,str:Uh,firstInvalidLoc:Sm,lineStart:df,curLine:Nf,containsInvalid:!!Sm}}function m1(jd,Od,Gd,df){return jd==="template"?Od===96||Od===36&&Gd.charCodeAt(df+1)===123:Od===(jd==="double"?34:39)}function f1(jd,Od,Gd,df,Nf,Xf){let Th=!Nf;Od++;let Ih=Uh=>({pos:Od,ch:Uh,lineStart:Gd,curLine:df}),zh=jd.charCodeAt(Od++);switch(zh){case 110:return Ih(`
|
||
`);case 114:return Ih("\r");case 120:{let Uh;return{code:Uh,pos:Od}=a1(jd,Od,Gd,df,2,!1,Th,Xf),Ih(Uh===null?null:String.fromCharCode(Uh))}case 117:{let Uh;return{code:Uh,pos:Od}=v1(jd,Od,Gd,df,Th,Xf),Ih(Uh===null?null:String.fromCodePoint(Uh))}case 116:return Ih(" ");case 98:return Ih("\b");case 118:return Ih("\v");case 102:return Ih("\f");case 13:jd.charCodeAt(Od)===10&&++Od;case 10:Gd=Od,++df;case 8232:case 8233:return Ih("");case 56:case 57:if(Nf)return Ih(null);Xf.strictNumericEscape(Od-1,Gd,df);default:if(zh>=48&&zh<=55){let Uh=Od-1,Sm=/^[0-7]+/.exec(jd.slice(Uh,Od+2))[0],Wm=parseInt(Sm,8);Wm>255&&(Sm=Sm.slice(0,-1),Wm=parseInt(Sm,8)),Od+=Sm.length-1;let Zm=jd.charCodeAt(Od);if(Sm!=="0"||Zm===56||Zm===57){if(Nf)return Ih(null);Xf.strictNumericEscape(Uh,Gd,df)}return Ih(String.fromCharCode(Wm))}return Ih(String.fromCharCode(zh))}}function a1(jd,Od,Gd,df,Nf,Xf,Th,Ih){let zh=Od,Uh;return{n:Uh,pos:Od}=Dm(jd,Od,Gd,df,16,Nf,Xf,!1,Ih,!Th),Uh===null&&(Th?Ih.invalidEscapeSequence(zh,Gd,df):Od=zh-1),{code:Uh,pos:Od}}function Dm(jd,Od,Gd,df,Nf,Xf,Th,Ih,zh,Uh){let Sm=Od,Wm=Nf===16?_m.hex:_m.decBinOct,Zm=Nf===16?Ff.hex:Nf===10?Ff.dec:Nf===8?Ff.oct:Ff.bin,r1=!1,C1=0;for(let f0=0,P1=Xf??1/0;f0<P1;++f0){let Y1=jd.charCodeAt(Od),O0;if(Y1===95&&Ih!=="bail"){let Yg=jd.charCodeAt(Od-1),Sb=jd.charCodeAt(Od+1);if(Ih){if(Number.isNaN(Sb)||!Zm(Sb)||Wm.has(Yg)||Wm.has(Sb)){if(Uh)return{n:null,pos:Od};zh.unexpectedNumericSeparator(Od,Gd,df)}}else{if(Uh)return{n:null,pos:Od};zh.numericSeparatorInEscapeSequence(Od,Gd,df)}++Od;continue}if(Y1>=97?O0=Y1-97+10:Y1>=65?O0=Y1-65+10:um(Y1)?O0=Y1-48:O0=1/0,O0>=Nf){if(O0<=9&&Uh)return{n:null,pos:Od};if(O0<=9&&zh.invalidDigit(Od,Gd,df,Nf))O0=0;else if(Th)O0=0,r1=!0;else break}++Od,C1=C1*Nf+O0}return Od===Sm||Xf!=null&&Od-Sm!==Xf||r1?{n:null,pos:Od}:{n:C1,pos:Od}}function v1(jd,Od,Gd,df,Nf,Xf){let Th=jd.charCodeAt(Od),Ih;if(Th===123){if(++Od,{code:Ih,pos:Od}=a1(jd,Od,Gd,df,jd.indexOf("}",Od)-Od,!0,Nf,Xf),++Od,Ih!==null&&Ih>1114111)if(Nf)Xf.invalidCodePoint(Od,Gd,df);else return{code:null,pos:Od}}else({code:Ih,pos:Od}=a1(jd,Od,Gd,df,4,!1,Nf,Xf));return{code:Ih,pos:Od}}function u1(jd,Od,Gd){return new Ja(Gd,jd-Od,jd)}var o1=new Set([103,109,115,105,121,117,100,118]),oh=class{constructor(jd){this.type=jd.type,this.value=jd.value,this.start=jd.start,this.end=jd.end,this.loc=new ed(jd.startLoc,jd.endLoc)}},Oh=class extends ph{constructor(jd,Od){super(),this.isLookahead=void 0,this.tokens=[],this.errorHandlers_readInt={invalidDigit:(Gd,df,Nf,Xf)=>this.options.errorRecovery?(this.raise(Nd.InvalidDigit,u1(Gd,df,Nf),{radix:Xf}),!0):!1,numericSeparatorInEscapeSequence:this.errorBuilder(Nd.NumericSeparatorInEscapeSequence),unexpectedNumericSeparator:this.errorBuilder(Nd.UnexpectedNumericSeparator)},this.errorHandlers_readCodePoint=Object.assign({},this.errorHandlers_readInt,{invalidEscapeSequence:this.errorBuilder(Nd.InvalidEscapeSequence),invalidCodePoint:this.errorBuilder(Nd.InvalidCodePoint)}),this.errorHandlers_readStringContents_string=Object.assign({},this.errorHandlers_readCodePoint,{strictNumericEscape:(Gd,df,Nf)=>{this.recordStrictModeErrors(Nd.StrictNumericEscape,u1(Gd,df,Nf))},unterminated:(Gd,df,Nf)=>{throw this.raise(Nd.UnterminatedString,u1(Gd-1,df,Nf))}}),this.errorHandlers_readStringContents_template=Object.assign({},this.errorHandlers_readCodePoint,{strictNumericEscape:this.errorBuilder(Nd.StrictNumericEscape),unterminated:(Gd,df,Nf)=>{throw this.raise(Nd.UnterminatedTemplate,u1(Gd,df,Nf))}}),this.state=new cm,this.state.init(jd),this.input=Od,this.length=Od.length,this.comments=[],this.isLookahead=!1}pushToken(jd){this.tokens.length=this.state.tokensLength,this.tokens.push(jd),++this.state.tokensLength}next(){this.checkKeywordEscapes(),this.options.tokens&&this.pushToken(new oh(this.state)),this.state.lastTokEndLoc=this.state.endLoc,this.state.lastTokStartLoc=this.state.startLoc,this.nextToken()}eat(jd){return this.match(jd)?(this.next(),!0):!1}match(jd){return this.state.type===jd}createLookaheadState(jd){return{pos:jd.pos,value:null,type:jd.type,start:jd.start,end:jd.end,context:[this.curContext()],inType:jd.inType,startLoc:jd.startLoc,lastTokEndLoc:jd.lastTokEndLoc,curLine:jd.curLine,lineStart:jd.lineStart,curPosition:jd.curPosition}}lookahead(){let jd=this.state;this.state=this.createLookaheadState(jd),this.isLookahead=!0,this.nextToken(),this.isLookahead=!1;let Od=this.state;return this.state=jd,Od}nextTokenStart(){return this.nextTokenStartSince(this.state.pos)}nextTokenStartSince(jd){return Mh.lastIndex=jd,Mh.test(this.input)?Mh.lastIndex:jd}lookaheadCharCode(){return this.input.charCodeAt(this.nextTokenStart())}nextTokenInLineStart(){return this.nextTokenInLineStartSince(this.state.pos)}nextTokenInLineStartSince(jd){return Gh.lastIndex=jd,Gh.test(this.input)?Gh.lastIndex:jd}lookaheadInLineCharCode(){return this.input.charCodeAt(this.nextTokenInLineStart())}codePointAtPos(jd){let Od=this.input.charCodeAt(jd);if((Od&64512)===55296&&++jd<this.input.length){let Gd=this.input.charCodeAt(jd);(Gd&64512)===56320&&(Od=65536+((Od&1023)<<10)+(Gd&1023))}return Od}setStrict(jd){this.state.strict=jd,jd&&(this.state.strictErrors.forEach(([Od,Gd])=>this.raise(Od,Gd)),this.state.strictErrors.clear())}curContext(){return this.state.context[this.state.context.length-1]}nextToken(){if(this.skipSpace(),this.state.start=this.state.pos,this.isLookahead||(this.state.startLoc=this.state.curPosition()),this.state.pos>=this.length){this.finishToken(139);return}this.getTokenFromCode(this.codePointAtPos(this.state.pos))}skipBlockComment(jd){let Od;this.isLookahead||(Od=this.state.curPosition());let Gd=this.state.pos,df=this.input.indexOf(jd,Gd+2);if(df===-1)throw this.raise(Nd.UnterminatedComment,this.state.curPosition());for(this.state.pos=df+jd.length,yh.lastIndex=Gd+2;yh.test(this.input)&&yh.lastIndex<=df;)++this.state.curLine,this.state.lineStart=yh.lastIndex;if(this.isLookahead)return;let Nf={type:"CommentBlock",value:this.input.slice(Gd+2,df),start:Gd,end:df+jd.length,loc:new ed(Od,this.state.curPosition())};return this.options.tokens&&this.pushToken(Nf),Nf}skipLineComment(jd){let Od=this.state.pos,Gd;this.isLookahead||(Gd=this.state.curPosition());let df=this.input.charCodeAt(this.state.pos+=jd);if(this.state.pos<this.length)for(;!Bh(df)&&++this.state.pos<this.length;)df=this.input.charCodeAt(this.state.pos);if(this.isLookahead)return;let Nf=this.state.pos,Xf={type:"CommentLine",value:this.input.slice(Od+jd,Nf),start:Od,end:Nf,loc:new ed(Gd,this.state.curPosition())};return this.options.tokens&&this.pushToken(Xf),Xf}skipSpace(){let jd=this.state.pos,Od=[];e:for(;this.state.pos<this.length;){let Gd=this.input.charCodeAt(this.state.pos);switch(Gd){case 32:case 160:case 9:++this.state.pos;break;case 13:this.input.charCodeAt(this.state.pos+1)===10&&++this.state.pos;case 10:case 8232:case 8233:++this.state.pos,++this.state.curLine,this.state.lineStart=this.state.pos;break;case 47:switch(this.input.charCodeAt(this.state.pos+1)){case 42:{let df=this.skipBlockComment("*/");df!==void 0&&(this.addComment(df),this.options.attachComment&&Od.push(df));break}case 47:{let df=this.skipLineComment(2);df!==void 0&&(this.addComment(df),this.options.attachComment&&Od.push(df));break}default:break e}break;default:if(Ah(Gd))++this.state.pos;else if(Gd===45&&!this.inModule&&this.options.annexB){let df=this.state.pos;if(this.input.charCodeAt(df+1)===45&&this.input.charCodeAt(df+2)===62&&(jd===0||this.state.lineStart>jd)){let Nf=this.skipLineComment(3);Nf!==void 0&&(this.addComment(Nf),this.options.attachComment&&Od.push(Nf))}else break e}else if(Gd===60&&!this.inModule&&this.options.annexB){let df=this.state.pos;if(this.input.charCodeAt(df+1)===33&&this.input.charCodeAt(df+2)===45&&this.input.charCodeAt(df+3)===45){let Nf=this.skipLineComment(4);Nf!==void 0&&(this.addComment(Nf),this.options.attachComment&&Od.push(Nf))}else break e}else break e}}if(Od.length>0){let Gd=this.state.pos,df={start:jd,end:Gd,comments:Od,leadingNode:null,trailingNode:null,containingNode:null};this.state.commentStack.push(df)}}finishToken(jd,Od){this.state.end=this.state.pos,this.state.endLoc=this.state.curPosition();let Gd=this.state.type;this.state.type=jd,this.state.value=Od,this.isLookahead||this.updateContext(Gd)}replaceToken(jd){this.state.type=jd,this.updateContext()}readToken_numberSign(){if(this.state.pos===0&&this.readToken_interpreter())return;let jd=this.state.pos+1,Od=this.codePointAtPos(jd);if(Od>=48&&Od<=57)throw this.raise(Nd.UnexpectedDigitAfterHash,this.state.curPosition());if(Od===123||Od===91&&this.hasPlugin("recordAndTuple")){if(this.expectPlugin("recordAndTuple"),this.getPluginOption("recordAndTuple","syntaxType")==="bar")throw this.raise(Od===123?Nd.RecordExpressionHashIncorrectStartSyntaxType:Nd.TupleExpressionHashIncorrectStartSyntaxType,this.state.curPosition());this.state.pos+=2,Od===123?this.finishToken(7):this.finishToken(1)}else Hh(Od)?(++this.state.pos,this.finishToken(138,this.readWord1(Od))):Od===92?(++this.state.pos,this.finishToken(138,this.readWord1())):this.finishOp(27,1)}readToken_dot(){let jd=this.input.charCodeAt(this.state.pos+1);if(jd>=48&&jd<=57){this.readNumber(!0);return}jd===46&&this.input.charCodeAt(this.state.pos+2)===46?(this.state.pos+=3,this.finishToken(21)):(++this.state.pos,this.finishToken(16))}readToken_slash(){this.input.charCodeAt(this.state.pos+1)===61?this.finishOp(31,2):this.finishOp(56,1)}readToken_interpreter(){if(this.state.pos!==0||this.length<2)return!1;let jd=this.input.charCodeAt(this.state.pos+1);if(jd!==33)return!1;let Od=this.state.pos;for(this.state.pos+=1;!Bh(jd)&&++this.state.pos<this.length;)jd=this.input.charCodeAt(this.state.pos);let Gd=this.input.slice(Od+2,this.state.pos);return this.finishToken(28,Gd),!0}readToken_mult_modulo(jd){let Od=jd===42?55:54,Gd=1,df=this.input.charCodeAt(this.state.pos+1);jd===42&&df===42&&(Gd++,df=this.input.charCodeAt(this.state.pos+2),Od=57),df===61&&!this.state.inType&&(Gd++,Od=jd===37?33:30),this.finishOp(Od,Gd)}readToken_pipe_amp(jd){let Od=this.input.charCodeAt(this.state.pos+1);if(Od===jd){this.input.charCodeAt(this.state.pos+2)===61?this.finishOp(30,3):this.finishOp(jd===124?41:42,2);return}if(jd===124){if(Od===62){this.finishOp(39,2);return}if(this.hasPlugin("recordAndTuple")&&Od===125){if(this.getPluginOption("recordAndTuple","syntaxType")!=="bar")throw this.raise(Nd.RecordExpressionBarIncorrectEndSyntaxType,this.state.curPosition());this.state.pos+=2,this.finishToken(9);return}if(this.hasPlugin("recordAndTuple")&&Od===93){if(this.getPluginOption("recordAndTuple","syntaxType")!=="bar")throw this.raise(Nd.TupleExpressionBarIncorrectEndSyntaxType,this.state.curPosition());this.state.pos+=2,this.finishToken(4);return}}if(Od===61){this.finishOp(30,2);return}this.finishOp(jd===124?43:45,1)}readToken_caret(){let jd=this.input.charCodeAt(this.state.pos+1);jd===61&&!this.state.inType?this.finishOp(32,2):jd===94&&this.hasPlugin(["pipelineOperator",{proposal:"hack",topicToken:"^^"}])?(this.finishOp(37,2),this.input.codePointAt(this.state.pos)===94&&this.unexpected()):this.finishOp(44,1)}readToken_atSign(){this.input.charCodeAt(this.state.pos+1)===64&&this.hasPlugin(["pipelineOperator",{proposal:"hack",topicToken:"@@"}])?this.finishOp(38,2):this.finishOp(26,1)}readToken_plus_min(jd){let Od=this.input.charCodeAt(this.state.pos+1);if(Od===jd){this.finishOp(34,2);return}Od===61?this.finishOp(30,2):this.finishOp(53,1)}readToken_lt(){let{pos:jd}=this.state,Od=this.input.charCodeAt(jd+1);if(Od===60){if(this.input.charCodeAt(jd+2)===61){this.finishOp(30,3);return}this.finishOp(51,2);return}if(Od===61){this.finishOp(49,2);return}this.finishOp(47,1)}readToken_gt(){let{pos:jd}=this.state,Od=this.input.charCodeAt(jd+1);if(Od===62){let Gd=this.input.charCodeAt(jd+2)===62?3:2;if(this.input.charCodeAt(jd+Gd)===61){this.finishOp(30,Gd+1);return}this.finishOp(52,Gd);return}if(Od===61){this.finishOp(49,2);return}this.finishOp(48,1)}readToken_eq_excl(jd){let Od=this.input.charCodeAt(this.state.pos+1);if(Od===61){this.finishOp(46,this.input.charCodeAt(this.state.pos+2)===61?3:2);return}if(jd===61&&Od===62){this.state.pos+=2,this.finishToken(19);return}this.finishOp(jd===61?29:35,1)}readToken_question(){let jd=this.input.charCodeAt(this.state.pos+1),Od=this.input.charCodeAt(this.state.pos+2);jd===63?Od===61?this.finishOp(30,3):this.finishOp(40,2):jd===46&&!(Od>=48&&Od<=57)?(this.state.pos+=2,this.finishToken(18)):(++this.state.pos,this.finishToken(17))}getTokenFromCode(jd){switch(jd){case 46:this.readToken_dot();return;case 40:++this.state.pos,this.finishToken(10);return;case 41:++this.state.pos,this.finishToken(11);return;case 59:++this.state.pos,this.finishToken(13);return;case 44:++this.state.pos,this.finishToken(12);return;case 91:if(this.hasPlugin("recordAndTuple")&&this.input.charCodeAt(this.state.pos+1)===124){if(this.getPluginOption("recordAndTuple","syntaxType")!=="bar")throw this.raise(Nd.TupleExpressionBarIncorrectStartSyntaxType,this.state.curPosition());this.state.pos+=2,this.finishToken(2)}else++this.state.pos,this.finishToken(0);return;case 93:++this.state.pos,this.finishToken(3);return;case 123:if(this.hasPlugin("recordAndTuple")&&this.input.charCodeAt(this.state.pos+1)===124){if(this.getPluginOption("recordAndTuple","syntaxType")!=="bar")throw this.raise(Nd.RecordExpressionBarIncorrectStartSyntaxType,this.state.curPosition());this.state.pos+=2,this.finishToken(6)}else++this.state.pos,this.finishToken(5);return;case 125:++this.state.pos,this.finishToken(8);return;case 58:this.hasPlugin("functionBind")&&this.input.charCodeAt(this.state.pos+1)===58?this.finishOp(15,2):(++this.state.pos,this.finishToken(14));return;case 63:this.readToken_question();return;case 96:this.readTemplateToken();return;case 48:{let Od=this.input.charCodeAt(this.state.pos+1);if(Od===120||Od===88){this.readRadixNumber(16);return}if(Od===111||Od===79){this.readRadixNumber(8);return}if(Od===98||Od===66){this.readRadixNumber(2);return}}case 49:case 50:case 51:case 52:case 53:case 54:case 55:case 56:case 57:this.readNumber(!1);return;case 34:case 39:this.readString(jd);return;case 47:this.readToken_slash();return;case 37:case 42:this.readToken_mult_modulo(jd);return;case 124:case 38:this.readToken_pipe_amp(jd);return;case 94:this.readToken_caret();return;case 43:case 45:this.readToken_plus_min(jd);return;case 60:this.readToken_lt();return;case 62:this.readToken_gt();return;case 61:case 33:this.readToken_eq_excl(jd);return;case 126:this.finishOp(36,1);return;case 64:this.readToken_atSign();return;case 35:this.readToken_numberSign();return;case 92:this.readWord();return;default:if(Hh(jd)){this.readWord(jd);return}}throw this.raise(Nd.InvalidOrUnexpectedToken,this.state.curPosition(),{unexpected:String.fromCodePoint(jd)})}finishOp(jd,Od){let Gd=this.input.slice(this.state.pos,this.state.pos+Od);this.state.pos+=Od,this.finishToken(jd,Gd)}readRegexp(){let jd=this.state.startLoc,Od=this.state.start+1,Gd,df,{pos:Nf}=this.state;for(;;++Nf){if(Nf>=this.length)throw this.raise(Nd.UnterminatedRegExp,td(jd,1));let zh=this.input.charCodeAt(Nf);if(Bh(zh))throw this.raise(Nd.UnterminatedRegExp,td(jd,1));if(Gd)Gd=!1;else{if(zh===91)df=!0;else if(zh===93&&df)df=!1;else if(zh===47&&!df)break;Gd=zh===92}}let Xf=this.input.slice(Od,Nf);++Nf;let Th="",Ih=()=>td(jd,Nf+2-Od);for(;Nf<this.length;){let zh=this.codePointAtPos(Nf),Uh=String.fromCharCode(zh);if(o1.has(zh))zh===118?Th.includes("u")&&this.raise(Nd.IncompatibleRegExpUVFlags,Ih()):zh===117&&Th.includes("v")&&this.raise(Nd.IncompatibleRegExpUVFlags,Ih()),Th.includes(Uh)&&this.raise(Nd.DuplicateRegExpFlags,Ih());else if(Xh(zh)||zh===92)this.raise(Nd.MalformedRegExpFlags,Ih());else break;++Nf,Th+=Uh}this.state.pos=Nf,this.finishToken(137,{pattern:Xf,flags:Th})}readInt(jd,Od,Gd=!1,df=!0){let{n:Nf,pos:Xf}=Dm(this.input,this.state.pos,this.state.lineStart,this.state.curLine,jd,Od,Gd,df,this.errorHandlers_readInt,!1);return this.state.pos=Xf,Nf}readRadixNumber(jd){let Od=this.state.curPosition(),Gd=!1;this.state.pos+=2;let df=this.readInt(jd);df==null&&this.raise(Nd.InvalidDigit,td(Od,2),{radix:jd});let Nf=this.input.charCodeAt(this.state.pos);if(Nf===110)++this.state.pos,Gd=!0;else if(Nf===109)throw this.raise(Nd.InvalidDecimal,Od);if(Hh(this.codePointAtPos(this.state.pos)))throw this.raise(Nd.NumberIdentifier,this.state.curPosition());if(Gd){let Xf=this.input.slice(Od.index,this.state.pos).replace(/[_n]/g,"");this.finishToken(135,Xf);return}this.finishToken(134,df)}readNumber(jd){let Od=this.state.pos,Gd=this.state.curPosition(),df=!1,Nf=!1,Xf=!1,Th=!1,Ih=!1;!jd&&this.readInt(10)===null&&this.raise(Nd.InvalidNumber,this.state.curPosition());let zh=this.state.pos-Od>=2&&this.input.charCodeAt(Od)===48;if(zh){let Zm=this.input.slice(Od,this.state.pos);if(this.recordStrictModeErrors(Nd.StrictOctalLiteral,Gd),!this.state.strict){let r1=Zm.indexOf("_");r1>0&&this.raise(Nd.ZeroDigitNumericSeparator,td(Gd,r1))}Ih=zh&&!/[89]/.test(Zm)}let Uh=this.input.charCodeAt(this.state.pos);if(Uh===46&&!Ih&&(++this.state.pos,this.readInt(10),df=!0,Uh=this.input.charCodeAt(this.state.pos)),(Uh===69||Uh===101)&&!Ih&&(Uh=this.input.charCodeAt(++this.state.pos),(Uh===43||Uh===45)&&++this.state.pos,this.readInt(10)===null&&this.raise(Nd.InvalidOrMissingExponent,Gd),df=!0,Th=!0,Uh=this.input.charCodeAt(this.state.pos)),Uh===110&&((df||zh)&&this.raise(Nd.InvalidBigIntLiteral,Gd),++this.state.pos,Nf=!0),Uh===109&&(this.expectPlugin("decimal",this.state.curPosition()),(Th||zh)&&this.raise(Nd.InvalidDecimal,Gd),++this.state.pos,Xf=!0),Hh(this.codePointAtPos(this.state.pos)))throw this.raise(Nd.NumberIdentifier,this.state.curPosition());let Sm=this.input.slice(Od,this.state.pos).replace(/[_mn]/g,"");if(Nf){this.finishToken(135,Sm);return}if(Xf){this.finishToken(136,Sm);return}let Wm=Ih?parseInt(Sm,8):parseFloat(Sm);this.finishToken(134,Wm)}readCodePoint(jd){let{code:Od,pos:Gd}=v1(this.input,this.state.pos,this.state.lineStart,this.state.curLine,jd,this.errorHandlers_readCodePoint);return this.state.pos=Gd,Od}readString(jd){let{str:Od,pos:Gd,curLine:df,lineStart:Nf}=$m(jd===34?"double":"single",this.input,this.state.pos+1,this.state.lineStart,this.state.curLine,this.errorHandlers_readStringContents_string);this.state.pos=Gd+1,this.state.lineStart=Nf,this.state.curLine=df,this.finishToken(133,Od)}readTemplateContinuation(){this.match(8)||this.unexpected(null,8),this.state.pos--,this.readTemplateToken()}readTemplateToken(){let jd=this.input[this.state.pos],{str:Od,firstInvalidLoc:Gd,pos:df,curLine:Nf,lineStart:Xf}=$m("template",this.input,this.state.pos+1,this.state.lineStart,this.state.curLine,this.errorHandlers_readStringContents_template);this.state.pos=df+1,this.state.lineStart=Xf,this.state.curLine=Nf,Gd&&(this.state.firstInvalidTemplateEscapePos=new Ja(Gd.curLine,Gd.pos-Gd.lineStart,Gd.pos)),this.input.codePointAt(df)===96?this.finishToken(24,Gd?null:jd+Od+"`"):(this.state.pos++,this.finishToken(25,Gd?null:jd+Od+"${"))}recordStrictModeErrors(jd,Od){let Gd=Od.index;this.state.strict&&!this.state.strictErrors.has(Gd)?this.raise(jd,Od):this.state.strictErrors.set(Gd,[jd,Od])}readWord1(jd){this.state.containsEsc=!1;let Od="",Gd=this.state.pos,df=this.state.pos;for(jd!==void 0&&(this.state.pos+=jd<=65535?1:2);this.state.pos<this.length;){let Nf=this.codePointAtPos(this.state.pos);if(Xh(Nf))this.state.pos+=Nf<=65535?1:2;else if(Nf===92){this.state.containsEsc=!0,Od+=this.input.slice(df,this.state.pos);let Xf=this.state.curPosition(),Th=this.state.pos===Gd?Hh:Xh;if(this.input.charCodeAt(++this.state.pos)!==117){this.raise(Nd.MissingUnicodeEscape,this.state.curPosition()),df=this.state.pos-1;continue}++this.state.pos;let Ih=this.readCodePoint(!0);Ih!==null&&(Th(Ih)||this.raise(Nd.EscapedCharNotAnIdentifier,Xf),Od+=String.fromCodePoint(Ih)),df=this.state.pos}else break}return Od+this.input.slice(df,this.state.pos)}readWord(jd){let Od=this.readWord1(jd),Gd=hf.get(Od);Gd!==void 0?this.finishToken(Gd,Rh(Gd)):this.finishToken(132,Od)}checkKeywordEscapes(){let{type:jd}=this.state;Af(jd)&&this.state.containsEsc&&this.raise(Nd.InvalidEscapedReservedWord,this.state.startLoc,{reservedWord:Rh(jd)})}raise(jd,Od,Gd={}){let df=Od instanceof Ja?Od:Od.loc.start,Nf=jd(df,Gd);if(!this.options.errorRecovery)throw Nf;return this.isLookahead||this.state.errors.push(Nf),Nf}raiseOverwrite(jd,Od,Gd={}){let df=Od instanceof Ja?Od:Od.loc.start,Nf=df.index,Xf=this.state.errors;for(let Th=Xf.length-1;Th>=0;Th--){let Ih=Xf[Th];if(Ih.loc.index===Nf)return Xf[Th]=jd(df,Gd);if(Ih.loc.index<Nf)break}return this.raise(jd,Od,Gd)}updateContext(jd){}unexpected(jd,Od){throw this.raise(Nd.UnexpectedToken,jd??this.state.startLoc,{expected:Od?Rh(Od):null})}expectPlugin(jd,Od){if(this.hasPlugin(jd))return!0;throw this.raise(Nd.MissingPlugin,Od??this.state.startLoc,{missingPlugin:[jd]})}expectOnePlugin(jd){if(!jd.some(Od=>this.hasPlugin(Od)))throw this.raise(Nd.MissingOneOfPlugins,this.state.startLoc,{missingPlugin:jd})}errorBuilder(jd){return(Od,Gd,df)=>{this.raise(jd,u1(Od,Gd,df))}}},mm=class{constructor(){this.privateNames=new Set,this.loneAccessors=new Map,this.undefinedPrivateNames=new Map}},Lm=class{constructor(jd){this.parser=void 0,this.stack=[],this.undefinedPrivateNames=new Map,this.parser=jd}current(){return this.stack[this.stack.length-1]}enter(){this.stack.push(new mm)}exit(){let jd=this.stack.pop(),Od=this.current();for(let[Gd,df]of Array.from(jd.undefinedPrivateNames))Od?Od.undefinedPrivateNames.has(Gd)||Od.undefinedPrivateNames.set(Gd,df):this.parser.raise(Nd.InvalidPrivateFieldResolution,df,{identifierName:Gd})}declarePrivateName(jd,Od,Gd){let{privateNames:df,loneAccessors:Nf,undefinedPrivateNames:Xf}=this.current(),Th=df.has(jd);if(Od&3){let Ih=Th&&Nf.get(jd);if(Ih){let zh=Ih&4,Uh=Od&4,Sm=Ih&3,Wm=Od&3;Th=Sm===Wm||zh!==Uh,Th||Nf.delete(jd)}else Th||Nf.set(jd,Od)}Th&&this.parser.raise(Nd.PrivateNameRedeclaration,Gd,{identifierName:jd}),df.add(jd),Xf.delete(jd)}usePrivateName(jd,Od){let Gd;for(Gd of this.stack)if(Gd.privateNames.has(jd))return;Gd?Gd.undefinedPrivateNames.set(jd,Od):this.parser.raise(Nd.InvalidPrivateFieldResolution,Od,{identifierName:jd})}},am=class{constructor(jd=0){this.type=jd}canBeArrowParameterDeclaration(){return this.type===2||this.type===1}isCertainlyParameterDeclaration(){return this.type===3}},Vm=class extends am{constructor(jd){super(jd),this.declarationErrors=new Map}recordDeclarationError(jd,Od){let Gd=Od.index;this.declarationErrors.set(Gd,[jd,Od])}clearDeclarationError(jd){this.declarationErrors.delete(jd)}iterateErrors(jd){this.declarationErrors.forEach(jd)}},E1=class{constructor(jd){this.parser=void 0,this.stack=[new am],this.parser=jd}enter(jd){this.stack.push(jd)}exit(){this.stack.pop()}recordParameterInitializerError(jd,Od){let Gd=Od.loc.start,{stack:df}=this,Nf=df.length-1,Xf=df[Nf];for(;!Xf.isCertainlyParameterDeclaration();){if(Xf.canBeArrowParameterDeclaration())Xf.recordDeclarationError(jd,Gd);else return;Xf=df[--Nf]}this.parser.raise(jd,Gd)}recordArrowParameterBindingError(jd,Od){let{stack:Gd}=this,df=Gd[Gd.length-1],Nf=Od.loc.start;if(df.isCertainlyParameterDeclaration())this.parser.raise(jd,Nf);else if(df.canBeArrowParameterDeclaration())df.recordDeclarationError(jd,Nf);else return}recordAsyncArrowParametersError(jd){let{stack:Od}=this,Gd=Od.length-1,df=Od[Gd];for(;df.canBeArrowParameterDeclaration();)df.type===2&&df.recordDeclarationError(Nd.AwaitBindingIdentifier,jd),df=Od[--Gd]}validateAsPattern(){let{stack:jd}=this,Od=jd[jd.length-1];Od.canBeArrowParameterDeclaration()&&Od.iterateErrors(([Gd,df])=>{this.parser.raise(Gd,df);let Nf=jd.length-2,Xf=jd[Nf];for(;Xf.canBeArrowParameterDeclaration();)Xf.clearDeclarationError(df.index),Xf=jd[--Nf]})}};function r0(){return new am(3)}function q1(){return new Vm(1)}function N0(){return new Vm(2)}function g0(){return new am}var h1=class{constructor(){this.stacks=[]}enter(jd){this.stacks.push(jd)}exit(){this.stacks.pop()}currentFlags(){return this.stacks[this.stacks.length-1]}get hasAwait(){return(this.currentFlags()&2)>0}get hasYield(){return(this.currentFlags()&1)>0}get hasReturn(){return(this.currentFlags()&4)>0}get hasIn(){return(this.currentFlags()&8)>0}};function U0(jd,Od){return(jd?2:0)|(Od?1:0)}var j1=class extends Oh{addExtra(jd,Od,Gd,df=!0){if(!jd)return;let Nf=jd.extra=jd.extra||{};df?Nf[Od]=Gd:Object.defineProperty(Nf,Od,{enumerable:df,value:Gd})}isContextual(jd){return this.state.type===jd&&!this.state.containsEsc}isUnparsedContextual(jd,Od){let Gd=jd+Od.length;if(this.input.slice(jd,Gd)===Od){let df=this.input.charCodeAt(Gd);return!(Xh(df)||(df&64512)===55296)}return!1}isLookaheadContextual(jd){let Od=this.nextTokenStart();return this.isUnparsedContextual(Od,jd)}eatContextual(jd){return this.isContextual(jd)?(this.next(),!0):!1}expectContextual(jd,Od){if(!this.eatContextual(jd)){if(Od!=null)throw this.raise(Od,this.state.startLoc);this.unexpected(null,jd)}}canInsertSemicolon(){return this.match(139)||this.match(8)||this.hasPrecedingLineBreak()}hasPrecedingLineBreak(){return sh.test(this.input.slice(this.state.lastTokEndLoc.index,this.state.start))}hasFollowingLineBreak(){return Fh.lastIndex=this.state.end,Fh.test(this.input)}isLineTerminator(){return this.eat(13)||this.canInsertSemicolon()}semicolon(jd=!0){(jd?this.isLineTerminator():this.eat(13))||this.raise(Nd.MissingSemicolon,this.state.lastTokEndLoc)}expect(jd,Od){this.eat(jd)||this.unexpected(Od,jd)}tryParse(jd,Od=this.state.clone()){let Gd={node:null};try{let df=jd((Nf=null)=>{throw Gd.node=Nf,Gd});if(this.state.errors.length>Od.errors.length){let Nf=this.state;return this.state=Od,this.state.tokensLength=Nf.tokensLength,{node:df,error:Nf.errors[Od.errors.length],thrown:!1,aborted:!1,failState:Nf}}return{node:df,error:null,thrown:!1,aborted:!1,failState:null}}catch(df){let Nf=this.state;if(this.state=Od,df instanceof SyntaxError)return{node:null,error:df,thrown:!0,aborted:!1,failState:Nf};if(df===Gd)return{node:Gd.node,error:null,thrown:!1,aborted:!0,failState:Nf};throw df}}checkExpressionErrors(jd,Od){if(!jd)return!1;let{shorthandAssignLoc:Gd,doubleProtoLoc:df,privateKeyLoc:Nf,optionalParametersLoc:Xf}=jd,Th=!!Gd||!!df||!!Xf||!!Nf;if(!Od)return Th;Gd!=null&&this.raise(Nd.InvalidCoverInitializedName,Gd),df!=null&&this.raise(Nd.DuplicateProto,df),Nf!=null&&this.raise(Nd.UnexpectedPrivateField,Nf),Xf!=null&&this.unexpected(Xf)}isLiteralPropertyName(){return Vf(this.state.type)}isPrivateName(jd){return jd.type==="PrivateName"}getPrivateNameSV(jd){return jd.id.name}hasPropertyAsPrivateName(jd){return(jd.type==="MemberExpression"||jd.type==="OptionalMemberExpression")&&this.isPrivateName(jd.property)}isObjectProperty(jd){return jd.type==="ObjectProperty"}isObjectMethod(jd){return jd.type==="ObjectMethod"}initializeScopes(jd=this.options.sourceType==="module"){let Od=this.state.labels;this.state.labels=[];let Gd=this.exportedIdentifiers;this.exportedIdentifiers=new Set;let df=this.inModule;this.inModule=jd;let Nf=this.scope,Xf=this.getScopeHandler();this.scope=new Xf(this,jd);let Th=this.prodParam;this.prodParam=new h1;let Ih=this.classScope;this.classScope=new Lm(this);let zh=this.expressionScope;return this.expressionScope=new E1(this),()=>{this.state.labels=Od,this.exportedIdentifiers=Gd,this.inModule=df,this.scope=Nf,this.prodParam=Th,this.classScope=Ih,this.expressionScope=zh}}enterInitialScopes(){let jd=0;this.inModule&&(jd|=2),this.scope.enter(1),this.prodParam.enter(jd)}checkDestructuringPrivate(jd){let{privateKeyLoc:Od}=jd;Od!==null&&this.expectPlugin("destructuringPrivate",Od)}},E0=class{constructor(){this.shorthandAssignLoc=null,this.doubleProtoLoc=null,this.privateKeyLoc=null,this.optionalParametersLoc=null}},d0=class{constructor(jd,Od,Gd){this.type="",this.start=Od,this.end=0,this.loc=new ed(Gd),jd!=null&&jd.options.ranges&&(this.range=[Od,0]),jd!=null&&jd.filename&&(this.loc.filename=jd.filename)}},e_=d0.prototype;e_.__clone=function(){let jd=new d0(void 0,this.start,this.loc.start),Od=Object.keys(this);for(let Gd=0,df=Od.length;Gd<df;Gd++){let Nf=Od[Gd];Nf!=="leadingComments"&&Nf!=="trailingComments"&&Nf!=="innerComments"&&(jd[Nf]=this[Nf])}return jd};function m_(jd){return T0(jd)}function T0(jd){let{type:Od,start:Gd,end:df,loc:Nf,range:Xf,extra:Th,name:Ih}=jd,zh=Object.create(e_);return zh.type=Od,zh.start=Gd,zh.end=df,zh.loc=Nf,zh.range=Xf,zh.extra=Th,zh.name=Ih,Od==="Placeholder"&&(zh.expectedNode=jd.expectedNode),zh}function t_(jd){let{type:Od,start:Gd,end:df,loc:Nf,range:Xf,extra:Th}=jd;if(Od==="Placeholder")return m_(jd);let Ih=Object.create(e_);return Ih.type=Od,Ih.start=Gd,Ih.end=df,Ih.loc=Nf,Ih.range=Xf,jd.raw!==void 0?Ih.raw=jd.raw:Ih.extra=Th,Ih.value=jd.value,Ih}var ag=class extends j1{startNode(){let jd=this.state.startLoc;return new d0(this,jd.index,jd)}startNodeAt(jd){return new d0(this,jd.index,jd)}startNodeAtNode(jd){return this.startNodeAt(jd.loc.start)}finishNode(jd,Od){return this.finishNodeAt(jd,Od,this.state.lastTokEndLoc)}finishNodeAt(jd,Od,Gd){return jd.type=Od,jd.end=Gd.index,jd.loc.end=Gd,this.options.ranges&&(jd.range[1]=Gd.index),this.options.attachComment&&this.processComment(jd),jd}resetStartLocation(jd,Od){jd.start=Od.index,jd.loc.start=Od,this.options.ranges&&(jd.range[0]=Od.index)}resetEndLocation(jd,Od=this.state.lastTokEndLoc){jd.end=Od.index,jd.loc.end=Od,this.options.ranges&&(jd.range[1]=Od.index)}resetStartLocationFromNode(jd,Od){this.resetStartLocation(jd,Od.loc.start)}},G_=new Set(["_","any","bool","boolean","empty","extends","false","interface","mixed","null","number","static","string","true","typeof","void"]),s1=Rd`flow`({AmbiguousConditionalArrow:"Ambiguous expression: wrap the arrow functions in parentheses to disambiguate.",AmbiguousDeclareModuleKind:"Found both `declare module.exports` and `declare export` in the same module. Modules can only have 1 since they are either an ES module or they are a CommonJS module.",AssignReservedType:({reservedType:jd})=>`Cannot overwrite reserved type ${jd}.`,DeclareClassElement:"The `declare` modifier can only appear on class fields.",DeclareClassFieldInitializer:"Initializers are not allowed in fields with the `declare` modifier.",DuplicateDeclareModuleExports:"Duplicate `declare module.exports` statement.",EnumBooleanMemberNotInitialized:({memberName:jd,enumName:Od})=>`Boolean enum members need to be initialized. Use either \`${jd} = true,\` or \`${jd} = false,\` in enum \`${Od}\`.`,EnumDuplicateMemberName:({memberName:jd,enumName:Od})=>`Enum member names need to be unique, but the name \`${jd}\` has already been used before in enum \`${Od}\`.`,EnumInconsistentMemberValues:({enumName:jd})=>`Enum \`${jd}\` has inconsistent member initializers. Either use no initializers, or consistently use literals (either booleans, numbers, or strings) for all member initializers.`,EnumInvalidExplicitType:({invalidEnumType:jd,enumName:Od})=>`Enum type \`${jd}\` is not valid. Use one of \`boolean\`, \`number\`, \`string\`, or \`symbol\` in enum \`${Od}\`.`,EnumInvalidExplicitTypeUnknownSupplied:({enumName:jd})=>`Supplied enum type is not valid. Use one of \`boolean\`, \`number\`, \`string\`, or \`symbol\` in enum \`${jd}\`.`,EnumInvalidMemberInitializerPrimaryType:({enumName:jd,memberName:Od,explicitType:Gd})=>`Enum \`${jd}\` has type \`${Gd}\`, so the initializer of \`${Od}\` needs to be a ${Gd} literal.`,EnumInvalidMemberInitializerSymbolType:({enumName:jd,memberName:Od})=>`Symbol enum members cannot be initialized. Use \`${Od},\` in enum \`${jd}\`.`,EnumInvalidMemberInitializerUnknownType:({enumName:jd,memberName:Od})=>`The enum member initializer for \`${Od}\` needs to be a literal (either a boolean, number, or string) in enum \`${jd}\`.`,EnumInvalidMemberName:({enumName:jd,memberName:Od,suggestion:Gd})=>`Enum member names cannot start with lowercase 'a' through 'z'. Instead of using \`${Od}\`, consider using \`${Gd}\`, in enum \`${jd}\`.`,EnumNumberMemberNotInitialized:({enumName:jd,memberName:Od})=>`Number enum members need to be initialized, e.g. \`${Od} = 1\` in enum \`${jd}\`.`,EnumStringMemberInconsistentlyInitialized:({enumName:jd})=>`String enum members need to consistently either all use initializers, or use no initializers, in enum \`${jd}\`.`,GetterMayNotHaveThisParam:"A getter cannot have a `this` parameter.",ImportReflectionHasImportType:"An `import module` declaration can not use `type` or `typeof` keyword.",ImportTypeShorthandOnlyInPureImport:"The `type` and `typeof` keywords on named imports can only be used on regular `import` statements. It cannot be used with `import type` or `import typeof` statements.",InexactInsideExact:"Explicit inexact syntax cannot appear inside an explicit exact object type.",InexactInsideNonObject:"Explicit inexact syntax cannot appear in class or interface definitions.",InexactVariance:"Explicit inexact syntax cannot have variance.",InvalidNonTypeImportInDeclareModule:"Imports within a `declare module` body must always be `import type` or `import typeof`.",MissingTypeParamDefault:"Type parameter declaration needs a default, since a preceding type parameter declaration has a default.",NestedDeclareModule:"`declare module` cannot be used inside another `declare module`.",NestedFlowComment:"Cannot have a flow comment inside another flow comment.",PatternIsOptional:Object.assign({message:"A binding pattern parameter cannot be optional in an implementation signature."},{reasonCode:"OptionalBindingPattern"}),SetterMayNotHaveThisParam:"A setter cannot have a `this` parameter.",SpreadVariance:"Spread properties cannot have variance.",ThisParamAnnotationRequired:"A type annotation is required for the `this` parameter.",ThisParamBannedInConstructor:"Constructors cannot have a `this` parameter; constructors don't bind `this` like other functions.",ThisParamMayNotBeOptional:"The `this` parameter cannot be optional.",ThisParamMustBeFirst:"The `this` parameter must be the first function parameter.",ThisParamNoDefault:"The `this` parameter may not have a default value.",TypeBeforeInitializer:"Type annotations must come before default assignments, e.g. instead of `age = 25: number` use `age: number = 25`.",TypeCastInPattern:"The type cast expression is expected to be wrapped with parenthesis.",UnexpectedExplicitInexactInObject:"Explicit inexact syntax must appear at the end of an inexact object.",UnexpectedReservedType:({reservedType:jd})=>`Unexpected reserved type ${jd}.`,UnexpectedReservedUnderscore:"`_` is only allowed as a type argument to call or new.",UnexpectedSpaceBetweenModuloChecks:"Spaces between `%` and `checks` are not allowed here.",UnexpectedSpreadType:"Spread operator cannot appear in class or interface definitions.",UnexpectedSubtractionOperand:'Unexpected token, expected "number" or "bigint".',UnexpectedTokenAfterTypeParameter:"Expected an arrow function after this type parameter declaration.",UnexpectedTypeParameterBeforeAsyncArrowFunction:"Type parameters must come after the async keyword, e.g. instead of `<T> async () => {}`, use `async <T>() => {}`.",UnsupportedDeclareExportKind:({unsupportedExportKind:jd,suggestion:Od})=>`\`declare export ${jd}\` is not supported. Use \`${Od}\` instead.`,UnsupportedStatementInDeclareModule:"Only declares and type imports are allowed inside declare module.",UnterminatedFlowComment:"Unterminated flow-comment."});function __(jd){return jd.type==="DeclareExportAllDeclaration"||jd.type==="DeclareExportDeclaration"&&(!jd.declaration||jd.declaration.type!=="TypeAlias"&&jd.declaration.type!=="InterfaceDeclaration")}function b0(jd){return jd.importKind==="type"||jd.importKind==="typeof"}var sg={const:"declare export var",let:"declare export var",type:"export type",interface:"export interface"};function yg(jd,Od){let Gd=[],df=[];for(let Nf=0;Nf<jd.length;Nf++)(Od(jd[Nf],Nf,jd)?Gd:df).push(jd[Nf]);return[Gd,df]}var g_=/\*?\s*@((?:no)?flow)\b/,A_=jd=>class extends jd{constructor(...Od){super(...Od),this.flowPragma=void 0}getScopeHandler(){return O1}shouldParseTypes(){return this.getPluginOption("flow","all")||this.flowPragma==="flow"}shouldParseEnums(){return!!this.getPluginOption("flow","enums")}finishToken(Od,Gd){Od!==133&&Od!==13&&Od!==28&&this.flowPragma===void 0&&(this.flowPragma=null),super.finishToken(Od,Gd)}addComment(Od){if(this.flowPragma===void 0){let Gd=g_.exec(Od.value);if(Gd)if(Gd[1]==="flow")this.flowPragma="flow";else if(Gd[1]==="noflow")this.flowPragma="noflow";else throw new Error("Unexpected flow pragma")}super.addComment(Od)}flowParseTypeInitialiser(Od){let Gd=this.state.inType;this.state.inType=!0,this.expect(Od||14);let df=this.flowParseType();return this.state.inType=Gd,df}flowParsePredicate(){let Od=this.startNode(),Gd=this.state.startLoc;return this.next(),this.expectContextual(110),this.state.lastTokStartLoc.index>Gd.index+1&&this.raise(s1.UnexpectedSpaceBetweenModuloChecks,Gd),this.eat(10)?(Od.value=super.parseExpression(),this.expect(11),this.finishNode(Od,"DeclaredPredicate")):this.finishNode(Od,"InferredPredicate")}flowParseTypeAndPredicateInitialiser(){let Od=this.state.inType;this.state.inType=!0,this.expect(14);let Gd=null,df=null;return this.match(54)?(this.state.inType=Od,df=this.flowParsePredicate()):(Gd=this.flowParseType(),this.state.inType=Od,this.match(54)&&(df=this.flowParsePredicate())),[Gd,df]}flowParseDeclareClass(Od){return this.next(),this.flowParseInterfaceish(Od,!0),this.finishNode(Od,"DeclareClass")}flowParseDeclareFunction(Od){this.next();let Gd=Od.id=this.parseIdentifier(),df=this.startNode(),Nf=this.startNode();this.match(47)?df.typeParameters=this.flowParseTypeParameterDeclaration():df.typeParameters=null,this.expect(10);let Xf=this.flowParseFunctionTypeParams();return df.params=Xf.params,df.rest=Xf.rest,df.this=Xf._this,this.expect(11),[df.returnType,Od.predicate]=this.flowParseTypeAndPredicateInitialiser(),Nf.typeAnnotation=this.finishNode(df,"FunctionTypeAnnotation"),Gd.typeAnnotation=this.finishNode(Nf,"TypeAnnotation"),this.resetEndLocation(Gd),this.semicolon(),this.scope.declareName(Od.id.name,2048,Od.id.loc.start),this.finishNode(Od,"DeclareFunction")}flowParseDeclare(Od,Gd){if(this.match(80))return this.flowParseDeclareClass(Od);if(this.match(68))return this.flowParseDeclareFunction(Od);if(this.match(74))return this.flowParseDeclareVariable(Od);if(this.eatContextual(127))return this.match(16)?this.flowParseDeclareModuleExports(Od):(Gd&&this.raise(s1.NestedDeclareModule,this.state.lastTokStartLoc),this.flowParseDeclareModule(Od));if(this.isContextual(130))return this.flowParseDeclareTypeAlias(Od);if(this.isContextual(131))return this.flowParseDeclareOpaqueType(Od);if(this.isContextual(129))return this.flowParseDeclareInterface(Od);if(this.match(82))return this.flowParseDeclareExportDeclaration(Od,Gd);this.unexpected()}flowParseDeclareVariable(Od){return this.next(),Od.id=this.flowParseTypeAnnotatableIdentifier(!0),this.scope.declareName(Od.id.name,5,Od.id.loc.start),this.semicolon(),this.finishNode(Od,"DeclareVariable")}flowParseDeclareModule(Od){this.scope.enter(0),this.match(133)?Od.id=super.parseExprAtom():Od.id=this.parseIdentifier();let Gd=Od.body=this.startNode(),df=Gd.body=[];for(this.expect(5);!this.match(8);){let Th=this.startNode();this.match(83)?(this.next(),!this.isContextual(130)&&!this.match(87)&&this.raise(s1.InvalidNonTypeImportInDeclareModule,this.state.lastTokStartLoc),super.parseImport(Th)):(this.expectContextual(125,s1.UnsupportedStatementInDeclareModule),Th=this.flowParseDeclare(Th,!0)),df.push(Th)}this.scope.exit(),this.expect(8),this.finishNode(Gd,"BlockStatement");let Nf=null,Xf=!1;return df.forEach(Th=>{__(Th)?(Nf==="CommonJS"&&this.raise(s1.AmbiguousDeclareModuleKind,Th),Nf="ES"):Th.type==="DeclareModuleExports"&&(Xf&&this.raise(s1.DuplicateDeclareModuleExports,Th),Nf==="ES"&&this.raise(s1.AmbiguousDeclareModuleKind,Th),Nf="CommonJS",Xf=!0)}),Od.kind=Nf||"CommonJS",this.finishNode(Od,"DeclareModule")}flowParseDeclareExportDeclaration(Od,Gd){if(this.expect(82),this.eat(65))return this.match(68)||this.match(80)?Od.declaration=this.flowParseDeclare(this.startNode()):(Od.declaration=this.flowParseType(),this.semicolon()),Od.default=!0,this.finishNode(Od,"DeclareExportDeclaration");if(this.match(75)||this.isLet()||(this.isContextual(130)||this.isContextual(129))&&!Gd){let df=this.state.value;throw this.raise(s1.UnsupportedDeclareExportKind,this.state.startLoc,{unsupportedExportKind:df,suggestion:sg[df]})}if(this.match(74)||this.match(68)||this.match(80)||this.isContextual(131))return Od.declaration=this.flowParseDeclare(this.startNode()),Od.default=!1,this.finishNode(Od,"DeclareExportDeclaration");if(this.match(55)||this.match(5)||this.isContextual(129)||this.isContextual(130)||this.isContextual(131))return Od=this.parseExport(Od,null),Od.type==="ExportNamedDeclaration"&&(Od.type="ExportDeclaration",Od.default=!1,delete Od.exportKind),Od.type="Declare"+Od.type,Od;this.unexpected()}flowParseDeclareModuleExports(Od){return this.next(),this.expectContextual(111),Od.typeAnnotation=this.flowParseTypeAnnotation(),this.semicolon(),this.finishNode(Od,"DeclareModuleExports")}flowParseDeclareTypeAlias(Od){this.next();let Gd=this.flowParseTypeAlias(Od);return Gd.type="DeclareTypeAlias",Gd}flowParseDeclareOpaqueType(Od){this.next();let Gd=this.flowParseOpaqueType(Od,!0);return Gd.type="DeclareOpaqueType",Gd}flowParseDeclareInterface(Od){return this.next(),this.flowParseInterfaceish(Od,!1),this.finishNode(Od,"DeclareInterface")}flowParseInterfaceish(Od,Gd){if(Od.id=this.flowParseRestrictedIdentifier(!Gd,!0),this.scope.declareName(Od.id.name,Gd?17:8201,Od.id.loc.start),this.match(47)?Od.typeParameters=this.flowParseTypeParameterDeclaration():Od.typeParameters=null,Od.extends=[],this.eat(81))do Od.extends.push(this.flowParseInterfaceExtends());while(!Gd&&this.eat(12));if(Gd){if(Od.implements=[],Od.mixins=[],this.eatContextual(117))do Od.mixins.push(this.flowParseInterfaceExtends());while(this.eat(12));if(this.eatContextual(113))do Od.implements.push(this.flowParseInterfaceExtends());while(this.eat(12))}Od.body=this.flowParseObjectType({allowStatic:Gd,allowExact:!1,allowSpread:!1,allowProto:Gd,allowInexact:!1})}flowParseInterfaceExtends(){let Od=this.startNode();return Od.id=this.flowParseQualifiedTypeIdentifier(),this.match(47)?Od.typeParameters=this.flowParseTypeParameterInstantiation():Od.typeParameters=null,this.finishNode(Od,"InterfaceExtends")}flowParseInterface(Od){return this.flowParseInterfaceish(Od,!1),this.finishNode(Od,"InterfaceDeclaration")}checkNotUnderscore(Od){Od==="_"&&this.raise(s1.UnexpectedReservedUnderscore,this.state.startLoc)}checkReservedType(Od,Gd,df){G_.has(Od)&&this.raise(df?s1.AssignReservedType:s1.UnexpectedReservedType,Gd,{reservedType:Od})}flowParseRestrictedIdentifier(Od,Gd){return this.checkReservedType(this.state.value,this.state.startLoc,Gd),this.parseIdentifier(Od)}flowParseTypeAlias(Od){return Od.id=this.flowParseRestrictedIdentifier(!1,!0),this.scope.declareName(Od.id.name,8201,Od.id.loc.start),this.match(47)?Od.typeParameters=this.flowParseTypeParameterDeclaration():Od.typeParameters=null,Od.right=this.flowParseTypeInitialiser(29),this.semicolon(),this.finishNode(Od,"TypeAlias")}flowParseOpaqueType(Od,Gd){return this.expectContextual(130),Od.id=this.flowParseRestrictedIdentifier(!0,!0),this.scope.declareName(Od.id.name,8201,Od.id.loc.start),this.match(47)?Od.typeParameters=this.flowParseTypeParameterDeclaration():Od.typeParameters=null,Od.supertype=null,this.match(14)&&(Od.supertype=this.flowParseTypeInitialiser(14)),Od.impltype=null,Gd||(Od.impltype=this.flowParseTypeInitialiser(29)),this.semicolon(),this.finishNode(Od,"OpaqueType")}flowParseTypeParameter(Od=!1){let Gd=this.state.startLoc,df=this.startNode(),Nf=this.flowParseVariance(),Xf=this.flowParseTypeAnnotatableIdentifier();return df.name=Xf.name,df.variance=Nf,df.bound=Xf.typeAnnotation,this.match(29)?(this.eat(29),df.default=this.flowParseType()):Od&&this.raise(s1.MissingTypeParamDefault,Gd),this.finishNode(df,"TypeParameter")}flowParseTypeParameterDeclaration(){let Od=this.state.inType,Gd=this.startNode();Gd.params=[],this.state.inType=!0,this.match(47)||this.match(142)?this.next():this.unexpected();let df=!1;do{let Nf=this.flowParseTypeParameter(df);Gd.params.push(Nf),Nf.default&&(df=!0),this.match(48)||this.expect(12)}while(!this.match(48));return this.expect(48),this.state.inType=Od,this.finishNode(Gd,"TypeParameterDeclaration")}flowParseTypeParameterInstantiation(){let Od=this.startNode(),Gd=this.state.inType;Od.params=[],this.state.inType=!0,this.expect(47);let df=this.state.noAnonFunctionType;for(this.state.noAnonFunctionType=!1;!this.match(48);)Od.params.push(this.flowParseType()),this.match(48)||this.expect(12);return this.state.noAnonFunctionType=df,this.expect(48),this.state.inType=Gd,this.finishNode(Od,"TypeParameterInstantiation")}flowParseTypeParameterInstantiationCallOrNew(){let Od=this.startNode(),Gd=this.state.inType;for(Od.params=[],this.state.inType=!0,this.expect(47);!this.match(48);)Od.params.push(this.flowParseTypeOrImplicitInstantiation()),this.match(48)||this.expect(12);return this.expect(48),this.state.inType=Gd,this.finishNode(Od,"TypeParameterInstantiation")}flowParseInterfaceType(){let Od=this.startNode();if(this.expectContextual(129),Od.extends=[],this.eat(81))do Od.extends.push(this.flowParseInterfaceExtends());while(this.eat(12));return Od.body=this.flowParseObjectType({allowStatic:!1,allowExact:!1,allowSpread:!1,allowProto:!1,allowInexact:!1}),this.finishNode(Od,"InterfaceTypeAnnotation")}flowParseObjectPropertyKey(){return this.match(134)||this.match(133)?super.parseExprAtom():this.parseIdentifier(!0)}flowParseObjectTypeIndexer(Od,Gd,df){return Od.static=Gd,this.lookahead().type===14?(Od.id=this.flowParseObjectPropertyKey(),Od.key=this.flowParseTypeInitialiser()):(Od.id=null,Od.key=this.flowParseType()),this.expect(3),Od.value=this.flowParseTypeInitialiser(),Od.variance=df,this.finishNode(Od,"ObjectTypeIndexer")}flowParseObjectTypeInternalSlot(Od,Gd){return Od.static=Gd,Od.id=this.flowParseObjectPropertyKey(),this.expect(3),this.expect(3),this.match(47)||this.match(10)?(Od.method=!0,Od.optional=!1,Od.value=this.flowParseObjectTypeMethodish(this.startNodeAt(Od.loc.start))):(Od.method=!1,this.eat(17)&&(Od.optional=!0),Od.value=this.flowParseTypeInitialiser()),this.finishNode(Od,"ObjectTypeInternalSlot")}flowParseObjectTypeMethodish(Od){for(Od.params=[],Od.rest=null,Od.typeParameters=null,Od.this=null,this.match(47)&&(Od.typeParameters=this.flowParseTypeParameterDeclaration()),this.expect(10),this.match(78)&&(Od.this=this.flowParseFunctionTypeParam(!0),Od.this.name=null,this.match(11)||this.expect(12));!this.match(11)&&!this.match(21);)Od.params.push(this.flowParseFunctionTypeParam(!1)),this.match(11)||this.expect(12);return this.eat(21)&&(Od.rest=this.flowParseFunctionTypeParam(!1)),this.expect(11),Od.returnType=this.flowParseTypeInitialiser(),this.finishNode(Od,"FunctionTypeAnnotation")}flowParseObjectTypeCallProperty(Od,Gd){let df=this.startNode();return Od.static=Gd,Od.value=this.flowParseObjectTypeMethodish(df),this.finishNode(Od,"ObjectTypeCallProperty")}flowParseObjectType({allowStatic:Od,allowExact:Gd,allowSpread:df,allowProto:Nf,allowInexact:Xf}){let Th=this.state.inType;this.state.inType=!0;let Ih=this.startNode();Ih.callProperties=[],Ih.properties=[],Ih.indexers=[],Ih.internalSlots=[];let zh,Uh,Sm=!1;for(Gd&&this.match(6)?(this.expect(6),zh=9,Uh=!0):(this.expect(5),zh=8,Uh=!1),Ih.exact=Uh;!this.match(zh);){let Zm=!1,r1=null,C1=null,f0=this.startNode();if(Nf&&this.isContextual(118)){let Y1=this.lookahead();Y1.type!==14&&Y1.type!==17&&(this.next(),r1=this.state.startLoc,Od=!1)}if(Od&&this.isContextual(106)){let Y1=this.lookahead();Y1.type!==14&&Y1.type!==17&&(this.next(),Zm=!0)}let P1=this.flowParseVariance();if(this.eat(0))r1!=null&&this.unexpected(r1),this.eat(0)?(P1&&this.unexpected(P1.loc.start),Ih.internalSlots.push(this.flowParseObjectTypeInternalSlot(f0,Zm))):Ih.indexers.push(this.flowParseObjectTypeIndexer(f0,Zm,P1));else if(this.match(10)||this.match(47))r1!=null&&this.unexpected(r1),P1&&this.unexpected(P1.loc.start),Ih.callProperties.push(this.flowParseObjectTypeCallProperty(f0,Zm));else{let Y1="init";if(this.isContextual(99)||this.isContextual(104)){let Yg=this.lookahead();Vf(Yg.type)&&(Y1=this.state.value,this.next())}let O0=this.flowParseObjectTypeProperty(f0,Zm,r1,P1,Y1,df,Xf??!Uh);O0===null?(Sm=!0,C1=this.state.lastTokStartLoc):Ih.properties.push(O0)}this.flowObjectTypeSemicolon(),C1&&!this.match(8)&&!this.match(9)&&this.raise(s1.UnexpectedExplicitInexactInObject,C1)}this.expect(zh),df&&(Ih.inexact=Sm);let Wm=this.finishNode(Ih,"ObjectTypeAnnotation");return this.state.inType=Th,Wm}flowParseObjectTypeProperty(Od,Gd,df,Nf,Xf,Th,Ih){if(this.eat(21))return this.match(12)||this.match(13)||this.match(8)||this.match(9)?(Th?Ih||this.raise(s1.InexactInsideExact,this.state.lastTokStartLoc):this.raise(s1.InexactInsideNonObject,this.state.lastTokStartLoc),Nf&&this.raise(s1.InexactVariance,Nf),null):(Th||this.raise(s1.UnexpectedSpreadType,this.state.lastTokStartLoc),df!=null&&this.unexpected(df),Nf&&this.raise(s1.SpreadVariance,Nf),Od.argument=this.flowParseType(),this.finishNode(Od,"ObjectTypeSpreadProperty"));{Od.key=this.flowParseObjectPropertyKey(),Od.static=Gd,Od.proto=df!=null,Od.kind=Xf;let zh=!1;return this.match(47)||this.match(10)?(Od.method=!0,df!=null&&this.unexpected(df),Nf&&this.unexpected(Nf.loc.start),Od.value=this.flowParseObjectTypeMethodish(this.startNodeAt(Od.loc.start)),(Xf==="get"||Xf==="set")&&this.flowCheckGetterSetterParams(Od),!Th&&Od.key.name==="constructor"&&Od.value.this&&this.raise(s1.ThisParamBannedInConstructor,Od.value.this)):(Xf!=="init"&&this.unexpected(),Od.method=!1,this.eat(17)&&(zh=!0),Od.value=this.flowParseTypeInitialiser(),Od.variance=Nf),Od.optional=zh,this.finishNode(Od,"ObjectTypeProperty")}}flowCheckGetterSetterParams(Od){let Gd=Od.kind==="get"?0:1,df=Od.value.params.length+(Od.value.rest?1:0);Od.value.this&&this.raise(Od.kind==="get"?s1.GetterMayNotHaveThisParam:s1.SetterMayNotHaveThisParam,Od.value.this),df!==Gd&&this.raise(Od.kind==="get"?Nd.BadGetterArity:Nd.BadSetterArity,Od),Od.kind==="set"&&Od.value.rest&&this.raise(Nd.BadSetterRestParameter,Od)}flowObjectTypeSemicolon(){!this.eat(13)&&!this.eat(12)&&!this.match(8)&&!this.match(9)&&this.unexpected()}flowParseQualifiedTypeIdentifier(Od,Gd){Od!=null||(Od=this.state.startLoc);let df=Gd||this.flowParseRestrictedIdentifier(!0);for(;this.eat(16);){let Nf=this.startNodeAt(Od);Nf.qualification=df,Nf.id=this.flowParseRestrictedIdentifier(!0),df=this.finishNode(Nf,"QualifiedTypeIdentifier")}return df}flowParseGenericType(Od,Gd){let df=this.startNodeAt(Od);return df.typeParameters=null,df.id=this.flowParseQualifiedTypeIdentifier(Od,Gd),this.match(47)&&(df.typeParameters=this.flowParseTypeParameterInstantiation()),this.finishNode(df,"GenericTypeAnnotation")}flowParseTypeofType(){let Od=this.startNode();return this.expect(87),Od.argument=this.flowParsePrimaryType(),this.finishNode(Od,"TypeofTypeAnnotation")}flowParseTupleType(){let Od=this.startNode();for(Od.types=[],this.expect(0);this.state.pos<this.length&&!this.match(3)&&(Od.types.push(this.flowParseType()),!this.match(3));)this.expect(12);return this.expect(3),this.finishNode(Od,"TupleTypeAnnotation")}flowParseFunctionTypeParam(Od){let Gd=null,df=!1,Nf=null,Xf=this.startNode(),Th=this.lookahead(),Ih=this.state.type===78;return Th.type===14||Th.type===17?(Ih&&!Od&&this.raise(s1.ThisParamMustBeFirst,Xf),Gd=this.parseIdentifier(Ih),this.eat(17)&&(df=!0,Ih&&this.raise(s1.ThisParamMayNotBeOptional,Xf)),Nf=this.flowParseTypeInitialiser()):Nf=this.flowParseType(),Xf.name=Gd,Xf.optional=df,Xf.typeAnnotation=Nf,this.finishNode(Xf,"FunctionTypeParam")}reinterpretTypeAsFunctionTypeParam(Od){let Gd=this.startNodeAt(Od.loc.start);return Gd.name=null,Gd.optional=!1,Gd.typeAnnotation=Od,this.finishNode(Gd,"FunctionTypeParam")}flowParseFunctionTypeParams(Od=[]){let Gd=null,df=null;for(this.match(78)&&(df=this.flowParseFunctionTypeParam(!0),df.name=null,this.match(11)||this.expect(12));!this.match(11)&&!this.match(21);)Od.push(this.flowParseFunctionTypeParam(!1)),this.match(11)||this.expect(12);return this.eat(21)&&(Gd=this.flowParseFunctionTypeParam(!1)),{params:Od,rest:Gd,_this:df}}flowIdentToTypeAnnotation(Od,Gd,df){switch(df.name){case"any":return this.finishNode(Gd,"AnyTypeAnnotation");case"bool":case"boolean":return this.finishNode(Gd,"BooleanTypeAnnotation");case"mixed":return this.finishNode(Gd,"MixedTypeAnnotation");case"empty":return this.finishNode(Gd,"EmptyTypeAnnotation");case"number":return this.finishNode(Gd,"NumberTypeAnnotation");case"string":return this.finishNode(Gd,"StringTypeAnnotation");case"symbol":return this.finishNode(Gd,"SymbolTypeAnnotation");default:return this.checkNotUnderscore(df.name),this.flowParseGenericType(Od,df)}}flowParsePrimaryType(){let Od=this.state.startLoc,Gd=this.startNode(),df,Nf,Xf=!1,Th=this.state.noAnonFunctionType;switch(this.state.type){case 5:return this.flowParseObjectType({allowStatic:!1,allowExact:!1,allowSpread:!0,allowProto:!1,allowInexact:!0});case 6:return this.flowParseObjectType({allowStatic:!1,allowExact:!0,allowSpread:!0,allowProto:!1,allowInexact:!1});case 0:return this.state.noAnonFunctionType=!1,Nf=this.flowParseTupleType(),this.state.noAnonFunctionType=Th,Nf;case 47:{let Ih=this.startNode();return Ih.typeParameters=this.flowParseTypeParameterDeclaration(),this.expect(10),df=this.flowParseFunctionTypeParams(),Ih.params=df.params,Ih.rest=df.rest,Ih.this=df._this,this.expect(11),this.expect(19),Ih.returnType=this.flowParseType(),this.finishNode(Ih,"FunctionTypeAnnotation")}case 10:{let Ih=this.startNode();if(this.next(),!this.match(11)&&!this.match(21))if($f(this.state.type)||this.match(78)){let zh=this.lookahead().type;Xf=zh!==17&&zh!==14}else Xf=!0;if(Xf){if(this.state.noAnonFunctionType=!1,Nf=this.flowParseType(),this.state.noAnonFunctionType=Th,this.state.noAnonFunctionType||!(this.match(12)||this.match(11)&&this.lookahead().type===19))return this.expect(11),Nf;this.eat(12)}return Nf?df=this.flowParseFunctionTypeParams([this.reinterpretTypeAsFunctionTypeParam(Nf)]):df=this.flowParseFunctionTypeParams(),Ih.params=df.params,Ih.rest=df.rest,Ih.this=df._this,this.expect(11),this.expect(19),Ih.returnType=this.flowParseType(),Ih.typeParameters=null,this.finishNode(Ih,"FunctionTypeAnnotation")}case 133:return this.parseLiteral(this.state.value,"StringLiteralTypeAnnotation");case 85:case 86:return Gd.value=this.match(85),this.next(),this.finishNode(Gd,"BooleanLiteralTypeAnnotation");case 53:if(this.state.value==="-"){if(this.next(),this.match(134))return this.parseLiteralAtNode(-this.state.value,"NumberLiteralTypeAnnotation",Gd);if(this.match(135))return this.parseLiteralAtNode(-this.state.value,"BigIntLiteralTypeAnnotation",Gd);throw this.raise(s1.UnexpectedSubtractionOperand,this.state.startLoc)}this.unexpected();return;case 134:return this.parseLiteral(this.state.value,"NumberLiteralTypeAnnotation");case 135:return this.parseLiteral(this.state.value,"BigIntLiteralTypeAnnotation");case 88:return this.next(),this.finishNode(Gd,"VoidTypeAnnotation");case 84:return this.next(),this.finishNode(Gd,"NullLiteralTypeAnnotation");case 78:return this.next(),this.finishNode(Gd,"ThisTypeAnnotation");case 55:return this.next(),this.finishNode(Gd,"ExistsTypeAnnotation");case 87:return this.flowParseTypeofType();default:if(Af(this.state.type)){let Ih=Rh(this.state.type);return this.next(),super.createIdentifier(Gd,Ih)}else if($f(this.state.type))return this.isContextual(129)?this.flowParseInterfaceType():this.flowIdentToTypeAnnotation(Od,Gd,this.parseIdentifier())}this.unexpected()}flowParsePostfixType(){let Od=this.state.startLoc,Gd=this.flowParsePrimaryType(),df=!1;for(;(this.match(0)||this.match(18))&&!this.canInsertSemicolon();){let Nf=this.startNodeAt(Od),Xf=this.eat(18);df=df||Xf,this.expect(0),!Xf&&this.match(3)?(Nf.elementType=Gd,this.next(),Gd=this.finishNode(Nf,"ArrayTypeAnnotation")):(Nf.objectType=Gd,Nf.indexType=this.flowParseType(),this.expect(3),df?(Nf.optional=Xf,Gd=this.finishNode(Nf,"OptionalIndexedAccessType")):Gd=this.finishNode(Nf,"IndexedAccessType"))}return Gd}flowParsePrefixType(){let Od=this.startNode();return this.eat(17)?(Od.typeAnnotation=this.flowParsePrefixType(),this.finishNode(Od,"NullableTypeAnnotation")):this.flowParsePostfixType()}flowParseAnonFunctionWithoutParens(){let Od=this.flowParsePrefixType();if(!this.state.noAnonFunctionType&&this.eat(19)){let Gd=this.startNodeAt(Od.loc.start);return Gd.params=[this.reinterpretTypeAsFunctionTypeParam(Od)],Gd.rest=null,Gd.this=null,Gd.returnType=this.flowParseType(),Gd.typeParameters=null,this.finishNode(Gd,"FunctionTypeAnnotation")}return Od}flowParseIntersectionType(){let Od=this.startNode();this.eat(45);let Gd=this.flowParseAnonFunctionWithoutParens();for(Od.types=[Gd];this.eat(45);)Od.types.push(this.flowParseAnonFunctionWithoutParens());return Od.types.length===1?Gd:this.finishNode(Od,"IntersectionTypeAnnotation")}flowParseUnionType(){let Od=this.startNode();this.eat(43);let Gd=this.flowParseIntersectionType();for(Od.types=[Gd];this.eat(43);)Od.types.push(this.flowParseIntersectionType());return Od.types.length===1?Gd:this.finishNode(Od,"UnionTypeAnnotation")}flowParseType(){let Od=this.state.inType;this.state.inType=!0;let Gd=this.flowParseUnionType();return this.state.inType=Od,Gd}flowParseTypeOrImplicitInstantiation(){if(this.state.type===132&&this.state.value==="_"){let Od=this.state.startLoc,Gd=this.parseIdentifier();return this.flowParseGenericType(Od,Gd)}else return this.flowParseType()}flowParseTypeAnnotation(){let Od=this.startNode();return Od.typeAnnotation=this.flowParseTypeInitialiser(),this.finishNode(Od,"TypeAnnotation")}flowParseTypeAnnotatableIdentifier(Od){let Gd=Od?this.parseIdentifier():this.flowParseRestrictedIdentifier();return this.match(14)&&(Gd.typeAnnotation=this.flowParseTypeAnnotation(),this.resetEndLocation(Gd)),Gd}typeCastToParameter(Od){return Od.expression.typeAnnotation=Od.typeAnnotation,this.resetEndLocation(Od.expression,Od.typeAnnotation.loc.end),Od.expression}flowParseVariance(){let Od=null;return this.match(53)?(Od=this.startNode(),this.state.value==="+"?Od.kind="plus":Od.kind="minus",this.next(),this.finishNode(Od,"Variance")):Od}parseFunctionBody(Od,Gd,df=!1){if(Gd){this.forwardNoArrowParamsConversionAt(Od,()=>super.parseFunctionBody(Od,!0,df));return}super.parseFunctionBody(Od,!1,df)}parseFunctionBodyAndFinish(Od,Gd,df=!1){if(this.match(14)){let Nf=this.startNode();[Nf.typeAnnotation,Od.predicate]=this.flowParseTypeAndPredicateInitialiser(),Od.returnType=Nf.typeAnnotation?this.finishNode(Nf,"TypeAnnotation"):null}return super.parseFunctionBodyAndFinish(Od,Gd,df)}parseStatementLike(Od){if(this.state.strict&&this.isContextual(129)){let df=this.lookahead();if(hh(df.type)){let Nf=this.startNode();return this.next(),this.flowParseInterface(Nf)}}else if(this.shouldParseEnums()&&this.isContextual(126)){let df=this.startNode();return this.next(),this.flowParseEnumDeclaration(df)}let Gd=super.parseStatementLike(Od);return this.flowPragma===void 0&&!this.isValidDirective(Gd)&&(this.flowPragma=null),Gd}parseExpressionStatement(Od,Gd,df){if(Gd.type==="Identifier"){if(Gd.name==="declare"){if(this.match(80)||$f(this.state.type)||this.match(68)||this.match(74)||this.match(82))return this.flowParseDeclare(Od)}else if($f(this.state.type)){if(Gd.name==="interface")return this.flowParseInterface(Od);if(Gd.name==="type")return this.flowParseTypeAlias(Od);if(Gd.name==="opaque")return this.flowParseOpaqueType(Od,!1)}}return super.parseExpressionStatement(Od,Gd,df)}shouldParseExportDeclaration(){let{type:Od}=this.state;return qf(Od)||this.shouldParseEnums()&&Od===126?!this.state.containsEsc:super.shouldParseExportDeclaration()}isExportDefaultSpecifier(){let{type:Od}=this.state;return qf(Od)||this.shouldParseEnums()&&Od===126?this.state.containsEsc:super.isExportDefaultSpecifier()}parseExportDefaultExpression(){if(this.shouldParseEnums()&&this.isContextual(126)){let Od=this.startNode();return this.next(),this.flowParseEnumDeclaration(Od)}return super.parseExportDefaultExpression()}parseConditional(Od,Gd,df){if(!this.match(17))return Od;if(this.state.maybeInArrowParameters){let Wm=this.lookaheadCharCode();if(Wm===44||Wm===61||Wm===58||Wm===41)return this.setOptionalParametersError(df),Od}this.expect(17);let Nf=this.state.clone(),Xf=this.state.noArrowAt,Th=this.startNodeAt(Gd),{consequent:Ih,failed:zh}=this.tryParseConditionalConsequent(),[Uh,Sm]=this.getArrowLikeExpressions(Ih);if(zh||Sm.length>0){let Wm=[...Xf];if(Sm.length>0){this.state=Nf,this.state.noArrowAt=Wm;for(let Zm=0;Zm<Sm.length;Zm++)Wm.push(Sm[Zm].start);({consequent:Ih,failed:zh}=this.tryParseConditionalConsequent()),[Uh,Sm]=this.getArrowLikeExpressions(Ih)}zh&&Uh.length>1&&this.raise(s1.AmbiguousConditionalArrow,Nf.startLoc),zh&&Uh.length===1&&(this.state=Nf,Wm.push(Uh[0].start),this.state.noArrowAt=Wm,{consequent:Ih,failed:zh}=this.tryParseConditionalConsequent())}return this.getArrowLikeExpressions(Ih,!0),this.state.noArrowAt=Xf,this.expect(14),Th.test=Od,Th.consequent=Ih,Th.alternate=this.forwardNoArrowParamsConversionAt(Th,()=>this.parseMaybeAssign(void 0,void 0)),this.finishNode(Th,"ConditionalExpression")}tryParseConditionalConsequent(){this.state.noArrowParamsConversionAt.push(this.state.start);let Od=this.parseMaybeAssignAllowIn(),Gd=!this.match(14);return this.state.noArrowParamsConversionAt.pop(),{consequent:Od,failed:Gd}}getArrowLikeExpressions(Od,Gd){let df=[Od],Nf=[];for(;df.length!==0;){let Xf=df.pop();Xf.type==="ArrowFunctionExpression"&&Xf.body.type!=="BlockStatement"?(Xf.typeParameters||!Xf.returnType?this.finishArrowValidation(Xf):Nf.push(Xf),df.push(Xf.body)):Xf.type==="ConditionalExpression"&&(df.push(Xf.consequent),df.push(Xf.alternate))}return Gd?(Nf.forEach(Xf=>this.finishArrowValidation(Xf)),[Nf,[]]):yg(Nf,Xf=>Xf.params.every(Th=>this.isAssignable(Th,!0)))}finishArrowValidation(Od){var Gd;this.toAssignableList(Od.params,(Gd=Od.extra)==null?void 0:Gd.trailingCommaLoc,!1),this.scope.enter(6),super.checkParams(Od,!1,!0),this.scope.exit()}forwardNoArrowParamsConversionAt(Od,Gd){let df;return this.state.noArrowParamsConversionAt.includes(Od.start)?(this.state.noArrowParamsConversionAt.push(this.state.start),df=Gd(),this.state.noArrowParamsConversionAt.pop()):df=Gd(),df}parseParenItem(Od,Gd){let df=super.parseParenItem(Od,Gd);if(this.eat(17)&&(df.optional=!0,this.resetEndLocation(Od)),this.match(14)){let Nf=this.startNodeAt(Gd);return Nf.expression=df,Nf.typeAnnotation=this.flowParseTypeAnnotation(),this.finishNode(Nf,"TypeCastExpression")}return df}assertModuleNodeAllowed(Od){Od.type==="ImportDeclaration"&&(Od.importKind==="type"||Od.importKind==="typeof")||Od.type==="ExportNamedDeclaration"&&Od.exportKind==="type"||Od.type==="ExportAllDeclaration"&&Od.exportKind==="type"||super.assertModuleNodeAllowed(Od)}parseExportDeclaration(Od){if(this.isContextual(130)){Od.exportKind="type";let Gd=this.startNode();return this.next(),this.match(5)?(Od.specifiers=this.parseExportSpecifiers(!0),super.parseExportFrom(Od),null):this.flowParseTypeAlias(Gd)}else if(this.isContextual(131)){Od.exportKind="type";let Gd=this.startNode();return this.next(),this.flowParseOpaqueType(Gd,!1)}else if(this.isContextual(129)){Od.exportKind="type";let Gd=this.startNode();return this.next(),this.flowParseInterface(Gd)}else if(this.shouldParseEnums()&&this.isContextual(126)){Od.exportKind="value";let Gd=this.startNode();return this.next(),this.flowParseEnumDeclaration(Gd)}else return super.parseExportDeclaration(Od)}eatExportStar(Od){return super.eatExportStar(Od)?!0:this.isContextual(130)&&this.lookahead().type===55?(Od.exportKind="type",this.next(),this.next(),!0):!1}maybeParseExportNamespaceSpecifier(Od){let{startLoc:Gd}=this.state,df=super.maybeParseExportNamespaceSpecifier(Od);return df&&Od.exportKind==="type"&&this.unexpected(Gd),df}parseClassId(Od,Gd,df){super.parseClassId(Od,Gd,df),this.match(47)&&(Od.typeParameters=this.flowParseTypeParameterDeclaration())}parseClassMember(Od,Gd,df){let{startLoc:Nf}=this.state;if(this.isContextual(125)){if(super.parseClassMemberFromModifier(Od,Gd))return;Gd.declare=!0}super.parseClassMember(Od,Gd,df),Gd.declare&&(Gd.type!=="ClassProperty"&&Gd.type!=="ClassPrivateProperty"&&Gd.type!=="PropertyDefinition"?this.raise(s1.DeclareClassElement,Nf):Gd.value&&this.raise(s1.DeclareClassFieldInitializer,Gd.value))}isIterator(Od){return Od==="iterator"||Od==="asyncIterator"}readIterator(){let Od=super.readWord1(),Gd="@@"+Od;(!this.isIterator(Od)||!this.state.inType)&&this.raise(Nd.InvalidIdentifier,this.state.curPosition(),{identifierName:Gd}),this.finishToken(132,Gd)}getTokenFromCode(Od){let Gd=this.input.charCodeAt(this.state.pos+1);Od===123&&Gd===124?this.finishOp(6,2):this.state.inType&&(Od===62||Od===60)?this.finishOp(Od===62?48:47,1):this.state.inType&&Od===63?Gd===46?this.finishOp(18,2):this.finishOp(17,1):Xm(Od,Gd,this.input.charCodeAt(this.state.pos+2))?(this.state.pos+=2,this.readIterator()):super.getTokenFromCode(Od)}isAssignable(Od,Gd){return Od.type==="TypeCastExpression"?this.isAssignable(Od.expression,Gd):super.isAssignable(Od,Gd)}toAssignable(Od,Gd=!1){!Gd&&Od.type==="AssignmentExpression"&&Od.left.type==="TypeCastExpression"&&(Od.left=this.typeCastToParameter(Od.left)),super.toAssignable(Od,Gd)}toAssignableList(Od,Gd,df){for(let Nf=0;Nf<Od.length;Nf++){let Xf=Od[Nf];(Xf==null?void 0:Xf.type)==="TypeCastExpression"&&(Od[Nf]=this.typeCastToParameter(Xf))}super.toAssignableList(Od,Gd,df)}toReferencedList(Od,Gd){for(let Nf=0;Nf<Od.length;Nf++){var df;let Xf=Od[Nf];Xf&&Xf.type==="TypeCastExpression"&&!((df=Xf.extra)!=null&&df.parenthesized)&&(Od.length>1||!Gd)&&this.raise(s1.TypeCastInPattern,Xf.typeAnnotation)}return Od}parseArrayLike(Od,Gd,df,Nf){let Xf=super.parseArrayLike(Od,Gd,df,Nf);return Gd&&!this.state.maybeInArrowParameters&&this.toReferencedList(Xf.elements),Xf}isValidLVal(Od,Gd,df){return Od==="TypeCastExpression"||super.isValidLVal(Od,Gd,df)}parseClassProperty(Od){return this.match(14)&&(Od.typeAnnotation=this.flowParseTypeAnnotation()),super.parseClassProperty(Od)}parseClassPrivateProperty(Od){return this.match(14)&&(Od.typeAnnotation=this.flowParseTypeAnnotation()),super.parseClassPrivateProperty(Od)}isClassMethod(){return this.match(47)||super.isClassMethod()}isClassProperty(){return this.match(14)||super.isClassProperty()}isNonstaticConstructor(Od){return!this.match(14)&&super.isNonstaticConstructor(Od)}pushClassMethod(Od,Gd,df,Nf,Xf,Th){if(Gd.variance&&this.unexpected(Gd.variance.loc.start),delete Gd.variance,this.match(47)&&(Gd.typeParameters=this.flowParseTypeParameterDeclaration()),super.pushClassMethod(Od,Gd,df,Nf,Xf,Th),Gd.params&&Xf){let Ih=Gd.params;Ih.length>0&&this.isThisParam(Ih[0])&&this.raise(s1.ThisParamBannedInConstructor,Gd)}else if(Gd.type==="MethodDefinition"&&Xf&&Gd.value.params){let Ih=Gd.value.params;Ih.length>0&&this.isThisParam(Ih[0])&&this.raise(s1.ThisParamBannedInConstructor,Gd)}}pushClassPrivateMethod(Od,Gd,df,Nf){Gd.variance&&this.unexpected(Gd.variance.loc.start),delete Gd.variance,this.match(47)&&(Gd.typeParameters=this.flowParseTypeParameterDeclaration()),super.pushClassPrivateMethod(Od,Gd,df,Nf)}parseClassSuper(Od){if(super.parseClassSuper(Od),Od.superClass&&this.match(47)&&(Od.superTypeParameters=this.flowParseTypeParameterInstantiation()),this.isContextual(113)){this.next();let Gd=Od.implements=[];do{let df=this.startNode();df.id=this.flowParseRestrictedIdentifier(!0),this.match(47)?df.typeParameters=this.flowParseTypeParameterInstantiation():df.typeParameters=null,Gd.push(this.finishNode(df,"ClassImplements"))}while(this.eat(12))}}checkGetterSetterParams(Od){super.checkGetterSetterParams(Od);let Gd=this.getObjectOrClassMethodParams(Od);if(Gd.length>0){let df=Gd[0];this.isThisParam(df)&&Od.kind==="get"?this.raise(s1.GetterMayNotHaveThisParam,df):this.isThisParam(df)&&this.raise(s1.SetterMayNotHaveThisParam,df)}}parsePropertyNamePrefixOperator(Od){Od.variance=this.flowParseVariance()}parseObjPropValue(Od,Gd,df,Nf,Xf,Th,Ih){Od.variance&&this.unexpected(Od.variance.loc.start),delete Od.variance;let zh;this.match(47)&&!Th&&(zh=this.flowParseTypeParameterDeclaration(),this.match(10)||this.unexpected());let Uh=super.parseObjPropValue(Od,Gd,df,Nf,Xf,Th,Ih);return zh&&((Uh.value||Uh).typeParameters=zh),Uh}parseAssignableListItemTypes(Od){return this.eat(17)&&(Od.type!=="Identifier"&&this.raise(s1.PatternIsOptional,Od),this.isThisParam(Od)&&this.raise(s1.ThisParamMayNotBeOptional,Od),Od.optional=!0),this.match(14)?Od.typeAnnotation=this.flowParseTypeAnnotation():this.isThisParam(Od)&&this.raise(s1.ThisParamAnnotationRequired,Od),this.match(29)&&this.isThisParam(Od)&&this.raise(s1.ThisParamNoDefault,Od),this.resetEndLocation(Od),Od}parseMaybeDefault(Od,Gd){let df=super.parseMaybeDefault(Od,Gd);return df.type==="AssignmentPattern"&&df.typeAnnotation&&df.right.start<df.typeAnnotation.start&&this.raise(s1.TypeBeforeInitializer,df.typeAnnotation),df}checkImportReflection(Od){super.checkImportReflection(Od),Od.module&&Od.importKind!=="value"&&this.raise(s1.ImportReflectionHasImportType,Od.specifiers[0].loc.start)}parseImportSpecifierLocal(Od,Gd,df){Gd.local=b0(Od)?this.flowParseRestrictedIdentifier(!0,!0):this.parseIdentifier(),Od.specifiers.push(this.finishImportSpecifier(Gd,df))}isPotentialImportPhase(Od){if(super.isPotentialImportPhase(Od))return!0;if(this.isContextual(130)){if(!Od)return!0;let Gd=this.lookaheadCharCode();return Gd===123||Gd===42}return!Od&&this.isContextual(87)}applyImportPhase(Od,Gd,df,Nf){if(super.applyImportPhase(Od,Gd,df,Nf),Gd){if(!df&&this.match(65))return;Od.exportKind=df==="type"?df:"value"}else df==="type"&&this.match(55)&&this.unexpected(),Od.importKind=df==="type"||df==="typeof"?df:"value"}parseImportSpecifier(Od,Gd,df,Nf,Xf){let Th=Od.imported,Ih=null;Th.type==="Identifier"&&(Th.name==="type"?Ih="type":Th.name==="typeof"&&(Ih="typeof"));let zh=!1;if(this.isContextual(93)&&!this.isLookaheadContextual("as")){let Sm=this.parseIdentifier(!0);Ih!==null&&!hh(this.state.type)?(Od.imported=Sm,Od.importKind=Ih,Od.local=T0(Sm)):(Od.imported=Th,Od.importKind=null,Od.local=this.parseIdentifier())}else{if(Ih!==null&&hh(this.state.type))Od.imported=this.parseIdentifier(!0),Od.importKind=Ih;else{if(Gd)throw this.raise(Nd.ImportBindingIsString,Od,{importName:Th.value});Od.imported=Th,Od.importKind=null}this.eatContextual(93)?Od.local=this.parseIdentifier():(zh=!0,Od.local=T0(Od.imported))}let Uh=b0(Od);return df&&Uh&&this.raise(s1.ImportTypeShorthandOnlyInPureImport,Od),(df||Uh)&&this.checkReservedType(Od.local.name,Od.local.loc.start,!0),zh&&!df&&!Uh&&this.checkReservedWord(Od.local.name,Od.loc.start,!0,!0),this.finishImportSpecifier(Od,"ImportSpecifier")}parseBindingAtom(){switch(this.state.type){case 78:return this.parseIdentifier(!0);default:return super.parseBindingAtom()}}parseFunctionParams(Od,Gd){let df=Od.kind;df!=="get"&&df!=="set"&&this.match(47)&&(Od.typeParameters=this.flowParseTypeParameterDeclaration()),super.parseFunctionParams(Od,Gd)}parseVarId(Od,Gd){super.parseVarId(Od,Gd),this.match(14)&&(Od.id.typeAnnotation=this.flowParseTypeAnnotation(),this.resetEndLocation(Od.id))}parseAsyncArrowFromCallExpression(Od,Gd){if(this.match(14)){let df=this.state.noAnonFunctionType;this.state.noAnonFunctionType=!0,Od.returnType=this.flowParseTypeAnnotation(),this.state.noAnonFunctionType=df}return super.parseAsyncArrowFromCallExpression(Od,Gd)}shouldParseAsyncArrow(){return this.match(14)||super.shouldParseAsyncArrow()}parseMaybeAssign(Od,Gd){var df;let Nf=null,Xf;if(this.hasPlugin("jsx")&&(this.match(142)||this.match(47))){if(Nf=this.state.clone(),Xf=this.tryParse(()=>super.parseMaybeAssign(Od,Gd),Nf),!Xf.error)return Xf.node;let{context:zh}=this.state,Uh=zh[zh.length-1];(Uh===Yd.j_oTag||Uh===Yd.j_expr)&&zh.pop()}if((df=Xf)!=null&&df.error||this.match(47)){var Th,Ih;Nf=Nf||this.state.clone();let zh,Uh=this.tryParse(Wm=>{var Zm;zh=this.flowParseTypeParameterDeclaration();let r1=this.forwardNoArrowParamsConversionAt(zh,()=>{let f0=super.parseMaybeAssign(Od,Gd);return this.resetStartLocationFromNode(f0,zh),f0});(Zm=r1.extra)!=null&&Zm.parenthesized&&Wm();let C1=this.maybeUnwrapTypeCastExpression(r1);return C1.type!=="ArrowFunctionExpression"&&Wm(),C1.typeParameters=zh,this.resetStartLocationFromNode(C1,zh),r1},Nf),Sm=null;if(Uh.node&&this.maybeUnwrapTypeCastExpression(Uh.node).type==="ArrowFunctionExpression"){if(!Uh.error&&!Uh.aborted)return Uh.node.async&&this.raise(s1.UnexpectedTypeParameterBeforeAsyncArrowFunction,zh),Uh.node;Sm=Uh.node}if((Th=Xf)!=null&&Th.node)return this.state=Xf.failState,Xf.node;if(Sm)return this.state=Uh.failState,Sm;throw(Ih=Xf)!=null&&Ih.thrown?Xf.error:Uh.thrown?Uh.error:this.raise(s1.UnexpectedTokenAfterTypeParameter,zh)}return super.parseMaybeAssign(Od,Gd)}parseArrow(Od){if(this.match(14)){let Gd=this.tryParse(()=>{let df=this.state.noAnonFunctionType;this.state.noAnonFunctionType=!0;let Nf=this.startNode();return[Nf.typeAnnotation,Od.predicate]=this.flowParseTypeAndPredicateInitialiser(),this.state.noAnonFunctionType=df,this.canInsertSemicolon()&&this.unexpected(),this.match(19)||this.unexpected(),Nf});if(Gd.thrown)return null;Gd.error&&(this.state=Gd.failState),Od.returnType=Gd.node.typeAnnotation?this.finishNode(Gd.node,"TypeAnnotation"):null}return super.parseArrow(Od)}shouldParseArrow(Od){return this.match(14)||super.shouldParseArrow(Od)}setArrowFunctionParameters(Od,Gd){this.state.noArrowParamsConversionAt.includes(Od.start)?Od.params=Gd:super.setArrowFunctionParameters(Od,Gd)}checkParams(Od,Gd,df,Nf=!0){if(!(df&&this.state.noArrowParamsConversionAt.includes(Od.start))){for(let Xf=0;Xf<Od.params.length;Xf++)this.isThisParam(Od.params[Xf])&&Xf>0&&this.raise(s1.ThisParamMustBeFirst,Od.params[Xf]);super.checkParams(Od,Gd,df,Nf)}}parseParenAndDistinguishExpression(Od){return super.parseParenAndDistinguishExpression(Od&&!this.state.noArrowAt.includes(this.state.start))}parseSubscripts(Od,Gd,df){if(Od.type==="Identifier"&&Od.name==="async"&&this.state.noArrowAt.includes(Gd.index)){this.next();let Nf=this.startNodeAt(Gd);Nf.callee=Od,Nf.arguments=super.parseCallExpressionArguments(11,!1),Od=this.finishNode(Nf,"CallExpression")}else if(Od.type==="Identifier"&&Od.name==="async"&&this.match(47)){let Nf=this.state.clone(),Xf=this.tryParse(Ih=>this.parseAsyncArrowWithTypeParameters(Gd)||Ih(),Nf);if(!Xf.error&&!Xf.aborted)return Xf.node;let Th=this.tryParse(()=>super.parseSubscripts(Od,Gd,df),Nf);if(Th.node&&!Th.error)return Th.node;if(Xf.node)return this.state=Xf.failState,Xf.node;if(Th.node)return this.state=Th.failState,Th.node;throw Xf.error||Th.error}return super.parseSubscripts(Od,Gd,df)}parseSubscript(Od,Gd,df,Nf){if(this.match(18)&&this.isLookaheadToken_lt()){if(Nf.optionalChainMember=!0,df)return Nf.stop=!0,Od;this.next();let Xf=this.startNodeAt(Gd);return Xf.callee=Od,Xf.typeArguments=this.flowParseTypeParameterInstantiation(),this.expect(10),Xf.arguments=this.parseCallExpressionArguments(11,!1),Xf.optional=!0,this.finishCallExpression(Xf,!0)}else if(!df&&this.shouldParseTypes()&&this.match(47)){let Xf=this.startNodeAt(Gd);Xf.callee=Od;let Th=this.tryParse(()=>(Xf.typeArguments=this.flowParseTypeParameterInstantiationCallOrNew(),this.expect(10),Xf.arguments=super.parseCallExpressionArguments(11,!1),Nf.optionalChainMember&&(Xf.optional=!1),this.finishCallExpression(Xf,Nf.optionalChainMember)));if(Th.node)return Th.error&&(this.state=Th.failState),Th.node}return super.parseSubscript(Od,Gd,df,Nf)}parseNewCallee(Od){super.parseNewCallee(Od);let Gd=null;this.shouldParseTypes()&&this.match(47)&&(Gd=this.tryParse(()=>this.flowParseTypeParameterInstantiationCallOrNew()).node),Od.typeArguments=Gd}parseAsyncArrowWithTypeParameters(Od){let Gd=this.startNodeAt(Od);if(this.parseFunctionParams(Gd,!1),!!this.parseArrow(Gd))return super.parseArrowExpression(Gd,void 0,!0)}readToken_mult_modulo(Od){let Gd=this.input.charCodeAt(this.state.pos+1);if(Od===42&&Gd===47&&this.state.hasFlowComment){this.state.hasFlowComment=!1,this.state.pos+=2,this.nextToken();return}super.readToken_mult_modulo(Od)}readToken_pipe_amp(Od){let Gd=this.input.charCodeAt(this.state.pos+1);if(Od===124&&Gd===125){this.finishOp(9,2);return}super.readToken_pipe_amp(Od)}parseTopLevel(Od,Gd){let df=super.parseTopLevel(Od,Gd);return this.state.hasFlowComment&&this.raise(s1.UnterminatedFlowComment,this.state.curPosition()),df}skipBlockComment(){if(this.hasPlugin("flowComments")&&this.skipFlowComment()){if(this.state.hasFlowComment)throw this.raise(s1.NestedFlowComment,this.state.startLoc);this.hasFlowCommentCompletion();let Od=this.skipFlowComment();Od&&(this.state.pos+=Od,this.state.hasFlowComment=!0);return}return super.skipBlockComment(this.state.hasFlowComment?"*-/":"*/")}skipFlowComment(){let{pos:Od}=this.state,Gd=2;for(;[32,9].includes(this.input.charCodeAt(Od+Gd));)Gd++;let df=this.input.charCodeAt(Gd+Od),Nf=this.input.charCodeAt(Gd+Od+1);return df===58&&Nf===58?Gd+2:this.input.slice(Gd+Od,Gd+Od+12)==="flow-include"?Gd+12:df===58&&Nf!==58?Gd:!1}hasFlowCommentCompletion(){if(this.input.indexOf("*/",this.state.pos)===-1)throw this.raise(Nd.UnterminatedComment,this.state.curPosition())}flowEnumErrorBooleanMemberNotInitialized(Od,{enumName:Gd,memberName:df}){this.raise(s1.EnumBooleanMemberNotInitialized,Od,{memberName:df,enumName:Gd})}flowEnumErrorInvalidMemberInitializer(Od,Gd){return this.raise(Gd.explicitType?Gd.explicitType==="symbol"?s1.EnumInvalidMemberInitializerSymbolType:s1.EnumInvalidMemberInitializerPrimaryType:s1.EnumInvalidMemberInitializerUnknownType,Od,Gd)}flowEnumErrorNumberMemberNotInitialized(Od,Gd){this.raise(s1.EnumNumberMemberNotInitialized,Od,Gd)}flowEnumErrorStringMemberInconsistentlyInitialized(Od,Gd){this.raise(s1.EnumStringMemberInconsistentlyInitialized,Od,Gd)}flowEnumMemberInit(){let Od=this.state.startLoc,Gd=()=>this.match(12)||this.match(8);switch(this.state.type){case 134:{let df=this.parseNumericLiteral(this.state.value);return Gd()?{type:"number",loc:df.loc.start,value:df}:{type:"invalid",loc:Od}}case 133:{let df=this.parseStringLiteral(this.state.value);return Gd()?{type:"string",loc:df.loc.start,value:df}:{type:"invalid",loc:Od}}case 85:case 86:{let df=this.parseBooleanLiteral(this.match(85));return Gd()?{type:"boolean",loc:df.loc.start,value:df}:{type:"invalid",loc:Od}}default:return{type:"invalid",loc:Od}}}flowEnumMemberRaw(){let Od=this.state.startLoc,Gd=this.parseIdentifier(!0),df=this.eat(29)?this.flowEnumMemberInit():{type:"none",loc:Od};return{id:Gd,init:df}}flowEnumCheckExplicitTypeMismatch(Od,Gd,df){let{explicitType:Nf}=Gd;Nf!==null&&Nf!==df&&this.flowEnumErrorInvalidMemberInitializer(Od,Gd)}flowEnumMembers({enumName:Od,explicitType:Gd}){let df=new Set,Nf={booleanMembers:[],numberMembers:[],stringMembers:[],defaultedMembers:[]},Xf=!1;for(;!this.match(8);){if(this.eat(21)){Xf=!0;break}let Th=this.startNode(),{id:Ih,init:zh}=this.flowEnumMemberRaw(),Uh=Ih.name;if(Uh==="")continue;/^[a-z]/.test(Uh)&&this.raise(s1.EnumInvalidMemberName,Ih,{memberName:Uh,suggestion:Uh[0].toUpperCase()+Uh.slice(1),enumName:Od}),df.has(Uh)&&this.raise(s1.EnumDuplicateMemberName,Ih,{memberName:Uh,enumName:Od}),df.add(Uh);let Sm={enumName:Od,explicitType:Gd,memberName:Uh};switch(Th.id=Ih,zh.type){case"boolean":{this.flowEnumCheckExplicitTypeMismatch(zh.loc,Sm,"boolean"),Th.init=zh.value,Nf.booleanMembers.push(this.finishNode(Th,"EnumBooleanMember"));break}case"number":{this.flowEnumCheckExplicitTypeMismatch(zh.loc,Sm,"number"),Th.init=zh.value,Nf.numberMembers.push(this.finishNode(Th,"EnumNumberMember"));break}case"string":{this.flowEnumCheckExplicitTypeMismatch(zh.loc,Sm,"string"),Th.init=zh.value,Nf.stringMembers.push(this.finishNode(Th,"EnumStringMember"));break}case"invalid":throw this.flowEnumErrorInvalidMemberInitializer(zh.loc,Sm);case"none":switch(Gd){case"boolean":this.flowEnumErrorBooleanMemberNotInitialized(zh.loc,Sm);break;case"number":this.flowEnumErrorNumberMemberNotInitialized(zh.loc,Sm);break;default:Nf.defaultedMembers.push(this.finishNode(Th,"EnumDefaultedMember"))}}this.match(8)||this.expect(12)}return{members:Nf,hasUnknownMembers:Xf}}flowEnumStringMembers(Od,Gd,{enumName:df}){if(Od.length===0)return Gd;if(Gd.length===0)return Od;if(Gd.length>Od.length){for(let Nf of Od)this.flowEnumErrorStringMemberInconsistentlyInitialized(Nf,{enumName:df});return Gd}else{for(let Nf of Gd)this.flowEnumErrorStringMemberInconsistentlyInitialized(Nf,{enumName:df});return Od}}flowEnumParseExplicitType({enumName:Od}){if(!this.eatContextual(102))return null;if(!$f(this.state.type))throw this.raise(s1.EnumInvalidExplicitTypeUnknownSupplied,this.state.startLoc,{enumName:Od});let{value:Gd}=this.state;return this.next(),Gd!=="boolean"&&Gd!=="number"&&Gd!=="string"&&Gd!=="symbol"&&this.raise(s1.EnumInvalidExplicitType,this.state.startLoc,{enumName:Od,invalidEnumType:Gd}),Gd}flowEnumBody(Od,Gd){let df=Gd.name,Nf=Gd.loc.start,Xf=this.flowEnumParseExplicitType({enumName:df});this.expect(5);let{members:Th,hasUnknownMembers:Ih}=this.flowEnumMembers({enumName:df,explicitType:Xf});switch(Od.hasUnknownMembers=Ih,Xf){case"boolean":return Od.explicitType=!0,Od.members=Th.booleanMembers,this.expect(8),this.finishNode(Od,"EnumBooleanBody");case"number":return Od.explicitType=!0,Od.members=Th.numberMembers,this.expect(8),this.finishNode(Od,"EnumNumberBody");case"string":return Od.explicitType=!0,Od.members=this.flowEnumStringMembers(Th.stringMembers,Th.defaultedMembers,{enumName:df}),this.expect(8),this.finishNode(Od,"EnumStringBody");case"symbol":return Od.members=Th.defaultedMembers,this.expect(8),this.finishNode(Od,"EnumSymbolBody");default:{let zh=()=>(Od.members=[],this.expect(8),this.finishNode(Od,"EnumStringBody"));Od.explicitType=!1;let Uh=Th.booleanMembers.length,Sm=Th.numberMembers.length,Wm=Th.stringMembers.length,Zm=Th.defaultedMembers.length;if(!Uh&&!Sm&&!Wm&&!Zm)return zh();if(!Uh&&!Sm)return Od.members=this.flowEnumStringMembers(Th.stringMembers,Th.defaultedMembers,{enumName:df}),this.expect(8),this.finishNode(Od,"EnumStringBody");if(!Sm&&!Wm&&Uh>=Zm){for(let r1 of Th.defaultedMembers)this.flowEnumErrorBooleanMemberNotInitialized(r1.loc.start,{enumName:df,memberName:r1.id.name});return Od.members=Th.booleanMembers,this.expect(8),this.finishNode(Od,"EnumBooleanBody")}else if(!Uh&&!Wm&&Sm>=Zm){for(let r1 of Th.defaultedMembers)this.flowEnumErrorNumberMemberNotInitialized(r1.loc.start,{enumName:df,memberName:r1.id.name});return Od.members=Th.numberMembers,this.expect(8),this.finishNode(Od,"EnumNumberBody")}else return this.raise(s1.EnumInconsistentMemberValues,Nf,{enumName:df}),zh()}}}flowParseEnumDeclaration(Od){let Gd=this.parseIdentifier();return Od.id=Gd,Od.body=this.flowEnumBody(this.startNode(),Gd),this.finishNode(Od,"EnumDeclaration")}isLookaheadToken_lt(){let Od=this.nextTokenStart();if(this.input.charCodeAt(Od)===60){let Gd=this.input.charCodeAt(Od+1);return Gd!==60&&Gd!==61}return!1}maybeUnwrapTypeCastExpression(Od){return Od.type==="TypeCastExpression"?Od.expression:Od}},p0=Rd`jsx`({AttributeIsEmpty:"JSX attributes must only be assigned a non-empty expression.",MissingClosingTagElement:({openingTagName:jd})=>`Expected corresponding JSX closing tag for <${jd}>.`,MissingClosingTagFragment:"Expected corresponding JSX closing tag for <>.",UnexpectedSequenceExpression:"Sequence expressions cannot be directly nested inside JSX. Did you mean to wrap it in parentheses (...)?",UnexpectedToken:({unexpected:jd,HTMLEntity:Od})=>`Unexpected token \`${jd}\`. Did you mean \`${Od}\` or \`{'${jd}'}\`?`,UnsupportedJsxValue:"JSX value should be either an expression or a quoted JSX text.",UnterminatedJsxContent:"Unterminated JSX contents.",UnwrappedAdjacentJSXElements:"Adjacent JSX elements must be wrapped in an enclosing tag. Did you want a JSX fragment <>...</>?"});function W0(jd){return jd?jd.type==="JSXOpeningFragment"||jd.type==="JSXClosingFragment":!1}function s_(jd){if(jd.type==="JSXIdentifier")return jd.name;if(jd.type==="JSXNamespacedName")return jd.namespace.name+":"+jd.name.name;if(jd.type==="JSXMemberExpression")return s_(jd.object)+"."+s_(jd.property);throw new Error("Node had unexpected type: "+jd.type)}var r_=jd=>class extends jd{jsxReadToken(){let Od="",Gd=this.state.pos;for(;;){if(this.state.pos>=this.length)throw this.raise(p0.UnterminatedJsxContent,this.state.startLoc);let df=this.input.charCodeAt(this.state.pos);switch(df){case 60:case 123:if(this.state.pos===this.state.start){df===60&&this.state.canStartJSXElement?(++this.state.pos,this.finishToken(142)):super.getTokenFromCode(df);return}Od+=this.input.slice(Gd,this.state.pos),this.finishToken(141,Od);return;case 38:Od+=this.input.slice(Gd,this.state.pos),Od+=this.jsxReadEntity(),Gd=this.state.pos;break;case 62:case 125:default:Bh(df)?(Od+=this.input.slice(Gd,this.state.pos),Od+=this.jsxReadNewLine(!0),Gd=this.state.pos):++this.state.pos}}}jsxReadNewLine(Od){let Gd=this.input.charCodeAt(this.state.pos),df;return++this.state.pos,Gd===13&&this.input.charCodeAt(this.state.pos)===10?(++this.state.pos,df=Od?`
|
||
`:`\r
|
||
`):df=String.fromCharCode(Gd),++this.state.curLine,this.state.lineStart=this.state.pos,df}jsxReadString(Od){let Gd="",df=++this.state.pos;for(;;){if(this.state.pos>=this.length)throw this.raise(Nd.UnterminatedString,this.state.startLoc);let Nf=this.input.charCodeAt(this.state.pos);if(Nf===Od)break;Nf===38?(Gd+=this.input.slice(df,this.state.pos),Gd+=this.jsxReadEntity(),df=this.state.pos):Bh(Nf)?(Gd+=this.input.slice(df,this.state.pos),Gd+=this.jsxReadNewLine(!1),df=this.state.pos):++this.state.pos}Gd+=this.input.slice(df,this.state.pos++),this.finishToken(133,Gd)}jsxReadEntity(){let Od=++this.state.pos;if(this.codePointAtPos(this.state.pos)===35){++this.state.pos;let Gd=10;this.codePointAtPos(this.state.pos)===120&&(Gd=16,++this.state.pos);let df=this.readInt(Gd,void 0,!1,"bail");if(df!==null&&this.codePointAtPos(this.state.pos)===59)return++this.state.pos,String.fromCodePoint(df)}else{let Gd=0,df=!1;for(;Gd++<10&&this.state.pos<this.length&&!(df=this.codePointAtPos(this.state.pos)===59);)++this.state.pos;if(df){this.input.slice(Od,this.state.pos);let Nf;++this.state.pos}}return this.state.pos=Od,"&"}jsxReadWord(){let Od,Gd=this.state.pos;do Od=this.input.charCodeAt(++this.state.pos);while(Xh(Od)||Od===45);this.finishToken(140,this.input.slice(Gd,this.state.pos))}jsxParseIdentifier(){let Od=this.startNode();return this.match(140)?Od.name=this.state.value:Af(this.state.type)?Od.name=Rh(this.state.type):this.unexpected(),this.next(),this.finishNode(Od,"JSXIdentifier")}jsxParseNamespacedName(){let Od=this.state.startLoc,Gd=this.jsxParseIdentifier();if(!this.eat(14))return Gd;let df=this.startNodeAt(Od);return df.namespace=Gd,df.name=this.jsxParseIdentifier(),this.finishNode(df,"JSXNamespacedName")}jsxParseElementName(){let Od=this.state.startLoc,Gd=this.jsxParseNamespacedName();if(Gd.type==="JSXNamespacedName")return Gd;for(;this.eat(16);){let df=this.startNodeAt(Od);df.object=Gd,df.property=this.jsxParseIdentifier(),Gd=this.finishNode(df,"JSXMemberExpression")}return Gd}jsxParseAttributeValue(){let Od;switch(this.state.type){case 5:return Od=this.startNode(),this.setContext(Yd.brace),this.next(),Od=this.jsxParseExpressionContainer(Od,Yd.j_oTag),Od.expression.type==="JSXEmptyExpression"&&this.raise(p0.AttributeIsEmpty,Od),Od;case 142:case 133:return this.parseExprAtom();default:throw this.raise(p0.UnsupportedJsxValue,this.state.startLoc)}}jsxParseEmptyExpression(){let Od=this.startNodeAt(this.state.lastTokEndLoc);return this.finishNodeAt(Od,"JSXEmptyExpression",this.state.startLoc)}jsxParseSpreadChild(Od){return this.next(),Od.expression=this.parseExpression(),this.setContext(Yd.j_expr),this.state.canStartJSXElement=!0,this.expect(8),this.finishNode(Od,"JSXSpreadChild")}jsxParseExpressionContainer(Od,Gd){if(this.match(8))Od.expression=this.jsxParseEmptyExpression();else{let df=this.parseExpression();Od.expression=df}return this.setContext(Gd),this.state.canStartJSXElement=!0,this.expect(8),this.finishNode(Od,"JSXExpressionContainer")}jsxParseAttribute(){let Od=this.startNode();return this.match(5)?(this.setContext(Yd.brace),this.next(),this.expect(21),Od.argument=this.parseMaybeAssignAllowIn(),this.setContext(Yd.j_oTag),this.state.canStartJSXElement=!0,this.expect(8),this.finishNode(Od,"JSXSpreadAttribute")):(Od.name=this.jsxParseNamespacedName(),Od.value=this.eat(29)?this.jsxParseAttributeValue():null,this.finishNode(Od,"JSXAttribute"))}jsxParseOpeningElementAt(Od){let Gd=this.startNodeAt(Od);return this.eat(143)?this.finishNode(Gd,"JSXOpeningFragment"):(Gd.name=this.jsxParseElementName(),this.jsxParseOpeningElementAfterName(Gd))}jsxParseOpeningElementAfterName(Od){let Gd=[];for(;!this.match(56)&&!this.match(143);)Gd.push(this.jsxParseAttribute());return Od.attributes=Gd,Od.selfClosing=this.eat(56),this.expect(143),this.finishNode(Od,"JSXOpeningElement")}jsxParseClosingElementAt(Od){let Gd=this.startNodeAt(Od);return this.eat(143)?this.finishNode(Gd,"JSXClosingFragment"):(Gd.name=this.jsxParseElementName(),this.expect(143),this.finishNode(Gd,"JSXClosingElement"))}jsxParseElementAt(Od){let Gd=this.startNodeAt(Od),df=[],Nf=this.jsxParseOpeningElementAt(Od),Xf=null;if(!Nf.selfClosing){e:for(;;)switch(this.state.type){case 142:if(Od=this.state.startLoc,this.next(),this.eat(56)){Xf=this.jsxParseClosingElementAt(Od);break e}df.push(this.jsxParseElementAt(Od));break;case 141:df.push(this.parseLiteral(this.state.value,"JSXText"));break;case 5:{let Th=this.startNode();this.setContext(Yd.brace),this.next(),this.match(21)?df.push(this.jsxParseSpreadChild(Th)):df.push(this.jsxParseExpressionContainer(Th,Yd.j_expr));break}default:this.unexpected()}W0(Nf)&&!W0(Xf)&&Xf!==null?this.raise(p0.MissingClosingTagFragment,Xf):!W0(Nf)&&W0(Xf)?this.raise(p0.MissingClosingTagElement,Xf,{openingTagName:s_(Nf.name)}):!W0(Nf)&&!W0(Xf)&&s_(Xf.name)!==s_(Nf.name)&&this.raise(p0.MissingClosingTagElement,Xf,{openingTagName:s_(Nf.name)})}if(W0(Nf)?(Gd.openingFragment=Nf,Gd.closingFragment=Xf):(Gd.openingElement=Nf,Gd.closingElement=Xf),Gd.children=df,this.match(47))throw this.raise(p0.UnwrappedAdjacentJSXElements,this.state.startLoc);return W0(Nf)?this.finishNode(Gd,"JSXFragment"):this.finishNode(Gd,"JSXElement")}jsxParseElement(){let Od=this.state.startLoc;return this.next(),this.jsxParseElementAt(Od)}setContext(Od){let{context:Gd}=this.state;Gd[Gd.length-1]=Od}parseExprAtom(Od){return this.match(142)?this.jsxParseElement():this.match(47)&&this.input.charCodeAt(this.state.pos)!==33?(this.replaceToken(142),this.jsxParseElement()):super.parseExprAtom(Od)}skipSpace(){this.curContext().preserveSpace||super.skipSpace()}getTokenFromCode(Od){let Gd=this.curContext();if(Gd===Yd.j_expr){this.jsxReadToken();return}if(Gd===Yd.j_oTag||Gd===Yd.j_cTag){if(Hh(Od)){this.jsxReadWord();return}if(Od===62){++this.state.pos,this.finishToken(143);return}if((Od===34||Od===39)&&Gd===Yd.j_oTag){this.jsxReadString(Od);return}}if(Od===60&&this.state.canStartJSXElement&&this.input.charCodeAt(this.state.pos+1)!==33){++this.state.pos,this.finishToken(142);return}super.getTokenFromCode(Od)}updateContext(Od){let{context:Gd,type:df}=this.state;if(df===56&&Od===142)Gd.splice(-2,2,Yd.j_cTag),this.state.canStartJSXElement=!1;else if(df===142)Gd.push(Yd.j_oTag);else if(df===143){let Nf=Gd[Gd.length-1];Nf===Yd.j_oTag&&Od===56||Nf===Yd.j_cTag?(Gd.pop(),this.state.canStartJSXElement=Gd[Gd.length-1]===Yd.j_expr):(this.setContext(Yd.j_expr),this.state.canStartJSXElement=!0)}else this.state.canStartJSXElement=kf(df)}},z_=class extends V1{constructor(...jd){super(...jd),this.tsNames=new Map}},gb=class extends Z1{constructor(...jd){super(...jd),this.importsStack=[]}createScope(jd){return this.importsStack.push(new Set),new z_(jd)}enter(jd){jd===256&&this.importsStack.push(new Set),super.enter(jd)}exit(){let jd=super.exit();return jd===256&&this.importsStack.pop(),jd}hasImport(jd,Od){let Gd=this.importsStack.length;if(this.importsStack[Gd-1].has(jd))return!0;if(!Od&&Gd>1){for(let df=0;df<Gd-1;df++)if(this.importsStack[df].has(jd))return!0}return!1}declareName(jd,Od,Gd){if(Od&4096){this.hasImport(jd,!0)&&this.parser.raise(Nd.VarRedeclaration,Gd,{identifierName:jd}),this.importsStack[this.importsStack.length-1].add(jd);return}let df=this.currentScope(),Nf=df.tsNames.get(jd)||0;if(Od&1024){this.maybeExportDefined(df,jd),df.tsNames.set(jd,Nf|16);return}super.declareName(jd,Od,Gd),Od&2&&(Od&1||(this.checkRedeclarationInScope(df,jd,Od,Gd),this.maybeExportDefined(df,jd)),Nf=Nf|1),Od&256&&(Nf=Nf|2),Od&512&&(Nf=Nf|4),Od&128&&(Nf=Nf|8),Nf&&df.tsNames.set(jd,Nf)}isRedeclaredInScope(jd,Od,Gd){let df=jd.tsNames.get(Od);if((df&2)>0){if(Gd&256){let Nf=!!(Gd&512),Xf=(df&4)>0;return Nf!==Xf}return!0}return Gd&128&&(df&8)>0?jd.names.get(Od)&2?!!(Gd&1):!1:Gd&2&&(df&1)>0?!0:super.isRedeclaredInScope(jd,Od,Gd)}checkLocalExport(jd){let{name:Od}=jd;if(this.hasImport(Od))return;let Gd=this.scopeStack.length;for(let df=Gd-1;df>=0;df--){let Nf=this.scopeStack[df].tsNames.get(Od);if((Nf&1)>0||(Nf&16)>0)return}super.checkLocalExport(jd)}},jg=(jd,Od)=>hasOwnProperty.call(jd,Od)&&jd[Od],bb=jd=>jd.type==="ParenthesizedExpression"?bb(jd.expression):jd,Jb=class extends ag{toAssignable(jd,Od=!1){var Gd,df;let Nf;switch((jd.type==="ParenthesizedExpression"||(Gd=jd.extra)!=null&&Gd.parenthesized)&&(Nf=bb(jd),Od?Nf.type==="Identifier"?this.expressionScope.recordArrowParameterBindingError(Nd.InvalidParenthesizedAssignment,jd):Nf.type!=="MemberExpression"&&!this.isOptionalMemberExpression(Nf)&&this.raise(Nd.InvalidParenthesizedAssignment,jd):this.raise(Nd.InvalidParenthesizedAssignment,jd)),jd.type){case"Identifier":case"ObjectPattern":case"ArrayPattern":case"AssignmentPattern":case"RestElement":break;case"ObjectExpression":jd.type="ObjectPattern";for(let Th=0,Ih=jd.properties.length,zh=Ih-1;Th<Ih;Th++){var Xf;let Uh=jd.properties[Th],Sm=Th===zh;this.toAssignableObjectExpressionProp(Uh,Sm,Od),Sm&&Uh.type==="RestElement"&&(Xf=jd.extra)!=null&&Xf.trailingCommaLoc&&this.raise(Nd.RestTrailingComma,jd.extra.trailingCommaLoc)}break;case"ObjectProperty":{let{key:Th,value:Ih}=jd;this.isPrivateName(Th)&&this.classScope.usePrivateName(this.getPrivateNameSV(Th),Th.loc.start),this.toAssignable(Ih,Od);break}case"SpreadElement":throw new Error("Internal @babel/parser error (this is a bug, please report it). SpreadElement should be converted by .toAssignable's caller.");case"ArrayExpression":jd.type="ArrayPattern",this.toAssignableList(jd.elements,(df=jd.extra)==null?void 0:df.trailingCommaLoc,Od);break;case"AssignmentExpression":jd.operator!=="="&&this.raise(Nd.MissingEqInAssignment,jd.left.loc.end),jd.type="AssignmentPattern",delete jd.operator,this.toAssignable(jd.left,Od);break;case"ParenthesizedExpression":this.toAssignable(Nf,Od);break}}toAssignableObjectExpressionProp(jd,Od,Gd){if(jd.type==="ObjectMethod")this.raise(jd.kind==="get"||jd.kind==="set"?Nd.PatternHasAccessor:Nd.PatternHasMethod,jd.key);else if(jd.type==="SpreadElement"){jd.type="RestElement";let df=jd.argument;this.checkToRestConversion(df,!1),this.toAssignable(df,Gd),Od||this.raise(Nd.RestTrailingComma,jd)}else this.toAssignable(jd,Gd)}toAssignableList(jd,Od,Gd){let df=jd.length-1;for(let Nf=0;Nf<=df;Nf++){let Xf=jd[Nf];if(Xf){if(Xf.type==="SpreadElement"){Xf.type="RestElement";let Th=Xf.argument;this.checkToRestConversion(Th,!0),this.toAssignable(Th,Gd)}else this.toAssignable(Xf,Gd);Xf.type==="RestElement"&&(Nf<df?this.raise(Nd.RestTrailingComma,Xf):Od&&this.raise(Nd.RestTrailingComma,Od))}}}isAssignable(jd,Od){switch(jd.type){case"Identifier":case"ObjectPattern":case"ArrayPattern":case"AssignmentPattern":case"RestElement":return!0;case"ObjectExpression":{let Gd=jd.properties.length-1;return jd.properties.every((df,Nf)=>df.type!=="ObjectMethod"&&(Nf===Gd||df.type!=="SpreadElement")&&this.isAssignable(df))}case"ObjectProperty":return this.isAssignable(jd.value);case"SpreadElement":return this.isAssignable(jd.argument);case"ArrayExpression":return jd.elements.every(Gd=>Gd===null||this.isAssignable(Gd));case"AssignmentExpression":return jd.operator==="=";case"ParenthesizedExpression":return this.isAssignable(jd.expression);case"MemberExpression":case"OptionalMemberExpression":return!Od;default:return!1}}toReferencedList(jd,Od){return jd}toReferencedListDeep(jd,Od){this.toReferencedList(jd,Od);for(let Gd of jd)(Gd==null?void 0:Gd.type)==="ArrayExpression"&&this.toReferencedListDeep(Gd.elements)}parseSpread(jd){let Od=this.startNode();return this.next(),Od.argument=this.parseMaybeAssignAllowIn(jd,void 0),this.finishNode(Od,"SpreadElement")}parseRestBinding(){let jd=this.startNode();return this.next(),jd.argument=this.parseBindingAtom(),this.finishNode(jd,"RestElement")}parseBindingAtom(){switch(this.state.type){case 0:{let jd=this.startNode();return this.next(),jd.elements=this.parseBindingList(3,93,1),this.finishNode(jd,"ArrayPattern")}case 5:return this.parseObjectLike(8,!0)}return this.parseIdentifier()}parseBindingList(jd,Od,Gd){let df=Gd&1,Nf=[],Xf=!0;for(;!this.eat(jd);)if(Xf?Xf=!1:this.expect(12),df&&this.match(12))Nf.push(null);else{if(this.eat(jd))break;if(this.match(21)){if(Nf.push(this.parseAssignableListItemTypes(this.parseRestBinding(),Gd)),!this.checkCommaAfterRest(Od)){this.expect(jd);break}}else{let Th=[];for(this.match(26)&&this.hasPlugin("decorators")&&this.raise(Nd.UnsupportedParameterDecorator,this.state.startLoc);this.match(26);)Th.push(this.parseDecorator());Nf.push(this.parseAssignableListItem(Gd,Th))}}return Nf}parseBindingRestProperty(jd){return this.next(),jd.argument=this.parseIdentifier(),this.checkCommaAfterRest(125),this.finishNode(jd,"RestElement")}parseBindingProperty(){let{type:jd,startLoc:Od}=this.state;if(jd===21)return this.parseBindingRestProperty(this.startNode());let Gd=this.startNode();return jd===138?(this.expectPlugin("destructuringPrivate",Od),this.classScope.usePrivateName(this.state.value,Od),Gd.key=this.parsePrivateName()):this.parsePropertyName(Gd),Gd.method=!1,this.parseObjPropValue(Gd,Od,!1,!1,!0,!1)}parseAssignableListItem(jd,Od){let Gd=this.parseMaybeDefault();this.parseAssignableListItemTypes(Gd,jd);let df=this.parseMaybeDefault(Gd.loc.start,Gd);return Od.length&&(Gd.decorators=Od),df}parseAssignableListItemTypes(jd,Od){return jd}parseMaybeDefault(jd,Od){var Gd;if(jd!=null||(jd=this.state.startLoc),Od=(Gd=Od)!=null?Gd:this.parseBindingAtom(),!this.eat(29))return Od;let df=this.startNodeAt(jd);return df.left=Od,df.right=this.parseMaybeAssignAllowIn(),this.finishNode(df,"AssignmentPattern")}isValidLVal(jd,Od,Gd){return jg({AssignmentPattern:"left",RestElement:"argument",ObjectProperty:"value",ParenthesizedExpression:"expression",ArrayPattern:"elements",ObjectPattern:"properties"},jd)}isOptionalMemberExpression(jd){return jd.type==="OptionalMemberExpression"}checkLVal(jd,{in:Od,binding:Gd=64,checkClashes:df=!1,strictModeChanged:Nf=!1,hasParenthesizedAncestor:Xf=!1}){var Th;let Ih=jd.type;if(this.isObjectMethod(jd))return;let zh=this.isOptionalMemberExpression(jd);if(zh||Ih==="MemberExpression"){zh&&(this.expectPlugin("optionalChainingAssign",jd.loc.start),Od.type!=="AssignmentExpression"&&this.raise(Nd.InvalidLhsOptionalChaining,jd,{ancestor:Od})),Gd!==64&&this.raise(Nd.InvalidPropertyBindingPattern,jd);return}if(Ih==="Identifier"){this.checkIdentifier(jd,Gd,Nf);let{name:r1}=jd;df&&(df.has(r1)?this.raise(Nd.ParamDupe,jd):df.add(r1));return}let Uh=this.isValidLVal(Ih,!(Xf||(Th=jd.extra)!=null&&Th.parenthesized)&&Od.type==="AssignmentExpression",Gd);if(Uh===!0)return;if(Uh===!1){let r1=Gd===64?Nd.InvalidLhs:Nd.InvalidLhsBinding;this.raise(r1,jd,{ancestor:Od});return}let[Sm,Wm]=Array.isArray(Uh)?Uh:[Uh,Ih==="ParenthesizedExpression"],Zm=Ih==="ArrayPattern"||Ih==="ObjectPattern"?{type:Ih}:Od;for(let r1 of[].concat(jd[Sm]))r1&&this.checkLVal(r1,{in:Zm,binding:Gd,checkClashes:df,strictModeChanged:Nf,hasParenthesizedAncestor:Wm})}checkIdentifier(jd,Od,Gd=!1){this.state.strict&&(Gd?R1(jd.name,this.inModule):N1(jd.name))&&(Od===64?this.raise(Nd.StrictEvalArguments,jd,{referenceName:jd.name}):this.raise(Nd.StrictEvalArgumentsBinding,jd,{bindingName:jd.name})),Od&8192&&jd.name==="let"&&this.raise(Nd.LetInLexicalBinding,jd),Od&64||this.declareNameFromIdentifier(jd,Od)}declareNameFromIdentifier(jd,Od){this.scope.declareName(jd.name,Od,jd.loc.start)}checkToRestConversion(jd,Od){switch(jd.type){case"ParenthesizedExpression":this.checkToRestConversion(jd.expression,Od);break;case"Identifier":case"MemberExpression":break;case"ArrayExpression":case"ObjectExpression":if(Od)break;default:this.raise(Nd.InvalidRestAssignmentPattern,jd)}}checkCommaAfterRest(jd){return this.match(12)?(this.raise(this.lookaheadCharCode()===jd?Nd.RestTrailingComma:Nd.ElementAfterRest,this.state.startLoc),!0):!1}},Ug=(jd,Od)=>hasOwnProperty.call(jd,Od)&&jd[Od];function qg(jd){if(jd==null)throw new Error(`Unexpected ${jd} value.`);return jd}function Hg(jd){if(!jd)throw new Error("Assert fail")}var zm=Rd`typescript`({AbstractMethodHasImplementation:({methodName:jd})=>`Method '${jd}' cannot have an implementation because it is marked abstract.`,AbstractPropertyHasInitializer:({propertyName:jd})=>`Property '${jd}' cannot have an initializer because it is marked abstract.`,AccesorCannotDeclareThisParameter:"'get' and 'set' accessors cannot declare 'this' parameters.",AccesorCannotHaveTypeParameters:"An accessor cannot have type parameters.",AccessorCannotBeOptional:"An 'accessor' property cannot be declared optional.",ClassMethodHasDeclare:"Class methods cannot have the 'declare' modifier.",ClassMethodHasReadonly:"Class methods cannot have the 'readonly' modifier.",ConstInitiailizerMustBeStringOrNumericLiteralOrLiteralEnumReference:"A 'const' initializer in an ambient context must be a string or numeric literal or literal enum reference.",ConstructorHasTypeParameters:"Type parameters cannot appear on a constructor declaration.",DeclareAccessor:({kind:jd})=>`'declare' is not allowed in ${jd}ters.`,DeclareClassFieldHasInitializer:"Initializers are not allowed in ambient contexts.",DeclareFunctionHasImplementation:"An implementation cannot be declared in ambient contexts.",DuplicateAccessibilityModifier:({modifier:jd})=>"Accessibility modifier already seen.",DuplicateModifier:({modifier:jd})=>`Duplicate modifier: '${jd}'.`,EmptyHeritageClauseType:({token:jd})=>`'${jd}' list cannot be empty.`,EmptyTypeArguments:"Type argument list cannot be empty.",EmptyTypeParameters:"Type parameter list cannot be empty.",ExpectedAmbientAfterExportDeclare:"'export declare' must be followed by an ambient declaration.",ImportAliasHasImportType:"An import alias can not use 'import type'.",ImportReflectionHasImportType:"An `import module` declaration can not use `type` modifier",IncompatibleModifiers:({modifiers:jd})=>`'${jd[0]}' modifier cannot be used with '${jd[1]}' modifier.`,IndexSignatureHasAbstract:"Index signatures cannot have the 'abstract' modifier.",IndexSignatureHasAccessibility:({modifier:jd})=>`Index signatures cannot have an accessibility modifier ('${jd}').`,IndexSignatureHasDeclare:"Index signatures cannot have the 'declare' modifier.",IndexSignatureHasOverride:"'override' modifier cannot appear on an index signature.",IndexSignatureHasStatic:"Index signatures cannot have the 'static' modifier.",InitializerNotAllowedInAmbientContext:"Initializers are not allowed in ambient contexts.",InvalidModifierOnTypeMember:({modifier:jd})=>`'${jd}' modifier cannot appear on a type member.`,InvalidModifierOnTypeParameter:({modifier:jd})=>`'${jd}' modifier cannot appear on a type parameter.`,InvalidModifierOnTypeParameterPositions:({modifier:jd})=>`'${jd}' modifier can only appear on a type parameter of a class, interface or type alias.`,InvalidModifiersOrder:({orderedModifiers:jd})=>`'${jd[0]}' modifier must precede '${jd[1]}' modifier.`,InvalidPropertyAccessAfterInstantiationExpression:"Invalid property access after an instantiation expression. You can either wrap the instantiation expression in parentheses, or delete the type arguments.",InvalidTupleMemberLabel:"Tuple members must be labeled with a simple identifier.",MissingInterfaceName:"'interface' declarations must be followed by an identifier.",NonAbstractClassHasAbstractMethod:"Abstract methods can only appear within an abstract class.",NonClassMethodPropertyHasAbstractModifer:"'abstract' modifier can only appear on a class, method, or property declaration.",OptionalTypeBeforeRequired:"A required element cannot follow an optional element.",OverrideNotInSubClass:"This member cannot have an 'override' modifier because its containing class does not extend another class.",PatternIsOptional:"A binding pattern parameter cannot be optional in an implementation signature.",PrivateElementHasAbstract:"Private elements cannot have the 'abstract' modifier.",PrivateElementHasAccessibility:({modifier:jd})=>`Private elements cannot have an accessibility modifier ('${jd}').`,ReadonlyForMethodSignature:"'readonly' modifier can only appear on a property declaration or index signature.",ReservedArrowTypeParam:"This syntax is reserved in files with the .mts or .cts extension. Add a trailing comma, as in `<T,>() => ...`.",ReservedTypeAssertion:"This syntax is reserved in files with the .mts or .cts extension. Use an `as` expression instead.",SetAccesorCannotHaveOptionalParameter:"A 'set' accessor cannot have an optional parameter.",SetAccesorCannotHaveRestParameter:"A 'set' accessor cannot have rest parameter.",SetAccesorCannotHaveReturnType:"A 'set' accessor cannot have a return type annotation.",SingleTypeParameterWithoutTrailingComma:({typeParameterName:jd})=>`Single type parameter ${jd} should have a trailing comma. Example usage: <${jd},>.`,StaticBlockCannotHaveModifier:"Static class blocks cannot have any modifier.",TupleOptionalAfterType:"A labeled tuple optional element must be declared using a question mark after the name and before the colon (`name?: type`), rather than after the type (`name: type?`).",TypeAnnotationAfterAssign:"Type annotations must come before default assignments, e.g. instead of `age = 25: number` use `age: number = 25`.",TypeImportCannotSpecifyDefaultAndNamed:"A type-only import can specify a default import or named bindings, but not both.",TypeModifierIsUsedInTypeExports:"The 'type' modifier cannot be used on a named export when 'export type' is used on its export statement.",TypeModifierIsUsedInTypeImports:"The 'type' modifier cannot be used on a named import when 'import type' is used on its import statement.",UnexpectedParameterModifier:"A parameter property is only allowed in a constructor implementation.",UnexpectedReadonly:"'readonly' type modifier is only permitted on array and tuple literal types.",UnexpectedTypeAnnotation:"Did not expect a type annotation here.",UnexpectedTypeCastInParameter:"Unexpected type cast in parameter position.",UnsupportedImportTypeArgument:"Argument in a type import must be a string literal.",UnsupportedParameterPropertyKind:"A parameter property may not be declared using a binding pattern.",UnsupportedSignatureParameterKind:({type:jd})=>`Name in a signature must be an Identifier, ObjectPattern or ArrayPattern, instead got ${jd}.`});function Q1(jd){switch(jd){case"any":return"TSAnyKeyword";case"boolean":return"TSBooleanKeyword";case"bigint":return"TSBigIntKeyword";case"never":return"TSNeverKeyword";case"number":return"TSNumberKeyword";case"object":return"TSObjectKeyword";case"string":return"TSStringKeyword";case"symbol":return"TSSymbolKeyword";case"undefined":return"TSUndefinedKeyword";case"unknown":return"TSUnknownKeyword";default:return}}function og(jd){return jd==="private"||jd==="public"||jd==="protected"}function Eg(jd){return jd==="in"||jd==="out"}var Tg=jd=>class extends jd{constructor(...Od){super(...Od),this.tsParseInOutModifiers=this.tsParseModifiers.bind(this,{allowedModifiers:["in","out"],disallowedModifiers:["const","public","private","protected","readonly","declare","abstract","override"],errorTemplate:zm.InvalidModifierOnTypeParameter}),this.tsParseConstModifier=this.tsParseModifiers.bind(this,{allowedModifiers:["const"],disallowedModifiers:["in","out"],errorTemplate:zm.InvalidModifierOnTypeParameterPositions}),this.tsParseInOutConstModifiers=this.tsParseModifiers.bind(this,{allowedModifiers:["in","out","const"],disallowedModifiers:["public","private","protected","readonly","declare","abstract","override"],errorTemplate:zm.InvalidModifierOnTypeParameter})}getScopeHandler(){return gb}tsIsIdentifier(){return $f(this.state.type)}tsTokenCanFollowModifier(){return(this.match(0)||this.match(5)||this.match(55)||this.match(21)||this.match(138)||this.isLiteralPropertyName())&&!this.hasPrecedingLineBreak()}tsNextTokenCanFollowModifier(){return this.next(),this.tsTokenCanFollowModifier()}tsParseModifier(Od,Gd){if(!$f(this.state.type)&&this.state.type!==58&&this.state.type!==75)return;let df=this.state.value;if(Od.includes(df)){if(Gd&&this.tsIsStartOfStaticBlocks())return;if(this.tsTryParse(this.tsNextTokenCanFollowModifier.bind(this)))return df}}tsParseModifiers({allowedModifiers:Od,disallowedModifiers:Gd,stopOnStartOfClassStaticBlock:df,errorTemplate:Nf=zm.InvalidModifierOnTypeMember},Xf){let Th=(zh,Uh,Sm,Wm)=>{Uh===Sm&&Xf[Wm]&&this.raise(zm.InvalidModifiersOrder,zh,{orderedModifiers:[Sm,Wm]})},Ih=(zh,Uh,Sm,Wm)=>{(Xf[Sm]&&Uh===Wm||Xf[Wm]&&Uh===Sm)&&this.raise(zm.IncompatibleModifiers,zh,{modifiers:[Sm,Wm]})};for(;;){let{startLoc:zh}=this.state,Uh=this.tsParseModifier(Od.concat(Gd??[]),df);if(!Uh)break;og(Uh)?Xf.accessibility?this.raise(zm.DuplicateAccessibilityModifier,zh,{modifier:Uh}):(Th(zh,Uh,Uh,"override"),Th(zh,Uh,Uh,"static"),Th(zh,Uh,Uh,"readonly"),Xf.accessibility=Uh):Eg(Uh)?(Xf[Uh]&&this.raise(zm.DuplicateModifier,zh,{modifier:Uh}),Xf[Uh]=!0,Th(zh,Uh,"in","out")):(hasOwnProperty.call(Xf,Uh)?this.raise(zm.DuplicateModifier,zh,{modifier:Uh}):(Th(zh,Uh,"static","readonly"),Th(zh,Uh,"static","override"),Th(zh,Uh,"override","readonly"),Th(zh,Uh,"abstract","override"),Ih(zh,Uh,"declare","override"),Ih(zh,Uh,"static","abstract")),Xf[Uh]=!0),Gd!=null&&Gd.includes(Uh)&&this.raise(Nf,zh,{modifier:Uh})}}tsIsListTerminator(Od){switch(Od){case"EnumMembers":case"TypeMembers":return this.match(8);case"HeritageClauseElement":return this.match(5);case"TupleElementTypes":return this.match(3);case"TypeParametersOrArguments":return this.match(48)}}tsParseList(Od,Gd){let df=[];for(;!this.tsIsListTerminator(Od);)df.push(Gd());return df}tsParseDelimitedList(Od,Gd,df){return qg(this.tsParseDelimitedListWorker(Od,Gd,!0,df))}tsParseDelimitedListWorker(Od,Gd,df,Nf){let Xf=[],Th=-1;for(;!this.tsIsListTerminator(Od);){Th=-1;let Ih=Gd();if(Ih==null)return;if(Xf.push(Ih),this.eat(12)){Th=this.state.lastTokStartLoc.index;continue}if(this.tsIsListTerminator(Od))break;df&&this.expect(12);return}return Nf&&(Nf.value=Th),Xf}tsParseBracketedList(Od,Gd,df,Nf,Xf){Nf||(df?this.expect(0):this.expect(47));let Th=this.tsParseDelimitedList(Od,Gd,Xf);return df?this.expect(3):this.expect(48),Th}tsParseImportType(){let Od=this.startNode();return this.expect(83),this.expect(10),this.match(133)||this.raise(zm.UnsupportedImportTypeArgument,this.state.startLoc),Od.argument=super.parseExprAtom(),(this.hasPlugin("importAttributes")||this.hasPlugin("importAssertions"))&&(Od.options=null),this.eat(12)&&(this.expectImportAttributesPlugin(),this.match(11)||(Od.options=super.parseMaybeAssignAllowIn(),this.eat(12))),this.expect(11),this.eat(16)&&(Od.qualifier=this.tsParseEntityName()),this.match(47)&&(Od.typeParameters=this.tsParseTypeArguments()),this.finishNode(Od,"TSImportType")}tsParseEntityName(Od=!0){let Gd=this.parseIdentifier(Od);for(;this.eat(16);){let df=this.startNodeAtNode(Gd);df.left=Gd,df.right=this.parseIdentifier(Od),Gd=this.finishNode(df,"TSQualifiedName")}return Gd}tsParseTypeReference(){let Od=this.startNode();return Od.typeName=this.tsParseEntityName(),!this.hasPrecedingLineBreak()&&this.match(47)&&(Od.typeParameters=this.tsParseTypeArguments()),this.finishNode(Od,"TSTypeReference")}tsParseThisTypePredicate(Od){this.next();let Gd=this.startNodeAtNode(Od);return Gd.parameterName=Od,Gd.typeAnnotation=this.tsParseTypeAnnotation(!1),Gd.asserts=!1,this.finishNode(Gd,"TSTypePredicate")}tsParseThisTypeNode(){let Od=this.startNode();return this.next(),this.finishNode(Od,"TSThisType")}tsParseTypeQuery(){let Od=this.startNode();return this.expect(87),this.match(83)?Od.exprName=this.tsParseImportType():Od.exprName=this.tsParseEntityName(),!this.hasPrecedingLineBreak()&&this.match(47)&&(Od.typeParameters=this.tsParseTypeArguments()),this.finishNode(Od,"TSTypeQuery")}tsParseTypeParameter(Od){let Gd=this.startNode();return Od(Gd),Gd.name=this.tsParseTypeParameterName(),Gd.constraint=this.tsEatThenParseType(81),Gd.default=this.tsEatThenParseType(29),this.finishNode(Gd,"TSTypeParameter")}tsTryParseTypeParameters(Od){if(this.match(47))return this.tsParseTypeParameters(Od)}tsParseTypeParameters(Od){let Gd=this.startNode();this.match(47)||this.match(142)?this.next():this.unexpected();let df={value:-1};return Gd.params=this.tsParseBracketedList("TypeParametersOrArguments",this.tsParseTypeParameter.bind(this,Od),!1,!0,df),Gd.params.length===0&&this.raise(zm.EmptyTypeParameters,Gd),df.value!==-1&&this.addExtra(Gd,"trailingComma",df.value),this.finishNode(Gd,"TSTypeParameterDeclaration")}tsFillSignature(Od,Gd){let df=Od===19,Nf="parameters",Xf="typeAnnotation";Gd.typeParameters=this.tsTryParseTypeParameters(this.tsParseConstModifier),this.expect(10),Gd[Nf]=this.tsParseBindingListForSignature(),df?Gd[Xf]=this.tsParseTypeOrTypePredicateAnnotation(Od):this.match(Od)&&(Gd[Xf]=this.tsParseTypeOrTypePredicateAnnotation(Od))}tsParseBindingListForSignature(){let Od=super.parseBindingList(11,41,2);for(let Gd of Od){let{type:df}=Gd;(df==="AssignmentPattern"||df==="TSParameterProperty")&&this.raise(zm.UnsupportedSignatureParameterKind,Gd,{type:df})}return Od}tsParseTypeMemberSemicolon(){!this.eat(12)&&!this.isLineTerminator()&&this.expect(13)}tsParseSignatureMember(Od,Gd){return this.tsFillSignature(14,Gd),this.tsParseTypeMemberSemicolon(),this.finishNode(Gd,Od)}tsIsUnambiguouslyIndexSignature(){return this.next(),$f(this.state.type)?(this.next(),this.match(14)):!1}tsTryParseIndexSignature(Od){if(!(this.match(0)&&this.tsLookAhead(this.tsIsUnambiguouslyIndexSignature.bind(this))))return;this.expect(0);let Gd=this.parseIdentifier();Gd.typeAnnotation=this.tsParseTypeAnnotation(),this.resetEndLocation(Gd),this.expect(3),Od.parameters=[Gd];let df=this.tsTryParseTypeAnnotation();return df&&(Od.typeAnnotation=df),this.tsParseTypeMemberSemicolon(),this.finishNode(Od,"TSIndexSignature")}tsParsePropertyOrMethodSignature(Od,Gd){this.eat(17)&&(Od.optional=!0);let df=Od;if(this.match(10)||this.match(47)){Gd&&this.raise(zm.ReadonlyForMethodSignature,Od);let Nf=df;Nf.kind&&this.match(47)&&this.raise(zm.AccesorCannotHaveTypeParameters,this.state.curPosition()),this.tsFillSignature(14,Nf),this.tsParseTypeMemberSemicolon();let Xf="parameters",Th="typeAnnotation";if(Nf.kind==="get")Nf[Xf].length>0&&(this.raise(Nd.BadGetterArity,this.state.curPosition()),this.isThisParam(Nf[Xf][0])&&this.raise(zm.AccesorCannotDeclareThisParameter,this.state.curPosition()));else if(Nf.kind==="set"){if(Nf[Xf].length!==1)this.raise(Nd.BadSetterArity,this.state.curPosition());else{let Ih=Nf[Xf][0];this.isThisParam(Ih)&&this.raise(zm.AccesorCannotDeclareThisParameter,this.state.curPosition()),Ih.type==="Identifier"&&Ih.optional&&this.raise(zm.SetAccesorCannotHaveOptionalParameter,this.state.curPosition()),Ih.type==="RestElement"&&this.raise(zm.SetAccesorCannotHaveRestParameter,this.state.curPosition())}Nf[Th]&&this.raise(zm.SetAccesorCannotHaveReturnType,Nf[Th])}else Nf.kind="method";return this.finishNode(Nf,"TSMethodSignature")}else{let Nf=df;Gd&&(Nf.readonly=!0);let Xf=this.tsTryParseTypeAnnotation();return Xf&&(Nf.typeAnnotation=Xf),this.tsParseTypeMemberSemicolon(),this.finishNode(Nf,"TSPropertySignature")}}tsParseTypeMember(){let Od=this.startNode();if(this.match(10)||this.match(47))return this.tsParseSignatureMember("TSCallSignatureDeclaration",Od);if(this.match(77)){let df=this.startNode();return this.next(),this.match(10)||this.match(47)?this.tsParseSignatureMember("TSConstructSignatureDeclaration",Od):(Od.key=this.createIdentifier(df,"new"),this.tsParsePropertyOrMethodSignature(Od,!1))}return this.tsParseModifiers({allowedModifiers:["readonly"],disallowedModifiers:["declare","abstract","private","protected","public","static","override"]},Od),this.tsTryParseIndexSignature(Od)||(super.parsePropertyName(Od),!Od.computed&&Od.key.type==="Identifier"&&(Od.key.name==="get"||Od.key.name==="set")&&this.tsTokenCanFollowModifier()&&(Od.kind=Od.key.name,super.parsePropertyName(Od)),this.tsParsePropertyOrMethodSignature(Od,!!Od.readonly))}tsParseTypeLiteral(){let Od=this.startNode();return Od.members=this.tsParseObjectTypeMembers(),this.finishNode(Od,"TSTypeLiteral")}tsParseObjectTypeMembers(){this.expect(5);let Od=this.tsParseList("TypeMembers",this.tsParseTypeMember.bind(this));return this.expect(8),Od}tsIsStartOfMappedType(){return this.next(),this.eat(53)?this.isContextual(122):(this.isContextual(122)&&this.next(),!this.match(0)||(this.next(),!this.tsIsIdentifier())?!1:(this.next(),this.match(58)))}tsParseMappedTypeParameter(){let Od=this.startNode();return Od.name=this.tsParseTypeParameterName(),Od.constraint=this.tsExpectThenParseType(58),this.finishNode(Od,"TSTypeParameter")}tsParseMappedType(){let Od=this.startNode();return this.expect(5),this.match(53)?(Od.readonly=this.state.value,this.next(),this.expectContextual(122)):this.eatContextual(122)&&(Od.readonly=!0),this.expect(0),Od.typeParameter=this.tsParseMappedTypeParameter(),Od.nameType=this.eatContextual(93)?this.tsParseType():null,this.expect(3),this.match(53)?(Od.optional=this.state.value,this.next(),this.expect(17)):this.eat(17)&&(Od.optional=!0),Od.typeAnnotation=this.tsTryParseType(),this.semicolon(),this.expect(8),this.finishNode(Od,"TSMappedType")}tsParseTupleType(){let Od=this.startNode();Od.elementTypes=this.tsParseBracketedList("TupleElementTypes",this.tsParseTupleElementType.bind(this),!0,!1);let Gd=!1;return Od.elementTypes.forEach(df=>{let{type:Nf}=df;Gd&&Nf!=="TSRestType"&&Nf!=="TSOptionalType"&&!(Nf==="TSNamedTupleMember"&&df.optional)&&this.raise(zm.OptionalTypeBeforeRequired,df),Gd||(Gd=Nf==="TSNamedTupleMember"&&df.optional||Nf==="TSOptionalType")}),this.finishNode(Od,"TSTupleType")}tsParseTupleElementType(){let{startLoc:Od}=this.state,Gd=this.eat(21),df,Nf,Xf,Th,Ih=hh(this.state.type)?this.lookaheadCharCode():null;if(Ih===58)df=!0,Xf=!1,Nf=this.parseIdentifier(!0),this.expect(14),Th=this.tsParseType();else if(Ih===63){Xf=!0;let zh=this.state.startLoc,Uh=this.state.value,Sm=this.tsParseNonArrayType();this.lookaheadCharCode()===58?(df=!0,Nf=this.createIdentifier(this.startNodeAt(zh),Uh),this.expect(17),this.expect(14),Th=this.tsParseType()):(df=!1,Th=Sm,this.expect(17))}else Th=this.tsParseType(),Xf=this.eat(17),df=this.eat(14);if(df){let zh;Nf?(zh=this.startNodeAtNode(Nf),zh.optional=Xf,zh.label=Nf,zh.elementType=Th,this.eat(17)&&(zh.optional=!0,this.raise(zm.TupleOptionalAfterType,this.state.lastTokStartLoc))):(zh=this.startNodeAtNode(Th),zh.optional=Xf,this.raise(zm.InvalidTupleMemberLabel,Th),zh.label=Th,zh.elementType=this.tsParseType()),Th=this.finishNode(zh,"TSNamedTupleMember")}else if(Xf){let zh=this.startNodeAtNode(Th);zh.typeAnnotation=Th,Th=this.finishNode(zh,"TSOptionalType")}if(Gd){let zh=this.startNodeAt(Od);zh.typeAnnotation=Th,Th=this.finishNode(zh,"TSRestType")}return Th}tsParseParenthesizedType(){let Od=this.startNode();return this.expect(10),Od.typeAnnotation=this.tsParseType(),this.expect(11),this.finishNode(Od,"TSParenthesizedType")}tsParseFunctionOrConstructorType(Od,Gd){let df=this.startNode();return Od==="TSConstructorType"&&(df.abstract=!!Gd,Gd&&this.next(),this.next()),this.tsInAllowConditionalTypesContext(()=>this.tsFillSignature(19,df)),this.finishNode(df,Od)}tsParseLiteralTypeNode(){let Od=this.startNode();switch(this.state.type){case 134:case 135:case 133:case 85:case 86:Od.literal=super.parseExprAtom();break;default:this.unexpected()}return this.finishNode(Od,"TSLiteralType")}tsParseTemplateLiteralType(){let Od=this.startNode();return Od.literal=super.parseTemplate(!1),this.finishNode(Od,"TSLiteralType")}parseTemplateSubstitution(){return this.state.inType?this.tsParseType():super.parseTemplateSubstitution()}tsParseThisTypeOrThisTypePredicate(){let Od=this.tsParseThisTypeNode();return this.isContextual(116)&&!this.hasPrecedingLineBreak()?this.tsParseThisTypePredicate(Od):Od}tsParseNonArrayType(){switch(this.state.type){case 133:case 134:case 135:case 85:case 86:return this.tsParseLiteralTypeNode();case 53:if(this.state.value==="-"){let Od=this.startNode(),Gd=this.lookahead();return Gd.type!==134&&Gd.type!==135&&this.unexpected(),Od.literal=this.parseMaybeUnary(),this.finishNode(Od,"TSLiteralType")}break;case 78:return this.tsParseThisTypeOrThisTypePredicate();case 87:return this.tsParseTypeQuery();case 83:return this.tsParseImportType();case 5:return this.tsLookAhead(this.tsIsStartOfMappedType.bind(this))?this.tsParseMappedType():this.tsParseTypeLiteral();case 0:return this.tsParseTupleType();case 10:return this.tsParseParenthesizedType();case 25:case 24:return this.tsParseTemplateLiteralType();default:{let{type:Od}=this.state;if($f(Od)||Od===88||Od===84){let Gd=Od===88?"TSVoidKeyword":Od===84?"TSNullKeyword":Q1(this.state.value);if(Gd!==void 0&&this.lookaheadCharCode()!==46){let df=this.startNode();return this.next(),this.finishNode(df,Gd)}return this.tsParseTypeReference()}}}this.unexpected()}tsParseArrayTypeOrHigher(){let Od=this.tsParseNonArrayType();for(;!this.hasPrecedingLineBreak()&&this.eat(0);)if(this.match(3)){let Gd=this.startNodeAtNode(Od);Gd.elementType=Od,this.expect(3),Od=this.finishNode(Gd,"TSArrayType")}else{let Gd=this.startNodeAtNode(Od);Gd.objectType=Od,Gd.indexType=this.tsParseType(),this.expect(3),Od=this.finishNode(Gd,"TSIndexedAccessType")}return Od}tsParseTypeOperator(){let Od=this.startNode(),Gd=this.state.value;return this.next(),Od.operator=Gd,Od.typeAnnotation=this.tsParseTypeOperatorOrHigher(),Gd==="readonly"&&this.tsCheckTypeAnnotationForReadOnly(Od),this.finishNode(Od,"TSTypeOperator")}tsCheckTypeAnnotationForReadOnly(Od){switch(Od.typeAnnotation.type){case"TSTupleType":case"TSArrayType":return;default:this.raise(zm.UnexpectedReadonly,Od)}}tsParseInferType(){let Od=this.startNode();this.expectContextual(115);let Gd=this.startNode();return Gd.name=this.tsParseTypeParameterName(),Gd.constraint=this.tsTryParse(()=>this.tsParseConstraintForInferType()),Od.typeParameter=this.finishNode(Gd,"TSTypeParameter"),this.finishNode(Od,"TSInferType")}tsParseConstraintForInferType(){if(this.eat(81)){let Od=this.tsInDisallowConditionalTypesContext(()=>this.tsParseType());if(this.state.inDisallowConditionalTypesContext||!this.match(17))return Od}}tsParseTypeOperatorOrHigher(){return dh(this.state.type)&&!this.state.containsEsc?this.tsParseTypeOperator():this.isContextual(115)?this.tsParseInferType():this.tsInAllowConditionalTypesContext(()=>this.tsParseArrayTypeOrHigher())}tsParseUnionOrIntersectionType(Od,Gd,df){let Nf=this.startNode(),Xf=this.eat(df),Th=[];do Th.push(Gd());while(this.eat(df));return Th.length===1&&!Xf?Th[0]:(Nf.types=Th,this.finishNode(Nf,Od))}tsParseIntersectionTypeOrHigher(){return this.tsParseUnionOrIntersectionType("TSIntersectionType",this.tsParseTypeOperatorOrHigher.bind(this),45)}tsParseUnionTypeOrHigher(){return this.tsParseUnionOrIntersectionType("TSUnionType",this.tsParseIntersectionTypeOrHigher.bind(this),43)}tsIsStartOfFunctionType(){return this.match(47)?!0:this.match(10)&&this.tsLookAhead(this.tsIsUnambiguouslyStartOfFunctionType.bind(this))}tsSkipParameterStart(){if($f(this.state.type)||this.match(78))return this.next(),!0;if(this.match(5)){let{errors:Od}=this.state,Gd=Od.length;try{return this.parseObjectLike(8,!0),Od.length===Gd}catch{return!1}}if(this.match(0)){this.next();let{errors:Od}=this.state,Gd=Od.length;try{return super.parseBindingList(3,93,1),Od.length===Gd}catch{return!1}}return!1}tsIsUnambiguouslyStartOfFunctionType(){return this.next(),!!(this.match(11)||this.match(21)||this.tsSkipParameterStart()&&(this.match(14)||this.match(12)||this.match(17)||this.match(29)||this.match(11)&&(this.next(),this.match(19))))}tsParseTypeOrTypePredicateAnnotation(Od){return this.tsInType(()=>{let Gd=this.startNode();this.expect(Od);let df=this.startNode(),Nf=!!this.tsTryParse(this.tsParseTypePredicateAsserts.bind(this));if(Nf&&this.match(78)){let Ih=this.tsParseThisTypeOrThisTypePredicate();return Ih.type==="TSThisType"?(df.parameterName=Ih,df.asserts=!0,df.typeAnnotation=null,Ih=this.finishNode(df,"TSTypePredicate")):(this.resetStartLocationFromNode(Ih,df),Ih.asserts=!0),Gd.typeAnnotation=Ih,this.finishNode(Gd,"TSTypeAnnotation")}let Xf=this.tsIsIdentifier()&&this.tsTryParse(this.tsParseTypePredicatePrefix.bind(this));if(!Xf)return Nf?(df.parameterName=this.parseIdentifier(),df.asserts=Nf,df.typeAnnotation=null,Gd.typeAnnotation=this.finishNode(df,"TSTypePredicate"),this.finishNode(Gd,"TSTypeAnnotation")):this.tsParseTypeAnnotation(!1,Gd);let Th=this.tsParseTypeAnnotation(!1);return df.parameterName=Xf,df.typeAnnotation=Th,df.asserts=Nf,Gd.typeAnnotation=this.finishNode(df,"TSTypePredicate"),this.finishNode(Gd,"TSTypeAnnotation")})}tsTryParseTypeOrTypePredicateAnnotation(){if(this.match(14))return this.tsParseTypeOrTypePredicateAnnotation(14)}tsTryParseTypeAnnotation(){if(this.match(14))return this.tsParseTypeAnnotation()}tsTryParseType(){return this.tsEatThenParseType(14)}tsParseTypePredicatePrefix(){let Od=this.parseIdentifier();if(this.isContextual(116)&&!this.hasPrecedingLineBreak())return this.next(),Od}tsParseTypePredicateAsserts(){if(this.state.type!==109)return!1;let Od=this.state.containsEsc;return this.next(),!$f(this.state.type)&&!this.match(78)?!1:(Od&&this.raise(Nd.InvalidEscapedReservedWord,this.state.lastTokStartLoc,{reservedWord:"asserts"}),!0)}tsParseTypeAnnotation(Od=!0,Gd=this.startNode()){return this.tsInType(()=>{Od&&this.expect(14),Gd.typeAnnotation=this.tsParseType()}),this.finishNode(Gd,"TSTypeAnnotation")}tsParseType(){Hg(this.state.inType);let Od=this.tsParseNonConditionalType();if(this.state.inDisallowConditionalTypesContext||this.hasPrecedingLineBreak()||!this.eat(81))return Od;let Gd=this.startNodeAtNode(Od);return Gd.checkType=Od,Gd.extendsType=this.tsInDisallowConditionalTypesContext(()=>this.tsParseNonConditionalType()),this.expect(17),Gd.trueType=this.tsInAllowConditionalTypesContext(()=>this.tsParseType()),this.expect(14),Gd.falseType=this.tsInAllowConditionalTypesContext(()=>this.tsParseType()),this.finishNode(Gd,"TSConditionalType")}isAbstractConstructorSignature(){return this.isContextual(124)&&this.lookahead().type===77}tsParseNonConditionalType(){return this.tsIsStartOfFunctionType()?this.tsParseFunctionOrConstructorType("TSFunctionType"):this.match(77)?this.tsParseFunctionOrConstructorType("TSConstructorType"):this.isAbstractConstructorSignature()?this.tsParseFunctionOrConstructorType("TSConstructorType",!0):this.tsParseUnionTypeOrHigher()}tsParseTypeAssertion(){this.getPluginOption("typescript","disallowAmbiguousJSXLike")&&this.raise(zm.ReservedTypeAssertion,this.state.startLoc);let Od=this.startNode();return Od.typeAnnotation=this.tsInType(()=>(this.next(),this.match(75)?this.tsParseTypeReference():this.tsParseType())),this.expect(48),Od.expression=this.parseMaybeUnary(),this.finishNode(Od,"TSTypeAssertion")}tsParseHeritageClause(Od){let Gd=this.state.startLoc,df=this.tsParseDelimitedList("HeritageClauseElement",()=>{let Nf=this.startNode();return Nf.expression=this.tsParseEntityName(),this.match(47)&&(Nf.typeParameters=this.tsParseTypeArguments()),this.finishNode(Nf,"TSExpressionWithTypeArguments")});return df.length||this.raise(zm.EmptyHeritageClauseType,Gd,{token:Od}),df}tsParseInterfaceDeclaration(Od,Gd={}){if(this.hasFollowingLineBreak())return null;this.expectContextual(129),Gd.declare&&(Od.declare=!0),$f(this.state.type)?(Od.id=this.parseIdentifier(),this.checkIdentifier(Od.id,130)):(Od.id=null,this.raise(zm.MissingInterfaceName,this.state.startLoc)),Od.typeParameters=this.tsTryParseTypeParameters(this.tsParseInOutConstModifiers),this.eat(81)&&(Od.extends=this.tsParseHeritageClause("extends"));let df=this.startNode();return df.body=this.tsInType(this.tsParseObjectTypeMembers.bind(this)),Od.body=this.finishNode(df,"TSInterfaceBody"),this.finishNode(Od,"TSInterfaceDeclaration")}tsParseTypeAliasDeclaration(Od){return Od.id=this.parseIdentifier(),this.checkIdentifier(Od.id,2),Od.typeAnnotation=this.tsInType(()=>{if(Od.typeParameters=this.tsTryParseTypeParameters(this.tsParseInOutModifiers),this.expect(29),this.isContextual(114)&&this.lookahead().type!==16){let Gd=this.startNode();return this.next(),this.finishNode(Gd,"TSIntrinsicKeyword")}return this.tsParseType()}),this.semicolon(),this.finishNode(Od,"TSTypeAliasDeclaration")}tsInNoContext(Od){let Gd=this.state.context;this.state.context=[Gd[0]];try{return Od()}finally{this.state.context=Gd}}tsInType(Od){let Gd=this.state.inType;this.state.inType=!0;try{return Od()}finally{this.state.inType=Gd}}tsInDisallowConditionalTypesContext(Od){let Gd=this.state.inDisallowConditionalTypesContext;this.state.inDisallowConditionalTypesContext=!0;try{return Od()}finally{this.state.inDisallowConditionalTypesContext=Gd}}tsInAllowConditionalTypesContext(Od){let Gd=this.state.inDisallowConditionalTypesContext;this.state.inDisallowConditionalTypesContext=!1;try{return Od()}finally{this.state.inDisallowConditionalTypesContext=Gd}}tsEatThenParseType(Od){if(this.match(Od))return this.tsNextThenParseType()}tsExpectThenParseType(Od){return this.tsInType(()=>(this.expect(Od),this.tsParseType()))}tsNextThenParseType(){return this.tsInType(()=>(this.next(),this.tsParseType()))}tsParseEnumMember(){let Od=this.startNode();return Od.id=this.match(133)?super.parseStringLiteral(this.state.value):this.parseIdentifier(!0),this.eat(29)&&(Od.initializer=super.parseMaybeAssignAllowIn()),this.finishNode(Od,"TSEnumMember")}tsParseEnumDeclaration(Od,Gd={}){return Gd.const&&(Od.const=!0),Gd.declare&&(Od.declare=!0),this.expectContextual(126),Od.id=this.parseIdentifier(),this.checkIdentifier(Od.id,Od.const?8971:8459),this.expect(5),Od.members=this.tsParseDelimitedList("EnumMembers",this.tsParseEnumMember.bind(this)),this.expect(8),this.finishNode(Od,"TSEnumDeclaration")}tsParseModuleBlock(){let Od=this.startNode();return this.scope.enter(0),this.expect(5),super.parseBlockOrModuleBlockBody(Od.body=[],void 0,!0,8),this.scope.exit(),this.finishNode(Od,"TSModuleBlock")}tsParseModuleOrNamespaceDeclaration(Od,Gd=!1){if(Od.id=this.parseIdentifier(),Gd||this.checkIdentifier(Od.id,1024),this.eat(16)){let df=this.startNode();this.tsParseModuleOrNamespaceDeclaration(df,!0),Od.body=df}else this.scope.enter(256),this.prodParam.enter(0),Od.body=this.tsParseModuleBlock(),this.prodParam.exit(),this.scope.exit();return this.finishNode(Od,"TSModuleDeclaration")}tsParseAmbientExternalModuleDeclaration(Od){return this.isContextual(112)?(Od.global=!0,Od.id=this.parseIdentifier()):this.match(133)?Od.id=super.parseStringLiteral(this.state.value):this.unexpected(),this.match(5)?(this.scope.enter(256),this.prodParam.enter(0),Od.body=this.tsParseModuleBlock(),this.prodParam.exit(),this.scope.exit()):this.semicolon(),this.finishNode(Od,"TSModuleDeclaration")}tsParseImportEqualsDeclaration(Od,Gd,df){Od.isExport=df||!1,Od.id=Gd||this.parseIdentifier(),this.checkIdentifier(Od.id,4096),this.expect(29);let Nf=this.tsParseModuleReference();return Od.importKind==="type"&&Nf.type!=="TSExternalModuleReference"&&this.raise(zm.ImportAliasHasImportType,Nf),Od.moduleReference=Nf,this.semicolon(),this.finishNode(Od,"TSImportEqualsDeclaration")}tsIsExternalModuleReference(){return this.isContextual(119)&&this.lookaheadCharCode()===40}tsParseModuleReference(){return this.tsIsExternalModuleReference()?this.tsParseExternalModuleReference():this.tsParseEntityName(!1)}tsParseExternalModuleReference(){let Od=this.startNode();return this.expectContextual(119),this.expect(10),this.match(133)||this.unexpected(),Od.expression=super.parseExprAtom(),this.expect(11),this.sawUnambiguousESM=!0,this.finishNode(Od,"TSExternalModuleReference")}tsLookAhead(Od){let Gd=this.state.clone(),df=Od();return this.state=Gd,df}tsTryParseAndCatch(Od){let Gd=this.tryParse(df=>Od()||df());if(!(Gd.aborted||!Gd.node))return Gd.error&&(this.state=Gd.failState),Gd.node}tsTryParse(Od){let Gd=this.state.clone(),df=Od();if(df!==void 0&&df!==!1)return df;this.state=Gd}tsTryParseDeclare(Od){if(this.isLineTerminator())return;let Gd=this.state.type,df;return this.isContextual(100)&&(Gd=74,df="let"),this.tsInAmbientContext(()=>{switch(Gd){case 68:return Od.declare=!0,super.parseFunctionStatement(Od,!1,!1);case 80:return Od.declare=!0,this.parseClass(Od,!0,!1);case 126:return this.tsParseEnumDeclaration(Od,{declare:!0});case 112:return this.tsParseAmbientExternalModuleDeclaration(Od);case 75:case 74:return!this.match(75)||!this.isLookaheadContextual("enum")?(Od.declare=!0,this.parseVarStatement(Od,df||this.state.value,!0)):(this.expect(75),this.tsParseEnumDeclaration(Od,{const:!0,declare:!0}));case 129:{let Nf=this.tsParseInterfaceDeclaration(Od,{declare:!0});if(Nf)return Nf}default:if($f(Gd))return this.tsParseDeclaration(Od,this.state.value,!0,null)}})}tsTryParseExportDeclaration(){return this.tsParseDeclaration(this.startNode(),this.state.value,!0,null)}tsParseExpressionStatement(Od,Gd,df){switch(Gd.name){case"declare":{let Nf=this.tsTryParseDeclare(Od);return Nf&&(Nf.declare=!0),Nf}case"global":if(this.match(5)){this.scope.enter(256),this.prodParam.enter(0);let Nf=Od;return Nf.global=!0,Nf.id=Gd,Nf.body=this.tsParseModuleBlock(),this.scope.exit(),this.prodParam.exit(),this.finishNode(Nf,"TSModuleDeclaration")}break;default:return this.tsParseDeclaration(Od,Gd.name,!1,df)}}tsParseDeclaration(Od,Gd,df,Nf){switch(Gd){case"abstract":if(this.tsCheckLineTerminator(df)&&(this.match(80)||$f(this.state.type)))return this.tsParseAbstractDeclaration(Od,Nf);break;case"module":if(this.tsCheckLineTerminator(df)){if(this.match(133))return this.tsParseAmbientExternalModuleDeclaration(Od);if($f(this.state.type))return this.tsParseModuleOrNamespaceDeclaration(Od)}break;case"namespace":if(this.tsCheckLineTerminator(df)&&$f(this.state.type))return this.tsParseModuleOrNamespaceDeclaration(Od);break;case"type":if(this.tsCheckLineTerminator(df)&&$f(this.state.type))return this.tsParseTypeAliasDeclaration(Od);break}}tsCheckLineTerminator(Od){return Od?this.hasFollowingLineBreak()?!1:(this.next(),!0):!this.isLineTerminator()}tsTryParseGenericAsyncArrowFunction(Od){if(!this.match(47))return;let Gd=this.state.maybeInArrowParameters;this.state.maybeInArrowParameters=!0;let df=this.tsTryParseAndCatch(()=>{let Nf=this.startNodeAt(Od);return Nf.typeParameters=this.tsParseTypeParameters(this.tsParseConstModifier),super.parseFunctionParams(Nf),Nf.returnType=this.tsTryParseTypeOrTypePredicateAnnotation(),this.expect(19),Nf});if(this.state.maybeInArrowParameters=Gd,!!df)return super.parseArrowExpression(df,null,!0)}tsParseTypeArgumentsInExpression(){if(this.reScan_lt()===47)return this.tsParseTypeArguments()}tsParseTypeArguments(){let Od=this.startNode();return Od.params=this.tsInType(()=>this.tsInNoContext(()=>(this.expect(47),this.tsParseDelimitedList("TypeParametersOrArguments",this.tsParseType.bind(this))))),Od.params.length===0?this.raise(zm.EmptyTypeArguments,Od):!this.state.inType&&this.curContext()===Yd.brace&&this.reScan_lt_gt(),this.expect(48),this.finishNode(Od,"TSTypeParameterInstantiation")}tsIsDeclarationStart(){return $h(this.state.type)}isExportDefaultSpecifier(){return this.tsIsDeclarationStart()?!1:super.isExportDefaultSpecifier()}parseAssignableListItem(Od,Gd){let df=this.state.startLoc,Nf={};this.tsParseModifiers({allowedModifiers:["public","private","protected","override","readonly"]},Nf);let Xf=Nf.accessibility,Th=Nf.override,Ih=Nf.readonly;!(Od&4)&&(Xf||Ih||Th)&&this.raise(zm.UnexpectedParameterModifier,df);let zh=this.parseMaybeDefault();this.parseAssignableListItemTypes(zh,Od);let Uh=this.parseMaybeDefault(zh.loc.start,zh);if(Xf||Ih||Th){let Sm=this.startNodeAt(df);return Gd.length&&(Sm.decorators=Gd),Xf&&(Sm.accessibility=Xf),Ih&&(Sm.readonly=Ih),Th&&(Sm.override=Th),Uh.type!=="Identifier"&&Uh.type!=="AssignmentPattern"&&this.raise(zm.UnsupportedParameterPropertyKind,Sm),Sm.parameter=Uh,this.finishNode(Sm,"TSParameterProperty")}return Gd.length&&(zh.decorators=Gd),Uh}isSimpleParameter(Od){return Od.type==="TSParameterProperty"&&super.isSimpleParameter(Od.parameter)||super.isSimpleParameter(Od)}tsDisallowOptionalPattern(Od){for(let Gd of Od.params)Gd.type!=="Identifier"&&Gd.optional&&!this.state.isAmbientContext&&this.raise(zm.PatternIsOptional,Gd)}setArrowFunctionParameters(Od,Gd,df){super.setArrowFunctionParameters(Od,Gd,df),this.tsDisallowOptionalPattern(Od)}parseFunctionBodyAndFinish(Od,Gd,df=!1){this.match(14)&&(Od.returnType=this.tsParseTypeOrTypePredicateAnnotation(14));let Nf=Gd==="FunctionDeclaration"?"TSDeclareFunction":Gd==="ClassMethod"||Gd==="ClassPrivateMethod"?"TSDeclareMethod":void 0;return Nf&&!this.match(5)&&this.isLineTerminator()?this.finishNode(Od,Nf):Nf==="TSDeclareFunction"&&this.state.isAmbientContext&&(this.raise(zm.DeclareFunctionHasImplementation,Od),Od.declare)?super.parseFunctionBodyAndFinish(Od,Nf,df):(this.tsDisallowOptionalPattern(Od),super.parseFunctionBodyAndFinish(Od,Gd,df))}registerFunctionStatementId(Od){!Od.body&&Od.id?this.checkIdentifier(Od.id,1024):super.registerFunctionStatementId(Od)}tsCheckForInvalidTypeCasts(Od){Od.forEach(Gd=>{(Gd==null?void 0:Gd.type)==="TSTypeCastExpression"&&this.raise(zm.UnexpectedTypeAnnotation,Gd.typeAnnotation)})}toReferencedList(Od,Gd){return this.tsCheckForInvalidTypeCasts(Od),Od}parseArrayLike(Od,Gd,df,Nf){let Xf=super.parseArrayLike(Od,Gd,df,Nf);return Xf.type==="ArrayExpression"&&this.tsCheckForInvalidTypeCasts(Xf.elements),Xf}parseSubscript(Od,Gd,df,Nf){if(!this.hasPrecedingLineBreak()&&this.match(35)){this.state.canStartJSXElement=!1,this.next();let Th=this.startNodeAt(Gd);return Th.expression=Od,this.finishNode(Th,"TSNonNullExpression")}let Xf=!1;if(this.match(18)&&this.lookaheadCharCode()===60){if(df)return Nf.stop=!0,Od;Nf.optionalChainMember=Xf=!0,this.next()}if(this.match(47)||this.match(51)){let Th,Ih=this.tsTryParseAndCatch(()=>{if(!df&&this.atPossibleAsyncArrow(Od)){let Wm=this.tsTryParseGenericAsyncArrowFunction(Gd);if(Wm)return Wm}let zh=this.tsParseTypeArgumentsInExpression();if(!zh)return;if(Xf&&!this.match(10)){Th=this.state.curPosition();return}if(Wh(this.state.type)){let Wm=super.parseTaggedTemplateExpression(Od,Gd,Nf);return Wm.typeParameters=zh,Wm}if(!df&&this.eat(10)){let Wm=this.startNodeAt(Gd);return Wm.callee=Od,Wm.arguments=this.parseCallExpressionArguments(11,!1),this.tsCheckForInvalidTypeCasts(Wm.arguments),Wm.typeParameters=zh,Nf.optionalChainMember&&(Wm.optional=Xf),this.finishCallExpression(Wm,Nf.optionalChainMember)}let Uh=this.state.type;if(Uh===48||Uh===52||Uh!==10&&Jf(Uh)&&!this.hasPrecedingLineBreak())return;let Sm=this.startNodeAt(Gd);return Sm.expression=Od,Sm.typeParameters=zh,this.finishNode(Sm,"TSInstantiationExpression")});if(Th&&this.unexpected(Th,10),Ih)return Ih.type==="TSInstantiationExpression"&&(this.match(16)||this.match(18)&&this.lookaheadCharCode()!==40)&&this.raise(zm.InvalidPropertyAccessAfterInstantiationExpression,this.state.startLoc),Ih}return super.parseSubscript(Od,Gd,df,Nf)}parseNewCallee(Od){var Gd;super.parseNewCallee(Od);let{callee:df}=Od;df.type==="TSInstantiationExpression"&&!((Gd=df.extra)!=null&&Gd.parenthesized)&&(Od.typeParameters=df.typeParameters,Od.callee=df.expression)}parseExprOp(Od,Gd,df){let Nf;if(jh(58)>df&&!this.hasPrecedingLineBreak()&&(this.isContextual(93)||(Nf=this.isContextual(120)))){let Xf=this.startNodeAt(Gd);return Xf.expression=Od,Xf.typeAnnotation=this.tsInType(()=>(this.next(),this.match(75)?(Nf&&this.raise(Nd.UnexpectedKeyword,this.state.startLoc,{keyword:"const"}),this.tsParseTypeReference()):this.tsParseType())),this.finishNode(Xf,Nf?"TSSatisfiesExpression":"TSAsExpression"),this.reScan_lt_gt(),this.parseExprOp(Xf,Gd,df)}return super.parseExprOp(Od,Gd,df)}checkReservedWord(Od,Gd,df,Nf){this.state.isAmbientContext||super.checkReservedWord(Od,Gd,df,Nf)}checkImportReflection(Od){super.checkImportReflection(Od),Od.module&&Od.importKind!=="value"&&this.raise(zm.ImportReflectionHasImportType,Od.specifiers[0].loc.start)}checkDuplicateExports(){}isPotentialImportPhase(Od){if(super.isPotentialImportPhase(Od))return!0;if(this.isContextual(130)){let Gd=this.lookaheadCharCode();return Od?Gd===123||Gd===42:Gd!==61}return!Od&&this.isContextual(87)}applyImportPhase(Od,Gd,df,Nf){super.applyImportPhase(Od,Gd,df,Nf),Gd?Od.exportKind=df==="type"?"type":"value":Od.importKind=df==="type"||df==="typeof"?df:"value"}parseImport(Od){if(this.match(133))return Od.importKind="value",super.parseImport(Od);let Gd;if($f(this.state.type)&&this.lookaheadCharCode()===61)return Od.importKind="value",this.tsParseImportEqualsDeclaration(Od);if(this.isContextual(130)){let df=this.parseMaybeImportPhase(Od,!1);if(this.lookaheadCharCode()===61)return this.tsParseImportEqualsDeclaration(Od,df);Gd=super.parseImportSpecifiersAndAfter(Od,df)}else Gd=super.parseImport(Od);return Gd.importKind==="type"&&Gd.specifiers.length>1&&Gd.specifiers[0].type==="ImportDefaultSpecifier"&&this.raise(zm.TypeImportCannotSpecifyDefaultAndNamed,Gd),Gd}parseExport(Od,Gd){if(this.match(83)){this.next();let df=Od,Nf=null;return this.isContextual(130)&&this.isPotentialImportPhase(!1)?Nf=this.parseMaybeImportPhase(df,!1):df.importKind="value",this.tsParseImportEqualsDeclaration(df,Nf,!0)}else if(this.eat(29)){let df=Od;return df.expression=super.parseExpression(),this.semicolon(),this.sawUnambiguousESM=!0,this.finishNode(df,"TSExportAssignment")}else if(this.eatContextual(93)){let df=Od;return this.expectContextual(128),df.id=this.parseIdentifier(),this.semicolon(),this.finishNode(df,"TSNamespaceExportDeclaration")}else return super.parseExport(Od,Gd)}isAbstractClass(){return this.isContextual(124)&&this.lookahead().type===80}parseExportDefaultExpression(){if(this.isAbstractClass()){let Od=this.startNode();return this.next(),Od.abstract=!0,this.parseClass(Od,!0,!0)}if(this.match(129)){let Od=this.tsParseInterfaceDeclaration(this.startNode());if(Od)return Od}return super.parseExportDefaultExpression()}parseVarStatement(Od,Gd,df=!1){let{isAmbientContext:Nf}=this.state,Xf=super.parseVarStatement(Od,Gd,df||Nf);if(!Nf)return Xf;for(let{id:Th,init:Ih}of Xf.declarations)Ih&&(Gd!=="const"||Th.typeAnnotation?this.raise(zm.InitializerNotAllowedInAmbientContext,Ih):yb(Ih,this.hasPlugin("estree"))||this.raise(zm.ConstInitiailizerMustBeStringOrNumericLiteralOrLiteralEnumReference,Ih));return Xf}parseStatementContent(Od,Gd){if(this.match(75)&&this.isLookaheadContextual("enum")){let df=this.startNode();return this.expect(75),this.tsParseEnumDeclaration(df,{const:!0})}if(this.isContextual(126))return this.tsParseEnumDeclaration(this.startNode());if(this.isContextual(129)){let df=this.tsParseInterfaceDeclaration(this.startNode());if(df)return df}return super.parseStatementContent(Od,Gd)}parseAccessModifier(){return this.tsParseModifier(["public","protected","private"])}tsHasSomeModifiers(Od,Gd){return Gd.some(df=>og(df)?Od.accessibility===df:!!Od[df])}tsIsStartOfStaticBlocks(){return this.isContextual(106)&&this.lookaheadCharCode()===123}parseClassMember(Od,Gd,df){let Nf=["declare","private","public","protected","override","abstract","readonly","static"];this.tsParseModifiers({allowedModifiers:Nf,disallowedModifiers:["in","out"],stopOnStartOfClassStaticBlock:!0,errorTemplate:zm.InvalidModifierOnTypeParameterPositions},Gd);let Xf=()=>{this.tsIsStartOfStaticBlocks()?(this.next(),this.next(),this.tsHasSomeModifiers(Gd,Nf)&&this.raise(zm.StaticBlockCannotHaveModifier,this.state.curPosition()),super.parseClassStaticBlock(Od,Gd)):this.parseClassMemberWithIsStatic(Od,Gd,df,!!Gd.static)};Gd.declare?this.tsInAmbientContext(Xf):Xf()}parseClassMemberWithIsStatic(Od,Gd,df,Nf){let Xf=this.tsTryParseIndexSignature(Gd);if(Xf){Od.body.push(Xf),Gd.abstract&&this.raise(zm.IndexSignatureHasAbstract,Gd),Gd.accessibility&&this.raise(zm.IndexSignatureHasAccessibility,Gd,{modifier:Gd.accessibility}),Gd.declare&&this.raise(zm.IndexSignatureHasDeclare,Gd),Gd.override&&this.raise(zm.IndexSignatureHasOverride,Gd);return}!this.state.inAbstractClass&&Gd.abstract&&this.raise(zm.NonAbstractClassHasAbstractMethod,Gd),Gd.override&&(df.hadSuperClass||this.raise(zm.OverrideNotInSubClass,Gd)),super.parseClassMemberWithIsStatic(Od,Gd,df,Nf)}parsePostMemberNameModifiers(Od){this.eat(17)&&(Od.optional=!0),Od.readonly&&this.match(10)&&this.raise(zm.ClassMethodHasReadonly,Od),Od.declare&&this.match(10)&&this.raise(zm.ClassMethodHasDeclare,Od)}parseExpressionStatement(Od,Gd,df){return(Gd.type==="Identifier"?this.tsParseExpressionStatement(Od,Gd,df):void 0)||super.parseExpressionStatement(Od,Gd,df)}shouldParseExportDeclaration(){return this.tsIsDeclarationStart()?!0:super.shouldParseExportDeclaration()}parseConditional(Od,Gd,df){if(!this.state.maybeInArrowParameters||!this.match(17))return super.parseConditional(Od,Gd,df);let Nf=this.tryParse(()=>super.parseConditional(Od,Gd));return Nf.node?(Nf.error&&(this.state=Nf.failState),Nf.node):(Nf.error&&super.setOptionalParametersError(df,Nf.error),Od)}parseParenItem(Od,Gd){let df=super.parseParenItem(Od,Gd);if(this.eat(17)&&(df.optional=!0,this.resetEndLocation(Od)),this.match(14)){let Nf=this.startNodeAt(Gd);return Nf.expression=Od,Nf.typeAnnotation=this.tsParseTypeAnnotation(),this.finishNode(Nf,"TSTypeCastExpression")}return Od}parseExportDeclaration(Od){if(!this.state.isAmbientContext&&this.isContextual(125))return this.tsInAmbientContext(()=>this.parseExportDeclaration(Od));let Gd=this.state.startLoc,df=this.eatContextual(125);if(df&&(this.isContextual(125)||!this.shouldParseExportDeclaration()))throw this.raise(zm.ExpectedAmbientAfterExportDeclare,this.state.startLoc);let Nf=$f(this.state.type)&&this.tsTryParseExportDeclaration()||super.parseExportDeclaration(Od);return Nf?((Nf.type==="TSInterfaceDeclaration"||Nf.type==="TSTypeAliasDeclaration"||df)&&(Od.exportKind="type"),df&&(this.resetStartLocation(Nf,Gd),Nf.declare=!0),Nf):null}parseClassId(Od,Gd,df,Nf){if((!Gd||df)&&this.isContextual(113))return;super.parseClassId(Od,Gd,df,Od.declare?1024:8331);let Xf=this.tsTryParseTypeParameters(this.tsParseInOutConstModifiers);Xf&&(Od.typeParameters=Xf)}parseClassPropertyAnnotation(Od){Od.optional||(this.eat(35)?Od.definite=!0:this.eat(17)&&(Od.optional=!0));let Gd=this.tsTryParseTypeAnnotation();Gd&&(Od.typeAnnotation=Gd)}parseClassProperty(Od){if(this.parseClassPropertyAnnotation(Od),this.state.isAmbientContext&&!(Od.readonly&&!Od.typeAnnotation)&&this.match(29)&&this.raise(zm.DeclareClassFieldHasInitializer,this.state.startLoc),Od.abstract&&this.match(29)){let{key:Gd}=Od;this.raise(zm.AbstractPropertyHasInitializer,this.state.startLoc,{propertyName:Gd.type==="Identifier"&&!Od.computed?Gd.name:`[${this.input.slice(Gd.start,Gd.end)}]`})}return super.parseClassProperty(Od)}parseClassPrivateProperty(Od){return Od.abstract&&this.raise(zm.PrivateElementHasAbstract,Od),Od.accessibility&&this.raise(zm.PrivateElementHasAccessibility,Od,{modifier:Od.accessibility}),this.parseClassPropertyAnnotation(Od),super.parseClassPrivateProperty(Od)}parseClassAccessorProperty(Od){return this.parseClassPropertyAnnotation(Od),Od.optional&&this.raise(zm.AccessorCannotBeOptional,Od),super.parseClassAccessorProperty(Od)}pushClassMethod(Od,Gd,df,Nf,Xf,Th){let Ih=this.tsTryParseTypeParameters(this.tsParseConstModifier);Ih&&Xf&&this.raise(zm.ConstructorHasTypeParameters,Ih);let{declare:zh=!1,kind:Uh}=Gd;zh&&(Uh==="get"||Uh==="set")&&this.raise(zm.DeclareAccessor,Gd,{kind:Uh}),Ih&&(Gd.typeParameters=Ih),super.pushClassMethod(Od,Gd,df,Nf,Xf,Th)}pushClassPrivateMethod(Od,Gd,df,Nf){let Xf=this.tsTryParseTypeParameters(this.tsParseConstModifier);Xf&&(Gd.typeParameters=Xf),super.pushClassPrivateMethod(Od,Gd,df,Nf)}declareClassPrivateMethodInScope(Od,Gd){Od.type!=="TSDeclareMethod"&&(Od.type==="MethodDefinition"&&!hasOwnProperty.call(Od.value,"body")||super.declareClassPrivateMethodInScope(Od,Gd))}parseClassSuper(Od){super.parseClassSuper(Od),Od.superClass&&(this.match(47)||this.match(51))&&(Od.superTypeParameters=this.tsParseTypeArgumentsInExpression()),this.eatContextual(113)&&(Od.implements=this.tsParseHeritageClause("implements"))}parseObjPropValue(Od,Gd,df,Nf,Xf,Th,Ih){let zh=this.tsTryParseTypeParameters(this.tsParseConstModifier);return zh&&(Od.typeParameters=zh),super.parseObjPropValue(Od,Gd,df,Nf,Xf,Th,Ih)}parseFunctionParams(Od,Gd){let df=this.tsTryParseTypeParameters(this.tsParseConstModifier);df&&(Od.typeParameters=df),super.parseFunctionParams(Od,Gd)}parseVarId(Od,Gd){super.parseVarId(Od,Gd),Od.id.type==="Identifier"&&!this.hasPrecedingLineBreak()&&this.eat(35)&&(Od.definite=!0);let df=this.tsTryParseTypeAnnotation();df&&(Od.id.typeAnnotation=df,this.resetEndLocation(Od.id))}parseAsyncArrowFromCallExpression(Od,Gd){return this.match(14)&&(Od.returnType=this.tsParseTypeAnnotation()),super.parseAsyncArrowFromCallExpression(Od,Gd)}parseMaybeAssign(Od,Gd){var df,Nf,Xf,Th,Ih;let zh,Uh,Sm;if(this.hasPlugin("jsx")&&(this.match(142)||this.match(47))){if(zh=this.state.clone(),Uh=this.tryParse(()=>super.parseMaybeAssign(Od,Gd),zh),!Uh.error)return Uh.node;let{context:r1}=this.state,C1=r1[r1.length-1];(C1===Yd.j_oTag||C1===Yd.j_expr)&&r1.pop()}if(!((df=Uh)!=null&&df.error)&&!this.match(47))return super.parseMaybeAssign(Od,Gd);(!zh||zh===this.state)&&(zh=this.state.clone());let Wm,Zm=this.tryParse(r1=>{var C1,f0;Wm=this.tsParseTypeParameters(this.tsParseConstModifier);let P1=super.parseMaybeAssign(Od,Gd);return(P1.type!=="ArrowFunctionExpression"||(C1=P1.extra)!=null&&C1.parenthesized)&&r1(),((f0=Wm)==null?void 0:f0.params.length)!==0&&this.resetStartLocationFromNode(P1,Wm),P1.typeParameters=Wm,P1},zh);if(!Zm.error&&!Zm.aborted)return Wm&&this.reportReservedArrowTypeParam(Wm),Zm.node;if(!Uh&&(Hg(!this.hasPlugin("jsx")),Sm=this.tryParse(()=>super.parseMaybeAssign(Od,Gd),zh),!Sm.error))return Sm.node;if((Nf=Uh)!=null&&Nf.node)return this.state=Uh.failState,Uh.node;if(Zm.node)return this.state=Zm.failState,Wm&&this.reportReservedArrowTypeParam(Wm),Zm.node;if((Xf=Sm)!=null&&Xf.node)return this.state=Sm.failState,Sm.node;throw((Th=Uh)==null?void 0:Th.error)||Zm.error||((Ih=Sm)==null?void 0:Ih.error)}reportReservedArrowTypeParam(Od){var Gd;Od.params.length===1&&!Od.params[0].constraint&&!((Gd=Od.extra)!=null&&Gd.trailingComma)&&this.getPluginOption("typescript","disallowAmbiguousJSXLike")&&this.raise(zm.ReservedArrowTypeParam,Od)}parseMaybeUnary(Od,Gd){return!this.hasPlugin("jsx")&&this.match(47)?this.tsParseTypeAssertion():super.parseMaybeUnary(Od,Gd)}parseArrow(Od){if(this.match(14)){let Gd=this.tryParse(df=>{let Nf=this.tsParseTypeOrTypePredicateAnnotation(14);return(this.canInsertSemicolon()||!this.match(19))&&df(),Nf});if(Gd.aborted)return;Gd.thrown||(Gd.error&&(this.state=Gd.failState),Od.returnType=Gd.node)}return super.parseArrow(Od)}parseAssignableListItemTypes(Od,Gd){if(!(Gd&2))return Od;this.eat(17)&&(Od.optional=!0);let df=this.tsTryParseTypeAnnotation();return df&&(Od.typeAnnotation=df),this.resetEndLocation(Od),Od}isAssignable(Od,Gd){switch(Od.type){case"TSTypeCastExpression":return this.isAssignable(Od.expression,Gd);case"TSParameterProperty":return!0;default:return super.isAssignable(Od,Gd)}}toAssignable(Od,Gd=!1){switch(Od.type){case"ParenthesizedExpression":this.toAssignableParenthesizedExpression(Od,Gd);break;case"TSAsExpression":case"TSSatisfiesExpression":case"TSNonNullExpression":case"TSTypeAssertion":Gd?this.expressionScope.recordArrowParameterBindingError(zm.UnexpectedTypeCastInParameter,Od):this.raise(zm.UnexpectedTypeCastInParameter,Od),this.toAssignable(Od.expression,Gd);break;case"AssignmentExpression":!Gd&&Od.left.type==="TSTypeCastExpression"&&(Od.left=this.typeCastToParameter(Od.left));default:super.toAssignable(Od,Gd)}}toAssignableParenthesizedExpression(Od,Gd){switch(Od.expression.type){case"TSAsExpression":case"TSSatisfiesExpression":case"TSNonNullExpression":case"TSTypeAssertion":case"ParenthesizedExpression":this.toAssignable(Od.expression,Gd);break;default:super.toAssignable(Od,Gd)}}checkToRestConversion(Od,Gd){switch(Od.type){case"TSAsExpression":case"TSSatisfiesExpression":case"TSTypeAssertion":case"TSNonNullExpression":this.checkToRestConversion(Od.expression,!1);break;default:super.checkToRestConversion(Od,Gd)}}isValidLVal(Od,Gd,df){return Ug({TSTypeCastExpression:!0,TSParameterProperty:"parameter",TSNonNullExpression:"expression",TSInstantiationExpression:"expression",TSAsExpression:(df!==64||!Gd)&&["expression",!0],TSSatisfiesExpression:(df!==64||!Gd)&&["expression",!0],TSTypeAssertion:(df!==64||!Gd)&&["expression",!0]},Od)||super.isValidLVal(Od,Gd,df)}parseBindingAtom(){return this.state.type===78?this.parseIdentifier(!0):super.parseBindingAtom()}parseMaybeDecoratorArguments(Od){if(this.match(47)||this.match(51)){let Gd=this.tsParseTypeArgumentsInExpression();if(this.match(10)){let df=super.parseMaybeDecoratorArguments(Od);return df.typeParameters=Gd,df}this.unexpected(null,10)}return super.parseMaybeDecoratorArguments(Od)}checkCommaAfterRest(Od){return this.state.isAmbientContext&&this.match(12)&&this.lookaheadCharCode()===Od?(this.next(),!1):super.checkCommaAfterRest(Od)}isClassMethod(){return this.match(47)||super.isClassMethod()}isClassProperty(){return this.match(35)||this.match(14)||super.isClassProperty()}parseMaybeDefault(Od,Gd){let df=super.parseMaybeDefault(Od,Gd);return df.type==="AssignmentPattern"&&df.typeAnnotation&&df.right.start<df.typeAnnotation.start&&this.raise(zm.TypeAnnotationAfterAssign,df.typeAnnotation),df}getTokenFromCode(Od){if(this.state.inType){if(Od===62){this.finishOp(48,1);return}if(Od===60){this.finishOp(47,1);return}}super.getTokenFromCode(Od)}reScan_lt_gt(){let{type:Od}=this.state;Od===47?(this.state.pos-=1,this.readToken_lt()):Od===48&&(this.state.pos-=1,this.readToken_gt())}reScan_lt(){let{type:Od}=this.state;return Od===51?(this.state.pos-=2,this.finishOp(47,1),47):Od}toAssignableList(Od,Gd,df){for(let Nf=0;Nf<Od.length;Nf++){let Xf=Od[Nf];(Xf==null?void 0:Xf.type)==="TSTypeCastExpression"&&(Od[Nf]=this.typeCastToParameter(Xf))}super.toAssignableList(Od,Gd,df)}typeCastToParameter(Od){return Od.expression.typeAnnotation=Od.typeAnnotation,this.resetEndLocation(Od.expression,Od.typeAnnotation.loc.end),Od.expression}shouldParseArrow(Od){return this.match(14)?Od.every(Gd=>this.isAssignable(Gd,!0)):super.shouldParseArrow(Od)}shouldParseAsyncArrow(){return this.match(14)||super.shouldParseAsyncArrow()}canHaveLeadingDecorator(){return super.canHaveLeadingDecorator()||this.isAbstractClass()}jsxParseOpeningElementAfterName(Od){if(this.match(47)||this.match(51)){let Gd=this.tsTryParseAndCatch(()=>this.tsParseTypeArgumentsInExpression());Gd&&(Od.typeParameters=Gd)}return super.jsxParseOpeningElementAfterName(Od)}getGetterSetterExpectedParamCount(Od){let Gd=super.getGetterSetterExpectedParamCount(Od),df=this.getObjectOrClassMethodParams(Od)[0];return df&&this.isThisParam(df)?Gd+1:Gd}parseCatchClauseParam(){let Od=super.parseCatchClauseParam(),Gd=this.tsTryParseTypeAnnotation();return Gd&&(Od.typeAnnotation=Gd,this.resetEndLocation(Od)),Od}tsInAmbientContext(Od){let{isAmbientContext:Gd,strict:df}=this.state;this.state.isAmbientContext=!0,this.state.strict=!1;try{return Od()}finally{this.state.isAmbientContext=Gd,this.state.strict=df}}parseClass(Od,Gd,df){let Nf=this.state.inAbstractClass;this.state.inAbstractClass=!!Od.abstract;try{return super.parseClass(Od,Gd,df)}finally{this.state.inAbstractClass=Nf}}tsParseAbstractDeclaration(Od,Gd){if(this.match(80))return Od.abstract=!0,this.maybeTakeDecorators(Gd,this.parseClass(Od,!0,!1));if(this.isContextual(129)){if(!this.hasFollowingLineBreak())return Od.abstract=!0,this.raise(zm.NonClassMethodPropertyHasAbstractModifer,Od),this.tsParseInterfaceDeclaration(Od)}else this.unexpected(null,80)}parseMethod(Od,Gd,df,Nf,Xf,Th,Ih){let zh=super.parseMethod(Od,Gd,df,Nf,Xf,Th,Ih);if(zh.abstract&&(this.hasPlugin("estree")?zh.value.body:zh.body)){let{key:Uh}=zh;this.raise(zm.AbstractMethodHasImplementation,zh,{methodName:Uh.type==="Identifier"&&!zh.computed?Uh.name:`[${this.input.slice(Uh.start,Uh.end)}]`})}return zh}tsParseTypeParameterName(){return this.parseIdentifier().name}shouldParseAsAmbientContext(){return!!this.getPluginOption("typescript","dts")}parse(){return this.shouldParseAsAmbientContext()&&(this.state.isAmbientContext=!0),super.parse()}getExpression(){return this.shouldParseAsAmbientContext()&&(this.state.isAmbientContext=!0),super.getExpression()}parseExportSpecifier(Od,Gd,df,Nf){return!Gd&&Nf?(this.parseTypeOnlyImportExportSpecifier(Od,!1,df),this.finishNode(Od,"ExportSpecifier")):(Od.exportKind="value",super.parseExportSpecifier(Od,Gd,df,Nf))}parseImportSpecifier(Od,Gd,df,Nf,Xf){return!Gd&&Nf?(this.parseTypeOnlyImportExportSpecifier(Od,!0,df),this.finishNode(Od,"ImportSpecifier")):(Od.importKind="value",super.parseImportSpecifier(Od,Gd,df,Nf,df?4098:4096))}parseTypeOnlyImportExportSpecifier(Od,Gd,df){let Nf=Gd?"imported":"local",Xf=Gd?"local":"exported",Th=Od[Nf],Ih,zh=!1,Uh=!0,Sm=Th.loc.start;if(this.isContextual(93)){let Zm=this.parseIdentifier();if(this.isContextual(93)){let r1=this.parseIdentifier();hh(this.state.type)?(zh=!0,Th=Zm,Ih=Gd?this.parseIdentifier():this.parseModuleExportName(),Uh=!1):(Ih=r1,Uh=!1)}else hh(this.state.type)?(Uh=!1,Ih=Gd?this.parseIdentifier():this.parseModuleExportName()):(zh=!0,Th=Zm)}else hh(this.state.type)&&(zh=!0,Gd?(Th=this.parseIdentifier(!0),this.isContextual(93)||this.checkReservedWord(Th.name,Th.loc.start,!0,!0)):Th=this.parseModuleExportName());zh&&df&&this.raise(Gd?zm.TypeModifierIsUsedInTypeImports:zm.TypeModifierIsUsedInTypeExports,Sm),Od[Nf]=Th,Od[Xf]=Ih;let Wm=Gd?"importKind":"exportKind";Od[Wm]=zh?"type":"value",Uh&&this.eatContextual(93)&&(Od[Xf]=Gd?this.parseIdentifier():this.parseModuleExportName()),Od[Xf]||(Od[Xf]=T0(Od[Nf])),Gd&&this.checkIdentifier(Od[Xf],zh?4098:4096)}};function vb(jd){if(jd.type!=="MemberExpression")return!1;let{computed:Od,property:Gd}=jd;return Od&&Gd.type!=="StringLiteral"&&(Gd.type!=="TemplateLiteral"||Gd.expressions.length>0)?!1:zg(jd.object)}function yb(jd,Od){var Gd;let{type:df}=jd;if((Gd=jd.extra)!=null&&Gd.parenthesized)return!1;if(Od){if(df==="Literal"){let{value:Nf}=jd;if(typeof Nf=="string"||typeof Nf=="boolean")return!0}}else if(df==="StringLiteral"||df==="BooleanLiteral")return!0;return!!(b_(jd,Od)||Eb(jd,Od)||df==="TemplateLiteral"&&jd.expressions.length===0||vb(jd))}function b_(jd,Od){return Od?jd.type==="Literal"&&(typeof jd.value=="number"||"bigint"in jd):jd.type==="NumericLiteral"||jd.type==="BigIntLiteral"}function Eb(jd,Od){if(jd.type==="UnaryExpression"){let{operator:Gd,argument:df}=jd;if(Gd==="-"&&b_(df,Od))return!0}return!1}function zg(jd){return jd.type==="Identifier"?!0:jd.type!=="MemberExpression"||jd.computed?!1:zg(jd.object)}var W1=Rd`placeholders`({ClassNameIsRequired:"A class name is required.",UnexpectedSpace:"Unexpected space in placeholder."}),Vg=jd=>class extends jd{parsePlaceholder(Od){if(this.match(144)){let Gd=this.startNode();return this.next(),this.assertNoSpace(),Gd.name=super.parseIdentifier(!0),this.assertNoSpace(),this.expect(144),this.finishPlaceholder(Gd,Od)}}finishPlaceholder(Od,Gd){let df=Od;return(!df.expectedNode||!df.type)&&(df=this.finishNode(df,"Placeholder")),df.expectedNode=Gd,df}getTokenFromCode(Od){Od===37&&this.input.charCodeAt(this.state.pos+1)===37?this.finishOp(144,2):super.getTokenFromCode(Od)}parseExprAtom(Od){return this.parsePlaceholder("Expression")||super.parseExprAtom(Od)}parseIdentifier(Od){return this.parsePlaceholder("Identifier")||super.parseIdentifier(Od)}checkReservedWord(Od,Gd,df,Nf){Od!==void 0&&super.checkReservedWord(Od,Gd,df,Nf)}parseBindingAtom(){return this.parsePlaceholder("Pattern")||super.parseBindingAtom()}isValidLVal(Od,Gd,df){return Od==="Placeholder"||super.isValidLVal(Od,Gd,df)}toAssignable(Od,Gd){Od&&Od.type==="Placeholder"&&Od.expectedNode==="Expression"?Od.expectedNode="Pattern":super.toAssignable(Od,Gd)}chStartsBindingIdentifier(Od,Gd){return!!(super.chStartsBindingIdentifier(Od,Gd)||this.lookahead().type===144)}verifyBreakContinue(Od,Gd){Od.label&&Od.label.type==="Placeholder"||super.verifyBreakContinue(Od,Gd)}parseExpressionStatement(Od,Gd){var df;if(Gd.type!=="Placeholder"||(df=Gd.extra)!=null&&df.parenthesized)return super.parseExpressionStatement(Od,Gd);if(this.match(14)){let Xf=Od;return Xf.label=this.finishPlaceholder(Gd,"Identifier"),this.next(),Xf.body=super.parseStatementOrSloppyAnnexBFunctionDeclaration(),this.finishNode(Xf,"LabeledStatement")}this.semicolon();let Nf=Od;return Nf.name=Gd.name,this.finishPlaceholder(Nf,"Statement")}parseBlock(Od,Gd,df){return this.parsePlaceholder("BlockStatement")||super.parseBlock(Od,Gd,df)}parseFunctionId(Od){return this.parsePlaceholder("Identifier")||super.parseFunctionId(Od)}parseClass(Od,Gd,df){let Nf=Gd?"ClassDeclaration":"ClassExpression";this.next();let Xf=this.state.strict,Th=this.parsePlaceholder("Identifier");if(Th)if(this.match(81)||this.match(144)||this.match(5))Od.id=Th;else{if(df||!Gd)return Od.id=null,Od.body=this.finishPlaceholder(Th,"ClassBody"),this.finishNode(Od,Nf);throw this.raise(W1.ClassNameIsRequired,this.state.startLoc)}else this.parseClassId(Od,Gd,df);return super.parseClassSuper(Od),Od.body=this.parsePlaceholder("ClassBody")||super.parseClassBody(!!Od.superClass,Xf),this.finishNode(Od,Nf)}parseExport(Od,Gd){let df=this.parsePlaceholder("Identifier");if(!df)return super.parseExport(Od,Gd);let Nf=Od;if(!this.isContextual(98)&&!this.match(12))return Nf.specifiers=[],Nf.source=null,Nf.declaration=this.finishPlaceholder(df,"Declaration"),this.finishNode(Nf,"ExportNamedDeclaration");this.expectPlugin("exportDefaultFrom");let Xf=this.startNode();return Xf.exported=df,Nf.specifiers=[this.finishNode(Xf,"ExportDefaultSpecifier")],super.parseExport(Nf,Gd)}isExportDefaultSpecifier(){if(this.match(65)){let Od=this.nextTokenStart();if(this.isUnparsedContextual(Od,"from")&&this.input.startsWith(Rh(144),this.nextTokenStartSince(Od+4)))return!0}return super.isExportDefaultSpecifier()}maybeParseExportDefaultSpecifier(Od,Gd){var df;return(df=Od.specifiers)!=null&&df.length?!0:super.maybeParseExportDefaultSpecifier(Od,Gd)}checkExport(Od){let{specifiers:Gd}=Od;Gd!=null&&Gd.length&&(Od.specifiers=Gd.filter(df=>df.exported.type==="Placeholder")),super.checkExport(Od),Od.specifiers=Gd}parseImport(Od){let Gd=this.parsePlaceholder("Identifier");if(!Gd)return super.parseImport(Od);if(Od.specifiers=[],!this.isContextual(98)&&!this.match(12))return Od.source=this.finishPlaceholder(Gd,"StringLiteral"),this.semicolon(),this.finishNode(Od,"ImportDeclaration");let df=this.startNodeAtNode(Gd);return df.local=Gd,Od.specifiers.push(this.finishNode(df,"ImportDefaultSpecifier")),this.eat(12)&&(this.maybeParseStarImportSpecifier(Od)||this.parseNamedImportSpecifiers(Od)),this.expectContextual(98),Od.source=this.parseImportSource(),this.semicolon(),this.finishNode(Od,"ImportDeclaration")}parseImportSource(){return this.parsePlaceholder("StringLiteral")||super.parseImportSource()}assertNoSpace(){this.state.start>this.state.lastTokEndLoc.index&&this.raise(W1.UnexpectedSpace,this.state.lastTokEndLoc)}},N_=jd=>class extends jd{parseV8Intrinsic(){if(this.match(54)){let Od=this.state.startLoc,Gd=this.startNode();if(this.next(),$f(this.state.type)){let df=this.parseIdentifierName(),Nf=this.createIdentifier(Gd,df);if(Nf.type="V8IntrinsicIdentifier",this.match(10))return Nf}this.unexpected(Od)}}parseExprAtom(Od){return this.parseV8Intrinsic()||super.parseExprAtom(Od)}};function e0(jd,Od){let[Gd,df]=typeof Od=="string"?[Od,{}]:Od,Nf=Object.keys(df),Xf=Nf.length===0;return jd.some(Th=>{if(typeof Th=="string")return Xf&&Th===Gd;{let[Ih,zh]=Th;if(Ih!==Gd)return!1;for(let Uh of Nf)if(zh[Uh]!==df[Uh])return!1;return!0}})}function n_(jd,Od,Gd){let df=jd.find(Nf=>Array.isArray(Nf)?Nf[0]===Od:Nf===Od);return df&&Array.isArray(df)&&df.length>1?df[1][Gd]:null}var c0=["minimal","fsharp","hack","smart"],cg=["^^","@@","^","%","#"];function i_(jd){if(e0(jd,"decorators")){if(e0(jd,"decorators-legacy"))throw new Error("Cannot use the decorators and decorators-legacy plugin together");let Od=n_(jd,"decorators","decoratorsBeforeExport");if(Od!=null&&typeof Od!="boolean")throw new Error("'decoratorsBeforeExport' must be a boolean, if specified.");let Gd=n_(jd,"decorators","allowCallParenthesized");if(Gd!=null&&typeof Gd!="boolean")throw new Error("'allowCallParenthesized' must be a boolean.")}if(e0(jd,"flow")&&e0(jd,"typescript"))throw new Error("Cannot combine flow and typescript plugins.");if(e0(jd,"placeholders")&&e0(jd,"v8intrinsic"))throw new Error("Cannot combine placeholders and v8intrinsic plugins.");if(e0(jd,"pipelineOperator")){let Od=n_(jd,"pipelineOperator","proposal");if(!c0.includes(Od)){let Nf=c0.map(Xf=>`"${Xf}"`).join(", ");throw new Error(`"pipelineOperator" requires "proposal" option whose value must be one of: ${Nf}.`)}let Gd=["recordAndTuple",{syntaxType:"hash"}],df=e0(jd,Gd);if(Od==="hack"){if(e0(jd,"placeholders"))throw new Error("Cannot combine placeholders plugin and Hack-style pipes.");if(e0(jd,"v8intrinsic"))throw new Error("Cannot combine v8intrinsic plugin and Hack-style pipes.");let Nf=n_(jd,"pipelineOperator","topicToken");if(!cg.includes(Nf)){let Xf=cg.map(Th=>`"${Th}"`).join(", ");throw new Error(`"pipelineOperator" in "proposal": "hack" mode also requires a "topicToken" option whose value must be one of: ${Xf}.`)}if(Nf==="#"&&df)throw new Error(`Plugin conflict between \`["pipelineOperator", { proposal: "hack", topicToken: "#" }]\` and \`${JSON.stringify(Gd)}\`.`)}else if(Od==="smart"&&df)throw new Error(`Plugin conflict between \`["pipelineOperator", { proposal: "smart" }]\` and \`${JSON.stringify(Gd)}\`.`)}if(e0(jd,"moduleAttributes")){if(e0(jd,"importAssertions")||e0(jd,"importAttributes"))throw new Error("Cannot combine importAssertions, importAttributes and moduleAttributes plugins.");if(n_(jd,"moduleAttributes","version")!=="may-2020")throw new Error("The 'moduleAttributes' plugin requires a 'version' option, representing the last proposal update. Currently, the only supported value is 'may-2020'.")}if(e0(jd,"importAssertions")&&e0(jd,"importAttributes"))throw new Error("Cannot combine importAssertions and importAttributes plugins.");if(e0(jd,"recordAndTuple")){let Od=n_(jd,"recordAndTuple","syntaxType");if(Od!=null){let Gd=["hash","bar"];if(!Gd.includes(Od))throw new Error("The 'syntaxType' option of the 'recordAndTuple' plugin must be one of: "+Gd.map(df=>`'${df}'`).join(", "))}}if(e0(jd,"asyncDoExpressions")&&!e0(jd,"doExpressions")){let Od=new Error("'asyncDoExpressions' requires 'doExpressions', please add 'doExpressions' to parser plugins.");throw Od.missingPlugins="doExpressions",Od}if(e0(jd,"optionalChainingAssign")&&n_(jd,"optionalChainingAssign","version")!=="2023-07")throw new Error("The 'optionalChainingAssign' plugin requires a 'version' option, representing the last proposal update. Currently, the only supported value is '2023-07'.")}var V_={estree:Pd,jsx:r_,flow:A_,typescript:Tg,v8intrinsic:N_,placeholders:Vg},Cf=Object.keys(V_),Mf={sourceType:"script",sourceFilename:void 0,startColumn:0,startLine:1,allowAwaitOutsideFunction:!1,allowReturnOutsideFunction:!1,allowNewTargetOutsideFunction:!1,allowImportExportEverywhere:!1,allowSuperOutsideMethod:!1,allowUndeclaredExports:!1,plugins:[],strictMode:null,ranges:!1,tokens:!1,createImportExpressions:!1,createParenthesizedExpressions:!1,errorRecovery:!1,attachComment:!0,annexB:!0};function Bf(jd){if(jd==null)return Object.assign({},Mf);if(jd.annexB!=null&&jd.annexB!==!1)throw new Error("The `annexB` option can only be set to `false`.");let Od={};for(let df of Object.keys(Mf)){var Gd;Od[df]=(Gd=jd[df])!=null?Gd:Mf[df]}return Od}var Pm=class extends Jb{checkProto(jd,Od,Gd,df){if(jd.type==="SpreadElement"||this.isObjectMethod(jd)||jd.computed||jd.shorthand)return;let Nf=jd.key;if((Nf.type==="Identifier"?Nf.name:Nf.value)==="__proto__"){if(Od){this.raise(Nd.RecordNoProto,Nf);return}Gd.used&&(df?df.doubleProtoLoc===null&&(df.doubleProtoLoc=Nf.loc.start):this.raise(Nd.DuplicateProto,Nf)),Gd.used=!0}}shouldExitDescending(jd,Od){return jd.type==="ArrowFunctionExpression"&&jd.start===Od}getExpression(){this.enterInitialScopes(),this.nextToken();let jd=this.parseExpression();return this.match(139)||this.unexpected(),this.finalizeRemainingComments(),jd.comments=this.comments,jd.errors=this.state.errors,this.options.tokens&&(jd.tokens=this.tokens),jd}parseExpression(jd,Od){return jd?this.disallowInAnd(()=>this.parseExpressionBase(Od)):this.allowInAnd(()=>this.parseExpressionBase(Od))}parseExpressionBase(jd){let Od=this.state.startLoc,Gd=this.parseMaybeAssign(jd);if(this.match(12)){let df=this.startNodeAt(Od);for(df.expressions=[Gd];this.eat(12);)df.expressions.push(this.parseMaybeAssign(jd));return this.toReferencedList(df.expressions),this.finishNode(df,"SequenceExpression")}return Gd}parseMaybeAssignDisallowIn(jd,Od){return this.disallowInAnd(()=>this.parseMaybeAssign(jd,Od))}parseMaybeAssignAllowIn(jd,Od){return this.allowInAnd(()=>this.parseMaybeAssign(jd,Od))}setOptionalParametersError(jd,Od){var Gd;jd.optionalParametersLoc=(Gd=Od==null?void 0:Od.loc)!=null?Gd:this.state.startLoc}parseMaybeAssign(jd,Od){let Gd=this.state.startLoc;if(this.isContextual(108)&&this.prodParam.hasYield){let Th=this.parseYield();return Od&&(Th=Od.call(this,Th,Gd)),Th}let df;jd?df=!1:(jd=new E0,df=!0);let{type:Nf}=this.state;(Nf===10||$f(Nf))&&(this.state.potentialArrowAt=this.state.start);let Xf=this.parseMaybeConditional(jd);if(Od&&(Xf=Od.call(this,Xf,Gd)),Ch(this.state.type)){let Th=this.startNodeAt(Gd),Ih=this.state.value;if(Th.operator=Ih,this.match(29)){this.toAssignable(Xf,!0),Th.left=Xf;let zh=Gd.index;jd.doubleProtoLoc!=null&&jd.doubleProtoLoc.index>=zh&&(jd.doubleProtoLoc=null),jd.shorthandAssignLoc!=null&&jd.shorthandAssignLoc.index>=zh&&(jd.shorthandAssignLoc=null),jd.privateKeyLoc!=null&&jd.privateKeyLoc.index>=zh&&(this.checkDestructuringPrivate(jd),jd.privateKeyLoc=null)}else Th.left=Xf;return this.next(),Th.right=this.parseMaybeAssign(),this.checkLVal(Xf,{in:this.finishNode(Th,"AssignmentExpression")}),Th}else df&&this.checkExpressionErrors(jd,!0);return Xf}parseMaybeConditional(jd){let Od=this.state.startLoc,Gd=this.state.potentialArrowAt,df=this.parseExprOps(jd);return this.shouldExitDescending(df,Gd)?df:this.parseConditional(df,Od,jd)}parseConditional(jd,Od,Gd){if(this.eat(17)){let df=this.startNodeAt(Od);return df.test=jd,df.consequent=this.parseMaybeAssignAllowIn(),this.expect(14),df.alternate=this.parseMaybeAssign(),this.finishNode(df,"ConditionalExpression")}return jd}parseMaybeUnaryOrPrivate(jd){return this.match(138)?this.parsePrivateName():this.parseMaybeUnary(jd)}parseExprOps(jd){let Od=this.state.startLoc,Gd=this.state.potentialArrowAt,df=this.parseMaybeUnaryOrPrivate(jd);return this.shouldExitDescending(df,Gd)?df:this.parseExprOp(df,Od,-1)}parseExprOp(jd,Od,Gd){if(this.isPrivateName(jd)){let Nf=this.getPrivateNameSV(jd);(Gd>=jh(58)||!this.prodParam.hasIn||!this.match(58))&&this.raise(Nd.PrivateInExpectedIn,jd,{identifierName:Nf}),this.classScope.usePrivateName(Nf,jd.loc.start)}let df=this.state.type;if(Pf(df)&&(this.prodParam.hasIn||!this.match(58))){let Nf=jh(df);if(Nf>Gd){if(df===39){if(this.expectPlugin("pipelineOperator"),this.state.inFSharpPipelineDirectBody)return jd;this.checkPipelineAtInfixOperator(jd,Od)}let Xf=this.startNodeAt(Od);Xf.left=jd,Xf.operator=this.state.value;let Th=df===41||df===42,Ih=df===40;if(Ih&&(Nf=jh(42)),this.next(),df===39&&this.hasPlugin(["pipelineOperator",{proposal:"minimal"}])&&this.state.type===96&&this.prodParam.hasAwait)throw this.raise(Nd.UnexpectedAwaitAfterPipelineBody,this.state.startLoc);Xf.right=this.parseExprOpRightExpr(df,Nf);let zh=this.finishNode(Xf,Th||Ih?"LogicalExpression":"BinaryExpression"),Uh=this.state.type;if(Ih&&(Uh===41||Uh===42)||Th&&Uh===40)throw this.raise(Nd.MixingCoalesceWithLogical,this.state.startLoc);return this.parseExprOp(zh,Od,Gd)}}return jd}parseExprOpRightExpr(jd,Od){let Gd=this.state.startLoc;switch(jd){case 39:switch(this.getPluginOption("pipelineOperator","proposal")){case"hack":return this.withTopicBindingContext(()=>this.parseHackPipeBody());case"smart":return this.withTopicBindingContext(()=>{if(this.prodParam.hasYield&&this.isContextual(108))throw this.raise(Nd.PipeBodyIsTighter,this.state.startLoc);return this.parseSmartPipelineBodyInStyle(this.parseExprOpBaseRightExpr(jd,Od),Gd)});case"fsharp":return this.withSoloAwaitPermittingContext(()=>this.parseFSharpPipelineBody(Od))}default:return this.parseExprOpBaseRightExpr(jd,Od)}}parseExprOpBaseRightExpr(jd,Od){let Gd=this.state.startLoc;return this.parseExprOp(this.parseMaybeUnaryOrPrivate(),Gd,Zh(jd)?Od-1:Od)}parseHackPipeBody(){var jd;let{startLoc:Od}=this.state,Gd=this.parseMaybeAssign();return _d.has(Gd.type)&&!((jd=Gd.extra)!=null&&jd.parenthesized)&&this.raise(Nd.PipeUnparenthesizedBody,Od,{type:Gd.type}),this.topicReferenceWasUsedInCurrentContext()||this.raise(Nd.PipeTopicUnused,Od),Gd}checkExponentialAfterUnary(jd){this.match(57)&&this.raise(Nd.UnexpectedTokenUnaryExponentiation,jd.argument)}parseMaybeUnary(jd,Od){let Gd=this.state.startLoc,df=this.isContextual(96);if(df&&this.isAwaitAllowed()){this.next();let Ih=this.parseAwait(Gd);return Od||this.checkExponentialAfterUnary(Ih),Ih}let Nf=this.match(34),Xf=this.startNode();if(Nh(this.state.type)){Xf.operator=this.state.value,Xf.prefix=!0,this.match(72)&&this.expectPlugin("throwExpressions");let Ih=this.match(89);if(this.next(),Xf.argument=this.parseMaybeUnary(null,!0),this.checkExpressionErrors(jd,!0),this.state.strict&&Ih){let zh=Xf.argument;zh.type==="Identifier"?this.raise(Nd.StrictDelete,Xf):this.hasPropertyAsPrivateName(zh)&&this.raise(Nd.DeletePrivateField,Xf)}if(!Nf)return Od||this.checkExponentialAfterUnary(Xf),this.finishNode(Xf,"UnaryExpression")}let Th=this.parseUpdate(Xf,Nf,jd);if(df){let{type:Ih}=this.state;if((this.hasPlugin("v8intrinsic")?Jf(Ih):Jf(Ih)&&!this.match(54))&&!this.isAmbiguousAwait())return this.raiseOverwrite(Nd.AwaitNotInAsyncContext,Gd),this.parseAwait(Gd)}return Th}parseUpdate(jd,Od,Gd){if(Od){let Xf=jd;return this.checkLVal(Xf.argument,{in:this.finishNode(Xf,"UpdateExpression")}),jd}let df=this.state.startLoc,Nf=this.parseExprSubscripts(Gd);if(this.checkExpressionErrors(Gd,!1))return Nf;for(;gh(this.state.type)&&!this.canInsertSemicolon();){let Xf=this.startNodeAt(df);Xf.operator=this.state.value,Xf.prefix=!1,Xf.argument=Nf,this.next(),this.checkLVal(Nf,{in:Nf=this.finishNode(Xf,"UpdateExpression")})}return Nf}parseExprSubscripts(jd){let Od=this.state.startLoc,Gd=this.state.potentialArrowAt,df=this.parseExprAtom(jd);return this.shouldExitDescending(df,Gd)?df:this.parseSubscripts(df,Od)}parseSubscripts(jd,Od,Gd){let df={optionalChainMember:!1,maybeAsyncArrow:this.atPossibleAsyncArrow(jd),stop:!1};do jd=this.parseSubscript(jd,Od,Gd,df),df.maybeAsyncArrow=!1;while(!df.stop);return jd}parseSubscript(jd,Od,Gd,df){let{type:Nf}=this.state;if(!Gd&&Nf===15)return this.parseBind(jd,Od,Gd,df);if(Wh(Nf))return this.parseTaggedTemplateExpression(jd,Od,df);let Xf=!1;if(Nf===18){if(Gd&&(this.raise(Nd.OptionalChainingNoNew,this.state.startLoc),this.lookaheadCharCode()===40))return df.stop=!0,jd;df.optionalChainMember=Xf=!0,this.next()}if(!Gd&&this.match(10))return this.parseCoverCallAndAsyncArrowHead(jd,Od,df,Xf);{let Th=this.eat(0);return Th||Xf||this.eat(16)?this.parseMember(jd,Od,df,Th,Xf):(df.stop=!0,jd)}}parseMember(jd,Od,Gd,df,Nf){let Xf=this.startNodeAt(Od);return Xf.object=jd,Xf.computed=df,df?(Xf.property=this.parseExpression(),this.expect(3)):this.match(138)?(jd.type==="Super"&&this.raise(Nd.SuperPrivateField,Od),this.classScope.usePrivateName(this.state.value,this.state.startLoc),Xf.property=this.parsePrivateName()):Xf.property=this.parseIdentifier(!0),Gd.optionalChainMember?(Xf.optional=Nf,this.finishNode(Xf,"OptionalMemberExpression")):this.finishNode(Xf,"MemberExpression")}parseBind(jd,Od,Gd,df){let Nf=this.startNodeAt(Od);return Nf.object=jd,this.next(),Nf.callee=this.parseNoCallExpr(),df.stop=!0,this.parseSubscripts(this.finishNode(Nf,"BindExpression"),Od,Gd)}parseCoverCallAndAsyncArrowHead(jd,Od,Gd,df){let Nf=this.state.maybeInArrowParameters,Xf=null;this.state.maybeInArrowParameters=!0,this.next();let Th=this.startNodeAt(Od);Th.callee=jd;let{maybeAsyncArrow:Ih,optionalChainMember:zh}=Gd;Ih&&(this.expressionScope.enter(N0()),Xf=new E0),zh&&(Th.optional=df),df?Th.arguments=this.parseCallExpressionArguments(11):Th.arguments=this.parseCallExpressionArguments(11,jd.type==="Import",jd.type!=="Super",Th,Xf);let Uh=this.finishCallExpression(Th,zh);return Ih&&this.shouldParseAsyncArrow()&&!df?(Gd.stop=!0,this.checkDestructuringPrivate(Xf),this.expressionScope.validateAsPattern(),this.expressionScope.exit(),Uh=this.parseAsyncArrowFromCallExpression(this.startNodeAt(Od),Uh)):(Ih&&(this.checkExpressionErrors(Xf,!0),this.expressionScope.exit()),this.toReferencedArguments(Uh)),this.state.maybeInArrowParameters=Nf,Uh}toReferencedArguments(jd,Od){this.toReferencedListDeep(jd.arguments,Od)}parseTaggedTemplateExpression(jd,Od,Gd){let df=this.startNodeAt(Od);return df.tag=jd,df.quasi=this.parseTemplate(!0),Gd.optionalChainMember&&this.raise(Nd.OptionalChainingNoTemplate,Od),this.finishNode(df,"TaggedTemplateExpression")}atPossibleAsyncArrow(jd){return jd.type==="Identifier"&&jd.name==="async"&&this.state.lastTokEndLoc.index===jd.end&&!this.canInsertSemicolon()&&jd.end-jd.start===5&&jd.start===this.state.potentialArrowAt}expectImportAttributesPlugin(){this.hasPlugin("importAssertions")||this.expectPlugin("importAttributes")}finishCallExpression(jd,Od){if(jd.callee.type==="Import")if(jd.arguments.length===2&&(this.hasPlugin("moduleAttributes")||this.expectImportAttributesPlugin()),jd.arguments.length===0||jd.arguments.length>2)this.raise(Nd.ImportCallArity,jd,{maxArgumentCount:this.hasPlugin("importAttributes")||this.hasPlugin("importAssertions")||this.hasPlugin("moduleAttributes")?2:1});else for(let Gd of jd.arguments)Gd.type==="SpreadElement"&&this.raise(Nd.ImportCallSpreadArgument,Gd);return this.finishNode(jd,Od?"OptionalCallExpression":"CallExpression")}parseCallExpressionArguments(jd,Od,Gd,df,Nf){let Xf=[],Th=!0,Ih=this.state.inFSharpPipelineDirectBody;for(this.state.inFSharpPipelineDirectBody=!1;!this.eat(jd);){if(Th)Th=!1;else if(this.expect(12),this.match(jd)){Od&&!this.hasPlugin("importAttributes")&&!this.hasPlugin("importAssertions")&&!this.hasPlugin("moduleAttributes")&&this.raise(Nd.ImportCallArgumentTrailingComma,this.state.lastTokStartLoc),df&&this.addTrailingCommaExtraToNode(df),this.next();break}Xf.push(this.parseExprListItem(!1,Nf,Gd))}return this.state.inFSharpPipelineDirectBody=Ih,Xf}shouldParseAsyncArrow(){return this.match(19)&&!this.canInsertSemicolon()}parseAsyncArrowFromCallExpression(jd,Od){var Gd;return this.resetPreviousNodeTrailingComments(Od),this.expect(19),this.parseArrowExpression(jd,Od.arguments,!0,(Gd=Od.extra)==null?void 0:Gd.trailingCommaLoc),Od.innerComments&&B1(jd,Od.innerComments),Od.callee.trailingComments&&B1(jd,Od.callee.trailingComments),jd}parseNoCallExpr(){let jd=this.state.startLoc;return this.parseSubscripts(this.parseExprAtom(),jd,!0)}parseExprAtom(jd){let Od,Gd=null,{type:df}=this.state;switch(df){case 79:return this.parseSuper();case 83:return Od=this.startNode(),this.next(),this.match(16)?this.parseImportMetaProperty(Od):this.match(10)?this.options.createImportExpressions?this.parseImportCall(Od):this.finishNode(Od,"Import"):(this.raise(Nd.UnsupportedImport,this.state.lastTokStartLoc),this.finishNode(Od,"Import"));case 78:return Od=this.startNode(),this.next(),this.finishNode(Od,"ThisExpression");case 90:return this.parseDo(this.startNode(),!1);case 56:case 31:return this.readRegexp(),this.parseRegExpLiteral(this.state.value);case 134:return this.parseNumericLiteral(this.state.value);case 135:return this.parseBigIntLiteral(this.state.value);case 136:return this.parseDecimalLiteral(this.state.value);case 133:return this.parseStringLiteral(this.state.value);case 84:return this.parseNullLiteral();case 85:return this.parseBooleanLiteral(!0);case 86:return this.parseBooleanLiteral(!1);case 10:{let Nf=this.state.potentialArrowAt===this.state.start;return this.parseParenAndDistinguishExpression(Nf)}case 2:case 1:return this.parseArrayLike(this.state.type===2?4:3,!1,!0);case 0:return this.parseArrayLike(3,!0,!1,jd);case 6:case 7:return this.parseObjectLike(this.state.type===6?9:8,!1,!0);case 5:return this.parseObjectLike(8,!1,!1,jd);case 68:return this.parseFunctionOrFunctionSent();case 26:Gd=this.parseDecorators();case 80:return this.parseClass(this.maybeTakeDecorators(Gd,this.startNode()),!1);case 77:return this.parseNewOrNewTarget();case 25:case 24:return this.parseTemplate(!1);case 15:{Od=this.startNode(),this.next(),Od.object=null;let Nf=Od.callee=this.parseNoCallExpr();if(Nf.type==="MemberExpression")return this.finishNode(Od,"BindExpression");throw this.raise(Nd.UnsupportedBind,Nf)}case 138:return this.raise(Nd.PrivateInExpectedIn,this.state.startLoc,{identifierName:this.state.value}),this.parsePrivateName();case 33:return this.parseTopicReferenceThenEqualsSign(54,"%");case 32:return this.parseTopicReferenceThenEqualsSign(44,"^");case 37:case 38:return this.parseTopicReference("hack");case 44:case 54:case 27:{let Nf=this.getPluginOption("pipelineOperator","proposal");if(Nf)return this.parseTopicReference(Nf);this.unexpected();break}case 47:{let Nf=this.input.codePointAt(this.nextTokenStart());Hh(Nf)||Nf===62?this.expectOnePlugin(["jsx","flow","typescript"]):this.unexpected();break}default:if($f(df)){if(this.isContextual(127)&&this.lookaheadInLineCharCode()===123)return this.parseModuleExpression();let Nf=this.state.potentialArrowAt===this.state.start,Xf=this.state.containsEsc,Th=this.parseIdentifier();if(!Xf&&Th.name==="async"&&!this.canInsertSemicolon()){let{type:Ih}=this.state;if(Ih===68)return this.resetPreviousNodeTrailingComments(Th),this.next(),this.parseAsyncFunctionExpression(this.startNodeAtNode(Th));if($f(Ih))return this.lookaheadCharCode()===61?this.parseAsyncArrowUnaryFunction(this.startNodeAtNode(Th)):Th;if(Ih===90)return this.resetPreviousNodeTrailingComments(Th),this.parseDo(this.startNodeAtNode(Th),!0)}return Nf&&this.match(19)&&!this.canInsertSemicolon()?(this.next(),this.parseArrowExpression(this.startNodeAtNode(Th),[Th],!1)):Th}else this.unexpected()}}parseTopicReferenceThenEqualsSign(jd,Od){let Gd=this.getPluginOption("pipelineOperator","proposal");if(Gd)return this.state.type=jd,this.state.value=Od,this.state.pos--,this.state.end--,this.state.endLoc=td(this.state.endLoc,-1),this.parseTopicReference(Gd);this.unexpected()}parseTopicReference(jd){let Od=this.startNode(),Gd=this.state.startLoc,df=this.state.type;return this.next(),this.finishTopicReference(Od,Gd,jd,df)}finishTopicReference(jd,Od,Gd,df){if(this.testTopicReferenceConfiguration(Gd,Od,df)){let Nf=Gd==="smart"?"PipelinePrimaryTopicReference":"TopicReference";return this.topicReferenceIsAllowedInCurrentContext()||this.raise(Gd==="smart"?Nd.PrimaryTopicNotAllowed:Nd.PipeTopicUnbound,Od),this.registerTopicReference(),this.finishNode(jd,Nf)}else throw this.raise(Nd.PipeTopicUnconfiguredToken,Od,{token:Rh(df)})}testTopicReferenceConfiguration(jd,Od,Gd){switch(jd){case"hack":return this.hasPlugin(["pipelineOperator",{topicToken:Rh(Gd)}]);case"smart":return Gd===27;default:throw this.raise(Nd.PipeTopicRequiresHackPipes,Od)}}parseAsyncArrowUnaryFunction(jd){this.prodParam.enter(U0(!0,this.prodParam.hasYield));let Od=[this.parseIdentifier()];return this.prodParam.exit(),this.hasPrecedingLineBreak()&&this.raise(Nd.LineTerminatorBeforeArrow,this.state.curPosition()),this.expect(19),this.parseArrowExpression(jd,Od,!0)}parseDo(jd,Od){this.expectPlugin("doExpressions"),Od&&this.expectPlugin("asyncDoExpressions"),jd.async=Od,this.next();let Gd=this.state.labels;return this.state.labels=[],Od?(this.prodParam.enter(2),jd.body=this.parseBlock(),this.prodParam.exit()):jd.body=this.parseBlock(),this.state.labels=Gd,this.finishNode(jd,"DoExpression")}parseSuper(){let jd=this.startNode();return this.next(),this.match(10)&&!this.scope.allowDirectSuper&&!this.options.allowSuperOutsideMethod?this.raise(Nd.SuperNotAllowed,jd):!this.scope.allowSuper&&!this.options.allowSuperOutsideMethod&&this.raise(Nd.UnexpectedSuper,jd),!this.match(10)&&!this.match(0)&&!this.match(16)&&this.raise(Nd.UnsupportedSuper,jd),this.finishNode(jd,"Super")}parsePrivateName(){let jd=this.startNode(),Od=this.startNodeAt(td(this.state.startLoc,1)),Gd=this.state.value;return this.next(),jd.id=this.createIdentifier(Od,Gd),this.finishNode(jd,"PrivateName")}parseFunctionOrFunctionSent(){let jd=this.startNode();if(this.next(),this.prodParam.hasYield&&this.match(16)){let Od=this.createIdentifier(this.startNodeAtNode(jd),"function");return this.next(),this.match(103)?this.expectPlugin("functionSent"):this.hasPlugin("functionSent")||this.unexpected(),this.parseMetaProperty(jd,Od,"sent")}return this.parseFunction(jd)}parseMetaProperty(jd,Od,Gd){jd.meta=Od;let df=this.state.containsEsc;return jd.property=this.parseIdentifier(!0),(jd.property.name!==Gd||df)&&this.raise(Nd.UnsupportedMetaProperty,jd.property,{target:Od.name,onlyValidPropertyName:Gd}),this.finishNode(jd,"MetaProperty")}parseImportMetaProperty(jd){let Od=this.createIdentifier(this.startNodeAtNode(jd),"import");if(this.next(),this.isContextual(101))this.inModule||this.raise(Nd.ImportMetaOutsideModule,Od),this.sawUnambiguousESM=!0;else if(this.isContextual(105)||this.isContextual(97)){let Gd=this.isContextual(105);if(Gd||this.unexpected(),this.expectPlugin(Gd?"sourcePhaseImports":"deferredImportEvaluation"),!this.options.createImportExpressions)throw this.raise(Nd.DynamicImportPhaseRequiresImportExpressions,this.state.startLoc,{phase:this.state.value});return this.next(),jd.phase=Gd?"source":"defer",this.parseImportCall(jd)}return this.parseMetaProperty(jd,Od,"meta")}parseLiteralAtNode(jd,Od,Gd){return this.addExtra(Gd,"rawValue",jd),this.addExtra(Gd,"raw",this.input.slice(Gd.start,this.state.end)),Gd.value=jd,this.next(),this.finishNode(Gd,Od)}parseLiteral(jd,Od){let Gd=this.startNode();return this.parseLiteralAtNode(jd,Od,Gd)}parseStringLiteral(jd){return this.parseLiteral(jd,"StringLiteral")}parseNumericLiteral(jd){return this.parseLiteral(jd,"NumericLiteral")}parseBigIntLiteral(jd){return this.parseLiteral(jd,"BigIntLiteral")}parseDecimalLiteral(jd){return this.parseLiteral(jd,"DecimalLiteral")}parseRegExpLiteral(jd){let Od=this.startNode();return this.addExtra(Od,"raw",this.input.slice(Od.start,this.state.end)),Od.pattern=jd.pattern,Od.flags=jd.flags,this.next(),this.finishNode(Od,"RegExpLiteral")}parseBooleanLiteral(jd){let Od=this.startNode();return Od.value=jd,this.next(),this.finishNode(Od,"BooleanLiteral")}parseNullLiteral(){let jd=this.startNode();return this.next(),this.finishNode(jd,"NullLiteral")}parseParenAndDistinguishExpression(jd){let Od=this.state.startLoc,Gd;this.next(),this.expressionScope.enter(q1());let df=this.state.maybeInArrowParameters,Nf=this.state.inFSharpPipelineDirectBody;this.state.maybeInArrowParameters=!0,this.state.inFSharpPipelineDirectBody=!1;let Xf=this.state.startLoc,Th=[],Ih=new E0,zh=!0,Uh,Sm;for(;!this.match(11);){if(zh)zh=!1;else if(this.expect(12,Ih.optionalParametersLoc===null?null:Ih.optionalParametersLoc),this.match(11)){Sm=this.state.startLoc;break}if(this.match(21)){let r1=this.state.startLoc;if(Uh=this.state.startLoc,Th.push(this.parseParenItem(this.parseRestBinding(),r1)),!this.checkCommaAfterRest(41))break}else Th.push(this.parseMaybeAssignAllowIn(Ih,this.parseParenItem))}let Wm=this.state.lastTokEndLoc;this.expect(11),this.state.maybeInArrowParameters=df,this.state.inFSharpPipelineDirectBody=Nf;let Zm=this.startNodeAt(Od);return jd&&this.shouldParseArrow(Th)&&(Zm=this.parseArrow(Zm))?(this.checkDestructuringPrivate(Ih),this.expressionScope.validateAsPattern(),this.expressionScope.exit(),this.parseArrowExpression(Zm,Th,!1),Zm):(this.expressionScope.exit(),Th.length||this.unexpected(this.state.lastTokStartLoc),Sm&&this.unexpected(Sm),Uh&&this.unexpected(Uh),this.checkExpressionErrors(Ih,!0),this.toReferencedListDeep(Th,!0),Th.length>1?(Gd=this.startNodeAt(Xf),Gd.expressions=Th,this.finishNode(Gd,"SequenceExpression"),this.resetEndLocation(Gd,Wm)):Gd=Th[0],this.wrapParenthesis(Od,Gd))}wrapParenthesis(jd,Od){if(!this.options.createParenthesizedExpressions)return this.addExtra(Od,"parenthesized",!0),this.addExtra(Od,"parenStart",jd.index),this.takeSurroundingComments(Od,jd.index,this.state.lastTokEndLoc.index),Od;let Gd=this.startNodeAt(jd);return Gd.expression=Od,this.finishNode(Gd,"ParenthesizedExpression")}shouldParseArrow(jd){return!this.canInsertSemicolon()}parseArrow(jd){if(this.eat(19))return jd}parseParenItem(jd,Od){return jd}parseNewOrNewTarget(){let jd=this.startNode();if(this.next(),this.match(16)){let Od=this.createIdentifier(this.startNodeAtNode(jd),"new");this.next();let Gd=this.parseMetaProperty(jd,Od,"target");return!this.scope.inNonArrowFunction&&!this.scope.inClass&&!this.options.allowNewTargetOutsideFunction&&this.raise(Nd.UnexpectedNewTarget,Gd),Gd}return this.parseNew(jd)}parseNew(jd){if(this.parseNewCallee(jd),this.eat(10)){let Od=this.parseExprList(11);this.toReferencedList(Od),jd.arguments=Od}else jd.arguments=[];return this.finishNode(jd,"NewExpression")}parseNewCallee(jd){let Od=this.match(83),Gd=this.parseNoCallExpr();jd.callee=Gd,Od&&(Gd.type==="Import"||Gd.type==="ImportExpression")&&this.raise(Nd.ImportCallNotNewExpression,Gd)}parseTemplateElement(jd){let{start:Od,startLoc:Gd,end:df,value:Nf}=this.state,Xf=Od+1,Th=this.startNodeAt(td(Gd,1));Nf===null&&(jd||this.raise(Nd.InvalidEscapeSequenceTemplate,td(this.state.firstInvalidTemplateEscapePos,1)));let Ih=this.match(24),zh=Ih?-1:-2,Uh=df+zh;Th.value={raw:this.input.slice(Xf,Uh).replace(/\r\n?/g,`
|
||
`),cooked:Nf===null?null:Nf.slice(1,zh)},Th.tail=Ih,this.next();let Sm=this.finishNode(Th,"TemplateElement");return this.resetEndLocation(Sm,td(this.state.lastTokEndLoc,zh)),Sm}parseTemplate(jd){let Od=this.startNode(),Gd=this.parseTemplateElement(jd),df=[Gd],Nf=[];for(;!Gd.tail;)Nf.push(this.parseTemplateSubstitution()),this.readTemplateContinuation(),df.push(Gd=this.parseTemplateElement(jd));return Od.expressions=Nf,Od.quasis=df,this.finishNode(Od,"TemplateLiteral")}parseTemplateSubstitution(){return this.parseExpression()}parseObjectLike(jd,Od,Gd,df){Gd&&this.expectPlugin("recordAndTuple");let Nf=this.state.inFSharpPipelineDirectBody;this.state.inFSharpPipelineDirectBody=!1;let Xf=Object.create(null),Th=!0,Ih=this.startNode();for(Ih.properties=[],this.next();!this.match(jd);){if(Th)Th=!1;else if(this.expect(12),this.match(jd)){this.addTrailingCommaExtraToNode(Ih);break}let Uh;Od?Uh=this.parseBindingProperty():(Uh=this.parsePropertyDefinition(df),this.checkProto(Uh,Gd,Xf,df)),Gd&&!this.isObjectProperty(Uh)&&Uh.type!=="SpreadElement"&&this.raise(Nd.InvalidRecordProperty,Uh),Uh.shorthand&&this.addExtra(Uh,"shorthand",!0),Ih.properties.push(Uh)}this.next(),this.state.inFSharpPipelineDirectBody=Nf;let zh="ObjectExpression";return Od?zh="ObjectPattern":Gd&&(zh="RecordExpression"),this.finishNode(Ih,zh)}addTrailingCommaExtraToNode(jd){this.addExtra(jd,"trailingComma",this.state.lastTokStartLoc.index),this.addExtra(jd,"trailingCommaLoc",this.state.lastTokStartLoc,!1)}maybeAsyncOrAccessorProp(jd){return!jd.computed&&jd.key.type==="Identifier"&&(this.isLiteralPropertyName()||this.match(0)||this.match(55))}parsePropertyDefinition(jd){let Od=[];if(this.match(26))for(this.hasPlugin("decorators")&&this.raise(Nd.UnsupportedPropertyDecorator,this.state.startLoc);this.match(26);)Od.push(this.parseDecorator());let Gd=this.startNode(),df=!1,Nf=!1,Xf;if(this.match(21))return Od.length&&this.unexpected(),this.parseSpread();Od.length&&(Gd.decorators=Od,Od=[]),Gd.method=!1,jd&&(Xf=this.state.startLoc);let Th=this.eat(55);this.parsePropertyNamePrefixOperator(Gd);let Ih=this.state.containsEsc;if(this.parsePropertyName(Gd,jd),!Th&&!Ih&&this.maybeAsyncOrAccessorProp(Gd)){let{key:zh}=Gd,Uh=zh.name;Uh==="async"&&!this.hasPrecedingLineBreak()&&(df=!0,this.resetPreviousNodeTrailingComments(zh),Th=this.eat(55),this.parsePropertyName(Gd)),(Uh==="get"||Uh==="set")&&(Nf=!0,this.resetPreviousNodeTrailingComments(zh),Gd.kind=Uh,this.match(55)&&(Th=!0,this.raise(Nd.AccessorIsGenerator,this.state.curPosition(),{kind:Uh}),this.next()),this.parsePropertyName(Gd))}return this.parseObjPropValue(Gd,Xf,Th,df,!1,Nf,jd)}getGetterSetterExpectedParamCount(jd){return jd.kind==="get"?0:1}getObjectOrClassMethodParams(jd){return jd.params}checkGetterSetterParams(jd){var Od;let Gd=this.getGetterSetterExpectedParamCount(jd),df=this.getObjectOrClassMethodParams(jd);df.length!==Gd&&this.raise(jd.kind==="get"?Nd.BadGetterArity:Nd.BadSetterArity,jd),jd.kind==="set"&&((Od=df[df.length-1])==null?void 0:Od.type)==="RestElement"&&this.raise(Nd.BadSetterRestParameter,jd)}parseObjectMethod(jd,Od,Gd,df,Nf){if(Nf){let Xf=this.parseMethod(jd,Od,!1,!1,!1,"ObjectMethod");return this.checkGetterSetterParams(Xf),Xf}if(Gd||Od||this.match(10))return df&&this.unexpected(),jd.kind="method",jd.method=!0,this.parseMethod(jd,Od,Gd,!1,!1,"ObjectMethod")}parseObjectProperty(jd,Od,Gd,df){if(jd.shorthand=!1,this.eat(14))return jd.value=Gd?this.parseMaybeDefault(this.state.startLoc):this.parseMaybeAssignAllowIn(df),this.finishNode(jd,"ObjectProperty");if(!jd.computed&&jd.key.type==="Identifier"){if(this.checkReservedWord(jd.key.name,jd.key.loc.start,!0,!1),Gd)jd.value=this.parseMaybeDefault(Od,T0(jd.key));else if(this.match(29)){let Nf=this.state.startLoc;df!=null?df.shorthandAssignLoc===null&&(df.shorthandAssignLoc=Nf):this.raise(Nd.InvalidCoverInitializedName,Nf),jd.value=this.parseMaybeDefault(Od,T0(jd.key))}else jd.value=T0(jd.key);return jd.shorthand=!0,this.finishNode(jd,"ObjectProperty")}}parseObjPropValue(jd,Od,Gd,df,Nf,Xf,Th){let Ih=this.parseObjectMethod(jd,Gd,df,Nf,Xf)||this.parseObjectProperty(jd,Od,Nf,Th);return Ih||this.unexpected(),Ih}parsePropertyName(jd,Od){if(this.eat(0))jd.computed=!0,jd.key=this.parseMaybeAssignAllowIn(),this.expect(3);else{let{type:Gd,value:df}=this.state,Nf;if(hh(Gd))Nf=this.parseIdentifier(!0);else switch(Gd){case 134:Nf=this.parseNumericLiteral(df);break;case 133:Nf=this.parseStringLiteral(df);break;case 135:Nf=this.parseBigIntLiteral(df);break;case 136:Nf=this.parseDecimalLiteral(df);break;case 138:{let Xf=this.state.startLoc;Od!=null?Od.privateKeyLoc===null&&(Od.privateKeyLoc=Xf):this.raise(Nd.UnexpectedPrivateField,Xf),Nf=this.parsePrivateName();break}default:this.unexpected()}jd.key=Nf,Gd!==138&&(jd.computed=!1)}}initFunction(jd,Od){jd.id=null,jd.generator=!1,jd.async=Od}parseMethod(jd,Od,Gd,df,Nf,Xf,Th=!1){this.initFunction(jd,Gd),jd.generator=Od,this.scope.enter(18|(Th?64:0)|(Nf?32:0)),this.prodParam.enter(U0(Gd,jd.generator)),this.parseFunctionParams(jd,df);let Ih=this.parseFunctionBodyAndFinish(jd,Xf,!0);return this.prodParam.exit(),this.scope.exit(),Ih}parseArrayLike(jd,Od,Gd,df){Gd&&this.expectPlugin("recordAndTuple");let Nf=this.state.inFSharpPipelineDirectBody;this.state.inFSharpPipelineDirectBody=!1;let Xf=this.startNode();return this.next(),Xf.elements=this.parseExprList(jd,!Gd,df,Xf),this.state.inFSharpPipelineDirectBody=Nf,this.finishNode(Xf,Gd?"TupleExpression":"ArrayExpression")}parseArrowExpression(jd,Od,Gd,df){this.scope.enter(6);let Nf=U0(Gd,!1);!this.match(5)&&this.prodParam.hasIn&&(Nf|=8),this.prodParam.enter(Nf),this.initFunction(jd,Gd);let Xf=this.state.maybeInArrowParameters;return Od&&(this.state.maybeInArrowParameters=!0,this.setArrowFunctionParameters(jd,Od,df)),this.state.maybeInArrowParameters=!1,this.parseFunctionBody(jd,!0),this.prodParam.exit(),this.scope.exit(),this.state.maybeInArrowParameters=Xf,this.finishNode(jd,"ArrowFunctionExpression")}setArrowFunctionParameters(jd,Od,Gd){this.toAssignableList(Od,Gd,!1),jd.params=Od}parseFunctionBodyAndFinish(jd,Od,Gd=!1){return this.parseFunctionBody(jd,!1,Gd),this.finishNode(jd,Od)}parseFunctionBody(jd,Od,Gd=!1){let df=Od&&!this.match(5);if(this.expressionScope.enter(g0()),df)jd.body=this.parseMaybeAssign(),this.checkParams(jd,!1,Od,!1);else{let Nf=this.state.strict,Xf=this.state.labels;this.state.labels=[],this.prodParam.enter(this.prodParam.currentFlags()|4),jd.body=this.parseBlock(!0,!1,Th=>{let Ih=!this.isSimpleParamList(jd.params);Th&&Ih&&this.raise(Nd.IllegalLanguageModeDirective,(jd.kind==="method"||jd.kind==="constructor")&&jd.key?jd.key.loc.end:jd);let zh=!Nf&&this.state.strict;this.checkParams(jd,!this.state.strict&&!Od&&!Gd&&!Ih,Od,zh),this.state.strict&&jd.id&&this.checkIdentifier(jd.id,65,zh)}),this.prodParam.exit(),this.state.labels=Xf}this.expressionScope.exit()}isSimpleParameter(jd){return jd.type==="Identifier"}isSimpleParamList(jd){for(let Od=0,Gd=jd.length;Od<Gd;Od++)if(!this.isSimpleParameter(jd[Od]))return!1;return!0}checkParams(jd,Od,Gd,df=!0){let Nf=!Od&&new Set,Xf={type:"FormalParameters"};for(let Th of jd.params)this.checkLVal(Th,{in:Xf,binding:5,checkClashes:Nf,strictModeChanged:df})}parseExprList(jd,Od,Gd,df){let Nf=[],Xf=!0;for(;!this.eat(jd);){if(Xf)Xf=!1;else if(this.expect(12),this.match(jd)){df&&this.addTrailingCommaExtraToNode(df),this.next();break}Nf.push(this.parseExprListItem(Od,Gd))}return Nf}parseExprListItem(jd,Od,Gd){let df;if(this.match(12))jd||this.raise(Nd.UnexpectedToken,this.state.curPosition(),{unexpected:","}),df=null;else if(this.match(21)){let Nf=this.state.startLoc;df=this.parseParenItem(this.parseSpread(Od),Nf)}else if(this.match(17)){this.expectPlugin("partialApplication"),Gd||this.raise(Nd.UnexpectedArgumentPlaceholder,this.state.startLoc);let Nf=this.startNode();this.next(),df=this.finishNode(Nf,"ArgumentPlaceholder")}else df=this.parseMaybeAssignAllowIn(Od,this.parseParenItem);return df}parseIdentifier(jd){let Od=this.startNode(),Gd=this.parseIdentifierName(jd);return this.createIdentifier(Od,Gd)}createIdentifier(jd,Od){return jd.name=Od,jd.loc.identifierName=Od,this.finishNode(jd,"Identifier")}parseIdentifierName(jd){let Od,{startLoc:Gd,type:df}=this.state;hh(df)?Od=this.state.value:this.unexpected();let Nf=zf(df);return jd?Nf&&this.replaceToken(132):this.checkReservedWord(Od,Gd,Nf,!1),this.next(),Od}checkReservedWord(jd,Od,Gd,df){if(!(jd.length>10||!y1(jd))){if(Gd&&x1(jd)){this.raise(Nd.UnexpectedKeyword,Od,{keyword:jd});return}if((this.state.strict?df?R1:Jm:Om)(jd,this.inModule)){this.raise(Nd.UnexpectedReservedWord,Od,{reservedWord:jd});return}else if(jd==="yield"){if(this.prodParam.hasYield){this.raise(Nd.YieldBindingIdentifier,Od);return}}else if(jd==="await"){if(this.prodParam.hasAwait){this.raise(Nd.AwaitBindingIdentifier,Od);return}if(this.scope.inStaticBlock){this.raise(Nd.AwaitBindingIdentifierInStaticBlock,Od);return}this.expressionScope.recordAsyncArrowParametersError(Od)}else if(jd==="arguments"&&this.scope.inClassAndNotInNonArrowFunction){this.raise(Nd.ArgumentsInClass,Od);return}}}isAwaitAllowed(){return!!(this.prodParam.hasAwait||this.options.allowAwaitOutsideFunction&&!this.scope.inFunction)}parseAwait(jd){let Od=this.startNodeAt(jd);return this.expressionScope.recordParameterInitializerError(Nd.AwaitExpressionFormalParameter,Od),this.eat(55)&&this.raise(Nd.ObsoleteAwaitStar,Od),!this.scope.inFunction&&!this.options.allowAwaitOutsideFunction&&(this.isAmbiguousAwait()?this.ambiguousScriptDifferentAst=!0:this.sawUnambiguousESM=!0),this.state.soloAwait||(Od.argument=this.parseMaybeUnary(null,!0)),this.finishNode(Od,"AwaitExpression")}isAmbiguousAwait(){if(this.hasPrecedingLineBreak())return!0;let{type:jd}=this.state;return jd===53||jd===10||jd===0||Wh(jd)||jd===102&&!this.state.containsEsc||jd===137||jd===56||this.hasPlugin("v8intrinsic")&&jd===54}parseYield(){let jd=this.startNode();this.expressionScope.recordParameterInitializerError(Nd.YieldInParameter,jd),this.next();let Od=!1,Gd=null;if(!this.hasPrecedingLineBreak())switch(Od=this.eat(55),this.state.type){case 13:case 139:case 8:case 11:case 3:case 9:case 14:case 12:if(!Od)break;default:Gd=this.parseMaybeAssign()}return jd.delegate=Od,jd.argument=Gd,this.finishNode(jd,"YieldExpression")}parseImportCall(jd){return this.next(),jd.source=this.parseMaybeAssignAllowIn(),(this.hasPlugin("importAttributes")||this.hasPlugin("importAssertions"))&&(jd.options=null),this.eat(12)&&(this.expectImportAttributesPlugin(),this.match(11)||(jd.options=this.parseMaybeAssignAllowIn(),this.eat(12))),this.expect(11),this.finishNode(jd,"ImportExpression")}checkPipelineAtInfixOperator(jd,Od){this.hasPlugin(["pipelineOperator",{proposal:"smart"}])&&jd.type==="SequenceExpression"&&this.raise(Nd.PipelineHeadSequenceExpression,Od)}parseSmartPipelineBodyInStyle(jd,Od){if(this.isSimpleReference(jd)){let Gd=this.startNodeAt(Od);return Gd.callee=jd,this.finishNode(Gd,"PipelineBareFunction")}else{let Gd=this.startNodeAt(Od);return this.checkSmartPipeTopicBodyEarlyErrors(Od),Gd.expression=jd,this.finishNode(Gd,"PipelineTopicExpression")}}isSimpleReference(jd){switch(jd.type){case"MemberExpression":return!jd.computed&&this.isSimpleReference(jd.object);case"Identifier":return!0;default:return!1}}checkSmartPipeTopicBodyEarlyErrors(jd){if(this.match(19))throw this.raise(Nd.PipelineBodyNoArrow,this.state.startLoc);this.topicReferenceWasUsedInCurrentContext()||this.raise(Nd.PipelineTopicUnused,jd)}withTopicBindingContext(jd){let Od=this.state.topicContext;this.state.topicContext={maxNumOfResolvableTopics:1,maxTopicIndex:null};try{return jd()}finally{this.state.topicContext=Od}}withSmartMixTopicForbiddingContext(jd){if(this.hasPlugin(["pipelineOperator",{proposal:"smart"}])){let Od=this.state.topicContext;this.state.topicContext={maxNumOfResolvableTopics:0,maxTopicIndex:null};try{return jd()}finally{this.state.topicContext=Od}}else return jd()}withSoloAwaitPermittingContext(jd){let Od=this.state.soloAwait;this.state.soloAwait=!0;try{return jd()}finally{this.state.soloAwait=Od}}allowInAnd(jd){let Od=this.prodParam.currentFlags();if(8&~Od){this.prodParam.enter(Od|8);try{return jd()}finally{this.prodParam.exit()}}return jd()}disallowInAnd(jd){let Od=this.prodParam.currentFlags();if(8&Od){this.prodParam.enter(Od&-9);try{return jd()}finally{this.prodParam.exit()}}return jd()}registerTopicReference(){this.state.topicContext.maxTopicIndex=0}topicReferenceIsAllowedInCurrentContext(){return this.state.topicContext.maxNumOfResolvableTopics>=1}topicReferenceWasUsedInCurrentContext(){return this.state.topicContext.maxTopicIndex!=null&&this.state.topicContext.maxTopicIndex>=0}parseFSharpPipelineBody(jd){let Od=this.state.startLoc;this.state.potentialArrowAt=this.state.start;let Gd=this.state.inFSharpPipelineDirectBody;this.state.inFSharpPipelineDirectBody=!0;let df=this.parseExprOp(this.parseMaybeUnaryOrPrivate(),Od,jd);return this.state.inFSharpPipelineDirectBody=Gd,df}parseModuleExpression(){this.expectPlugin("moduleBlocks");let jd=this.startNode();this.next(),this.match(5)||this.unexpected(null,5);let Od=this.startNodeAt(this.state.endLoc);this.next();let Gd=this.initializeScopes(!0);this.enterInitialScopes();try{jd.body=this.parseProgram(Od,8,"module")}finally{Gd()}return this.finishNode(jd,"ModuleExpression")}parsePropertyNamePrefixOperator(jd){}},d1={kind:1},A1={kind:2},S0=/[\uD800-\uDFFF]/u,o_=/in(?:stanceof)?/y;function l_(jd,Od){for(let Gd=0;Gd<jd.length;Gd++){let df=jd[Gd],{type:Nf}=df;if(typeof Nf=="number"){{if(Nf===138){let{loc:Xf,start:Th,value:Ih,end:zh}=df,Uh=Th+1,Sm=td(Xf.start,1);jd.splice(Gd,1,new oh({type:sm(27),value:"#",start:Th,end:Uh,startLoc:Xf.start,endLoc:Sm}),new oh({type:sm(132),value:Ih,start:Uh,end:zh,startLoc:Sm,endLoc:Xf.end})),Gd++;continue}if(Wh(Nf)){let{loc:Xf,start:Th,value:Ih,end:zh}=df,Uh=Th+1,Sm=td(Xf.start,1),Wm;Od.charCodeAt(Th)===96?Wm=new oh({type:sm(22),value:"`",start:Th,end:Uh,startLoc:Xf.start,endLoc:Sm}):Wm=new oh({type:sm(8),value:"}",start:Th,end:Uh,startLoc:Xf.start,endLoc:Sm});let Zm,r1,C1,f0;Nf===24?(r1=zh-1,C1=td(Xf.end,-1),Zm=Ih===null?null:Ih.slice(1,-1),f0=new oh({type:sm(22),value:"`",start:r1,end:zh,startLoc:C1,endLoc:Xf.end})):(r1=zh-2,C1=td(Xf.end,-2),Zm=Ih===null?null:Ih.slice(1,-2),f0=new oh({type:sm(23),value:"${",start:r1,end:zh,startLoc:C1,endLoc:Xf.end})),jd.splice(Gd,1,Wm,new oh({type:sm(20),value:Zm,start:Uh,end:r1,startLoc:Sm,endLoc:C1}),f0),Gd+=2;continue}}df.type=sm(Nf)}}return jd}var Wg=class extends Pm{parseTopLevel(jd,Od){return jd.program=this.parseProgram(Od),jd.comments=this.comments,this.options.tokens&&(jd.tokens=l_(this.tokens,this.input)),this.finishNode(jd,"File")}parseProgram(jd,Od=139,Gd=this.options.sourceType){if(jd.sourceType=Gd,jd.interpreter=this.parseInterpreterDirective(),this.parseBlockBody(jd,!0,!0,Od),this.inModule&&!this.options.allowUndeclaredExports&&this.scope.undefinedExports.size>0)for(let[Nf,Xf]of Array.from(this.scope.undefinedExports))this.raise(Nd.ModuleExportUndefined,Xf,{localName:Nf});let df;return Od===139?df=this.finishNode(jd,"Program"):df=this.finishNodeAt(jd,"Program",td(this.state.startLoc,-1)),df}stmtToDirective(jd){let Od=jd;Od.type="Directive",Od.value=Od.expression,delete Od.expression;let Gd=Od.value,df=Gd.value,Nf=this.input.slice(Gd.start,Gd.end),Xf=Gd.value=Nf.slice(1,-1);return this.addExtra(Gd,"raw",Nf),this.addExtra(Gd,"rawValue",Xf),this.addExtra(Gd,"expressionValue",df),Gd.type="DirectiveLiteral",Od}parseInterpreterDirective(){if(!this.match(28))return null;let jd=this.startNode();return jd.value=this.state.value,this.next(),this.finishNode(jd,"InterpreterDirective")}isLet(){return this.isContextual(100)?this.hasFollowingBindingAtom():!1}chStartsBindingIdentifier(jd,Od){if(Hh(jd)){if(o_.lastIndex=Od,o_.test(this.input)){let Gd=this.codePointAtPos(o_.lastIndex);if(!Xh(Gd)&&Gd!==92)return!1}return!0}else return jd===92}chStartsBindingPattern(jd){return jd===91||jd===123}hasFollowingBindingAtom(){let jd=this.nextTokenStart(),Od=this.codePointAtPos(jd);return this.chStartsBindingPattern(Od)||this.chStartsBindingIdentifier(Od,jd)}hasInLineFollowingBindingIdentifierOrBrace(){let jd=this.nextTokenInLineStart(),Od=this.codePointAtPos(jd);return Od===123||this.chStartsBindingIdentifier(Od,jd)}startsUsingForOf(){let{type:jd,containsEsc:Od}=this.lookahead();if(jd===102&&!Od)return!1;if($f(jd)&&!this.hasFollowingLineBreak())return this.expectPlugin("explicitResourceManagement"),!0}startsAwaitUsing(){let jd=this.nextTokenInLineStart();if(this.isUnparsedContextual(jd,"using")){jd=this.nextTokenInLineStartSince(jd+5);let Od=this.codePointAtPos(jd);if(this.chStartsBindingIdentifier(Od,jd))return this.expectPlugin("explicitResourceManagement"),!0}return!1}parseModuleItem(){return this.parseStatementLike(15)}parseStatementListItem(){return this.parseStatementLike(6|(!this.options.annexB||this.state.strict?0:8))}parseStatementOrSloppyAnnexBFunctionDeclaration(jd=!1){let Od=0;return this.options.annexB&&!this.state.strict&&(Od|=4,jd&&(Od|=8)),this.parseStatementLike(Od)}parseStatement(){return this.parseStatementLike(0)}parseStatementLike(jd){let Od=null;return this.match(26)&&(Od=this.parseDecorators(!0)),this.parseStatementContent(jd,Od)}parseStatementContent(jd,Od){let Gd=this.state.type,df=this.startNode(),Nf=!!(jd&2),Xf=!!(jd&4),Th=jd&1;switch(Gd){case 60:return this.parseBreakContinueStatement(df,!0);case 63:return this.parseBreakContinueStatement(df,!1);case 64:return this.parseDebuggerStatement(df);case 90:return this.parseDoWhileStatement(df);case 91:return this.parseForStatement(df);case 68:if(this.lookaheadCharCode()===46)break;return Xf||this.raise(this.state.strict?Nd.StrictFunction:this.options.annexB?Nd.SloppyFunctionAnnexB:Nd.SloppyFunction,this.state.startLoc),this.parseFunctionStatement(df,!1,!Nf&&Xf);case 80:return Nf||this.unexpected(),this.parseClass(this.maybeTakeDecorators(Od,df),!0);case 69:return this.parseIfStatement(df);case 70:return this.parseReturnStatement(df);case 71:return this.parseSwitchStatement(df);case 72:return this.parseThrowStatement(df);case 73:return this.parseTryStatement(df);case 96:if(!this.state.containsEsc&&this.startsAwaitUsing())return this.isAwaitAllowed()?Nf||this.raise(Nd.UnexpectedLexicalDeclaration,df):this.raise(Nd.AwaitUsingNotInAsyncContext,df),this.next(),this.parseVarStatement(df,"await using");break;case 107:if(this.state.containsEsc||!this.hasInLineFollowingBindingIdentifierOrBrace())break;return this.expectPlugin("explicitResourceManagement"),!this.scope.inModule&&this.scope.inTopLevel?this.raise(Nd.UnexpectedUsingDeclaration,this.state.startLoc):Nf||this.raise(Nd.UnexpectedLexicalDeclaration,this.state.startLoc),this.parseVarStatement(df,"using");case 100:{if(this.state.containsEsc)break;let Uh=this.nextTokenStart(),Sm=this.codePointAtPos(Uh);if(Sm!==91&&(!Nf&&this.hasFollowingLineBreak()||!this.chStartsBindingIdentifier(Sm,Uh)&&Sm!==123))break}case 75:Nf||this.raise(Nd.UnexpectedLexicalDeclaration,this.state.startLoc);case 74:{let Uh=this.state.value;return this.parseVarStatement(df,Uh)}case 92:return this.parseWhileStatement(df);case 76:return this.parseWithStatement(df);case 5:return this.parseBlock();case 13:return this.parseEmptyStatement(df);case 83:{let Uh=this.lookaheadCharCode();if(Uh===40||Uh===46)break}case 82:{!this.options.allowImportExportEverywhere&&!Th&&this.raise(Nd.UnexpectedImportExport,this.state.startLoc),this.next();let Uh;return Gd===83?(Uh=this.parseImport(df),Uh.type==="ImportDeclaration"&&(!Uh.importKind||Uh.importKind==="value")&&(this.sawUnambiguousESM=!0)):(Uh=this.parseExport(df,Od),(Uh.type==="ExportNamedDeclaration"&&(!Uh.exportKind||Uh.exportKind==="value")||Uh.type==="ExportAllDeclaration"&&(!Uh.exportKind||Uh.exportKind==="value")||Uh.type==="ExportDefaultDeclaration")&&(this.sawUnambiguousESM=!0)),this.assertModuleNodeAllowed(Uh),Uh}default:if(this.isAsyncFunction())return Nf||this.raise(Nd.AsyncFunctionInSingleStatementContext,this.state.startLoc),this.next(),this.parseFunctionStatement(df,!0,!Nf&&Xf)}let Ih=this.state.value,zh=this.parseExpression();return $f(Gd)&&zh.type==="Identifier"&&this.eat(14)?this.parseLabeledStatement(df,Ih,zh,jd):this.parseExpressionStatement(df,zh,Od)}assertModuleNodeAllowed(jd){!this.options.allowImportExportEverywhere&&!this.inModule&&this.raise(Nd.ImportOutsideModule,jd)}decoratorsEnabledBeforeExport(){return this.hasPlugin("decorators-legacy")?!0:this.hasPlugin("decorators")&&this.getPluginOption("decorators","decoratorsBeforeExport")!==!1}maybeTakeDecorators(jd,Od,Gd){return jd&&(Od.decorators&&Od.decorators.length>0?(typeof this.getPluginOption("decorators","decoratorsBeforeExport")!="boolean"&&this.raise(Nd.DecoratorsBeforeAfterExport,Od.decorators[0]),Od.decorators.unshift(...jd)):Od.decorators=jd,this.resetStartLocationFromNode(Od,jd[0]),Gd&&this.resetStartLocationFromNode(Gd,Od)),Od}canHaveLeadingDecorator(){return this.match(80)}parseDecorators(jd){let Od=[];do Od.push(this.parseDecorator());while(this.match(26));if(this.match(82))jd||this.unexpected(),this.decoratorsEnabledBeforeExport()||this.raise(Nd.DecoratorExportClass,this.state.startLoc);else if(!this.canHaveLeadingDecorator())throw this.raise(Nd.UnexpectedLeadingDecorator,this.state.startLoc);return Od}parseDecorator(){this.expectOnePlugin(["decorators","decorators-legacy"]);let jd=this.startNode();if(this.next(),this.hasPlugin("decorators")){let Od=this.state.startLoc,Gd;if(this.match(10)){let df=this.state.startLoc;this.next(),Gd=this.parseExpression(),this.expect(11),Gd=this.wrapParenthesis(df,Gd);let Nf=this.state.startLoc;jd.expression=this.parseMaybeDecoratorArguments(Gd),this.getPluginOption("decorators","allowCallParenthesized")===!1&&jd.expression!==Gd&&this.raise(Nd.DecoratorArgumentsOutsideParentheses,Nf)}else{for(Gd=this.parseIdentifier(!1);this.eat(16);){let df=this.startNodeAt(Od);df.object=Gd,this.match(138)?(this.classScope.usePrivateName(this.state.value,this.state.startLoc),df.property=this.parsePrivateName()):df.property=this.parseIdentifier(!0),df.computed=!1,Gd=this.finishNode(df,"MemberExpression")}jd.expression=this.parseMaybeDecoratorArguments(Gd)}}else jd.expression=this.parseExprSubscripts();return this.finishNode(jd,"Decorator")}parseMaybeDecoratorArguments(jd){if(this.eat(10)){let Od=this.startNodeAtNode(jd);return Od.callee=jd,Od.arguments=this.parseCallExpressionArguments(11,!1),this.toReferencedList(Od.arguments),this.finishNode(Od,"CallExpression")}return jd}parseBreakContinueStatement(jd,Od){return this.next(),this.isLineTerminator()?jd.label=null:(jd.label=this.parseIdentifier(),this.semicolon()),this.verifyBreakContinue(jd,Od),this.finishNode(jd,Od?"BreakStatement":"ContinueStatement")}verifyBreakContinue(jd,Od){let Gd;for(Gd=0;Gd<this.state.labels.length;++Gd){let df=this.state.labels[Gd];if((jd.label==null||df.name===jd.label.name)&&(df.kind!=null&&(Od||df.kind===1)||jd.label&&Od))break}if(Gd===this.state.labels.length){let df=Od?"BreakStatement":"ContinueStatement";this.raise(Nd.IllegalBreakContinue,jd,{type:df})}}parseDebuggerStatement(jd){return this.next(),this.semicolon(),this.finishNode(jd,"DebuggerStatement")}parseHeaderExpression(){this.expect(10);let jd=this.parseExpression();return this.expect(11),jd}parseDoWhileStatement(jd){return this.next(),this.state.labels.push(d1),jd.body=this.withSmartMixTopicForbiddingContext(()=>this.parseStatement()),this.state.labels.pop(),this.expect(92),jd.test=this.parseHeaderExpression(),this.eat(13),this.finishNode(jd,"DoWhileStatement")}parseForStatement(jd){this.next(),this.state.labels.push(d1);let Od=null;if(this.isAwaitAllowed()&&this.eatContextual(96)&&(Od=this.state.lastTokStartLoc),this.scope.enter(0),this.expect(10),this.match(13))return Od!==null&&this.unexpected(Od),this.parseFor(jd,null);let Gd=this.isContextual(100);{let Ih=this.isContextual(96)&&this.startsAwaitUsing(),zh=Ih||this.isContextual(107)&&this.startsUsingForOf(),Uh=Gd&&this.hasFollowingBindingAtom()||zh;if(this.match(74)||this.match(75)||Uh){let Sm=this.startNode(),Wm;Ih?(Wm="await using",this.isAwaitAllowed()||this.raise(Nd.AwaitUsingNotInAsyncContext,this.state.startLoc),this.next()):Wm=this.state.value,this.next(),this.parseVar(Sm,!0,Wm);let Zm=this.finishNode(Sm,"VariableDeclaration"),r1=this.match(58);return r1&&zh&&this.raise(Nd.ForInUsing,Zm),(r1||this.isContextual(102))&&Zm.declarations.length===1?this.parseForIn(jd,Zm,Od):(Od!==null&&this.unexpected(Od),this.parseFor(jd,Zm))}}let df=this.isContextual(95),Nf=new E0,Xf=this.parseExpression(!0,Nf),Th=this.isContextual(102);if(Th&&(Gd&&this.raise(Nd.ForOfLet,Xf),Od===null&&df&&Xf.type==="Identifier"&&this.raise(Nd.ForOfAsync,Xf)),Th||this.match(58)){this.checkDestructuringPrivate(Nf),this.toAssignable(Xf,!0);let Ih=Th?"ForOfStatement":"ForInStatement";return this.checkLVal(Xf,{in:{type:Ih}}),this.parseForIn(jd,Xf,Od)}else this.checkExpressionErrors(Nf,!0);return Od!==null&&this.unexpected(Od),this.parseFor(jd,Xf)}parseFunctionStatement(jd,Od,Gd){return this.next(),this.parseFunction(jd,1|(Gd?2:0)|(Od?8:0))}parseIfStatement(jd){return this.next(),jd.test=this.parseHeaderExpression(),jd.consequent=this.parseStatementOrSloppyAnnexBFunctionDeclaration(),jd.alternate=this.eat(66)?this.parseStatementOrSloppyAnnexBFunctionDeclaration():null,this.finishNode(jd,"IfStatement")}parseReturnStatement(jd){return!this.prodParam.hasReturn&&!this.options.allowReturnOutsideFunction&&this.raise(Nd.IllegalReturn,this.state.startLoc),this.next(),this.isLineTerminator()?jd.argument=null:(jd.argument=this.parseExpression(),this.semicolon()),this.finishNode(jd,"ReturnStatement")}parseSwitchStatement(jd){this.next(),jd.discriminant=this.parseHeaderExpression();let Od=jd.cases=[];this.expect(5),this.state.labels.push(A1),this.scope.enter(0);let Gd;for(let df;!this.match(8);)if(this.match(61)||this.match(65)){let Nf=this.match(61);Gd&&this.finishNode(Gd,"SwitchCase"),Od.push(Gd=this.startNode()),Gd.consequent=[],this.next(),Nf?Gd.test=this.parseExpression():(df&&this.raise(Nd.MultipleDefaultsInSwitch,this.state.lastTokStartLoc),df=!0,Gd.test=null),this.expect(14)}else Gd?Gd.consequent.push(this.parseStatementListItem()):this.unexpected();return this.scope.exit(),Gd&&this.finishNode(Gd,"SwitchCase"),this.next(),this.state.labels.pop(),this.finishNode(jd,"SwitchStatement")}parseThrowStatement(jd){return this.next(),this.hasPrecedingLineBreak()&&this.raise(Nd.NewlineAfterThrow,this.state.lastTokEndLoc),jd.argument=this.parseExpression(),this.semicolon(),this.finishNode(jd,"ThrowStatement")}parseCatchClauseParam(){let jd=this.parseBindingAtom();return this.scope.enter(this.options.annexB&&jd.type==="Identifier"?8:0),this.checkLVal(jd,{in:{type:"CatchClause"},binding:9}),jd}parseTryStatement(jd){if(this.next(),jd.block=this.parseBlock(),jd.handler=null,this.match(62)){let Od=this.startNode();this.next(),this.match(10)?(this.expect(10),Od.param=this.parseCatchClauseParam(),this.expect(11)):(Od.param=null,this.scope.enter(0)),Od.body=this.withSmartMixTopicForbiddingContext(()=>this.parseBlock(!1,!1)),this.scope.exit(),jd.handler=this.finishNode(Od,"CatchClause")}return jd.finalizer=this.eat(67)?this.parseBlock():null,!jd.handler&&!jd.finalizer&&this.raise(Nd.NoCatchOrFinally,jd),this.finishNode(jd,"TryStatement")}parseVarStatement(jd,Od,Gd=!1){return this.next(),this.parseVar(jd,!1,Od,Gd),this.semicolon(),this.finishNode(jd,"VariableDeclaration")}parseWhileStatement(jd){return this.next(),jd.test=this.parseHeaderExpression(),this.state.labels.push(d1),jd.body=this.withSmartMixTopicForbiddingContext(()=>this.parseStatement()),this.state.labels.pop(),this.finishNode(jd,"WhileStatement")}parseWithStatement(jd){return this.state.strict&&this.raise(Nd.StrictWith,this.state.startLoc),this.next(),jd.object=this.parseHeaderExpression(),jd.body=this.withSmartMixTopicForbiddingContext(()=>this.parseStatement()),this.finishNode(jd,"WithStatement")}parseEmptyStatement(jd){return this.next(),this.finishNode(jd,"EmptyStatement")}parseLabeledStatement(jd,Od,Gd,df){for(let Xf of this.state.labels)Xf.name===Od&&this.raise(Nd.LabelRedeclaration,Gd,{labelName:Od});let Nf=Tf(this.state.type)?1:this.match(71)?2:null;for(let Xf=this.state.labels.length-1;Xf>=0;Xf--){let Th=this.state.labels[Xf];if(Th.statementStart===jd.start)Th.statementStart=this.state.start,Th.kind=Nf;else break}return this.state.labels.push({name:Od,kind:Nf,statementStart:this.state.start}),jd.body=df&8?this.parseStatementOrSloppyAnnexBFunctionDeclaration(!0):this.parseStatement(),this.state.labels.pop(),jd.label=Gd,this.finishNode(jd,"LabeledStatement")}parseExpressionStatement(jd,Od,Gd){return jd.expression=Od,this.semicolon(),this.finishNode(jd,"ExpressionStatement")}parseBlock(jd=!1,Od=!0,Gd){let df=this.startNode();return jd&&this.state.strictErrors.clear(),this.expect(5),Od&&this.scope.enter(0),this.parseBlockBody(df,jd,!1,8,Gd),Od&&this.scope.exit(),this.finishNode(df,"BlockStatement")}isValidDirective(jd){return jd.type==="ExpressionStatement"&&jd.expression.type==="StringLiteral"&&!jd.expression.extra.parenthesized}parseBlockBody(jd,Od,Gd,df,Nf){let Xf=jd.body=[],Th=jd.directives=[];this.parseBlockOrModuleBlockBody(Xf,Od?Th:void 0,Gd,df,Nf)}parseBlockOrModuleBlockBody(jd,Od,Gd,df,Nf){let Xf=this.state.strict,Th=!1,Ih=!1;for(;!this.match(df);){let zh=Gd?this.parseModuleItem():this.parseStatementListItem();if(Od&&!Ih){if(this.isValidDirective(zh)){let Uh=this.stmtToDirective(zh);Od.push(Uh),!Th&&Uh.value.value==="use strict"&&(Th=!0,this.setStrict(!0));continue}Ih=!0,this.state.strictErrors.clear()}jd.push(zh)}Nf==null||Nf.call(this,Th),Xf||this.setStrict(!1),this.next()}parseFor(jd,Od){return jd.init=Od,this.semicolon(!1),jd.test=this.match(13)?null:this.parseExpression(),this.semicolon(!1),jd.update=this.match(11)?null:this.parseExpression(),this.expect(11),jd.body=this.withSmartMixTopicForbiddingContext(()=>this.parseStatement()),this.scope.exit(),this.state.labels.pop(),this.finishNode(jd,"ForStatement")}parseForIn(jd,Od,Gd){let df=this.match(58);return this.next(),df?Gd!==null&&this.unexpected(Gd):jd.await=Gd!==null,Od.type==="VariableDeclaration"&&Od.declarations[0].init!=null&&(!df||!this.options.annexB||this.state.strict||Od.kind!=="var"||Od.declarations[0].id.type!=="Identifier")&&this.raise(Nd.ForInOfLoopInitializer,Od,{type:df?"ForInStatement":"ForOfStatement"}),Od.type==="AssignmentPattern"&&this.raise(Nd.InvalidLhs,Od,{ancestor:{type:"ForStatement"}}),jd.left=Od,jd.right=df?this.parseExpression():this.parseMaybeAssignAllowIn(),this.expect(11),jd.body=this.withSmartMixTopicForbiddingContext(()=>this.parseStatement()),this.scope.exit(),this.state.labels.pop(),this.finishNode(jd,df?"ForInStatement":"ForOfStatement")}parseVar(jd,Od,Gd,df=!1){let Nf=jd.declarations=[];for(jd.kind=Gd;;){let Xf=this.startNode();if(this.parseVarId(Xf,Gd),Xf.init=this.eat(29)?Od?this.parseMaybeAssignDisallowIn():this.parseMaybeAssignAllowIn():null,Xf.init===null&&!df&&(Xf.id.type!=="Identifier"&&!(Od&&(this.match(58)||this.isContextual(102)))?this.raise(Nd.DeclarationMissingInitializer,this.state.lastTokEndLoc,{kind:"destructuring"}):(Gd==="const"||Gd==="using"||Gd==="await using")&&!(this.match(58)||this.isContextual(102))&&this.raise(Nd.DeclarationMissingInitializer,this.state.lastTokEndLoc,{kind:Gd})),Nf.push(this.finishNode(Xf,"VariableDeclarator")),!this.eat(12))break}return jd}parseVarId(jd,Od){let Gd=this.parseBindingAtom();(Od==="using"||Od==="await using")&&(Gd.type==="ArrayPattern"||Gd.type==="ObjectPattern")&&this.raise(Nd.UsingDeclarationHasBindingPattern,Gd.loc.start),this.checkLVal(Gd,{in:{type:"VariableDeclarator"},binding:Od==="var"?5:8201}),jd.id=Gd}parseAsyncFunctionExpression(jd){return this.parseFunction(jd,8)}parseFunction(jd,Od=0){let Gd=Od&2,df=!!(Od&1),Nf=df&&!(Od&4),Xf=!!(Od&8);this.initFunction(jd,Xf),this.match(55)&&(Gd&&this.raise(Nd.GeneratorInSingleStatementContext,this.state.startLoc),this.next(),jd.generator=!0),df&&(jd.id=this.parseFunctionId(Nf));let Th=this.state.maybeInArrowParameters;return this.state.maybeInArrowParameters=!1,this.scope.enter(2),this.prodParam.enter(U0(Xf,jd.generator)),df||(jd.id=this.parseFunctionId()),this.parseFunctionParams(jd,!1),this.withSmartMixTopicForbiddingContext(()=>{this.parseFunctionBodyAndFinish(jd,df?"FunctionDeclaration":"FunctionExpression")}),this.prodParam.exit(),this.scope.exit(),df&&!Gd&&this.registerFunctionStatementId(jd),this.state.maybeInArrowParameters=Th,jd}parseFunctionId(jd){return jd||$f(this.state.type)?this.parseIdentifier():null}parseFunctionParams(jd,Od){this.expect(10),this.expressionScope.enter(r0()),jd.params=this.parseBindingList(11,41,2|(Od?4:0)),this.expressionScope.exit()}registerFunctionStatementId(jd){jd.id&&this.scope.declareName(jd.id.name,!this.options.annexB||this.state.strict||jd.generator||jd.async?this.scope.treatFunctionsAsVar?5:8201:17,jd.id.loc.start)}parseClass(jd,Od,Gd){this.next();let df=this.state.strict;return this.state.strict=!0,this.parseClassId(jd,Od,Gd),this.parseClassSuper(jd),jd.body=this.parseClassBody(!!jd.superClass,df),this.finishNode(jd,Od?"ClassDeclaration":"ClassExpression")}isClassProperty(){return this.match(29)||this.match(13)||this.match(8)}isClassMethod(){return this.match(10)}nameIsConstructor(jd){return jd.type==="Identifier"&&jd.name==="constructor"||jd.type==="StringLiteral"&&jd.value==="constructor"}isNonstaticConstructor(jd){return!jd.computed&&!jd.static&&this.nameIsConstructor(jd.key)}parseClassBody(jd,Od){this.classScope.enter();let Gd={hadConstructor:!1,hadSuperClass:jd},df=[],Nf=this.startNode();if(Nf.body=[],this.expect(5),this.withSmartMixTopicForbiddingContext(()=>{for(;!this.match(8);){if(this.eat(13)){if(df.length>0)throw this.raise(Nd.DecoratorSemicolon,this.state.lastTokEndLoc);continue}if(this.match(26)){df.push(this.parseDecorator());continue}let Xf=this.startNode();df.length&&(Xf.decorators=df,this.resetStartLocationFromNode(Xf,df[0]),df=[]),this.parseClassMember(Nf,Xf,Gd),Xf.kind==="constructor"&&Xf.decorators&&Xf.decorators.length>0&&this.raise(Nd.DecoratorConstructor,Xf)}}),this.state.strict=Od,this.next(),df.length)throw this.raise(Nd.TrailingDecorator,this.state.startLoc);return this.classScope.exit(),this.finishNode(Nf,"ClassBody")}parseClassMemberFromModifier(jd,Od){let Gd=this.parseIdentifier(!0);if(this.isClassMethod()){let df=Od;return df.kind="method",df.computed=!1,df.key=Gd,df.static=!1,this.pushClassMethod(jd,df,!1,!1,!1,!1),!0}else if(this.isClassProperty()){let df=Od;return df.computed=!1,df.key=Gd,df.static=!1,jd.body.push(this.parseClassProperty(df)),!0}return this.resetPreviousNodeTrailingComments(Gd),!1}parseClassMember(jd,Od,Gd){let df=this.isContextual(106);if(df){if(this.parseClassMemberFromModifier(jd,Od))return;if(this.eat(5)){this.parseClassStaticBlock(jd,Od);return}}this.parseClassMemberWithIsStatic(jd,Od,Gd,df)}parseClassMemberWithIsStatic(jd,Od,Gd,df){let Nf=Od,Xf=Od,Th=Od,Ih=Od,zh=Od,Uh=Nf,Sm=Nf;if(Od.static=df,this.parsePropertyNamePrefixOperator(Od),this.eat(55)){Uh.kind="method";let P1=this.match(138);if(this.parseClassElementName(Uh),P1){this.pushClassPrivateMethod(jd,Xf,!0,!1);return}this.isNonstaticConstructor(Nf)&&this.raise(Nd.ConstructorIsGenerator,Nf.key),this.pushClassMethod(jd,Nf,!0,!1,!1,!1);return}let Wm=!this.state.containsEsc&&$f(this.state.type),Zm=this.parseClassElementName(Od),r1=Wm?Zm.name:null,C1=this.isPrivateName(Zm),f0=this.state.startLoc;if(this.parsePostMemberNameModifiers(Sm),this.isClassMethod()){if(Uh.kind="method",C1){this.pushClassPrivateMethod(jd,Xf,!1,!1);return}let P1=this.isNonstaticConstructor(Nf),Y1=!1;P1&&(Nf.kind="constructor",Gd.hadConstructor&&!this.hasPlugin("typescript")&&this.raise(Nd.DuplicateConstructor,Zm),P1&&this.hasPlugin("typescript")&&Od.override&&this.raise(Nd.OverrideOnConstructor,Zm),Gd.hadConstructor=!0,Y1=Gd.hadSuperClass),this.pushClassMethod(jd,Nf,!1,!1,P1,Y1)}else if(this.isClassProperty())C1?this.pushClassPrivateProperty(jd,Ih):this.pushClassProperty(jd,Th);else if(r1==="async"&&!this.isLineTerminator()){this.resetPreviousNodeTrailingComments(Zm);let P1=this.eat(55);Sm.optional&&this.unexpected(f0),Uh.kind="method";let Y1=this.match(138);this.parseClassElementName(Uh),this.parsePostMemberNameModifiers(Sm),Y1?this.pushClassPrivateMethod(jd,Xf,P1,!0):(this.isNonstaticConstructor(Nf)&&this.raise(Nd.ConstructorIsAsync,Nf.key),this.pushClassMethod(jd,Nf,P1,!0,!1,!1))}else if((r1==="get"||r1==="set")&&!(this.match(55)&&this.isLineTerminator())){this.resetPreviousNodeTrailingComments(Zm),Uh.kind=r1;let P1=this.match(138);this.parseClassElementName(Nf),P1?this.pushClassPrivateMethod(jd,Xf,!1,!1):(this.isNonstaticConstructor(Nf)&&this.raise(Nd.ConstructorIsAccessor,Nf.key),this.pushClassMethod(jd,Nf,!1,!1,!1,!1)),this.checkGetterSetterParams(Nf)}else if(r1==="accessor"&&!this.isLineTerminator()){this.expectPlugin("decoratorAutoAccessors"),this.resetPreviousNodeTrailingComments(Zm);let P1=this.match(138);this.parseClassElementName(Th),this.pushClassAccessorProperty(jd,zh,P1)}else this.isLineTerminator()?C1?this.pushClassPrivateProperty(jd,Ih):this.pushClassProperty(jd,Th):this.unexpected()}parseClassElementName(jd){let{type:Od,value:Gd}=this.state;if((Od===132||Od===133)&&jd.static&&Gd==="prototype"&&this.raise(Nd.StaticPrototype,this.state.startLoc),Od===138){Gd==="constructor"&&this.raise(Nd.ConstructorClassPrivateField,this.state.startLoc);let df=this.parsePrivateName();return jd.key=df,df}return this.parsePropertyName(jd),jd.key}parseClassStaticBlock(jd,Od){var Gd;this.scope.enter(208);let df=this.state.labels;this.state.labels=[],this.prodParam.enter(0);let Nf=Od.body=[];this.parseBlockOrModuleBlockBody(Nf,void 0,!1,8),this.prodParam.exit(),this.scope.exit(),this.state.labels=df,jd.body.push(this.finishNode(Od,"StaticBlock")),(Gd=Od.decorators)!=null&&Gd.length&&this.raise(Nd.DecoratorStaticBlock,Od)}pushClassProperty(jd,Od){!Od.computed&&this.nameIsConstructor(Od.key)&&this.raise(Nd.ConstructorClassField,Od.key),jd.body.push(this.parseClassProperty(Od))}pushClassPrivateProperty(jd,Od){let Gd=this.parseClassPrivateProperty(Od);jd.body.push(Gd),this.classScope.declarePrivateName(this.getPrivateNameSV(Gd.key),0,Gd.key.loc.start)}pushClassAccessorProperty(jd,Od,Gd){!Gd&&!Od.computed&&this.nameIsConstructor(Od.key)&&this.raise(Nd.ConstructorClassField,Od.key);let df=this.parseClassAccessorProperty(Od);jd.body.push(df),Gd&&this.classScope.declarePrivateName(this.getPrivateNameSV(df.key),0,df.key.loc.start)}pushClassMethod(jd,Od,Gd,df,Nf,Xf){jd.body.push(this.parseMethod(Od,Gd,df,Nf,Xf,"ClassMethod",!0))}pushClassPrivateMethod(jd,Od,Gd,df){let Nf=this.parseMethod(Od,Gd,df,!1,!1,"ClassPrivateMethod",!0);jd.body.push(Nf);let Xf=Nf.kind==="get"?Nf.static?6:2:Nf.kind==="set"?Nf.static?5:1:0;this.declareClassPrivateMethodInScope(Nf,Xf)}declareClassPrivateMethodInScope(jd,Od){this.classScope.declarePrivateName(this.getPrivateNameSV(jd.key),Od,jd.key.loc.start)}parsePostMemberNameModifiers(jd){}parseClassPrivateProperty(jd){return this.parseInitializer(jd),this.semicolon(),this.finishNode(jd,"ClassPrivateProperty")}parseClassProperty(jd){return this.parseInitializer(jd),this.semicolon(),this.finishNode(jd,"ClassProperty")}parseClassAccessorProperty(jd){return this.parseInitializer(jd),this.semicolon(),this.finishNode(jd,"ClassAccessorProperty")}parseInitializer(jd){this.scope.enter(80),this.expressionScope.enter(g0()),this.prodParam.enter(0),jd.value=this.eat(29)?this.parseMaybeAssignAllowIn():null,this.expressionScope.exit(),this.prodParam.exit(),this.scope.exit()}parseClassId(jd,Od,Gd,df=8331){if($f(this.state.type))jd.id=this.parseIdentifier(),Od&&this.declareNameFromIdentifier(jd.id,df);else if(Gd||!Od)jd.id=null;else throw this.raise(Nd.MissingClassName,this.state.startLoc)}parseClassSuper(jd){jd.superClass=this.eat(81)?this.parseExprSubscripts():null}parseExport(jd,Od){let Gd=this.parseMaybeImportPhase(jd,!0),df=this.maybeParseExportDefaultSpecifier(jd,Gd),Nf=!df||this.eat(12),Xf=Nf&&this.eatExportStar(jd),Th=Xf&&this.maybeParseExportNamespaceSpecifier(jd),Ih=Nf&&(!Th||this.eat(12)),zh=df||Xf;if(Xf&&!Th){if(df&&this.unexpected(),Od)throw this.raise(Nd.UnsupportedDecoratorExport,jd);return this.parseExportFrom(jd,!0),this.finishNode(jd,"ExportAllDeclaration")}let Uh=this.maybeParseExportNamedSpecifiers(jd);df&&Nf&&!Xf&&!Uh&&this.unexpected(null,5),Th&&Ih&&this.unexpected(null,98);let Sm;if(zh||Uh){if(Sm=!1,Od)throw this.raise(Nd.UnsupportedDecoratorExport,jd);this.parseExportFrom(jd,zh)}else Sm=this.maybeParseExportDeclaration(jd);if(zh||Uh||Sm){var Wm;let Zm=jd;if(this.checkExport(Zm,!0,!1,!!Zm.source),((Wm=Zm.declaration)==null?void 0:Wm.type)==="ClassDeclaration")this.maybeTakeDecorators(Od,Zm.declaration,Zm);else if(Od)throw this.raise(Nd.UnsupportedDecoratorExport,jd);return this.finishNode(Zm,"ExportNamedDeclaration")}if(this.eat(65)){let Zm=jd,r1=this.parseExportDefaultExpression();if(Zm.declaration=r1,r1.type==="ClassDeclaration")this.maybeTakeDecorators(Od,r1,Zm);else if(Od)throw this.raise(Nd.UnsupportedDecoratorExport,jd);return this.checkExport(Zm,!0,!0),this.finishNode(Zm,"ExportDefaultDeclaration")}this.unexpected(null,5)}eatExportStar(jd){return this.eat(55)}maybeParseExportDefaultSpecifier(jd,Od){if(Od||this.isExportDefaultSpecifier()){this.expectPlugin("exportDefaultFrom",Od==null?void 0:Od.loc.start);let Gd=Od||this.parseIdentifier(!0),df=this.startNodeAtNode(Gd);return df.exported=Gd,jd.specifiers=[this.finishNode(df,"ExportDefaultSpecifier")],!0}return!1}maybeParseExportNamespaceSpecifier(jd){if(this.isContextual(93)){var Od;(Od=jd).specifiers!=null||(Od.specifiers=[]);let Gd=this.startNodeAt(this.state.lastTokStartLoc);return this.next(),Gd.exported=this.parseModuleExportName(),jd.specifiers.push(this.finishNode(Gd,"ExportNamespaceSpecifier")),!0}return!1}maybeParseExportNamedSpecifiers(jd){if(this.match(5)){let Od=jd;Od.specifiers||(Od.specifiers=[]);let Gd=Od.exportKind==="type";return Od.specifiers.push(...this.parseExportSpecifiers(Gd)),Od.source=null,Od.declaration=null,this.hasPlugin("importAssertions")&&(Od.assertions=[]),!0}return!1}maybeParseExportDeclaration(jd){return this.shouldParseExportDeclaration()?(jd.specifiers=[],jd.source=null,this.hasPlugin("importAssertions")&&(jd.assertions=[]),jd.declaration=this.parseExportDeclaration(jd),!0):!1}isAsyncFunction(){if(!this.isContextual(95))return!1;let jd=this.nextTokenInLineStart();return this.isUnparsedContextual(jd,"function")}parseExportDefaultExpression(){let jd=this.startNode();if(this.match(68))return this.next(),this.parseFunction(jd,5);if(this.isAsyncFunction())return this.next(),this.next(),this.parseFunction(jd,13);if(this.match(80))return this.parseClass(jd,!0,!0);if(this.match(26))return this.hasPlugin("decorators")&&this.getPluginOption("decorators","decoratorsBeforeExport")===!0&&this.raise(Nd.DecoratorBeforeExport,this.state.startLoc),this.parseClass(this.maybeTakeDecorators(this.parseDecorators(!1),this.startNode()),!0,!0);if(this.match(75)||this.match(74)||this.isLet())throw this.raise(Nd.UnsupportedDefaultExport,this.state.startLoc);let Od=this.parseMaybeAssignAllowIn();return this.semicolon(),Od}parseExportDeclaration(jd){return this.match(80)?this.parseClass(this.startNode(),!0,!1):this.parseStatementListItem()}isExportDefaultSpecifier(){let{type:jd}=this.state;if($f(jd)){if(jd===95&&!this.state.containsEsc||jd===100)return!1;if((jd===130||jd===129)&&!this.state.containsEsc){let{type:df}=this.lookahead();if($f(df)&&df!==98||df===5)return this.expectOnePlugin(["flow","typescript"]),!1}}else if(!this.match(65))return!1;let Od=this.nextTokenStart(),Gd=this.isUnparsedContextual(Od,"from");if(this.input.charCodeAt(Od)===44||$f(this.state.type)&&Gd)return!0;if(this.match(65)&&Gd){let df=this.input.charCodeAt(this.nextTokenStartSince(Od+4));return df===34||df===39}return!1}parseExportFrom(jd,Od){this.eatContextual(98)?(jd.source=this.parseImportSource(),this.checkExport(jd),this.maybeParseImportAttributes(jd),this.checkJSONModuleImport(jd)):Od&&this.unexpected(),this.semicolon()}shouldParseExportDeclaration(){let{type:jd}=this.state;return jd===26&&(this.expectOnePlugin(["decorators","decorators-legacy"]),this.hasPlugin("decorators"))?(this.getPluginOption("decorators","decoratorsBeforeExport")===!0&&this.raise(Nd.DecoratorBeforeExport,this.state.startLoc),!0):this.isContextual(107)?(this.raise(Nd.UsingDeclarationExport,this.state.startLoc),!0):this.isContextual(96)&&this.startsAwaitUsing()?(this.raise(Nd.UsingDeclarationExport,this.state.startLoc),!0):jd===74||jd===75||jd===68||jd===80||this.isLet()||this.isAsyncFunction()}checkExport(jd,Od,Gd,df){if(Od){var Nf;if(Gd){if(this.checkDuplicateExports(jd,"default"),this.hasPlugin("exportDefaultFrom")){var Xf;let Th=jd.declaration;Th.type==="Identifier"&&Th.name==="from"&&Th.end-Th.start===4&&!((Xf=Th.extra)!=null&&Xf.parenthesized)&&this.raise(Nd.ExportDefaultFromAsIdentifier,Th)}}else if((Nf=jd.specifiers)!=null&&Nf.length)for(let Th of jd.specifiers){let{exported:Ih}=Th,zh=Ih.type==="Identifier"?Ih.name:Ih.value;if(this.checkDuplicateExports(Th,zh),!df&&Th.local){let{local:Uh}=Th;Uh.type!=="Identifier"?this.raise(Nd.ExportBindingIsString,Th,{localName:Uh.value,exportName:zh}):(this.checkReservedWord(Uh.name,Uh.loc.start,!0,!1),this.scope.checkLocalExport(Uh))}}else if(jd.declaration){let Th=jd.declaration;if(Th.type==="FunctionDeclaration"||Th.type==="ClassDeclaration"){let{id:Ih}=Th;if(!Ih)throw new Error("Assertion failure");this.checkDuplicateExports(jd,Ih.name)}else if(Th.type==="VariableDeclaration")for(let Ih of Th.declarations)this.checkDeclaration(Ih.id)}}}checkDeclaration(jd){if(jd.type==="Identifier")this.checkDuplicateExports(jd,jd.name);else if(jd.type==="ObjectPattern")for(let Od of jd.properties)this.checkDeclaration(Od);else if(jd.type==="ArrayPattern")for(let Od of jd.elements)Od&&this.checkDeclaration(Od);else jd.type==="ObjectProperty"?this.checkDeclaration(jd.value):jd.type==="RestElement"?this.checkDeclaration(jd.argument):jd.type==="AssignmentPattern"&&this.checkDeclaration(jd.left)}checkDuplicateExports(jd,Od){this.exportedIdentifiers.has(Od)&&(Od==="default"?this.raise(Nd.DuplicateDefaultExport,jd):this.raise(Nd.DuplicateExport,jd,{exportName:Od})),this.exportedIdentifiers.add(Od)}parseExportSpecifiers(jd){let Od=[],Gd=!0;for(this.expect(5);!this.eat(8);){if(Gd)Gd=!1;else if(this.expect(12),this.eat(8))break;let df=this.isContextual(130),Nf=this.match(133),Xf=this.startNode();Xf.local=this.parseModuleExportName(),Od.push(this.parseExportSpecifier(Xf,Nf,jd,df))}return Od}parseExportSpecifier(jd,Od,Gd,df){return this.eatContextual(93)?jd.exported=this.parseModuleExportName():Od?jd.exported=t_(jd.local):jd.exported||(jd.exported=T0(jd.local)),this.finishNode(jd,"ExportSpecifier")}parseModuleExportName(){if(this.match(133)){let jd=this.parseStringLiteral(this.state.value),Od=S0.exec(jd.value);return Od&&this.raise(Nd.ModuleExportNameHasLoneSurrogate,jd,{surrogateCharCode:Od[0].charCodeAt(0)}),jd}return this.parseIdentifier(!0)}isJSONModuleImport(jd){return jd.assertions!=null?jd.assertions.some(({key:Od,value:Gd})=>Gd.value==="json"&&(Od.type==="Identifier"?Od.name==="type":Od.value==="type")):!1}checkImportReflection(jd){let{specifiers:Od}=jd,Gd=Od.length===1?Od[0].type:null;if(jd.phase==="source")Gd!=="ImportDefaultSpecifier"&&this.raise(Nd.SourcePhaseImportRequiresDefault,Od[0].loc.start);else if(jd.phase==="defer")Gd!=="ImportNamespaceSpecifier"&&this.raise(Nd.DeferImportRequiresNamespace,Od[0].loc.start);else if(jd.module){var df;Gd!=="ImportDefaultSpecifier"&&this.raise(Nd.ImportReflectionNotBinding,Od[0].loc.start),((df=jd.assertions)==null?void 0:df.length)>0&&this.raise(Nd.ImportReflectionHasAssertion,Od[0].loc.start)}}checkJSONModuleImport(jd){if(this.isJSONModuleImport(jd)&&jd.type!=="ExportAllDeclaration"){let{specifiers:Od}=jd;if(Od!=null){let Gd=Od.find(df=>{let Nf;if(df.type==="ExportSpecifier"?Nf=df.local:df.type==="ImportSpecifier"&&(Nf=df.imported),Nf!==void 0)return Nf.type==="Identifier"?Nf.name!=="default":Nf.value!=="default"});Gd!==void 0&&this.raise(Nd.ImportJSONBindingNotDefault,Gd.loc.start)}}}isPotentialImportPhase(jd){return jd?!1:this.isContextual(105)||this.isContextual(97)||this.isContextual(127)}applyImportPhase(jd,Od,Gd,df){Od||(Gd==="module"?(this.expectPlugin("importReflection",df),jd.module=!0):this.hasPlugin("importReflection")&&(jd.module=!1),Gd==="source"?(this.expectPlugin("sourcePhaseImports",df),jd.phase="source"):Gd==="defer"?(this.expectPlugin("deferredImportEvaluation",df),jd.phase="defer"):this.hasPlugin("sourcePhaseImports")&&(jd.phase=null))}parseMaybeImportPhase(jd,Od){if(!this.isPotentialImportPhase(Od))return this.applyImportPhase(jd,Od,null),null;let Gd=this.parseIdentifier(!0),{type:df}=this.state;return(hh(df)?df!==98||this.lookaheadCharCode()===102:df!==12)?(this.resetPreviousIdentifierLeadingComments(Gd),this.applyImportPhase(jd,Od,Gd.name,Gd.loc.start),null):(this.applyImportPhase(jd,Od,null),Gd)}isPrecedingIdImportPhase(jd){let{type:Od}=this.state;return $f(Od)?Od!==98||this.lookaheadCharCode()===102:Od!==12}parseImport(jd){return this.match(133)?this.parseImportSourceAndAttributes(jd):this.parseImportSpecifiersAndAfter(jd,this.parseMaybeImportPhase(jd,!1))}parseImportSpecifiersAndAfter(jd,Od){jd.specifiers=[];let Gd=!this.maybeParseDefaultImportSpecifier(jd,Od)||this.eat(12),df=Gd&&this.maybeParseStarImportSpecifier(jd);return Gd&&!df&&this.parseNamedImportSpecifiers(jd),this.expectContextual(98),this.parseImportSourceAndAttributes(jd)}parseImportSourceAndAttributes(jd){return jd.specifiers!=null||(jd.specifiers=[]),jd.source=this.parseImportSource(),this.maybeParseImportAttributes(jd),this.checkImportReflection(jd),this.checkJSONModuleImport(jd),this.semicolon(),this.finishNode(jd,"ImportDeclaration")}parseImportSource(){return this.match(133)||this.unexpected(),this.parseExprAtom()}parseImportSpecifierLocal(jd,Od,Gd){Od.local=this.parseIdentifier(),jd.specifiers.push(this.finishImportSpecifier(Od,Gd))}finishImportSpecifier(jd,Od,Gd=8201){return this.checkLVal(jd.local,{in:{type:Od},binding:Gd}),this.finishNode(jd,Od)}parseImportAttributes(){this.expect(5);let jd=[],Od=new Set;do{if(this.match(8))break;let Gd=this.startNode(),df=this.state.value;if(Od.has(df)&&this.raise(Nd.ModuleAttributesWithDuplicateKeys,this.state.startLoc,{key:df}),Od.add(df),this.match(133)?Gd.key=this.parseStringLiteral(df):Gd.key=this.parseIdentifier(!0),this.expect(14),!this.match(133))throw this.raise(Nd.ModuleAttributeInvalidValue,this.state.startLoc);Gd.value=this.parseStringLiteral(this.state.value),jd.push(this.finishNode(Gd,"ImportAttribute"))}while(this.eat(12));return this.expect(8),jd}parseModuleAttributes(){let jd=[],Od=new Set;do{let Gd=this.startNode();if(Gd.key=this.parseIdentifier(!0),Gd.key.name!=="type"&&this.raise(Nd.ModuleAttributeDifferentFromType,Gd.key),Od.has(Gd.key.name)&&this.raise(Nd.ModuleAttributesWithDuplicateKeys,Gd.key,{key:Gd.key.name}),Od.add(Gd.key.name),this.expect(14),!this.match(133))throw this.raise(Nd.ModuleAttributeInvalidValue,this.state.startLoc);Gd.value=this.parseStringLiteral(this.state.value),jd.push(this.finishNode(Gd,"ImportAttribute"))}while(this.eat(12));return jd}maybeParseImportAttributes(jd){let Od,Gd=!1;if(this.match(76)){if(this.hasPrecedingLineBreak()&&this.lookaheadCharCode()===40)return;this.next(),this.hasPlugin("moduleAttributes")?Od=this.parseModuleAttributes():(this.expectImportAttributesPlugin(),Od=this.parseImportAttributes()),Gd=!0}else if(this.isContextual(94)&&!this.hasPrecedingLineBreak())this.hasPlugin("importAttributes")?(this.getPluginOption("importAttributes","deprecatedAssertSyntax")!==!0&&this.raise(Nd.ImportAttributesUseAssert,this.state.startLoc),this.addExtra(jd,"deprecatedAssertSyntax",!0)):this.expectOnePlugin(["importAttributes","importAssertions"]),this.next(),Od=this.parseImportAttributes();else if(this.hasPlugin("importAttributes")||this.hasPlugin("importAssertions"))Od=[];else if(this.hasPlugin("moduleAttributes"))Od=[];else return;!Gd&&this.hasPlugin("importAssertions")?jd.assertions=Od:jd.attributes=Od}maybeParseDefaultImportSpecifier(jd,Od){if(Od){let Gd=this.startNodeAtNode(Od);return Gd.local=Od,jd.specifiers.push(this.finishImportSpecifier(Gd,"ImportDefaultSpecifier")),!0}else if(hh(this.state.type))return this.parseImportSpecifierLocal(jd,this.startNode(),"ImportDefaultSpecifier"),!0;return!1}maybeParseStarImportSpecifier(jd){if(this.match(55)){let Od=this.startNode();return this.next(),this.expectContextual(93),this.parseImportSpecifierLocal(jd,Od,"ImportNamespaceSpecifier"),!0}return!1}parseNamedImportSpecifiers(jd){let Od=!0;for(this.expect(5);!this.eat(8);){if(Od)Od=!1;else{if(this.eat(14))throw this.raise(Nd.DestructureNamedImport,this.state.startLoc);if(this.expect(12),this.eat(8))break}let Gd=this.startNode(),df=this.match(133),Nf=this.isContextual(130);Gd.imported=this.parseModuleExportName();let Xf=this.parseImportSpecifier(Gd,df,jd.importKind==="type"||jd.importKind==="typeof",Nf,void 0);jd.specifiers.push(Xf)}}parseImportSpecifier(jd,Od,Gd,df,Nf){if(this.eatContextual(93))jd.local=this.parseIdentifier();else{let{imported:Xf}=jd;if(Od)throw this.raise(Nd.ImportBindingIsString,jd,{importName:Xf.value});this.checkReservedWord(Xf.name,jd.loc.start,!0,!0),jd.local||(jd.local=T0(Xf))}return this.finishImportSpecifier(jd,"ImportSpecifier",Nf)}isThisParam(jd){return jd.type==="Identifier"&&jd.name==="this"}},Tb=class extends Wg{constructor(jd,Od){jd=Bf(jd),super(jd,Od),this.options=jd,this.initializeScopes(),this.plugins=s0(this.options.plugins),this.filename=jd.sourceFilename}getScopeHandler(){return Z1}parse(){this.enterInitialScopes();let jd=this.startNode(),Od=this.startNode();return this.nextToken(),jd.errors=null,this.parseTopLevel(jd,Od),jd.errors=this.state.errors,jd.comments.length=this.state.commentsLen,jd}};function s0(jd){let Od=new Map;for(let Gd of jd){let[df,Nf]=Array.isArray(Gd)?Gd:[Gd,{}];Od.has(df)||Od.set(df,Nf||{})}return Od}function D_(jd,Od){var Gd;if(((Gd=Od)==null?void 0:Gd.sourceType)==="unambiguous"){Od=Object.assign({},Od);try{Od.sourceType="module";let df=v_(Od,jd),Nf=df.parse();if(df.sawUnambiguousESM)return Nf;if(df.ambiguousScriptDifferentAst)try{return Od.sourceType="script",v_(Od,jd).parse()}catch{}else Nf.program.sourceType="script";return Nf}catch(df){try{return Od.sourceType="script",v_(Od,jd).parse()}catch{}throw df}}else return v_(Od,jd).parse()}function Zb(jd,Od){let Gd=v_(Od,jd);return Gd.options.strictMode&&(Gd.state.strict=!0),Gd.getExpression()}function Av(jd){let Od={};for(let Gd of Object.keys(jd))Od[Gd]=sm(jd[Gd]);return Od}var Sg=Av(mf);function v_(jd,Od){let Gd=Tb;return jd!=null&&jd.plugins&&(i_(jd.plugins),Gd=Ry(jd.plugins)),new Gd(jd,Od)}var Nv={};function Ry(jd){let Od=Cf.filter(Nf=>e0(jd,Nf)),Gd=Od.join("/"),df=Nv[Gd];if(!df){df=Tb;for(let Nf of Od)df=V_[Nf](df);Nv[Gd]=df}return df}Ra.parse=D_,Ra.parseExpression=Zb,Ra.tokTypes=Sg}),kt$2={};Ws$1(kt$2,{parsers:()=>Qr$3});var _e$2=vt$1(At$3());function Me$3(Ra){return(qa,Ja,ed)=>{let td=!!(ed!=null&&ed.backwards);if(Ja===!1)return!1;let{length:rd}=qa,sd=Ja;for(;sd>=0&&sd<rd;){let od=qa.charAt(sd);if(Ra instanceof RegExp){if(!Ra.test(od))return sd}else if(!Ra.includes(od))return sd;td?sd--:sd++}return sd===-1||sd===rd?sd:!1}}var ls$2=Me$3(" "),cs$2=Me$3(/[^\n\r]/u);function dr$1(Ra,qa){if(qa===!1)return!1;if(Ra.charAt(qa)==="/"&&Ra.charAt(qa+1)==="*"){for(let Ja=qa+2;Ja<Ra.length;++Ja)if(Ra.charAt(Ja)==="*"&&Ra.charAt(Ja+1)==="/")return Ja+2}return qa}var ps$1=dr$1;function mr$1(Ra,qa,Ja){let ed=!!(Ja!=null&&Ja.backwards);if(qa===!1)return!1;let td=Ra.charAt(qa);if(ed){if(Ra.charAt(qa-1)==="\r"&&td===`
|
||
`)return qa-2;if(td===`
|
||
`||td==="\r"||td==="\u2028"||td==="\u2029")return qa-1}else{if(td==="\r"&&Ra.charAt(qa+1)===`
|
||
`)return qa+2;if(td===`
|
||
`||td==="\r"||td==="\u2028"||td==="\u2029")return qa+1}return qa}var us$2=mr$1;function yr$1(Ra,qa){return qa===!1?!1:Ra.charAt(qa)==="/"&&Ra.charAt(qa+1)==="/"?cs$2(Ra,qa):qa}var fs$1=yr$1;function xr$1(Ra,qa){let Ja=null,ed=qa;for(;ed!==Ja;)Ja=ed,ed=ls$2(Ra,ed),ed=ps$1(Ra,ed),ed=fs$1(Ra,ed),ed=us$2(Ra,ed);return ed}var ds$1=xr$1;function Pr$1(Ra){let qa=[];for(let Ja of Ra)try{return Ja()}catch(ed){qa.push(ed)}throw Object.assign(new Error("All combinations failed"),{errors:qa})}var ms$1=Pr$1;function gr$1(Ra){if(!Ra.startsWith("#!"))return"";let qa=Ra.indexOf(`
|
||
`);return qa===-1?Ra:Ra.slice(0,qa)}var Oe$2=gr$1,Tr$1=(Ra,qa,Ja)=>{if(!(Ra&&qa==null))return Array.isArray(qa)||typeof qa=="string"?qa[Ja<0?qa.length+Ja:Ja]:qa.at(Ja)},St$2=Tr$1;function br$1(Ra){return Array.isArray(Ra)&&Ra.length>0}var Pe$2=br$1;function D$1(Ra){var qa,Ja,ed;let td=((qa=Ra.range)==null?void 0:qa[0])??Ra.start,rd=(ed=((Ja=Ra.declaration)==null?void 0:Ja.decorators)??Ra.decorators)==null?void 0:ed[0];return rd?Math.min(D$1(rd),td):td}function B(Ra){var qa;return((qa=Ra.range)==null?void 0:qa[1])??Ra.end}function Ar$1(Ra){let qa=new Set(Ra);return Ja=>qa.has(Ja==null?void 0:Ja.type)}var ys$1=Ar$1,Sr$1=ys$1(["Block","CommentBlock","MultiLine"]),ge$2=Sr$1;function wr$1(Ra){let qa=`*${Ra.value}*`.split(`
|
||
`);return qa.length>1&&qa.every(Ja=>Ja.trimStart()[0]==="*")}var wt$1=wr$1;function Cr(Ra){return ge$2(Ra)&&Ra.value[0]==="*"&&/@(?:type|satisfies)\b/u.test(Ra.value)}var xs$1=Cr,Te$2=null;function be$3(Ra){if(Te$2!==null&&typeof Te$2.property){let qa=Te$2;return Te$2=be$3.prototype=null,qa}return Te$2=be$3.prototype=Ra??Object.create(null),new be$3}var Er$1=10;for(let Ra=0;Ra<=Er$1;Ra++)be$3();function Ct$2(Ra){return be$3(Ra)}function Ir$1(Ra,qa="type"){Ct$2(Ra);function Ja(ed){let td=ed[qa],rd=Ra[td];if(!Array.isArray(rd))throw Object.assign(new Error(`Missing visitor keys for '${td}'.`),{node:ed});return rd}return Ja}var Ps$1=Ir$1,gs$2={ArrayExpression:["elements"],AssignmentExpression:["left","right"],BinaryExpression:["left","right"],InterpreterDirective:[],Directive:["value"],DirectiveLiteral:[],BlockStatement:["directives","body"],BreakStatement:["label"],CallExpression:["callee","arguments","typeParameters","typeArguments"],CatchClause:["param","body"],ConditionalExpression:["test","consequent","alternate"],ContinueStatement:["label"],DebuggerStatement:[],DoWhileStatement:["test","body"],EmptyStatement:[],ExpressionStatement:["expression"],File:["program"],ForInStatement:["left","right","body"],ForStatement:["init","test","update","body"],FunctionDeclaration:["id","params","body","returnType","typeParameters","predicate"],FunctionExpression:["id","params","body","returnType","typeParameters"],Identifier:["typeAnnotation","decorators"],IfStatement:["test","consequent","alternate"],LabeledStatement:["label","body"],StringLiteral:[],NumericLiteral:[],NullLiteral:[],BooleanLiteral:[],RegExpLiteral:[],LogicalExpression:["left","right"],MemberExpression:["object","property"],NewExpression:["callee","arguments","typeParameters","typeArguments"],Program:["directives","body"],ObjectExpression:["properties"],ObjectMethod:["key","params","body","decorators","returnType","typeParameters"],ObjectProperty:["key","value","decorators"],RestElement:["argument","typeAnnotation","decorators"],ReturnStatement:["argument"],SequenceExpression:["expressions"],ParenthesizedExpression:["expression"],SwitchCase:["test","consequent"],SwitchStatement:["discriminant","cases"],ThisExpression:[],ThrowStatement:["argument"],TryStatement:["block","handler","finalizer"],UnaryExpression:["argument"],UpdateExpression:["argument"],VariableDeclaration:["declarations"],VariableDeclarator:["id","init"],WhileStatement:["test","body"],WithStatement:["object","body"],AssignmentPattern:["left","right","decorators","typeAnnotation"],ArrayPattern:["elements","typeAnnotation","decorators"],ArrowFunctionExpression:["params","body","returnType","typeParameters","predicate"],ClassBody:["body"],ClassExpression:["id","body","superClass","mixins","typeParameters","superTypeParameters","implements","decorators","superTypeArguments"],ClassDeclaration:["id","body","superClass","mixins","typeParameters","superTypeParameters","implements","decorators","superTypeArguments"],ExportAllDeclaration:["source","attributes","exported"],ExportDefaultDeclaration:["declaration"],ExportNamedDeclaration:["declaration","specifiers","source","attributes"],ExportSpecifier:["local","exported"],ForOfStatement:["left","right","body"],ImportDeclaration:["specifiers","source","attributes"],ImportDefaultSpecifier:["local"],ImportNamespaceSpecifier:["local"],ImportSpecifier:["local","imported"],ImportExpression:["source","options","attributes"],MetaProperty:["meta","property"],ClassMethod:["key","params","body","decorators","returnType","typeParameters"],ObjectPattern:["properties","typeAnnotation","decorators"],SpreadElement:["argument"],Super:[],TaggedTemplateExpression:["tag","quasi","typeParameters","typeArguments"],TemplateElement:[],TemplateLiteral:["quasis","expressions"],YieldExpression:["argument"],AwaitExpression:["argument"],Import:[],BigIntLiteral:[],ExportNamespaceSpecifier:["exported"],OptionalMemberExpression:["object","property"],OptionalCallExpression:["callee","arguments","typeParameters","typeArguments"],ClassProperty:["key","value","typeAnnotation","decorators","variance"],ClassAccessorProperty:["key","value","typeAnnotation","decorators"],ClassPrivateProperty:["key","value","decorators","typeAnnotation","variance"],ClassPrivateMethod:["key","params","body","decorators","returnType","typeParameters"],PrivateName:["id"],StaticBlock:["body"],AnyTypeAnnotation:[],ArrayTypeAnnotation:["elementType"],BooleanTypeAnnotation:[],BooleanLiteralTypeAnnotation:[],NullLiteralTypeAnnotation:[],ClassImplements:["id","typeParameters"],DeclareClass:["id","typeParameters","extends","mixins","implements","body"],DeclareFunction:["id","predicate"],DeclareInterface:["id","typeParameters","extends","body"],DeclareModule:["id","body"],DeclareModuleExports:["typeAnnotation"],DeclareTypeAlias:["id","typeParameters","right"],DeclareOpaqueType:["id","typeParameters","supertype"],DeclareVariable:["id"],DeclareExportDeclaration:["declaration","specifiers","source"],DeclareExportAllDeclaration:["source"],DeclaredPredicate:["value"],ExistsTypeAnnotation:[],FunctionTypeAnnotation:["typeParameters","params","rest","returnType","this"],FunctionTypeParam:["name","typeAnnotation"],GenericTypeAnnotation:["id","typeParameters"],InferredPredicate:[],InterfaceExtends:["id","typeParameters"],InterfaceDeclaration:["id","typeParameters","extends","body"],InterfaceTypeAnnotation:["extends","body"],IntersectionTypeAnnotation:["types"],MixedTypeAnnotation:[],EmptyTypeAnnotation:[],NullableTypeAnnotation:["typeAnnotation"],NumberLiteralTypeAnnotation:[],NumberTypeAnnotation:[],ObjectTypeAnnotation:["properties","indexers","callProperties","internalSlots"],ObjectTypeInternalSlot:["id","value"],ObjectTypeCallProperty:["value"],ObjectTypeIndexer:["id","key","value","variance"],ObjectTypeProperty:["key","value","variance"],ObjectTypeSpreadProperty:["argument"],OpaqueType:["id","typeParameters","supertype","impltype"],QualifiedTypeIdentifier:["id","qualification"],StringLiteralTypeAnnotation:[],StringTypeAnnotation:[],SymbolTypeAnnotation:[],ThisTypeAnnotation:[],TupleTypeAnnotation:["types","elementTypes"],TypeofTypeAnnotation:["argument","typeArguments"],TypeAlias:["id","typeParameters","right"],TypeAnnotation:["typeAnnotation"],TypeCastExpression:["expression","typeAnnotation"],TypeParameter:["bound","default","variance"],TypeParameterDeclaration:["params"],TypeParameterInstantiation:["params"],UnionTypeAnnotation:["types"],Variance:[],VoidTypeAnnotation:[],EnumDeclaration:["id","body"],EnumBooleanBody:["members"],EnumNumberBody:["members"],EnumStringBody:["members"],EnumSymbolBody:["members"],EnumBooleanMember:["id","init"],EnumNumberMember:["id","init"],EnumStringMember:["id","init"],EnumDefaultedMember:["id"],IndexedAccessType:["objectType","indexType"],OptionalIndexedAccessType:["objectType","indexType"],JSXAttribute:["name","value"],JSXClosingElement:["name"],JSXElement:["openingElement","children","closingElement"],JSXEmptyExpression:[],JSXExpressionContainer:["expression"],JSXSpreadChild:["expression"],JSXIdentifier:[],JSXMemberExpression:["object","property"],JSXNamespacedName:["namespace","name"],JSXOpeningElement:["name","attributes","typeArguments","typeParameters"],JSXSpreadAttribute:["argument"],JSXText:[],JSXFragment:["openingFragment","children","closingFragment"],JSXOpeningFragment:[],JSXClosingFragment:[],Noop:[],Placeholder:[],V8IntrinsicIdentifier:[],ArgumentPlaceholder:[],BindExpression:["object","callee"],ImportAttribute:["key","value"],Decorator:["expression"],DoExpression:["body"],ExportDefaultSpecifier:["exported"],RecordExpression:["properties"],TupleExpression:["elements"],DecimalLiteral:[],ModuleExpression:["body"],TopicReference:[],PipelineTopicExpression:["expression"],PipelineBareFunction:["callee"],PipelinePrimaryTopicReference:[],TSParameterProperty:["parameter","decorators"],TSDeclareFunction:["id","typeParameters","params","returnType","body"],TSDeclareMethod:["decorators","key","typeParameters","params","returnType"],TSQualifiedName:["left","right"],TSCallSignatureDeclaration:["typeParameters","parameters","typeAnnotation","params","returnType"],TSConstructSignatureDeclaration:["typeParameters","parameters","typeAnnotation","params","returnType"],TSPropertySignature:["key","typeAnnotation"],TSMethodSignature:["key","typeParameters","parameters","typeAnnotation","params","returnType"],TSIndexSignature:["parameters","typeAnnotation"],TSAnyKeyword:[],TSBooleanKeyword:[],TSBigIntKeyword:[],TSIntrinsicKeyword:[],TSNeverKeyword:[],TSNullKeyword:[],TSNumberKeyword:[],TSObjectKeyword:[],TSStringKeyword:[],TSSymbolKeyword:[],TSUndefinedKeyword:[],TSUnknownKeyword:[],TSVoidKeyword:[],TSThisType:[],TSFunctionType:["typeParameters","parameters","typeAnnotation","params","returnType"],TSConstructorType:["typeParameters","parameters","typeAnnotation","params","returnType"],TSTypeReference:["typeName","typeParameters","typeArguments"],TSTypePredicate:["parameterName","typeAnnotation"],TSTypeQuery:["exprName","typeParameters","typeArguments"],TSTypeLiteral:["members"],TSArrayType:["elementType"],TSTupleType:["elementTypes"],TSOptionalType:["typeAnnotation"],TSRestType:["typeAnnotation"],TSNamedTupleMember:["label","elementType"],TSUnionType:["types"],TSIntersectionType:["types"],TSConditionalType:["checkType","extendsType","trueType","falseType"],TSInferType:["typeParameter"],TSParenthesizedType:["typeAnnotation"],TSTypeOperator:["typeAnnotation"],TSIndexedAccessType:["objectType","indexType"],TSMappedType:["typeParameter","typeAnnotation","nameType"],TSLiteralType:["literal"],TSExpressionWithTypeArguments:["expression","typeParameters"],TSInterfaceDeclaration:["id","typeParameters","extends","body"],TSInterfaceBody:["body"],TSTypeAliasDeclaration:["id","typeParameters","typeAnnotation"],TSInstantiationExpression:["expression","typeParameters","typeArguments"],TSAsExpression:["expression","typeAnnotation"],TSSatisfiesExpression:["expression","typeAnnotation"],TSTypeAssertion:["typeAnnotation","expression"],TSEnumDeclaration:["id","members"],TSEnumMember:["id","initializer"],TSModuleDeclaration:["id","body"],TSModuleBlock:["body"],TSImportType:["argument","qualifier","typeParameters","typeArguments"],TSImportEqualsDeclaration:["id","moduleReference"],TSExternalModuleReference:["expression"],TSNonNullExpression:["expression"],TSExportAssignment:["expression"],TSNamespaceExportDeclaration:["id"],TSTypeAnnotation:["typeAnnotation"],TSTypeParameterInstantiation:["params"],TSTypeParameterDeclaration:["params"],TSTypeParameter:["constraint","default","name"],ChainExpression:["expression"],ExperimentalRestProperty:["argument"],ExperimentalSpreadProperty:["argument"],Literal:[],MethodDefinition:["decorators","key","value"],PrivateIdentifier:[],Property:["key","value"],PropertyDefinition:["decorators","key","typeAnnotation","value","variance"],AccessorProperty:["decorators","key","typeAnnotation","value"],TSAbstractAccessorProperty:["decorators","key","typeAnnotation"],TSAbstractKeyword:[],TSAbstractMethodDefinition:["key","value"],TSAbstractPropertyDefinition:["decorators","key","typeAnnotation"],TSAsyncKeyword:[],TSClassImplements:["expression","typeArguments","typeParameters"],TSDeclareKeyword:[],TSEmptyBodyFunctionExpression:["id","typeParameters","params","returnType"],TSEnumBody:["members"],TSExportKeyword:[],TSInterfaceHeritage:["expression","typeArguments","typeParameters"],TSPrivateKeyword:[],TSProtectedKeyword:[],TSPublicKeyword:[],TSReadonlyKeyword:[],TSStaticKeyword:[],TSTemplateLiteralType:["quasis","types"],AsConstExpression:["expression"],AsExpression:["expression","typeAnnotation"],BigIntLiteralTypeAnnotation:[],BigIntTypeAnnotation:[],ComponentDeclaration:["id","params","body","typeParameters","rendersType"],ComponentParameter:["name","local"],ComponentTypeAnnotation:["params","rest","typeParameters","rendersType"],ComponentTypeParameter:["name","typeAnnotation"],ConditionalTypeAnnotation:["checkType","extendsType","trueType","falseType"],DeclareComponent:["id","params","rest","typeParameters","rendersType"],DeclareEnum:["id","body"],DeclareHook:["id"],DeclareNamespace:["id","body"],EnumBigIntBody:["members"],EnumBigIntMember:["id","init"],HookDeclaration:["id","params","body","typeParameters","returnType"],HookTypeAnnotation:["params","returnType","rest","typeParameters"],InferTypeAnnotation:["typeParameter"],KeyofTypeAnnotation:["argument"],ObjectTypeMappedTypeProperty:["keyTparam","propType","sourceType","variance"],QualifiedTypeofIdentifier:["qualification","id"],TupleTypeLabeledElement:["label","elementType","variance"],TupleTypeSpreadElement:["label","typeAnnotation"],TypeOperator:["typeAnnotation"],TypePredicate:["parameterName","typeAnnotation","asserts"],NGRoot:["node"],NGPipeExpression:["left","right","arguments"],NGChainedExpression:["expressions"],NGEmptyExpression:[],NGMicrosyntax:["body"],NGMicrosyntaxKey:[],NGMicrosyntaxExpression:["expression","alias"],NGMicrosyntaxKeyedExpression:["key","expression"],NGMicrosyntaxLet:["key","value"],NGMicrosyntaxAs:["key","alias"],JsExpressionRoot:["node"],JsonRoot:["node"],TSJSDocAllType:[],TSJSDocUnknownType:[],TSJSDocNullableType:["typeAnnotation"],TSJSDocNonNullableType:["typeAnnotation"],NeverTypeAnnotation:[],UndefinedTypeAnnotation:[],UnknownTypeAnnotation:[],SatisfiesExpression:["expression","typeAnnotation"]},Nr$1=Ps$1(gs$2),Ts$1=Nr$1;function Et$2(Ra,qa){if(!(Ra!==null&&typeof Ra=="object"))return Ra;if(Array.isArray(Ra)){for(let ed=0;ed<Ra.length;ed++)Ra[ed]=Et$2(Ra[ed],qa);return Ra}let Ja=Ts$1(Ra);for(let ed=0;ed<Ja.length;ed++)Ra[Ja[ed]]=Et$2(Ra[Ja[ed]],qa);return qa(Ra)||Ra}var Fe$1=Et$2;function kr$1(Ra,qa){let{parser:Ja,text:ed}=qa;if(Ra.type==="File"&&Ra.program.interpreter){let{program:{interpreter:td},comments:rd}=Ra;delete Ra.program.interpreter,rd.unshift(td)}if(Ja==="babel"){let td=new Set;Ra=Fe$1(Ra,rd=>{var sd;(sd=rd.leadingComments)!=null&&sd.some(xs$1)&&td.add(D$1(rd))}),Ra=Fe$1(Ra,rd=>{if(rd.type==="ParenthesizedExpression"){let{expression:sd}=rd;if(sd.type==="TypeCastExpression")return sd.range=[...rd.range],sd;let od=D$1(rd);if(!td.has(od))return sd.extra={...sd.extra,parenthesized:!0},sd}})}if(Ra=Fe$1(Ra,td=>{var rd;switch(td.type){case"LogicalExpression":if(bs$1(td))return It$2(td);break;case"VariableDeclaration":{let sd=St$2(!1,td.declarations,-1);sd!=null&&sd.init&&ed[B(sd)]!==";"&&(td.range=[D$1(td),B(sd)]);break}case"TSParenthesizedType":return td.typeAnnotation;case"TSTypeParameter":if(typeof td.name=="string"){let sd=D$1(td);td.name={type:"Identifier",name:td.name,range:[sd,sd+td.name.length]}}break;case"TopicReference":Ra.extra={...Ra.extra,__isUsingHackPipeline:!0};break;case"ExportAllDeclaration":if(Ja==="meriyah"&&((rd=td.exported)==null?void 0:rd.type)==="Identifier"){let{exported:sd}=td,od=ed.slice(D$1(sd),B(sd));(od.startsWith('"')||od.startsWith("'"))&&(td.exported={...td.exported,type:"Literal",value:td.exported.name,raw:od})}break;case"TSUnionType":case"TSIntersectionType":if(td.types.length===1)return td.types[0];break}}),Pe$2(Ra.comments)){let td=St$2(!1,Ra.comments,-1);for(let rd=Ra.comments.length-2;rd>=0;rd--){let sd=Ra.comments[rd];B(sd)===D$1(td)&&ge$2(sd)&&ge$2(td)&&wt$1(sd)&&wt$1(td)&&(Ra.comments.splice(rd+1,1),sd.value+="*//*"+td.value,sd.range=[D$1(sd),B(td)]),td=sd}}return Ra.type==="Program"&&(Ra.range=[0,ed.length]),Ra}function bs$1(Ra){return Ra.type==="LogicalExpression"&&Ra.right.type==="LogicalExpression"&&Ra.operator===Ra.right.operator}function It$2(Ra){return bs$1(Ra)?It$2({type:"LogicalExpression",operator:Ra.operator,left:It$2({type:"LogicalExpression",operator:Ra.operator,left:Ra.left,right:Ra.right.left,range:[D$1(Ra.left),B(Ra.right.left)]}),right:Ra.right.right,range:[D$1(Ra),B(Ra)]}):Ra}var As$2=kr$1;function vr$1(Ra,qa){let Ja=new SyntaxError(Ra+" ("+qa.loc.start.line+":"+qa.loc.start.column+")");return Object.assign(Ja,qa)}var Be$2=vr$1;function Lr$1(Ra){let{message:qa,loc:{line:Ja,column:ed},reasonCode:td}=Ra,rd=Ra;(td==="MissingPlugin"||td==="MissingOneOfPlugins")&&(qa="Unexpected token.",rd=void 0);let sd=` (${Ja}:${ed})`;return qa.endsWith(sd)&&(qa=qa.slice(0,-sd.length)),Be$2(qa,{loc:{start:{line:Ja,column:ed+1}},cause:rd})}var Re$3=Lr$1,Dr$1=(Ra,qa,Ja,ed)=>{if(!(Ra&&qa==null))return qa.replaceAll?qa.replaceAll(Ja,ed):Ja.global?qa.replace(Ja,ed):qa.split(Ja).join(ed)},ie$2=Dr$1,Mr$2=/\*\/$/,Or$1=/^\/\*\*?/,Fr$1=/^\s*(\/\*\*?(.|\r?\n)*?\*\/)/,Br$2=/(^|\s+)\/\/([^\n\r]*)/g,Ss$1=/^(\r?\n)+/,Rr$1=/(?:^|\r?\n) *(@[^\n\r]*?) *\r?\n *(?![^\n\r@]*\/\/[^]*)([^\s@][^\n\r@]+?) *\r?\n/g,ws$2=/(?:^|\r?\n) *@(\S+) *([^\n\r]*)/g,Ur$2=/(\r?\n|^) *\* ?/g,_r$1=[];function Cs$1(Ra){let qa=Ra.match(Fr$1);return qa?qa[0].trimStart():""}function Es$1(Ra){let qa=`
|
||
`;Ra=ie$2(!1,Ra.replace(Or$1,"").replace(Mr$2,""),Ur$2,"$1");let Ja="";for(;Ja!==Ra;)Ja=Ra,Ra=ie$2(!1,Ra,Rr$1,`${qa}$1 $2${qa}`);Ra=Ra.replace(Ss$1,"").trimEnd();let ed=Object.create(null),td=ie$2(!1,Ra,ws$2,"").replace(Ss$1,"").trimEnd(),rd;for(;rd=ws$2.exec(Ra);){let sd=ie$2(!1,rd[2],Br$2,"");if(typeof ed[rd[1]]=="string"||Array.isArray(ed[rd[1]])){let od=ed[rd[1]];ed[rd[1]]=[..._r$1,...Array.isArray(od)?od:[od],sd]}else ed[rd[1]]=sd}return{comments:td,pragmas:ed}}function jr$2(Ra){let qa=Oe$2(Ra);qa&&(Ra=Ra.slice(qa.length+1));let Ja=Cs$1(Ra),{pragmas:ed,comments:td}=Es$1(Ja);return{shebang:qa,text:Ra,pragmas:ed,comments:td}}function Is$2(Ra){let{pragmas:qa}=jr$2(Ra);return Object.prototype.hasOwnProperty.call(qa,"prettier")||Object.prototype.hasOwnProperty.call(qa,"format")}function $r$2(Ra){return Ra=typeof Ra=="function"?{parse:Ra}:Ra,{astFormat:"estree",hasPragma:Is$2,locStart:D$1,locEnd:B,...Ra}}var G$1=$r$2;function Vr$2(Ra){let{filepath:qa}=Ra;if(qa){if(qa=qa.toLowerCase(),qa.endsWith(".cjs"))return"script";if(qa.endsWith(".mjs"))return"module"}}var Ns$1=Vr$2;function qr$1(Ra,qa){let{type:Ja="JsExpressionRoot",rootMarker:ed,text:td}=qa,{tokens:rd,comments:sd}=Ra;return delete Ra.tokens,delete Ra.comments,{tokens:rd,comments:sd,type:Ja,node:Ra,range:[0,td.length],rootMarker:ed}}var Ue$2=qr$1,re$2=Ra=>G$1(Jr$2(Ra)),zr$2={sourceType:"module",allowImportExportEverywhere:!0,allowReturnOutsideFunction:!0,allowNewTargetOutsideFunction:!0,allowSuperOutsideMethod:!0,allowUndeclaredExports:!0,errorRecovery:!0,createParenthesizedExpressions:!0,createImportExpressions:!0,plugins:["doExpressions","exportDefaultFrom","functionBind","functionSent","throwExpressions","partialApplication","decorators","decimal","moduleBlocks","asyncDoExpressions","destructuringPrivate","decoratorAutoAccessors","importReflection","explicitResourceManagement",["importAttributes",{deprecatedAssertSyntax:!0}],"sourcePhaseImports","deferredImportEvaluation",["optionalChainingAssign",{version:"2023-07"}],"recordAndTuple"],tokens:!0,ranges:!0},ks$1="v8intrinsic",vs$1=[["pipelineOperator",{proposal:"hack",topicToken:"%"}],["pipelineOperator",{proposal:"minimal"}],["pipelineOperator",{proposal:"fsharp"}]],V$2=(Ra,qa=zr$2)=>({...qa,plugins:[...qa.plugins,...Ra]}),Kr$2=/@(?:no)?flow\b/u;function Hr$2(Ra,qa){var Ja;if((Ja=qa.filepath)!=null&&Ja.endsWith(".js.flow"))return!0;let ed=Oe$2(Ra);ed&&(Ra=Ra.slice(ed.length));let td=ds$1(Ra,0);return td!==!1&&(Ra=Ra.slice(0,td)),Kr$2.test(Ra)}function Wr$2(Ra,qa,Ja){let ed=Ra(qa,Ja),td=ed.errors.find(rd=>!Xr$2.has(rd.reasonCode));if(td)throw td;return ed}function Jr$2({isExpression:Ra=!1,optionsCombinations:qa}){return(Ja,ed={})=>{if((ed.parser==="babel"||ed.parser==="__babel_estree")&&Hr$2(Ja,ed))return ed.parser="babel-flow",Bs$1.parse(Ja,ed);let td=qa;(ed.__babelSourceType??Ns$1(ed))==="script"&&(td=td.map(ld=>({...ld,sourceType:"script"})));let rd=/%[A-Z]/u.test(Ja);Ja.includes("|>")?td=(rd?[...vs$1,ks$1]:vs$1).flatMap(ld=>td.map(cd=>V$2([ld],cd))):rd&&(td=td.map(ld=>V$2([ks$1],ld)));let sd=Ra?_e$2.parseExpression:_e$2.parse,od;try{od=ms$1(td.map(ld=>()=>Wr$2(sd,Ja,ld)))}catch({errors:[ld]}){throw Re$3(ld)}return Ra&&(od=Ue$2(od,{text:Ja,rootMarker:ed.rootMarker})),As$2(od,{parser:"babel",text:Ja})}}var Xr$2=new Set(["StrictNumericEscape","StrictWith","StrictOctalLiteral","StrictDelete","StrictEvalArguments","StrictEvalArgumentsBinding","StrictFunction","ForInOfLoopInitializer","EmptyTypeArguments","EmptyTypeParameters","ConstructorHasTypeParameters","UnsupportedParameterPropertyKind","DecoratorExportClass","ParamDupe","InvalidDecimal","RestTrailingComma","UnsupportedParameterDecorator","UnterminatedJsxContent","UnexpectedReservedWord","ModuleAttributesWithDuplicateKeys","LineTerminatorBeforeArrow","InvalidEscapeSequenceTemplate","NonAbstractClassHasAbstractMethod","OptionalTypeBeforeRequired","PatternIsOptional","OptionalBindingPattern","DeclareClassFieldHasInitializer","TypeImportCannotSpecifyDefaultAndNamed","ConstructorClassField","VarRedeclaration","InvalidPrivateFieldResolution","DuplicateExport"]),Fs$1=[V$2(["jsx"])],Ls$2=re$2({optionsCombinations:Fs$1}),Ds$2=re$2({optionsCombinations:[V$2(["jsx","typescript"]),V$2(["typescript"])]}),Ms=re$2({isExpression:!0,optionsCombinations:[V$2(["jsx"])]}),Os$2=re$2({isExpression:!0,optionsCombinations:[V$2(["typescript"])]}),Bs$1=re$2({optionsCombinations:[V$2(["jsx",["flow",{all:!0,enums:!0}],"flowComments"])]}),Gr$2=re$2({optionsCombinations:Fs$1.map(Ra=>V$2(["estree"],Ra))}),Rs={babel:Ls$2,"babel-flow":Bs$1,"babel-ts":Ds$2,__js_expression:Ms,__ts_expression:Os$2,__vue_expression:Ms,__vue_ts_expression:Os$2,__vue_event_binding:Ls$2,__vue_ts_event_binding:Ds$2,__babel_estree:Gr$2},Us$1=vt$1(At$3());function _s$2(Ra={}){let{allowComments:qa=!0}=Ra;return function(Ja){let ed;try{ed=(0,Us$1.parseExpression)(Ja,{tokens:!0,ranges:!0,attachComment:!1})}catch(td){throw Re$3(td)}if(!qa&&Pe$2(ed.comments))throw H(ed.comments[0],"Comment");return ae$1(ed),Ue$2(ed,{type:"JsonRoot",text:Ja})}}function H(Ra,qa){let[Ja,ed]=[Ra.loc.start,Ra.loc.end].map(({line:td,column:rd})=>({line:td,column:rd+1}));return Be$2(`${qa} is not allowed in JSON.`,{loc:{start:Ja,end:ed}})}function ae$1(Ra){switch(Ra.type){case"ArrayExpression":for(let qa of Ra.elements)qa!==null&&ae$1(qa);return;case"ObjectExpression":for(let qa of Ra.properties)ae$1(qa);return;case"ObjectProperty":if(Ra.computed)throw H(Ra.key,"Computed key");if(Ra.shorthand)throw H(Ra.key,"Shorthand property");Ra.key.type!=="Identifier"&&ae$1(Ra.key),ae$1(Ra.value);return;case"UnaryExpression":{let{operator:qa,argument:Ja}=Ra;if(qa!=="+"&&qa!=="-")throw H(Ra,`Operator '${Ra.operator}'`);if(Ja.type==="NumericLiteral"||Ja.type==="Identifier"&&(Ja.name==="Infinity"||Ja.name==="NaN"))return;throw H(Ja,`Operator '${qa}' before '${Ja.type}'`)}case"Identifier":if(Ra.name!=="Infinity"&&Ra.name!=="NaN"&&Ra.name!=="undefined")throw H(Ra,`Identifier '${Ra.name}'`);return;case"TemplateLiteral":if(Pe$2(Ra.expressions))throw H(Ra.expressions[0],"'TemplateLiteral' with expression");for(let qa of Ra.quasis)ae$1(qa);return;case"NullLiteral":case"BooleanLiteral":case"NumericLiteral":case"StringLiteral":case"TemplateElement":return;default:throw H(Ra,`'${Ra.type}'`)}}var Nt$2=_s$2(),Yr$3={json:G$1({parse:Nt$2,hasPragma(){return!0}}),json5:G$1(Nt$2),jsonc:G$1(Nt$2),"json-stringify":G$1({parse:_s$2({allowComments:!1}),astFormat:"estree-json"})},js$1=Yr$3,Qr$3={...Rs,...js$1},Ln$2=kt$2;const prettierPluginBabel=Object.freeze(Object.defineProperty({__proto__:null,default:Ln$2,parsers:Qr$3},Symbol.toStringTag,{value:"Module"}));var Wa=Object.defineProperty,Js=Ra=>{throw TypeError(Ra)},Ar=(Ra,qa)=>{for(var Ja in qa)Wa(Ra,Ja,{get:qa[Ja],enumerable:!0})},qs$1=(Ra,qa,Ja)=>qa.has(Ra)||Js("Cannot "+Ja),pt$1=(Ra,qa,Ja)=>(qs$1(Ra,qa,"read from private field"),qa.get(Ra)),Ws=(Ra,qa,Ja)=>qa.has(Ra)?Js("Cannot add the same private member more than once"):qa instanceof WeakSet?qa.add(Ra):qa.set(Ra,Ja),Gs=(Ra,qa,Ja,ed)=>(qs$1(Ra,qa,"write to private field"),qa.set(Ra,Ja),Ja),_s$1={};Ar(_s$1,{languages:()=>nm$1,options:()=>va,printers:()=>rm});var Us=[{linguistLanguageId:183,name:"JavaScript",type:"programming",tmScope:"source.js",aceMode:"javascript",codemirrorMode:"javascript",codemirrorMimeType:"text/javascript",color:"#f1e05a",aliases:["js","node"],extensions:[".js","._js",".bones",".cjs",".es",".es6",".frag",".gs",".jake",".javascript",".jsb",".jscad",".jsfl",".jslib",".jsm",".jspre",".jss",".mjs",".njs",".pac",".sjs",".ssjs",".xsjs",".xsjslib",".wxs"],filenames:["Jakefile"],interpreters:["chakra","d8","gjs","js","node","nodejs","qjs","rhino","v8","v8-shell","zx"],parsers:["babel","acorn","espree","meriyah","babel-flow","babel-ts","flow","typescript"],vscodeLanguageIds:["javascript","mongo"]},{linguistLanguageId:183,name:"Flow",type:"programming",tmScope:"source.js",aceMode:"javascript",codemirrorMode:"javascript",codemirrorMimeType:"text/javascript",color:"#f1e05a",aliases:[],extensions:[".js.flow"],filenames:[],interpreters:["chakra","d8","gjs","js","node","nodejs","qjs","rhino","v8","v8-shell"],parsers:["flow","babel-flow"],vscodeLanguageIds:["javascript"]},{linguistLanguageId:183,name:"JSX",type:"programming",tmScope:"source.js.jsx",aceMode:"javascript",codemirrorMode:"jsx",codemirrorMimeType:"text/jsx",color:void 0,aliases:void 0,extensions:[".jsx"],filenames:void 0,interpreters:void 0,parsers:["babel","babel-flow","babel-ts","flow","typescript","espree","meriyah"],vscodeLanguageIds:["javascriptreact"],group:"JavaScript"},{linguistLanguageId:378,name:"TypeScript",type:"programming",color:"#3178c6",aliases:["ts"],interpreters:["deno","ts-node"],extensions:[".ts",".cts",".mts"],tmScope:"source.ts",aceMode:"typescript",codemirrorMode:"javascript",codemirrorMimeType:"application/typescript",parsers:["typescript","babel-ts"],vscodeLanguageIds:["typescript"]},{linguistLanguageId:94901924,name:"TSX",type:"programming",color:"#3178c6",group:"TypeScript",extensions:[".tsx"],tmScope:"source.tsx",aceMode:"javascript",codemirrorMode:"jsx",codemirrorMimeType:"text/jsx",parsers:["typescript","babel-ts"],vscodeLanguageIds:["typescriptreact"]}],ws$1={};Ar(ws$1,{canAttachComment:()=>fp,embed:()=>Qu$1,experimentalFeatures:()=>Kl$1,getCommentChildNodes:()=>Ep,getVisitorKeys:()=>gr,handleComments:()=>Kn$1,insertPragma:()=>pi$2,isBlockComment:()=>re$1,isGap:()=>Fp,massageAstNode:()=>Cu$1,print:()=>Ia$1,printComment:()=>Pu,willPrintOwnComments:()=>zn$1});var Ga$1=(Ra,qa,Ja,ed)=>{if(!(Ra&&qa==null))return qa.replaceAll?qa.replaceAll(Ja,ed):Ja.global?qa.replace(Ja,ed):qa.split(Ja).join(ed)},N$1=Ga$1,Ua$2=(Ra,qa,Ja)=>{if(!(Ra&&qa==null))return Array.isArray(qa)||typeof qa=="string"?qa[Ja<0?qa.length+Ja:Ja]:qa.at(Ja)},O$1=Ua$2;function Na(Ra){return Ra!==null&&typeof Ra=="object"}var Ns=Na;function*Xa$1(Ra,qa){let{getVisitorKeys:Ja,filter:ed=()=>!0}=qa,td=rd=>Ns(rd)&&ed(rd);for(let rd of Ja(Ra)){let sd=Ra[rd];if(Array.isArray(sd))for(let od of sd)td(od)&&(yield od);else td(sd)&&(yield sd)}}function*Ya$2(Ra,qa){let Ja=[Ra];for(let ed=0;ed<Ja.length;ed++){let td=Ja[ed];for(let rd of Xa$1(td,qa))yield rd,Ja.push(rd)}}function Xs$1(Ra,{getVisitorKeys:qa,predicate:Ja}){for(let ed of Ya$2(Ra,{getVisitorKeys:qa}))if(Ja(ed))return!0;return!1}var Ys=()=>/[#*0-9]\uFE0F?\u20E3|[\xA9\xAE\u203C\u2049\u2122\u2139\u2194-\u2199\u21A9\u21AA\u231A\u231B\u2328\u23CF\u23ED-\u23EF\u23F1\u23F2\u23F8-\u23FA\u24C2\u25AA\u25AB\u25B6\u25C0\u25FB\u25FC\u25FE\u2600-\u2604\u260E\u2611\u2614\u2615\u2618\u2620\u2622\u2623\u2626\u262A\u262E\u262F\u2638-\u263A\u2640\u2642\u2648-\u2653\u265F\u2660\u2663\u2665\u2666\u2668\u267B\u267E\u267F\u2692\u2694-\u2697\u2699\u269B\u269C\u26A0\u26A7\u26AA\u26B0\u26B1\u26BD\u26BE\u26C4\u26C8\u26CF\u26D1\u26E9\u26F0-\u26F5\u26F7\u26F8\u26FA\u2702\u2708\u2709\u270F\u2712\u2714\u2716\u271D\u2721\u2733\u2734\u2744\u2747\u2757\u2763\u27A1\u2934\u2935\u2B05-\u2B07\u2B1B\u2B1C\u2B55\u3030\u303D\u3297\u3299]\uFE0F?|[\u261D\u270C\u270D](?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?|[\u270A\u270B](?:\uD83C[\uDFFB-\uDFFF])?|[\u23E9-\u23EC\u23F0\u23F3\u25FD\u2693\u26A1\u26AB\u26C5\u26CE\u26D4\u26EA\u26FD\u2705\u2728\u274C\u274E\u2753-\u2755\u2795-\u2797\u27B0\u27BF\u2B50]|\u26D3\uFE0F?(?:\u200D\uD83D\uDCA5)?|\u26F9(?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|\u2764\uFE0F?(?:\u200D(?:\uD83D\uDD25|\uD83E\uDE79))?|\uD83C(?:[\uDC04\uDD70\uDD71\uDD7E\uDD7F\uDE02\uDE37\uDF21\uDF24-\uDF2C\uDF36\uDF7D\uDF96\uDF97\uDF99-\uDF9B\uDF9E\uDF9F\uDFCD\uDFCE\uDFD4-\uDFDF\uDFF5\uDFF7]\uFE0F?|[\uDF85\uDFC2\uDFC7](?:\uD83C[\uDFFB-\uDFFF])?|[\uDFC4\uDFCA](?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDFCB\uDFCC](?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDCCF\uDD8E\uDD91-\uDD9A\uDE01\uDE1A\uDE2F\uDE32-\uDE36\uDE38-\uDE3A\uDE50\uDE51\uDF00-\uDF20\uDF2D-\uDF35\uDF37-\uDF43\uDF45-\uDF4A\uDF4C-\uDF7C\uDF7E-\uDF84\uDF86-\uDF93\uDFA0-\uDFC1\uDFC5\uDFC6\uDFC8\uDFC9\uDFCF-\uDFD3\uDFE0-\uDFF0\uDFF8-\uDFFF]|\uDDE6\uD83C[\uDDE8-\uDDEC\uDDEE\uDDF1\uDDF2\uDDF4\uDDF6-\uDDFA\uDDFC\uDDFD\uDDFF]|\uDDE7\uD83C[\uDDE6\uDDE7\uDDE9-\uDDEF\uDDF1-\uDDF4\uDDF6-\uDDF9\uDDFB\uDDFC\uDDFE\uDDFF]|\uDDE8\uD83C[\uDDE6\uDDE8\uDDE9\uDDEB-\uDDEE\uDDF0-\uDDF5\uDDF7\uDDFA-\uDDFF]|\uDDE9\uD83C[\uDDEA\uDDEC\uDDEF\uDDF0\uDDF2\uDDF4\uDDFF]|\uDDEA\uD83C[\uDDE6\uDDE8\uDDEA\uDDEC\uDDED\uDDF7-\uDDFA]|\uDDEB\uD83C[\uDDEE-\uDDF0\uDDF2\uDDF4\uDDF7]|\uDDEC\uD83C[\uDDE6\uDDE7\uDDE9-\uDDEE\uDDF1-\uDDF3\uDDF5-\uDDFA\uDDFC\uDDFE]|\uDDED\uD83C[\uDDF0\uDDF2\uDDF3\uDDF7\uDDF9\uDDFA]|\uDDEE\uD83C[\uDDE8-\uDDEA\uDDF1-\uDDF4\uDDF6-\uDDF9]|\uDDEF\uD83C[\uDDEA\uDDF2\uDDF4\uDDF5]|\uDDF0\uD83C[\uDDEA\uDDEC-\uDDEE\uDDF2\uDDF3\uDDF5\uDDF7\uDDFC\uDDFE\uDDFF]|\uDDF1\uD83C[\uDDE6-\uDDE8\uDDEE\uDDF0\uDDF7-\uDDFB\uDDFE]|\uDDF2\uD83C[\uDDE6\uDDE8-\uDDED\uDDF0-\uDDFF]|\uDDF3\uD83C[\uDDE6\uDDE8\uDDEA-\uDDEC\uDDEE\uDDF1\uDDF4\uDDF5\uDDF7\uDDFA\uDDFF]|\uDDF4\uD83C\uDDF2|\uDDF5\uD83C[\uDDE6\uDDEA-\uDDED\uDDF0-\uDDF3\uDDF7-\uDDF9\uDDFC\uDDFE]|\uDDF6\uD83C\uDDE6|\uDDF7\uD83C[\uDDEA\uDDF4\uDDF8\uDDFA\uDDFC]|\uDDF8\uD83C[\uDDE6-\uDDEA\uDDEC-\uDDF4\uDDF7-\uDDF9\uDDFB\uDDFD-\uDDFF]|\uDDF9\uD83C[\uDDE6\uDDE8\uDDE9\uDDEB-\uDDED\uDDEF-\uDDF4\uDDF7\uDDF9\uDDFB\uDDFC\uDDFF]|\uDDFA\uD83C[\uDDE6\uDDEC\uDDF2\uDDF3\uDDF8\uDDFE\uDDFF]|\uDDFB\uD83C[\uDDE6\uDDE8\uDDEA\uDDEC\uDDEE\uDDF3\uDDFA]|\uDDFC\uD83C[\uDDEB\uDDF8]|\uDDFD\uD83C\uDDF0|\uDDFE\uD83C[\uDDEA\uDDF9]|\uDDFF\uD83C[\uDDE6\uDDF2\uDDFC]|\uDF44(?:\u200D\uD83D\uDFEB)?|\uDF4B(?:\u200D\uD83D\uDFE9)?|\uDFC3(?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D(?:[\u2640\u2642]\uFE0F?(?:\u200D\u27A1\uFE0F?)?|\u27A1\uFE0F?))?|\uDFF3\uFE0F?(?:\u200D(?:\u26A7\uFE0F?|\uD83C\uDF08))?|\uDFF4(?:\u200D\u2620\uFE0F?|\uDB40\uDC67\uDB40\uDC62\uDB40(?:\uDC65\uDB40\uDC6E\uDB40\uDC67|\uDC73\uDB40\uDC63\uDB40\uDC74|\uDC77\uDB40\uDC6C\uDB40\uDC73)\uDB40\uDC7F)?)|\uD83D(?:[\uDC3F\uDCFD\uDD49\uDD4A\uDD6F\uDD70\uDD73\uDD76-\uDD79\uDD87\uDD8A-\uDD8D\uDDA5\uDDA8\uDDB1\uDDB2\uDDBC\uDDC2-\uDDC4\uDDD1-\uDDD3\uDDDC-\uDDDE\uDDE1\uDDE3\uDDE8\uDDEF\uDDF3\uDDFA\uDECB\uDECD-\uDECF\uDEE0-\uDEE5\uDEE9\uDEF0\uDEF3]\uFE0F?|[\uDC42\uDC43\uDC46-\uDC50\uDC66\uDC67\uDC6B-\uDC6D\uDC72\uDC74-\uDC76\uDC78\uDC7C\uDC83\uDC85\uDC8F\uDC91\uDCAA\uDD7A\uDD95\uDD96\uDE4C\uDE4F\uDEC0\uDECC](?:\uD83C[\uDFFB-\uDFFF])?|[\uDC6E\uDC70\uDC71\uDC73\uDC77\uDC81\uDC82\uDC86\uDC87\uDE45-\uDE47\uDE4B\uDE4D\uDE4E\uDEA3\uDEB4\uDEB5](?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDD74\uDD90](?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?|[\uDC00-\uDC07\uDC09-\uDC14\uDC16-\uDC25\uDC27-\uDC3A\uDC3C-\uDC3E\uDC40\uDC44\uDC45\uDC51-\uDC65\uDC6A\uDC79-\uDC7B\uDC7D-\uDC80\uDC84\uDC88-\uDC8E\uDC90\uDC92-\uDCA9\uDCAB-\uDCFC\uDCFF-\uDD3D\uDD4B-\uDD4E\uDD50-\uDD67\uDDA4\uDDFB-\uDE2D\uDE2F-\uDE34\uDE37-\uDE41\uDE43\uDE44\uDE48-\uDE4A\uDE80-\uDEA2\uDEA4-\uDEB3\uDEB7-\uDEBF\uDEC1-\uDEC5\uDED0-\uDED2\uDED5-\uDED7\uDEDC-\uDEDF\uDEEB\uDEEC\uDEF4-\uDEFC\uDFE0-\uDFEB\uDFF0]|\uDC08(?:\u200D\u2B1B)?|\uDC15(?:\u200D\uD83E\uDDBA)?|\uDC26(?:\u200D(?:\u2B1B|\uD83D\uDD25))?|\uDC3B(?:\u200D\u2744\uFE0F?)?|\uDC41\uFE0F?(?:\u200D\uD83D\uDDE8\uFE0F?)?|\uDC68(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D(?:[\uDC68\uDC69]\u200D\uD83D(?:\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?)|[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?)|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]))|\uD83C(?:\uDFFB(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFC-\uDFFF])))?|\uDFFC(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB\uDFFD-\uDFFF])))?|\uDFFD(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF])))?|\uDFFE(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB-\uDFFD\uDFFF])))?|\uDFFF(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?\uDC68\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D\uDC68\uD83C[\uDFFB-\uDFFE])))?))?|\uDC69(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:\uDC8B\u200D\uD83D)?[\uDC68\uDC69]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D(?:[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?|\uDC69\u200D\uD83D(?:\uDC66(?:\u200D\uD83D\uDC66)?|\uDC67(?:\u200D\uD83D[\uDC66\uDC67])?))|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]))|\uD83C(?:\uDFFB(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFC-\uDFFF])))?|\uDFFC(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB\uDFFD-\uDFFF])))?|\uDFFD(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF])))?|\uDFFE(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB-\uDFFD\uDFFF])))?|\uDFFF(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D\uD83D(?:[\uDC68\uDC69]|\uDC8B\u200D\uD83D[\uDC68\uDC69])\uD83C[\uDFFB-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83D[\uDC68\uDC69]\uD83C[\uDFFB-\uDFFE])))?))?|\uDC6F(?:\u200D[\u2640\u2642]\uFE0F?)?|\uDD75(?:\uFE0F|\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|\uDE2E(?:\u200D\uD83D\uDCA8)?|\uDE35(?:\u200D\uD83D\uDCAB)?|\uDE36(?:\u200D\uD83C\uDF2B\uFE0F?)?|\uDE42(?:\u200D[\u2194\u2195]\uFE0F?)?|\uDEB6(?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D(?:[\u2640\u2642]\uFE0F?(?:\u200D\u27A1\uFE0F?)?|\u27A1\uFE0F?))?)|\uD83E(?:[\uDD0C\uDD0F\uDD18-\uDD1F\uDD30-\uDD34\uDD36\uDD77\uDDB5\uDDB6\uDDBB\uDDD2\uDDD3\uDDD5\uDEC3-\uDEC5\uDEF0\uDEF2-\uDEF8](?:\uD83C[\uDFFB-\uDFFF])?|[\uDD26\uDD35\uDD37-\uDD39\uDD3D\uDD3E\uDDB8\uDDB9\uDDCD\uDDCF\uDDD4\uDDD6-\uDDDD](?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDDDE\uDDDF](?:\u200D[\u2640\u2642]\uFE0F?)?|[\uDD0D\uDD0E\uDD10-\uDD17\uDD20-\uDD25\uDD27-\uDD2F\uDD3A\uDD3F-\uDD45\uDD47-\uDD76\uDD78-\uDDB4\uDDB7\uDDBA\uDDBC-\uDDCC\uDDD0\uDDE0-\uDDFF\uDE70-\uDE7C\uDE80-\uDE88\uDE90-\uDEBD\uDEBF-\uDEC2\uDECE-\uDEDB\uDEE0-\uDEE8]|\uDD3C(?:\u200D[\u2640\u2642]\uFE0F?|\uD83C[\uDFFB-\uDFFF])?|\uDDCE(?:\uD83C[\uDFFB-\uDFFF])?(?:\u200D(?:[\u2640\u2642]\uFE0F?(?:\u200D\u27A1\uFE0F?)?|\u27A1\uFE0F?))?|\uDDD1(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1|\uDDD1\u200D\uD83E\uDDD2(?:\u200D\uD83E\uDDD2)?|\uDDD2(?:\u200D\uD83E\uDDD2)?))|\uD83C(?:\uDFFB(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFC-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFC(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB\uDFFD-\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFD(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFE(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB-\uDFFD\uDFFF]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?|\uDFFF(?:\u200D(?:[\u2695\u2696\u2708]\uFE0F?|\u2764\uFE0F?\u200D(?:\uD83D\uDC8B\u200D)?\uD83E\uDDD1\uD83C[\uDFFB-\uDFFE]|\uD83C[\uDF3E\uDF73\uDF7C\uDF84\uDF93\uDFA4\uDFA8\uDFEB\uDFED]|\uD83D[\uDCBB\uDCBC\uDD27\uDD2C\uDE80\uDE92]|\uD83E(?:[\uDDAF\uDDBC\uDDBD](?:\u200D\u27A1\uFE0F?)?|[\uDDB0-\uDDB3]|\uDD1D\u200D\uD83E\uDDD1\uD83C[\uDFFB-\uDFFF])))?))?|\uDEF1(?:\uD83C(?:\uDFFB(?:\u200D\uD83E\uDEF2\uD83C[\uDFFC-\uDFFF])?|\uDFFC(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB\uDFFD-\uDFFF])?|\uDFFD(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB\uDFFC\uDFFE\uDFFF])?|\uDFFE(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB-\uDFFD\uDFFF])?|\uDFFF(?:\u200D\uD83E\uDEF2\uD83C[\uDFFB-\uDFFE])?))?)/g;function Hs$1(Ra){return Ra===12288||Ra>=65281&&Ra<=65376||Ra>=65504&&Ra<=65510}function Vs(Ra){return Ra>=4352&&Ra<=4447||Ra===8986||Ra===8987||Ra===9001||Ra===9002||Ra>=9193&&Ra<=9196||Ra===9200||Ra===9203||Ra===9725||Ra===9726||Ra===9748||Ra===9749||Ra>=9800&&Ra<=9811||Ra===9855||Ra===9875||Ra===9889||Ra===9898||Ra===9899||Ra===9917||Ra===9918||Ra===9924||Ra===9925||Ra===9934||Ra===9940||Ra===9962||Ra===9970||Ra===9971||Ra===9973||Ra===9978||Ra===9981||Ra===9989||Ra===9994||Ra===9995||Ra===10024||Ra===10060||Ra===10062||Ra>=10067&&Ra<=10069||Ra===10071||Ra>=10133&&Ra<=10135||Ra===10160||Ra===10175||Ra===11035||Ra===11036||Ra===11088||Ra===11093||Ra>=11904&&Ra<=11929||Ra>=11931&&Ra<=12019||Ra>=12032&&Ra<=12245||Ra>=12272&&Ra<=12287||Ra>=12289&&Ra<=12350||Ra>=12353&&Ra<=12438||Ra>=12441&&Ra<=12543||Ra>=12549&&Ra<=12591||Ra>=12593&&Ra<=12686||Ra>=12688&&Ra<=12771||Ra>=12783&&Ra<=12830||Ra>=12832&&Ra<=12871||Ra>=12880&&Ra<=19903||Ra>=19968&&Ra<=42124||Ra>=42128&&Ra<=42182||Ra>=43360&&Ra<=43388||Ra>=44032&&Ra<=55203||Ra>=63744&&Ra<=64255||Ra>=65040&&Ra<=65049||Ra>=65072&&Ra<=65106||Ra>=65108&&Ra<=65126||Ra>=65128&&Ra<=65131||Ra>=94176&&Ra<=94180||Ra===94192||Ra===94193||Ra>=94208&&Ra<=100343||Ra>=100352&&Ra<=101589||Ra>=101632&&Ra<=101640||Ra>=110576&&Ra<=110579||Ra>=110581&&Ra<=110587||Ra===110589||Ra===110590||Ra>=110592&&Ra<=110882||Ra===110898||Ra>=110928&&Ra<=110930||Ra===110933||Ra>=110948&&Ra<=110951||Ra>=110960&&Ra<=111355||Ra===126980||Ra===127183||Ra===127374||Ra>=127377&&Ra<=127386||Ra>=127488&&Ra<=127490||Ra>=127504&&Ra<=127547||Ra>=127552&&Ra<=127560||Ra===127568||Ra===127569||Ra>=127584&&Ra<=127589||Ra>=127744&&Ra<=127776||Ra>=127789&&Ra<=127797||Ra>=127799&&Ra<=127868||Ra>=127870&&Ra<=127891||Ra>=127904&&Ra<=127946||Ra>=127951&&Ra<=127955||Ra>=127968&&Ra<=127984||Ra===127988||Ra>=127992&&Ra<=128062||Ra===128064||Ra>=128066&&Ra<=128252||Ra>=128255&&Ra<=128317||Ra>=128331&&Ra<=128334||Ra>=128336&&Ra<=128359||Ra===128378||Ra===128405||Ra===128406||Ra===128420||Ra>=128507&&Ra<=128591||Ra>=128640&&Ra<=128709||Ra===128716||Ra>=128720&&Ra<=128722||Ra>=128725&&Ra<=128727||Ra>=128732&&Ra<=128735||Ra===128747||Ra===128748||Ra>=128756&&Ra<=128764||Ra>=128992&&Ra<=129003||Ra===129008||Ra>=129292&&Ra<=129338||Ra>=129340&&Ra<=129349||Ra>=129351&&Ra<=129535||Ra>=129648&&Ra<=129660||Ra>=129664&&Ra<=129672||Ra>=129680&&Ra<=129725||Ra>=129727&&Ra<=129733||Ra>=129742&&Ra<=129755||Ra>=129760&&Ra<=129768||Ra>=129776&&Ra<=129784||Ra>=131072&&Ra<=196605||Ra>=196608&&Ra<=262141}var $s=Ra=>!(Hs$1(Ra)||Vs(Ra)),Ha=/[^\x20-\x7F]/u;function Va$1(Ra){if(!Ra)return 0;if(!Ha.test(Ra))return Ra.length;Ra=Ra.replace(Ys()," ");let qa=0;for(let Ja of Ra){let ed=Ja.codePointAt(0);ed<=31||ed>=127&&ed<=159||ed>=768&&ed<=879||(qa+=$s(ed)?1:2)}return qa}var et$1=Va$1;function Tr(Ra){return(qa,Ja,ed)=>{let td=!!(ed!=null&&ed.backwards);if(Ja===!1)return!1;let{length:rd}=qa,sd=Ja;for(;sd>=0&&sd<rd;){let od=qa.charAt(sd);if(Ra instanceof RegExp){if(!Ra.test(od))return sd}else if(!Ra.includes(od))return sd;td?sd--:sd++}return sd===-1||sd===rd?sd:!1}}var Ge$1=Tr(" "),Ks$1=Tr(",; "),zs=Tr(/[^\n\r]/u);function $a$2(Ra,qa,Ja){let ed=!!(Ja!=null&&Ja.backwards);if(qa===!1)return!1;let td=Ra.charAt(qa);if(ed){if(Ra.charAt(qa-1)==="\r"&&td===`
|
||
`)return qa-2;if(td===`
|
||
`||td==="\r"||td==="\u2028"||td==="\u2029")return qa-1}else{if(td==="\r"&&Ra.charAt(qa+1)===`
|
||
`)return qa+2;if(td===`
|
||
`||td==="\r"||td==="\u2028"||td==="\u2029")return qa+1}return qa}var Ue$1=$a$2;function Ka(Ra,qa,Ja={}){let ed=Ge$1(Ra,Ja.backwards?qa-1:qa,Ja),td=Ue$1(Ra,ed,Ja);return ed!==td}var te$1=Ka;function za(Ra,qa){if(qa===!1)return!1;if(Ra.charAt(qa)==="/"&&Ra.charAt(qa+1)==="*"){for(let Ja=qa+2;Ja<Ra.length;++Ja)if(Ra.charAt(Ja)==="*"&&Ra.charAt(Ja+1)==="/")return Ja+2}return qa}var Lt$1=za;function Qa(Ra,qa){return qa===!1?!1:Ra.charAt(qa)==="/"&&Ra.charAt(qa+1)==="/"?zs(Ra,qa):qa}var wt=Qa;function Za$1(Ra,qa){let Ja=null,ed=qa;for(;ed!==Ja;)Ja=ed,ed=Ks$1(Ra,ed),ed=Lt$1(Ra,ed),ed=Ge$1(Ra,ed);return ed=wt(Ra,ed),ed=Ue$1(Ra,ed),ed!==!1&&te$1(Ra,ed)}var Ot$2=Za$1;function eo(Ra){return Array.isArray(Ra)&&Ra.length>0}var w=eo,dr="'",Qs$1='"';function to(Ra,qa){let Ja=qa===!0||qa===dr?dr:Qs$1,ed=Ja===dr?Qs$1:dr,td=0,rd=0;for(let sd of Ra)sd===Ja?td++:sd===ed&&rd++;return td>rd?ed:Ja}var xr=to;function ro(Ra,qa,Ja){let ed=qa==='"'?"'":'"',td=N$1(!1,Ra,/\\(.)|(["'])/gsu,(rd,sd,od)=>sd===ed?sd:od===qa?"\\"+od:od||(Ja&&/^[^\n\r"'0-7\\bfnrt-vx\u2028\u2029]$/u.test(sd)?sd:"\\"+sd));return qa+td+qa}var Zs$1=ro;function no$1(Ra,qa){let Ja=Ra.slice(1,-1),ed=qa.parser==="json"||qa.parser==="jsonc"||qa.parser==="json5"&&qa.quoteProps==="preserve"&&!qa.singleQuote?'"':qa.__isInHtmlAttribute?"'":xr(Ja,qa.singleQuote);return Zs$1(Ja,ed,!(qa.parser==="css"||qa.parser==="less"||qa.parser==="scss"||qa.__embeddedInHtml))}var tt$1=no$1;function R$1(Ra){var qa,Ja,ed;let td=((qa=Ra.range)==null?void 0:qa[0])??Ra.start,rd=(ed=((Ja=Ra.declaration)==null?void 0:Ja.decorators)??Ra.decorators)==null?void 0:ed[0];return rd?Math.min(R$1(rd),td):td}function k(Ra){var qa;return((qa=Ra.range)==null?void 0:qa[1])??Ra.end}function ht$1(Ra,qa){let Ja=R$1(Ra);return Number.isInteger(Ja)&&Ja===R$1(qa)}function so$1(Ra,qa){let Ja=k(Ra);return Number.isInteger(Ja)&&Ja===k(qa)}function eu$1(Ra,qa){return ht$1(Ra,qa)&&so$1(Ra,qa)}var Qt=null;function Zt$2(Ra){if(Qt!==null&&typeof Qt.property){let qa=Qt;return Qt=Zt$2.prototype=null,qa}return Qt=Zt$2.prototype=Ra??Object.create(null),new Zt$2}var uo$2=10;for(let Ra=0;Ra<=uo$2;Ra++)Zt$2();function In$1(Ra){return Zt$2(Ra)}function io(Ra,qa="type"){In$1(Ra);function Ja(ed){let td=ed[qa],rd=Ra[td];if(!Array.isArray(rd))throw Object.assign(new Error(`Missing visitor keys for '${td}'.`),{node:ed});return rd}return Ja}var hr=io,tu$2={ArrayExpression:["elements"],AssignmentExpression:["left","right"],BinaryExpression:["left","right"],InterpreterDirective:[],Directive:["value"],DirectiveLiteral:[],BlockStatement:["directives","body"],BreakStatement:["label"],CallExpression:["callee","arguments","typeParameters","typeArguments"],CatchClause:["param","body"],ConditionalExpression:["test","consequent","alternate"],ContinueStatement:["label"],DebuggerStatement:[],DoWhileStatement:["test","body"],EmptyStatement:[],ExpressionStatement:["expression"],File:["program"],ForInStatement:["left","right","body"],ForStatement:["init","test","update","body"],FunctionDeclaration:["id","params","body","returnType","typeParameters","predicate"],FunctionExpression:["id","params","body","returnType","typeParameters"],Identifier:["typeAnnotation","decorators"],IfStatement:["test","consequent","alternate"],LabeledStatement:["label","body"],StringLiteral:[],NumericLiteral:[],NullLiteral:[],BooleanLiteral:[],RegExpLiteral:[],LogicalExpression:["left","right"],MemberExpression:["object","property"],NewExpression:["callee","arguments","typeParameters","typeArguments"],Program:["directives","body"],ObjectExpression:["properties"],ObjectMethod:["key","params","body","decorators","returnType","typeParameters"],ObjectProperty:["key","value","decorators"],RestElement:["argument","typeAnnotation","decorators"],ReturnStatement:["argument"],SequenceExpression:["expressions"],ParenthesizedExpression:["expression"],SwitchCase:["test","consequent"],SwitchStatement:["discriminant","cases"],ThisExpression:[],ThrowStatement:["argument"],TryStatement:["block","handler","finalizer"],UnaryExpression:["argument"],UpdateExpression:["argument"],VariableDeclaration:["declarations"],VariableDeclarator:["id","init"],WhileStatement:["test","body"],WithStatement:["object","body"],AssignmentPattern:["left","right","decorators","typeAnnotation"],ArrayPattern:["elements","typeAnnotation","decorators"],ArrowFunctionExpression:["params","body","returnType","typeParameters","predicate"],ClassBody:["body"],ClassExpression:["id","body","superClass","mixins","typeParameters","superTypeParameters","implements","decorators","superTypeArguments"],ClassDeclaration:["id","body","superClass","mixins","typeParameters","superTypeParameters","implements","decorators","superTypeArguments"],ExportAllDeclaration:["source","attributes","exported"],ExportDefaultDeclaration:["declaration"],ExportNamedDeclaration:["declaration","specifiers","source","attributes"],ExportSpecifier:["local","exported"],ForOfStatement:["left","right","body"],ImportDeclaration:["specifiers","source","attributes"],ImportDefaultSpecifier:["local"],ImportNamespaceSpecifier:["local"],ImportSpecifier:["local","imported"],ImportExpression:["source","options","attributes"],MetaProperty:["meta","property"],ClassMethod:["key","params","body","decorators","returnType","typeParameters"],ObjectPattern:["properties","typeAnnotation","decorators"],SpreadElement:["argument"],Super:[],TaggedTemplateExpression:["tag","quasi","typeParameters","typeArguments"],TemplateElement:[],TemplateLiteral:["quasis","expressions"],YieldExpression:["argument"],AwaitExpression:["argument"],Import:[],BigIntLiteral:[],ExportNamespaceSpecifier:["exported"],OptionalMemberExpression:["object","property"],OptionalCallExpression:["callee","arguments","typeParameters","typeArguments"],ClassProperty:["key","value","typeAnnotation","decorators","variance"],ClassAccessorProperty:["key","value","typeAnnotation","decorators"],ClassPrivateProperty:["key","value","decorators","typeAnnotation","variance"],ClassPrivateMethod:["key","params","body","decorators","returnType","typeParameters"],PrivateName:["id"],StaticBlock:["body"],AnyTypeAnnotation:[],ArrayTypeAnnotation:["elementType"],BooleanTypeAnnotation:[],BooleanLiteralTypeAnnotation:[],NullLiteralTypeAnnotation:[],ClassImplements:["id","typeParameters"],DeclareClass:["id","typeParameters","extends","mixins","implements","body"],DeclareFunction:["id","predicate"],DeclareInterface:["id","typeParameters","extends","body"],DeclareModule:["id","body"],DeclareModuleExports:["typeAnnotation"],DeclareTypeAlias:["id","typeParameters","right"],DeclareOpaqueType:["id","typeParameters","supertype"],DeclareVariable:["id"],DeclareExportDeclaration:["declaration","specifiers","source"],DeclareExportAllDeclaration:["source"],DeclaredPredicate:["value"],ExistsTypeAnnotation:[],FunctionTypeAnnotation:["typeParameters","params","rest","returnType","this"],FunctionTypeParam:["name","typeAnnotation"],GenericTypeAnnotation:["id","typeParameters"],InferredPredicate:[],InterfaceExtends:["id","typeParameters"],InterfaceDeclaration:["id","typeParameters","extends","body"],InterfaceTypeAnnotation:["extends","body"],IntersectionTypeAnnotation:["types"],MixedTypeAnnotation:[],EmptyTypeAnnotation:[],NullableTypeAnnotation:["typeAnnotation"],NumberLiteralTypeAnnotation:[],NumberTypeAnnotation:[],ObjectTypeAnnotation:["properties","indexers","callProperties","internalSlots"],ObjectTypeInternalSlot:["id","value"],ObjectTypeCallProperty:["value"],ObjectTypeIndexer:["id","key","value","variance"],ObjectTypeProperty:["key","value","variance"],ObjectTypeSpreadProperty:["argument"],OpaqueType:["id","typeParameters","supertype","impltype"],QualifiedTypeIdentifier:["id","qualification"],StringLiteralTypeAnnotation:[],StringTypeAnnotation:[],SymbolTypeAnnotation:[],ThisTypeAnnotation:[],TupleTypeAnnotation:["types","elementTypes"],TypeofTypeAnnotation:["argument","typeArguments"],TypeAlias:["id","typeParameters","right"],TypeAnnotation:["typeAnnotation"],TypeCastExpression:["expression","typeAnnotation"],TypeParameter:["bound","default","variance"],TypeParameterDeclaration:["params"],TypeParameterInstantiation:["params"],UnionTypeAnnotation:["types"],Variance:[],VoidTypeAnnotation:[],EnumDeclaration:["id","body"],EnumBooleanBody:["members"],EnumNumberBody:["members"],EnumStringBody:["members"],EnumSymbolBody:["members"],EnumBooleanMember:["id","init"],EnumNumberMember:["id","init"],EnumStringMember:["id","init"],EnumDefaultedMember:["id"],IndexedAccessType:["objectType","indexType"],OptionalIndexedAccessType:["objectType","indexType"],JSXAttribute:["name","value"],JSXClosingElement:["name"],JSXElement:["openingElement","children","closingElement"],JSXEmptyExpression:[],JSXExpressionContainer:["expression"],JSXSpreadChild:["expression"],JSXIdentifier:[],JSXMemberExpression:["object","property"],JSXNamespacedName:["namespace","name"],JSXOpeningElement:["name","attributes","typeArguments","typeParameters"],JSXSpreadAttribute:["argument"],JSXText:[],JSXFragment:["openingFragment","children","closingFragment"],JSXOpeningFragment:[],JSXClosingFragment:[],Noop:[],Placeholder:[],V8IntrinsicIdentifier:[],ArgumentPlaceholder:[],BindExpression:["object","callee"],ImportAttribute:["key","value"],Decorator:["expression"],DoExpression:["body"],ExportDefaultSpecifier:["exported"],RecordExpression:["properties"],TupleExpression:["elements"],DecimalLiteral:[],ModuleExpression:["body"],TopicReference:[],PipelineTopicExpression:["expression"],PipelineBareFunction:["callee"],PipelinePrimaryTopicReference:[],TSParameterProperty:["parameter","decorators"],TSDeclareFunction:["id","typeParameters","params","returnType","body"],TSDeclareMethod:["decorators","key","typeParameters","params","returnType"],TSQualifiedName:["left","right"],TSCallSignatureDeclaration:["typeParameters","parameters","typeAnnotation","params","returnType"],TSConstructSignatureDeclaration:["typeParameters","parameters","typeAnnotation","params","returnType"],TSPropertySignature:["key","typeAnnotation"],TSMethodSignature:["key","typeParameters","parameters","typeAnnotation","params","returnType"],TSIndexSignature:["parameters","typeAnnotation"],TSAnyKeyword:[],TSBooleanKeyword:[],TSBigIntKeyword:[],TSIntrinsicKeyword:[],TSNeverKeyword:[],TSNullKeyword:[],TSNumberKeyword:[],TSObjectKeyword:[],TSStringKeyword:[],TSSymbolKeyword:[],TSUndefinedKeyword:[],TSUnknownKeyword:[],TSVoidKeyword:[],TSThisType:[],TSFunctionType:["typeParameters","parameters","typeAnnotation","params","returnType"],TSConstructorType:["typeParameters","parameters","typeAnnotation","params","returnType"],TSTypeReference:["typeName","typeParameters","typeArguments"],TSTypePredicate:["parameterName","typeAnnotation"],TSTypeQuery:["exprName","typeParameters","typeArguments"],TSTypeLiteral:["members"],TSArrayType:["elementType"],TSTupleType:["elementTypes"],TSOptionalType:["typeAnnotation"],TSRestType:["typeAnnotation"],TSNamedTupleMember:["label","elementType"],TSUnionType:["types"],TSIntersectionType:["types"],TSConditionalType:["checkType","extendsType","trueType","falseType"],TSInferType:["typeParameter"],TSParenthesizedType:["typeAnnotation"],TSTypeOperator:["typeAnnotation"],TSIndexedAccessType:["objectType","indexType"],TSMappedType:["typeParameter","typeAnnotation","nameType"],TSLiteralType:["literal"],TSExpressionWithTypeArguments:["expression","typeParameters"],TSInterfaceDeclaration:["id","typeParameters","extends","body"],TSInterfaceBody:["body"],TSTypeAliasDeclaration:["id","typeParameters","typeAnnotation"],TSInstantiationExpression:["expression","typeParameters","typeArguments"],TSAsExpression:["expression","typeAnnotation"],TSSatisfiesExpression:["expression","typeAnnotation"],TSTypeAssertion:["typeAnnotation","expression"],TSEnumDeclaration:["id","members"],TSEnumMember:["id","initializer"],TSModuleDeclaration:["id","body"],TSModuleBlock:["body"],TSImportType:["argument","qualifier","typeParameters","typeArguments"],TSImportEqualsDeclaration:["id","moduleReference"],TSExternalModuleReference:["expression"],TSNonNullExpression:["expression"],TSExportAssignment:["expression"],TSNamespaceExportDeclaration:["id"],TSTypeAnnotation:["typeAnnotation"],TSTypeParameterInstantiation:["params"],TSTypeParameterDeclaration:["params"],TSTypeParameter:["constraint","default","name"],ChainExpression:["expression"],ExperimentalRestProperty:["argument"],ExperimentalSpreadProperty:["argument"],Literal:[],MethodDefinition:["decorators","key","value"],PrivateIdentifier:[],Property:["key","value"],PropertyDefinition:["decorators","key","typeAnnotation","value","variance"],AccessorProperty:["decorators","key","typeAnnotation","value"],TSAbstractAccessorProperty:["decorators","key","typeAnnotation"],TSAbstractKeyword:[],TSAbstractMethodDefinition:["key","value"],TSAbstractPropertyDefinition:["decorators","key","typeAnnotation"],TSAsyncKeyword:[],TSClassImplements:["expression","typeArguments","typeParameters"],TSDeclareKeyword:[],TSEmptyBodyFunctionExpression:["id","typeParameters","params","returnType"],TSEnumBody:["members"],TSExportKeyword:[],TSInterfaceHeritage:["expression","typeArguments","typeParameters"],TSPrivateKeyword:[],TSProtectedKeyword:[],TSPublicKeyword:[],TSReadonlyKeyword:[],TSStaticKeyword:[],TSTemplateLiteralType:["quasis","types"],AsConstExpression:["expression"],AsExpression:["expression","typeAnnotation"],BigIntLiteralTypeAnnotation:[],BigIntTypeAnnotation:[],ComponentDeclaration:["id","params","body","typeParameters","rendersType"],ComponentParameter:["name","local"],ComponentTypeAnnotation:["params","rest","typeParameters","rendersType"],ComponentTypeParameter:["name","typeAnnotation"],ConditionalTypeAnnotation:["checkType","extendsType","trueType","falseType"],DeclareComponent:["id","params","rest","typeParameters","rendersType"],DeclareEnum:["id","body"],DeclareHook:["id"],DeclareNamespace:["id","body"],EnumBigIntBody:["members"],EnumBigIntMember:["id","init"],HookDeclaration:["id","params","body","typeParameters","returnType"],HookTypeAnnotation:["params","returnType","rest","typeParameters"],InferTypeAnnotation:["typeParameter"],KeyofTypeAnnotation:["argument"],ObjectTypeMappedTypeProperty:["keyTparam","propType","sourceType","variance"],QualifiedTypeofIdentifier:["qualification","id"],TupleTypeLabeledElement:["label","elementType","variance"],TupleTypeSpreadElement:["label","typeAnnotation"],TypeOperator:["typeAnnotation"],TypePredicate:["parameterName","typeAnnotation","asserts"],NGRoot:["node"],NGPipeExpression:["left","right","arguments"],NGChainedExpression:["expressions"],NGEmptyExpression:[],NGMicrosyntax:["body"],NGMicrosyntaxKey:[],NGMicrosyntaxExpression:["expression","alias"],NGMicrosyntaxKeyedExpression:["key","expression"],NGMicrosyntaxLet:["key","value"],NGMicrosyntaxAs:["key","alias"],JsExpressionRoot:["node"],JsonRoot:["node"],TSJSDocAllType:[],TSJSDocUnknownType:[],TSJSDocNullableType:["typeAnnotation"],TSJSDocNonNullableType:["typeAnnotation"],NeverTypeAnnotation:[],UndefinedTypeAnnotation:[],UnknownTypeAnnotation:[],SatisfiesExpression:["expression","typeAnnotation"]},ao$1=hr(tu$2),gr=ao$1;function oo$2(Ra){let qa=new Set(Ra);return Ja=>qa.has(Ja==null?void 0:Ja.type)}var v=oo$2,po$1=v(["Block","CommentBlock","MultiLine"]),re$1=po$1,co$1=v(["AnyTypeAnnotation","ThisTypeAnnotation","NumberTypeAnnotation","VoidTypeAnnotation","BooleanTypeAnnotation","BigIntTypeAnnotation","SymbolTypeAnnotation","StringTypeAnnotation","NeverTypeAnnotation","UndefinedTypeAnnotation","UnknownTypeAnnotation","EmptyTypeAnnotation","MixedTypeAnnotation"]),Sr=co$1;function lo$1(Ra,qa){let Ja=qa.split(".");for(let ed=Ja.length-1;ed>=0;ed--){let td=Ja[ed];if(ed===0)return Ra.type==="Identifier"&&Ra.name===td;if(Ra.type!=="MemberExpression"||Ra.optional||Ra.computed||Ra.property.type!=="Identifier"||Ra.property.name!==td)return!1;Ra=Ra.object}}function mo$2(Ra,qa){return qa.some(Ja=>lo$1(Ra,Ja))}var ru$1=mo$2;function yo$1({type:Ra}){return Ra.startsWith("TS")&&Ra.endsWith("Keyword")}var Br$1=yo$1;function tr(Ra,qa){return qa(Ra)||Xs$1(Ra,{getVisitorKeys:gr,predicate:qa})}function jt$1(Ra){return Ra.type==="AssignmentExpression"||Ra.type==="BinaryExpression"||Ra.type==="LogicalExpression"||Ra.type==="NGPipeExpression"||Ra.type==="ConditionalExpression"||L$1(Ra)||q$1(Ra)||Ra.type==="SequenceExpression"||Ra.type==="TaggedTemplateExpression"||Ra.type==="BindExpression"||Ra.type==="UpdateExpression"&&!Ra.prefix||Te$1(Ra)||Ra.type==="TSNonNullExpression"||Ra.type==="ChainExpression"}function uu$2(Ra){return Ra.expressions?Ra.expressions[0]:Ra.left??Ra.test??Ra.callee??Ra.object??Ra.tag??Ra.argument??Ra.expression}function Pr(Ra){if(Ra.expressions)return["expressions",0];if(Ra.left)return["left"];if(Ra.test)return["test"];if(Ra.object)return["object"];if(Ra.callee)return["callee"];if(Ra.tag)return["tag"];if(Ra.argument)return["argument"];if(Ra.expression)return["expression"];throw new Error("Unexpected node has no left side.")}var vt=v(["Line","CommentLine","SingleLine","HashbangComment","HTMLOpen","HTMLClose","Hashbang","InterpreterDirective"]),iu$1=v(["ExportDefaultDeclaration","DeclareExportDeclaration","ExportNamedDeclaration","ExportAllDeclaration","DeclareExportAllDeclaration"]),U$1=v(["ArrayExpression","TupleExpression"]),se=v(["ObjectExpression","RecordExpression"]);function au$1(Ra){return Ra.type==="LogicalExpression"&&Ra.operator==="??"}function Ce(Ra){return Ra.type==="NumericLiteral"||Ra.type==="Literal"&&typeof Ra.value=="number"}function jn$2(Ra){return Ra.type==="UnaryExpression"&&(Ra.operator==="+"||Ra.operator==="-")&&Ce(Ra.argument)}function Q$2(Ra){return!!(Ra&&(Ra.type==="StringLiteral"||Ra.type==="Literal"&&typeof Ra.value=="string"))}function vn$1(Ra){return Ra.type==="RegExpLiteral"||Ra.type==="Literal"&&!!Ra.regex}var kr=v(["Literal","BooleanLiteral","BigIntLiteral","DecimalLiteral","DirectiveLiteral","NullLiteral","NumericLiteral","RegExpLiteral","StringLiteral"]),Do=v(["Identifier","ThisExpression","Super","PrivateName","PrivateIdentifier","Import"]),we$1=v(["ObjectTypeAnnotation","TSTypeLiteral","TSMappedType"]),_t$1=v(["FunctionExpression","ArrowFunctionExpression"]);function fo(Ra){return Ra.type==="FunctionExpression"||Ra.type==="ArrowFunctionExpression"&&Ra.body.type==="BlockStatement"}function Ln$1(Ra){return L$1(Ra)&&Ra.callee.type==="Identifier"&&["async","inject","fakeAsync","waitForAsync"].includes(Ra.callee.name)}var X=v(["JSXElement","JSXFragment"]);function gt(Ra){return Ra.method&&Ra.kind==="init"||Ra.kind==="get"||Ra.kind==="set"}function Ir(Ra){return(Ra.type==="ObjectTypeProperty"||Ra.type==="ObjectTypeInternalSlot")&&!Ra.static&&!Ra.method&&Ra.kind!=="get"&&Ra.kind!=="set"&&Ra.value.type==="FunctionTypeAnnotation"}function ou$1(Ra){return(Ra.type==="TypeAnnotation"||Ra.type==="TSTypeAnnotation")&&Ra.typeAnnotation.type==="FunctionTypeAnnotation"&&!Ra.static&&!ht$1(Ra,Ra.typeAnnotation)}var De=v(["BinaryExpression","LogicalExpression","NGPipeExpression"]);function Ft$2(Ra){return q$1(Ra)||Ra.type==="BindExpression"&&!!Ra.object}var Eo=v(["TSThisType","NullLiteralTypeAnnotation","BooleanLiteralTypeAnnotation","StringLiteralTypeAnnotation","BigIntLiteralTypeAnnotation","NumberLiteralTypeAnnotation","TSLiteralType","TSTemplateLiteralType"]);function Mt$1(Ra){return Br$1(Ra)||Sr(Ra)||Eo(Ra)||(Ra.type==="GenericTypeAnnotation"||Ra.type==="TSTypeReference")&&!Ra.typeParameters&&!Ra.typeArguments}function Fo(Ra){return Ra.type==="Identifier"&&(Ra.name==="beforeEach"||Ra.name==="beforeAll"||Ra.name==="afterEach"||Ra.name==="afterAll")}var Co=["it","it.only","it.skip","describe","describe.only","describe.skip","test","test.only","test.skip","test.step","test.describe","test.describe.only","test.describe.parallel","test.describe.parallel.only","test.describe.serial","test.describe.serial.only","skip","xit","xdescribe","xtest","fit","fdescribe","ftest"];function Ao(Ra){return ru$1(Ra,Co)}function St$1(Ra,qa){if((Ra==null?void 0:Ra.type)!=="CallExpression"||Ra.optional)return!1;let Ja=oe$1(Ra);if(Ja.length===1){if(Ln$1(Ra)&&St$1(qa))return _t$1(Ja[0]);if(Fo(Ra.callee))return Ln$1(Ja[0])}else if((Ja.length===2||Ja.length===3)&&(Ja[0].type==="TemplateLiteral"||Q$2(Ja[0]))&&Ao(Ra.callee))return Ja[2]&&!Ce(Ja[2])?!1:(Ja.length===2?_t$1(Ja[1]):fo(Ja[1])&&K$1(Ja[1]).length<=1)||Ln$1(Ja[1]);return!1}var pu=Ra=>qa=>((qa==null?void 0:qa.type)==="ChainExpression"&&(qa=qa.expression),Ra(qa)),L$1=pu(v(["CallExpression","OptionalCallExpression"])),q$1=pu(v(["MemberExpression","OptionalMemberExpression"]));function Mn$1(Ra,qa=5){return cu$1(Ra,qa)<=qa}function cu$1(Ra,qa){let Ja=0;for(let ed in Ra){let td=Ra[ed];if(td&&typeof td=="object"&&typeof td.type=="string"&&(Ja++,Ja+=cu$1(td,qa-Ja)),Ja>qa)return Ja}return Ja}var To=.25;function rr(Ra,qa){let{printWidth:Ja}=qa;if(d(Ra))return!1;let ed=Ja*To;if(Ra.type==="ThisExpression"||Ra.type==="Identifier"&&Ra.name.length<=ed||jn$2(Ra)&&!d(Ra.argument))return!0;let td=Ra.type==="Literal"&&"regex"in Ra&&Ra.regex.pattern||Ra.type==="RegExpLiteral"&&Ra.pattern;return td?td.length<=ed:Q$2(Ra)?tt$1(fe$1(Ra),qa).length<=ed:Ra.type==="TemplateLiteral"?Ra.expressions.length===0&&Ra.quasis[0].value.raw.length<=ed&&!Ra.quasis[0].value.raw.includes(`
|
||
`):Ra.type==="UnaryExpression"?rr(Ra.argument,{printWidth:Ja}):Ra.type==="CallExpression"&&Ra.arguments.length===0&&Ra.callee.type==="Identifier"?Ra.callee.name.length<=ed-2:kr(Ra)}function Oe$1(Ra,qa){return X(qa)?Bt$2(qa):d(qa,g$2.Leading,Ja=>te$1(Ra,k(Ja)))}function nu$2(Ra){return Ra.quasis.some(qa=>qa.value.raw.includes(`
|
||
`))}function Lr(Ra,qa){return(Ra.type==="TemplateLiteral"&&nu$2(Ra)||Ra.type==="TaggedTemplateExpression"&&nu$2(Ra.quasi))&&!te$1(qa,R$1(Ra),{backwards:!0})}function wr(Ra){if(!d(Ra))return!1;let qa=O$1(!1,ct(Ra,g$2.Dangling),-1);return qa&&!re$1(qa)}function lu$1(Ra){if(Ra.length<=1)return!1;let qa=0;for(let Ja of Ra)if(_t$1(Ja)){if(qa+=1,qa>1)return!0}else if(L$1(Ja)){for(let ed of oe$1(Ja))if(_t$1(ed))return!0}return!1}function Or(Ra){let{node:qa,parent:Ja,key:ed}=Ra;return ed==="callee"&&L$1(qa)&&L$1(Ja)&&Ja.arguments.length>0&&qa.arguments.length>Ja.arguments.length}var xo$1=new Set(["!","-","+","~"]);function be$2(Ra,qa=2){if(qa<=0)return!1;if(Ra.type==="ChainExpression"||Ra.type==="TSNonNullExpression")return be$2(Ra.expression,qa);let Ja=ed=>be$2(ed,qa-1);if(vn$1(Ra))return et$1(Ra.pattern??Ra.regex.pattern)<=5;if(kr(Ra)||Do(Ra)||Ra.type==="ArgumentPlaceholder")return!0;if(Ra.type==="TemplateLiteral")return Ra.quasis.every(ed=>!ed.value.raw.includes(`
|
||
`))&&Ra.expressions.every(Ja);if(se(Ra))return Ra.properties.every(ed=>!ed.computed&&(ed.shorthand||ed.value&&Ja(ed.value)));if(U$1(Ra))return Ra.elements.every(ed=>ed===null||Ja(ed));if(lt$1(Ra)){if(Ra.type==="ImportExpression"||be$2(Ra.callee,qa)){let ed=oe$1(Ra);return ed.length<=qa&&ed.every(Ja)}return!1}return q$1(Ra)?be$2(Ra.object,qa)&&be$2(Ra.property,qa):Ra.type==="UnaryExpression"&&xo$1.has(Ra.operator)||Ra.type==="UpdateExpression"?be$2(Ra.argument,qa):!1}function fe$1(Ra){var qa;return((qa=Ra.extra)==null?void 0:qa.raw)??Ra.raw}function mu$1(Ra){return Ra}function ae(Ra,qa="es5"){return Ra.trailingComma==="es5"&&qa==="es5"||Ra.trailingComma==="all"&&(qa==="all"||qa==="es5")}function ie$1(Ra,qa){switch(Ra.type){case"BinaryExpression":case"LogicalExpression":case"AssignmentExpression":case"NGPipeExpression":return ie$1(Ra.left,qa);case"MemberExpression":case"OptionalMemberExpression":return ie$1(Ra.object,qa);case"TaggedTemplateExpression":return Ra.tag.type==="FunctionExpression"?!1:ie$1(Ra.tag,qa);case"CallExpression":case"OptionalCallExpression":return Ra.callee.type==="FunctionExpression"?!1:ie$1(Ra.callee,qa);case"ConditionalExpression":return ie$1(Ra.test,qa);case"UpdateExpression":return!Ra.prefix&&ie$1(Ra.argument,qa);case"BindExpression":return Ra.object&&ie$1(Ra.object,qa);case"SequenceExpression":return ie$1(Ra.expressions[0],qa);case"ChainExpression":case"TSSatisfiesExpression":case"TSAsExpression":case"TSNonNullExpression":case"AsExpression":case"AsConstExpression":case"SatisfiesExpression":return ie$1(Ra.expression,qa);default:return qa(Ra)}}var su$2={"==":!0,"!=":!0,"===":!0,"!==":!0},br={"*":!0,"/":!0,"%":!0},_n$2={">>":!0,">>>":!0,"<<":!0};function nr(Ra,qa){return!(er(qa)!==er(Ra)||Ra==="**"||su$2[Ra]&&su$2[qa]||qa==="%"&&br[Ra]||Ra==="%"&&br[qa]||qa!==Ra&&br[qa]&&br[Ra]||_n$2[Ra]&&_n$2[qa])}var ho$1=new Map([["|>"],["??"],["||"],["&&"],["|"],["^"],["&"],["==","===","!=","!=="],["<",">","<=",">=","in","instanceof"],[">>","<<",">>>"],["+","-"],["*","/","%"],["**"]].flatMap((Ra,qa)=>Ra.map(Ja=>[Ja,qa])));function er(Ra){return ho$1.get(Ra)}function yu(Ra){return!!_n$2[Ra]||Ra==="|"||Ra==="^"||Ra==="&"}function Du$1(Ra){var qa;if(Ra.rest)return!0;let Ja=K$1(Ra);return((qa=O$1(!1,Ja,-1))==null?void 0:qa.type)==="RestElement"}var wn$2=new WeakMap;function K$1(Ra){if(wn$2.has(Ra))return wn$2.get(Ra);let qa=[];return Ra.this&&qa.push(Ra.this),Array.isArray(Ra.parameters)?qa.push(...Ra.parameters):Array.isArray(Ra.params)&&qa.push(...Ra.params),Ra.rest&&qa.push(Ra.rest),wn$2.set(Ra,qa),qa}function fu(Ra,qa){let{node:Ja}=Ra,ed=0,td=rd=>qa(rd,ed++);Ja.this&&Ra.call(td,"this"),Array.isArray(Ja.parameters)?Ra.each(td,"parameters"):Array.isArray(Ja.params)&&Ra.each(td,"params"),Ja.rest&&Ra.call(td,"rest")}var On$1=new WeakMap;function oe$1(Ra){if(On$1.has(Ra))return On$1.get(Ra);if(Ra.type==="ChainExpression")return oe$1(Ra.expression);let qa=Ra.arguments;return Ra.type==="ImportExpression"&&(qa=[Ra.source],Ra.attributes&&qa.push(Ra.attributes),Ra.options&&qa.push(Ra.options)),On$1.set(Ra,qa),qa}function Rt$2(Ra,qa){let{node:Ja}=Ra;if(Ja.type==="ChainExpression")return Ra.call(()=>Rt$2(Ra,qa),"expression");Ja.type==="ImportExpression"?(Ra.call(ed=>qa(ed,0),"source"),Ja.attributes&&Ra.call(ed=>qa(ed,1),"attributes"),Ja.options&&Ra.call(ed=>qa(ed,1),"options")):Ra.each(qa,"arguments")}function Rn$1(Ra,qa){let Ja=[];if(Ra.type==="ChainExpression"&&(Ra=Ra.expression,Ja.push("expression")),Ra.type==="ImportExpression"){if(qa===0||qa===(Ra.attributes||Ra.options?-2:-1))return[...Ja,"source"];if(Ra.attributes&&(qa===1||qa===-1))return[...Ja,"attributes"];if(Ra.options&&(qa===1||qa===-1))return[...Ja,"options"];throw new RangeError("Invalid argument index")}if(qa<0&&(qa=Ra.arguments.length+qa),qa<0||qa>=Ra.arguments.length)throw new RangeError("Invalid argument index");return[...Ja,"arguments",qa]}function sr(Ra){return Ra.value.trim()==="prettier-ignore"&&!Ra.unignore}function Bt$2(Ra){return(Ra==null?void 0:Ra.prettierIgnore)||d(Ra,g$2.PrettierIgnore)}var g$2={Leading:2,Trailing:4,Dangling:8,Block:16,Line:32,PrettierIgnore:64,First:128,Last:256},Eu$1=(Ra,qa)=>{if(typeof Ra=="function"&&(qa=Ra,Ra=0),Ra||qa)return(Ja,ed,td)=>!(Ra&g$2.Leading&&!Ja.leading||Ra&g$2.Trailing&&!Ja.trailing||Ra&g$2.Dangling&&(Ja.leading||Ja.trailing)||Ra&g$2.Block&&!re$1(Ja)||Ra&g$2.Line&&!vt(Ja)||Ra&g$2.First&&ed!==0||Ra&g$2.Last&&ed!==td.length-1||Ra&g$2.PrettierIgnore&&!sr(Ja)||qa&&!qa(Ja))};function d(Ra,qa,Ja){if(!w(Ra==null?void 0:Ra.comments))return!1;let ed=Eu$1(qa,Ja);return ed?Ra.comments.some(ed):!0}function ct(Ra,qa,Ja){if(!Array.isArray(Ra==null?void 0:Ra.comments))return[];let ed=Eu$1(qa,Ja);return ed?Ra.comments.filter(ed):Ra.comments}var pe=(Ra,{originalText:qa})=>Ot$2(qa,k(Ra));function lt$1(Ra){return L$1(Ra)||Ra.type==="NewExpression"||Ra.type==="ImportExpression"}function Ae$1(Ra){return Ra&&(Ra.type==="ObjectProperty"||Ra.type==="Property"&&!gt(Ra))}var Te$1=v(["TSAsExpression","TSSatisfiesExpression","AsExpression","AsConstExpression","SatisfiesExpression"]),Ne=v(["UnionTypeAnnotation","TSUnionType"]),_r=v(["IntersectionTypeAnnotation","TSIntersectionType"]),go$2=new Set(["range","raw","comments","leadingComments","trailingComments","innerComments","extra","start","end","loc","flags","errors","tokens"]),Jt$2=Ra=>{for(let qa of Ra.quasis)delete qa.value};function Fu(Ra,qa,Ja){var ed,td;if(Ra.type==="Program"&&delete qa.sourceType,(Ra.type==="BigIntLiteral"||Ra.type==="BigIntLiteralTypeAnnotation")&&Ra.value&&(qa.value=Ra.value.toLowerCase()),(Ra.type==="BigIntLiteral"||Ra.type==="Literal")&&Ra.bigint&&(qa.bigint=Ra.bigint.toLowerCase()),Ra.type==="DecimalLiteral"&&(qa.value=Number(Ra.value)),Ra.type==="Literal"&&qa.decimal&&(qa.decimal=Number(Ra.decimal)),Ra.type==="EmptyStatement"||Ra.type==="JSXText"||Ra.type==="JSXExpressionContainer"&&(Ra.expression.type==="Literal"||Ra.expression.type==="StringLiteral")&&Ra.expression.value===" ")return null;if((Ra.type==="Property"||Ra.type==="ObjectProperty"||Ra.type==="MethodDefinition"||Ra.type==="ClassProperty"||Ra.type==="ClassMethod"||Ra.type==="PropertyDefinition"||Ra.type==="TSDeclareMethod"||Ra.type==="TSPropertySignature"||Ra.type==="ObjectTypeProperty"||Ra.type==="ImportAttribute")&&Ra.key&&!Ra.computed){let{key:sd}=Ra;Q$2(sd)||Ce(sd)?qa.key=String(sd.value):sd.type==="Identifier"&&(qa.key=sd.name)}if(Ra.type==="JSXElement"&&Ra.openingElement.name.name==="style"&&Ra.openingElement.attributes.some(sd=>sd.type==="JSXAttribute"&&sd.name.name==="jsx"))for(let{type:sd,expression:od}of qa.children)sd==="JSXExpressionContainer"&&od.type==="TemplateLiteral"&&Jt$2(od);Ra.type==="JSXAttribute"&&Ra.name.name==="css"&&Ra.value.type==="JSXExpressionContainer"&&Ra.value.expression.type==="TemplateLiteral"&&Jt$2(qa.value.expression),Ra.type==="JSXAttribute"&&((ed=Ra.value)==null?void 0:ed.type)==="Literal"&&/["']|"|'/u.test(Ra.value.value)&&(qa.value.value=N$1(!1,Ra.value.value,/["']|"|'/gu,'"'));let rd=Ra.expression||Ra.callee;if(Ra.type==="Decorator"&&rd.type==="CallExpression"&&rd.callee.name==="Component"&&rd.arguments.length===1){let sd=Ra.expression.arguments[0].properties;for(let[od,ld]of qa.expression.arguments[0].properties.entries())switch(sd[od].key.name){case"styles":U$1(ld.value)&&Jt$2(ld.value.elements[0]);break;case"template":ld.value.type==="TemplateLiteral"&&Jt$2(ld.value);break}}Ra.type==="TaggedTemplateExpression"&&(Ra.tag.type==="MemberExpression"||Ra.tag.type==="Identifier"&&(Ra.tag.name==="gql"||Ra.tag.name==="graphql"||Ra.tag.name==="css"||Ra.tag.name==="md"||Ra.tag.name==="markdown"||Ra.tag.name==="html")||Ra.tag.type==="CallExpression")&&Jt$2(qa.quasi),Ra.type==="TemplateLiteral"&&((td=Ra.leadingComments)!=null&&td.some(sd=>re$1(sd)&&["GraphQL","HTML"].some(od=>sd.value===` ${od} `))||Ja.type==="CallExpression"&&Ja.callee.name==="graphql"||!Ra.leadingComments)&&Jt$2(qa),Ra.type==="ChainExpression"&&Ra.expression.type==="TSNonNullExpression"&&(qa.type="TSNonNullExpression",qa.expression.type="ChainExpression"),Ra.type==="TSMappedType"&&(delete qa.key,delete qa.constraint),Ra.type==="TSEnumDeclaration"&&delete qa.body}Fu.ignoredProperties=go$2;var Cu$1=Fu,rt$1="string",_e$1="array",nt="cursor",Xe$1="indent",Ye$1="align",st="trim",le$1="group",Pe$1="fill",xe$2="if-break",He$1="indent-if-break",Ve$1="line-suffix",$e$2="line-suffix-boundary",me="line",je$2="label",ve$1="break-parent",jr$1=new Set([nt,Xe$1,Ye$1,st,le$1,Pe$1,xe$2,He$1,Ve$1,$e$2,me,je$2,ve$1]);function So(Ra){if(typeof Ra=="string")return rt$1;if(Array.isArray(Ra))return _e$1;if(!Ra)return;let{type:qa}=Ra;if(jr$1.has(qa))return qa}var ut=So,Bo=Ra=>new Intl.ListFormat("en-US",{type:"disjunction"}).format(Ra);function bo$1(Ra){let qa=Ra===null?"null":typeof Ra;if(qa!=="string"&&qa!=="object")return`Unexpected doc '${qa}',
|
||
Expected it to be 'string' or 'object'.`;if(ut(Ra))throw new Error("doc is valid.");let Ja=Object.prototype.toString.call(Ra);if(Ja!=="[object Object]")return`Unexpected doc '${Ja}'.`;let ed=Bo([...jr$1].map(td=>`'${td}'`));return`Unexpected doc.type '${Ra.type}'.
|
||
Expected it to be ${ed}.`}var Jn$2=class extends Error{constructor(Ja){super(bo$1(Ja));n1(this,"name","InvalidDocError");this.doc=Ja}},Ct$1=Jn$2,Au={};function Po(Ra,qa,Ja,ed){let td=[Ra];for(;td.length>0;){let rd=td.pop();if(rd===Au){Ja(td.pop());continue}Ja&&td.push(rd,Au);let sd=ut(rd);if(!sd)throw new Ct$1(rd);if((qa==null?void 0:qa(rd))!==!1)switch(sd){case _e$1:case Pe$1:{let od=sd===_e$1?rd:rd.parts;for(let ld=od.length,cd=ld-1;cd>=0;--cd)td.push(od[cd]);break}case xe$2:td.push(rd.flatContents,rd.breakContents);break;case le$1:if(ed&&rd.expandedStates)for(let od=rd.expandedStates.length,ld=od-1;ld>=0;--ld)td.push(rd.expandedStates[ld]);else td.push(rd.contents);break;case Ye$1:case Xe$1:case He$1:case je$2:case Ve$1:td.push(rd.contents);break;case rt$1:case nt:case st:case $e$2:case me:case ve$1:break;default:throw new Ct$1(rd)}}}var qn$2=Po,Tu$1=()=>{},vr=Tu$1;function f(Ra){return{type:Xe$1,contents:Ra}}function he$1(Ra,qa){return{type:Ye$1,contents:qa,n:Ra}}function l(Ra,qa={}){return vr(qa.expandedStates),{type:le$1,id:qa.id,contents:Ra,break:!!qa.shouldBreak,expandedStates:qa.expandedStates}}function du$1(Ra){return he$1(Number.NEGATIVE_INFINITY,Ra)}function Mr$1(Ra){return he$1(-1,Ra)}function ze$1(Ra,qa){return l(Ra[0],{...qa,expandedStates:Ra})}function qt$1(Ra){return{type:Pe$1,parts:Ra}}function b(Ra,qa="",Ja={}){return{type:xe$2,breakContents:Ra,flatContents:qa,groupId:Ja.groupId}}function At$2(Ra,qa){return{type:He$1,contents:Ra,groupId:qa.groupId,negate:qa.negate}}function Wn$1(Ra){return{type:Ve$1,contents:Ra}}var ke$2={type:$e$2},Ee$1={type:ve$1},Gn$2={type:me,hard:!0},ko={type:me,hard:!0,literal:!0},x={type:me},E$1={type:me,soft:!0},F=[Gn$2,Ee$1],Rr=[ko,Ee$1],Un$1={type:nt};function P$1(Ra,qa){let Ja=[];for(let ed=0;ed<qa.length;ed++)ed!==0&&Ja.push(Ra),Ja.push(qa[ed]);return Ja}function xu(Ra,qa,Ja){let ed=Ra;if(qa>0){for(let td=0;td<Math.floor(qa/Ja);++td)ed=f(ed);ed=he$1(qa%Ja,ed),ed=he$1(Number.NEGATIVE_INFINITY,ed)}return ed}function it$1(Ra,qa){return Ra?{type:je$2,label:Ra,contents:qa}:qa}function mt$1(Ra,qa){if(typeof Ra=="string")return qa(Ra);let Ja=new Map;return ed(Ra);function ed(rd){if(Ja.has(rd))return Ja.get(rd);let sd=td(rd);return Ja.set(rd,sd),sd}function td(rd){switch(ut(rd)){case _e$1:return qa(rd.map(ed));case Pe$1:return qa({...rd,parts:rd.parts.map(ed)});case xe$2:return qa({...rd,breakContents:ed(rd.breakContents),flatContents:ed(rd.flatContents)});case le$1:{let{expandedStates:sd,contents:od}=rd;return sd?(sd=sd.map(ed),od=sd[0]):od=ed(od),qa({...rd,contents:od,expandedStates:sd})}case Ye$1:case Xe$1:case He$1:case je$2:case Ve$1:return qa({...rd,contents:ed(rd.contents)});case rt$1:case nt:case st:case $e$2:case me:case ve$1:return qa(rd);default:throw new Ct$1(rd)}}}function gu(Ra,qa,Ja){let ed=Ja,td=!1;function rd(sd){if(td)return!1;let od=qa(sd);od!==void 0&&(td=!0,ed=od)}return qn$2(Ra,rd),ed}function Io(Ra){if(Ra.type===le$1&&Ra.break||Ra.type===me&&Ra.hard||Ra.type===ve$1)return!0}function ne$1(Ra){return gu(Ra,Io,!1)}function hu$1(Ra){if(Ra.length>0){let qa=O$1(!1,Ra,-1);!qa.expandedStates&&!qa.break&&(qa.break="propagated")}return null}function Su(Ra){let qa=new Set,Ja=[];function ed(rd){if(rd.type===ve$1&&hu$1(Ja),rd.type===le$1){if(Ja.push(rd),qa.has(rd))return!1;qa.add(rd)}}function td(rd){rd.type===le$1&&Ja.pop().break&&hu$1(Ja)}qn$2(Ra,ed,td,!0)}function Lo$2(Ra){return Ra.type===me&&!Ra.hard?Ra.soft?"":" ":Ra.type===xe$2?Ra.flatContents:Ra}function ur(Ra){return mt$1(Ra,Lo$2)}function wo$1(Ra){switch(ut(Ra)){case Pe$1:if(Ra.parts.every(qa=>qa===""))return"";break;case le$1:if(!Ra.contents&&!Ra.id&&!Ra.break&&!Ra.expandedStates)return"";if(Ra.contents.type===le$1&&Ra.contents.id===Ra.id&&Ra.contents.break===Ra.break&&Ra.contents.expandedStates===Ra.expandedStates)return Ra.contents;break;case Ye$1:case Xe$1:case He$1:case Ve$1:if(!Ra.contents)return"";break;case xe$2:if(!Ra.flatContents&&!Ra.breakContents)return"";break;case _e$1:{let qa=[];for(let Ja of Ra){if(!Ja)continue;let[ed,...td]=Array.isArray(Ja)?Ja:[Ja];typeof ed=="string"&&typeof O$1(!1,qa,-1)=="string"?qa[qa.length-1]+=ed:qa.push(ed),qa.push(...td)}return qa.length===0?"":qa.length===1?qa[0]:qa}case rt$1:case nt:case st:case $e$2:case me:case je$2:case ve$1:break;default:throw new Ct$1(Ra)}return Ra}function Wt(Ra){return mt$1(Ra,qa=>wo$1(qa))}function Ie(Ra,qa=Rr){return mt$1(Ra,Ja=>typeof Ja=="string"?P$1(qa,Ja.split(`
|
||
`)):Ja)}function Oo(Ra){if(Ra.type===me)return!0}function Bu(Ra){return gu(Ra,Oo,!1)}function ir$1(Ra,qa){return Ra.type===je$2?{...Ra,contents:qa(Ra.contents)}:qa(Ra)}function _o(Ra){let qa=`*${Ra.value}*`.split(`
|
||
`);return qa.length>1&&qa.every(Ja=>Ja.trimStart()[0]==="*")}var bu=_o;function Pu(Ra,qa){let Ja=Ra.node;if(vt(Ja))return qa.originalText.slice(R$1(Ja),k(Ja)).trimEnd();if(re$1(Ja))return bu(Ja)?jo$1(Ja):["/*",Ie(Ja.value),"*/"];throw new Error("Not a comment: "+JSON.stringify(Ja))}function jo$1(Ra){let qa=Ra.value.split(`
|
||
`);return["/*",P$1(F,qa.map((Ja,ed)=>ed===0?Ja.trimEnd():" "+(ed<qa.length-1?Ja.trim():Ja.trimStart()))),"*/"]}var Kn$1={};Ar(Kn$1,{endOfLine:()=>Go,ownLine:()=>Wo$1,remaining:()=>Uo$2});function vo(Ra){let qa=Ra.type||Ra.kind||"(unknown type)",Ja=String(Ra.name||Ra.id&&(typeof Ra.id=="object"?Ra.id.name:Ra.id)||Ra.key&&(typeof Ra.key=="object"?Ra.key.name:Ra.key)||Ra.value&&(typeof Ra.value=="object"?"":String(Ra.value))||Ra.operator||"");return Ja.length>20&&(Ja=Ja.slice(0,19)+"…"),qa+(Ja?" "+Ja:"")}function Nn$1(Ra,qa){(Ra.comments??(Ra.comments=[])).push(qa),qa.printed=!1,qa.nodeDescription=vo(Ra)}function ce$1(Ra,qa){qa.leading=!0,qa.trailing=!1,Nn$1(Ra,qa)}function Le$1(Ra,qa,Ja){qa.leading=!1,qa.trailing=!1,Ja&&(qa.marker=Ja),Nn$1(Ra,qa)}function z$1(Ra,qa){qa.leading=!1,qa.trailing=!0,Nn$1(Ra,qa)}function Mo$1(Ra,qa){let Ja=null,ed=qa;for(;ed!==Ja;)Ja=ed,ed=Ge$1(Ra,ed),ed=Lt$1(Ra,ed),ed=wt(Ra,ed),ed=Ue$1(Ra,ed);return ed}var yt$1=Mo$1;function Ro(Ra,qa){let Ja=yt$1(Ra,qa);return Ja===!1?"":Ra.charAt(Ja)}var ge$1=Ro;function Jo$1(Ra,qa,Ja){for(let ed=qa;ed<Ja;++ed)if(Ra.charAt(ed)===`
|
||
`)return!0;return!1}var de$1=Jo$1;function qo(Ra){return re$1(Ra)&&Ra.value[0]==="*"&&/@(?:type|satisfies)\b/u.test(Ra.value)}var ku=qo;function Wo$1(Ra){return[Mu,Lu,_u$1,ep,Xo,Yn$2,Hn$1,Iu,wu$1,sp,rp,$n$2,vu$1,up,Ou,ju$1,Vn$1,Yo,yp].some(qa=>qa(Ra))}function Go(Ra){return[No,_u$1,Lu,vu$1,Yn$2,Hn$1,Iu,wu$1,ju$1,tp,np,$n$2,op$1,Vn$1,lp,mp].some(qa=>qa(Ra))}function Uo$2(Ra){return[Mu,Yn$2,Hn$1,Ho$2,Zo$1,Ou,$n$2,Qo,zo$1,cp,Vn$1,pp].some(qa=>qa(Ra))}function bt$1(Ra,qa){let Ja=(Ra.body||Ra.properties).find(({type:ed})=>ed!=="EmptyStatement");Ja?ce$1(Ja,qa):Le$1(Ra,qa)}function Xn$2(Ra,qa){Ra.type==="BlockStatement"?bt$1(Ra,qa):ce$1(Ra,qa)}function No({comment:Ra,followingNode:qa}){return qa&&ku(Ra)?(ce$1(qa,Ra),!0):!1}function Yn$2({comment:Ra,precedingNode:qa,enclosingNode:Ja,followingNode:ed,text:td}){if((Ja==null?void 0:Ja.type)!=="IfStatement"||!ed)return!1;if(ge$1(td,k(Ra))===")")return z$1(qa,Ra),!0;if(qa===Ja.consequent&&ed===Ja.alternate){if(qa.type==="BlockStatement")z$1(qa,Ra);else{let rd=vt(Ra)||Ra.loc.start.line===Ra.loc.end.line,sd=Ra.loc.start.line===qa.loc.start.line;rd&&sd?z$1(qa,Ra):Le$1(Ja,Ra)}return!0}return ed.type==="BlockStatement"?(bt$1(ed,Ra),!0):ed.type==="IfStatement"?(Xn$2(ed.consequent,Ra),!0):Ja.consequent===ed?(ce$1(ed,Ra),!0):!1}function Hn$1({comment:Ra,precedingNode:qa,enclosingNode:Ja,followingNode:ed,text:td}){return(Ja==null?void 0:Ja.type)!=="WhileStatement"||!ed?!1:ge$1(td,k(Ra))===")"?(z$1(qa,Ra),!0):ed.type==="BlockStatement"?(bt$1(ed,Ra),!0):Ja.body===ed?(ce$1(ed,Ra),!0):!1}function Iu({comment:Ra,precedingNode:qa,enclosingNode:Ja,followingNode:ed}){return(Ja==null?void 0:Ja.type)!=="TryStatement"&&(Ja==null?void 0:Ja.type)!=="CatchClause"||!ed?!1:Ja.type==="CatchClause"&&qa?(z$1(qa,Ra),!0):ed.type==="BlockStatement"?(bt$1(ed,Ra),!0):ed.type==="TryStatement"?(Xn$2(ed.finalizer,Ra),!0):ed.type==="CatchClause"?(Xn$2(ed.body,Ra),!0):!1}function Xo({comment:Ra,enclosingNode:qa,followingNode:Ja}){return q$1(qa)&&(Ja==null?void 0:Ja.type)==="Identifier"?(ce$1(qa,Ra),!0):!1}function Yo({comment:Ra,enclosingNode:qa,followingNode:Ja,options:ed}){return!ed.experimentalTernaries||!((qa==null?void 0:qa.type)==="ConditionalExpression"||(qa==null?void 0:qa.type)==="ConditionalTypeAnnotation"||(qa==null?void 0:qa.type)==="TSConditionalType")?!1:(Ja==null?void 0:Ja.type)==="ConditionalExpression"||(Ja==null?void 0:Ja.type)==="ConditionalTypeAnnotation"||(Ja==null?void 0:Ja.type)==="TSConditionalType"?(Le$1(qa,Ra),!0):!1}function Lu({comment:Ra,precedingNode:qa,enclosingNode:Ja,followingNode:ed,text:td,options:rd}){let sd=qa&&!de$1(td,k(qa),R$1(Ra));return(!qa||!sd)&&((Ja==null?void 0:Ja.type)==="ConditionalExpression"||(Ja==null?void 0:Ja.type)==="ConditionalTypeAnnotation"||(Ja==null?void 0:Ja.type)==="TSConditionalType")&&ed?rd.experimentalTernaries&&Ja.alternate===ed&&!(re$1(Ra)&&!de$1(rd.originalText,R$1(Ra),k(Ra)))?(Le$1(Ja,Ra),!0):(ce$1(ed,Ra),!0):!1}function Ho$2({comment:Ra,precedingNode:qa,enclosingNode:Ja}){return Ae$1(Ja)&&Ja.shorthand&&Ja.key===qa&&Ja.value.type==="AssignmentPattern"?(z$1(Ja.value.left,Ra),!0):!1}var Vo=new Set(["ClassDeclaration","ClassExpression","DeclareClass","DeclareInterface","InterfaceDeclaration","TSInterfaceDeclaration"]);function wu$1({comment:Ra,precedingNode:qa,enclosingNode:Ja,followingNode:ed}){if(Vo.has(Ja==null?void 0:Ja.type)){if(w(Ja.decorators)&&(ed==null?void 0:ed.type)!=="Decorator")return z$1(O$1(!1,Ja.decorators,-1),Ra),!0;if(Ja.body&&ed===Ja.body)return bt$1(Ja.body,Ra),!0;if(ed){if(Ja.superClass&&ed===Ja.superClass&&qa&&(qa===Ja.id||qa===Ja.typeParameters))return z$1(qa,Ra),!0;for(let td of["implements","extends","mixins"])if(Ja[td]&&ed===Ja[td][0])return qa&&(qa===Ja.id||qa===Ja.typeParameters||qa===Ja.superClass)?z$1(qa,Ra):Le$1(Ja,Ra,td),!0}}return!1}var $o=new Set(["ClassMethod","ClassProperty","PropertyDefinition","TSAbstractPropertyDefinition","TSAbstractMethodDefinition","TSDeclareMethod","MethodDefinition","ClassAccessorProperty","AccessorProperty","TSAbstractAccessorProperty"]);function Ou({comment:Ra,precedingNode:qa,enclosingNode:Ja,text:ed}){return Ja&&qa&&ge$1(ed,k(Ra))==="("&&(Ja.type==="Property"||Ja.type==="TSDeclareMethod"||Ja.type==="TSAbstractMethodDefinition")&&qa.type==="Identifier"&&Ja.key===qa&&ge$1(ed,k(qa))!==":"||(qa==null?void 0:qa.type)==="Decorator"&&$o.has(Ja==null?void 0:Ja.type)?(z$1(qa,Ra),!0):!1}var Ko$1=new Set(["FunctionDeclaration","FunctionExpression","ClassMethod","MethodDefinition","ObjectMethod"]);function zo$1({comment:Ra,precedingNode:qa,enclosingNode:Ja,text:ed}){return ge$1(ed,k(Ra))!=="("?!1:qa&&Ko$1.has(Ja==null?void 0:Ja.type)?(z$1(qa,Ra),!0):!1}function Qo({comment:Ra,enclosingNode:qa,text:Ja}){if((qa==null?void 0:qa.type)!=="ArrowFunctionExpression")return!1;let ed=yt$1(Ja,k(Ra));return ed!==!1&&Ja.slice(ed,ed+2)==="=>"?(Le$1(qa,Ra),!0):!1}function Zo$1({comment:Ra,enclosingNode:qa,text:Ja}){return ge$1(Ja,k(Ra))!==")"?!1:qa&&(Ru$1(qa)&&K$1(qa).length===0||lt$1(qa)&&oe$1(qa).length===0)?(Le$1(qa,Ra),!0):((qa==null?void 0:qa.type)==="MethodDefinition"||(qa==null?void 0:qa.type)==="TSAbstractMethodDefinition")&&K$1(qa.value).length===0?(Le$1(qa.value,Ra),!0):!1}function ep({comment:Ra,precedingNode:qa,enclosingNode:Ja,followingNode:ed,text:td}){return(qa==null?void 0:qa.type)==="ComponentTypeParameter"&&((Ja==null?void 0:Ja.type)==="DeclareComponent"||(Ja==null?void 0:Ja.type)==="ComponentTypeAnnotation")&&(ed==null?void 0:ed.type)!=="ComponentTypeParameter"||((qa==null?void 0:qa.type)==="ComponentParameter"||(qa==null?void 0:qa.type)==="RestElement")&&(Ja==null?void 0:Ja.type)==="ComponentDeclaration"&&ge$1(td,k(Ra))===")"?(z$1(qa,Ra),!0):!1}function _u$1({comment:Ra,precedingNode:qa,enclosingNode:Ja,followingNode:ed,text:td}){return(qa==null?void 0:qa.type)==="FunctionTypeParam"&&(Ja==null?void 0:Ja.type)==="FunctionTypeAnnotation"&&(ed==null?void 0:ed.type)!=="FunctionTypeParam"||((qa==null?void 0:qa.type)==="Identifier"||(qa==null?void 0:qa.type)==="AssignmentPattern"||(qa==null?void 0:qa.type)==="ObjectPattern"||(qa==null?void 0:qa.type)==="ArrayPattern"||(qa==null?void 0:qa.type)==="RestElement"||(qa==null?void 0:qa.type)==="TSParameterProperty")&&Ru$1(Ja)&&ge$1(td,k(Ra))===")"?(z$1(qa,Ra),!0):!re$1(Ra)&&((Ja==null?void 0:Ja.type)==="FunctionDeclaration"||(Ja==null?void 0:Ja.type)==="FunctionExpression"||(Ja==null?void 0:Ja.type)==="ObjectMethod")&&(ed==null?void 0:ed.type)==="BlockStatement"&&Ja.body===ed&&yt$1(td,k(Ra))===R$1(ed)?(bt$1(ed,Ra),!0):!1}function ju$1({comment:Ra,enclosingNode:qa}){return(qa==null?void 0:qa.type)==="LabeledStatement"?(ce$1(qa,Ra),!0):!1}function Vn$1({comment:Ra,enclosingNode:qa}){return((qa==null?void 0:qa.type)==="ContinueStatement"||(qa==null?void 0:qa.type)==="BreakStatement")&&!qa.label?(z$1(qa,Ra),!0):!1}function tp({comment:Ra,precedingNode:qa,enclosingNode:Ja}){return L$1(Ja)&&qa&&Ja.callee===qa&&Ja.arguments.length>0?(ce$1(Ja.arguments[0],Ra),!0):!1}function rp({comment:Ra,precedingNode:qa,enclosingNode:Ja,followingNode:ed}){return Ne(Ja)?(sr(Ra)&&(ed.prettierIgnore=!0,Ra.unignore=!0),qa?(z$1(qa,Ra),!0):!1):(Ne(ed)&&sr(Ra)&&(ed.types[0].prettierIgnore=!0,Ra.unignore=!0),!1)}function np({comment:Ra,enclosingNode:qa}){return Ae$1(qa)?(ce$1(qa,Ra),!0):!1}function $n$2({comment:Ra,enclosingNode:qa,ast:Ja,isLastComment:ed}){var td;return((td=Ja==null?void 0:Ja.body)==null?void 0:td.length)===0?(ed?Le$1(Ja,Ra):ce$1(Ja,Ra),!0):(qa==null?void 0:qa.type)==="Program"&&qa.body.length===0&&!w(qa.directives)?(ed?Le$1(qa,Ra):ce$1(qa,Ra),!0):!1}function sp({comment:Ra,enclosingNode:qa}){return(qa==null?void 0:qa.type)==="ForInStatement"||(qa==null?void 0:qa.type)==="ForOfStatement"?(ce$1(qa,Ra),!0):!1}function vu$1({comment:Ra,precedingNode:qa,enclosingNode:Ja,text:ed}){if((Ja==null?void 0:Ja.type)==="ImportSpecifier"||(Ja==null?void 0:Ja.type)==="ExportSpecifier")return ce$1(Ja,Ra),!0;let td=(qa==null?void 0:qa.type)==="ImportSpecifier"&&(Ja==null?void 0:Ja.type)==="ImportDeclaration",rd=(qa==null?void 0:qa.type)==="ExportSpecifier"&&(Ja==null?void 0:Ja.type)==="ExportNamedDeclaration";return(td||rd)&&te$1(ed,k(Ra))?(z$1(qa,Ra),!0):!1}function up({comment:Ra,enclosingNode:qa}){return(qa==null?void 0:qa.type)==="AssignmentPattern"?(ce$1(qa,Ra),!0):!1}var ip=new Set(["VariableDeclarator","AssignmentExpression","TypeAlias","TSTypeAliasDeclaration"]),ap$1=new Set(["ObjectExpression","RecordExpression","ArrayExpression","TupleExpression","TemplateLiteral","TaggedTemplateExpression","ObjectTypeAnnotation","TSTypeLiteral"]);function op$1({comment:Ra,enclosingNode:qa,followingNode:Ja}){return ip.has(qa==null?void 0:qa.type)&&Ja&&(ap$1.has(Ja.type)||re$1(Ra))?(ce$1(Ja,Ra),!0):!1}function pp({comment:Ra,enclosingNode:qa,followingNode:Ja,text:ed}){return!Ja&&((qa==null?void 0:qa.type)==="TSMethodSignature"||(qa==null?void 0:qa.type)==="TSDeclareFunction"||(qa==null?void 0:qa.type)==="TSAbstractMethodDefinition")&&ge$1(ed,k(Ra))===";"?(z$1(qa,Ra),!0):!1}function Mu({comment:Ra,enclosingNode:qa,followingNode:Ja}){if(sr(Ra)&&(qa==null?void 0:qa.type)==="TSMappedType"&&(Ja==null?void 0:Ja.type)==="TSTypeParameter"&&Ja.constraint)return qa.prettierIgnore=!0,Ra.unignore=!0,!0}function cp({comment:Ra,precedingNode:qa,enclosingNode:Ja,followingNode:ed}){return(Ja==null?void 0:Ja.type)!=="TSMappedType"?!1:(ed==null?void 0:ed.type)==="TSTypeParameter"&&ed.name?(ce$1(ed.name,Ra),!0):(qa==null?void 0:qa.type)==="TSTypeParameter"&&qa.constraint?(z$1(qa.constraint,Ra),!0):!1}function lp({comment:Ra,enclosingNode:qa,followingNode:Ja}){return!qa||qa.type!=="SwitchCase"||qa.test||!Ja||Ja!==qa.consequent[0]?!1:(Ja.type==="BlockStatement"&&vt(Ra)?bt$1(Ja,Ra):Le$1(qa,Ra),!0)}function mp({comment:Ra,precedingNode:qa,enclosingNode:Ja,followingNode:ed}){return Ne(qa)&&((Ja.type==="TSArrayType"||Ja.type==="ArrayTypeAnnotation")&&!ed||_r(Ja))?(z$1(O$1(!1,qa.types,-1),Ra),!0):!1}function yp({comment:Ra,enclosingNode:qa,precedingNode:Ja,followingNode:ed}){if(((qa==null?void 0:qa.type)==="ObjectPattern"||(qa==null?void 0:qa.type)==="ArrayPattern")&&(ed==null?void 0:ed.type)==="TSTypeAnnotation")return Ja?z$1(Ja,Ra):Le$1(qa,Ra),!0}var Ru$1=v(["ArrowFunctionExpression","FunctionExpression","FunctionDeclaration","ObjectMethod","ClassMethod","TSDeclareFunction","TSCallSignatureDeclaration","TSConstructSignatureDeclaration","TSMethodSignature","TSConstructorType","TSFunctionType","TSDeclareMethod"]),Dp=new Set(["EmptyStatement","TemplateElement","Import","TSEmptyBodyFunctionExpression","ChainExpression"]);function fp(Ra){return!Dp.has(Ra.type)}function Ep(Ra,qa){var Ja;if((qa.parser==="typescript"||qa.parser==="flow"||qa.parser==="acorn"||qa.parser==="espree"||qa.parser==="meriyah"||qa.parser==="__babel_estree")&&Ra.type==="MethodDefinition"&&((Ja=Ra.value)==null?void 0:Ja.type)==="FunctionExpression"&&K$1(Ra.value).length===0&&!Ra.value.returnType&&!w(Ra.value.typeParameters)&&Ra.value.body)return[...Ra.decorators||[],Ra.key,Ra.value.body]}function zn$1(Ra){let{node:qa,parent:Ja}=Ra;return(X(qa)||Ja&&(Ja.type==="JSXSpreadAttribute"||Ja.type==="JSXSpreadChild"||Ne(Ja)||(Ja.type==="ClassDeclaration"||Ja.type==="ClassExpression")&&Ja.superClass===qa))&&(!Bt$2(qa)||Ne(Ja))}function Fp(Ra,{parser:qa}){if(qa==="flow"||qa==="babel-flow")return Ra=N$1(!1,Ra,/[\s(]/gu,""),Ra===""||Ra==="/*"||Ra==="/*::"}function Ju$2(Ra){switch(Ra){case"cr":return"\r";case"crlf":return`\r
|
||
`;default:return`
|
||
`}}var Se$1=Symbol("MODE_BREAK"),at$1=Symbol("MODE_FLAT"),ar=Symbol("cursor");function qu$2(){return{value:"",length:0,queue:[]}}function Cp(Ra,qa){return Qn$2(Ra,{type:"indent"},qa)}function Ap(Ra,qa,Ja){return qa===Number.NEGATIVE_INFINITY?Ra.root||qu$2():qa<0?Qn$2(Ra,{type:"dedent"},Ja):qa?qa.type==="root"?{...Ra,root:Ra}:Qn$2(Ra,{type:typeof qa=="string"?"stringAlign":"numberAlign",n:qa},Ja):Ra}function Qn$2(Ra,qa,Ja){let ed=qa.type==="dedent"?Ra.queue.slice(0,-1):[...Ra.queue,qa],td="",rd=0,sd=0,od=0;for(let Ed of ed)switch(Ed.type){case"indent":ud(),Ja.useTabs?ld(1):cd(Ja.tabWidth);break;case"stringAlign":ud(),td+=Ed.n,rd+=Ed.n.length;break;case"numberAlign":sd+=1,od+=Ed.n;break;default:throw new Error(`Unexpected type '${Ed.type}'`)}return yd(),{...Ra,value:td,length:rd,queue:ed};function ld(Ed){td+=" ".repeat(Ed),rd+=Ja.tabWidth*Ed}function cd(Ed){td+=" ".repeat(Ed),rd+=Ed}function ud(){Ja.useTabs?_d():yd()}function _d(){sd>0&&ld(sd),gd()}function yd(){od>0&&cd(od),gd()}function gd(){sd=0,od=0}}function Zn$1(Ra){let qa=0,Ja=0,ed=Ra.length;e:for(;ed--;){let td=Ra[ed];if(td===ar){Ja++;continue}for(let rd=td.length-1;rd>=0;rd--){let sd=td[rd];if(sd===" "||sd===" ")qa++;else{Ra[ed]=td.slice(0,rd+1);break e}}}if(qa>0||Ja>0)for(Ra.length=ed+1;Ja-- >0;)Ra.push(ar);return qa}function Jr$1(Ra,qa,Ja,ed,td,rd){if(Ja===Number.POSITIVE_INFINITY)return!0;let sd=qa.length,od=[Ra],ld=[];for(;Ja>=0;){if(od.length===0){if(sd===0)return!0;od.push(qa[--sd]);continue}let{mode:cd,doc:ud}=od.pop(),_d=ut(ud);switch(_d){case rt$1:ld.push(ud),Ja-=et$1(ud);break;case _e$1:case Pe$1:{let yd=_d===_e$1?ud:ud.parts;for(let gd=yd.length-1;gd>=0;gd--)od.push({mode:cd,doc:yd[gd]});break}case Xe$1:case Ye$1:case He$1:case je$2:od.push({mode:cd,doc:ud.contents});break;case st:Ja+=Zn$1(ld);break;case le$1:{if(rd&&ud.break)return!1;let yd=ud.break?Se$1:cd,gd=ud.expandedStates&&yd===Se$1?O$1(!1,ud.expandedStates,-1):ud.contents;od.push({mode:yd,doc:gd});break}case xe$2:{let yd=(ud.groupId?td[ud.groupId]||at$1:cd)===Se$1?ud.breakContents:ud.flatContents;yd&&od.push({mode:cd,doc:yd});break}case me:if(cd===Se$1||ud.hard)return!0;ud.soft||(ld.push(" "),Ja--);break;case Ve$1:ed=!0;break;case $e$2:if(ed)return!1;break}}return!1}function es$1(Ra,qa){let Ja={},ed=qa.printWidth,td=Ju$2(qa.endOfLine),rd=0,sd=[{ind:qu$2(),mode:Se$1,doc:Ra}],od=[],ld=!1,cd=[],ud=0;for(Su(Ra);sd.length>0;){let{ind:yd,mode:gd,doc:Ed}=sd.pop();switch(ut(Ed)){case rt$1:{let Td=td!==`
|
||
`?N$1(!1,Ed,`
|
||
`,td):Ed;od.push(Td),sd.length>0&&(rd+=et$1(Td));break}case _e$1:for(let Td=Ed.length-1;Td>=0;Td--)sd.push({ind:yd,mode:gd,doc:Ed[Td]});break;case nt:if(ud>=2)throw new Error("There are too many 'cursor' in doc.");od.push(ar),ud++;break;case Xe$1:sd.push({ind:Cp(yd,qa),mode:gd,doc:Ed.contents});break;case Ye$1:sd.push({ind:Ap(yd,Ed.n,qa),mode:gd,doc:Ed.contents});break;case st:rd-=Zn$1(od);break;case le$1:switch(gd){case at$1:if(!ld){sd.push({ind:yd,mode:Ed.break?Se$1:at$1,doc:Ed.contents});break}case Se$1:{ld=!1;let Td={ind:yd,mode:at$1,doc:Ed.contents},kd=ed-rd,Rd=cd.length>0;if(!Ed.break&&Jr$1(Td,sd,kd,Rd,Ja))sd.push(Td);else if(Ed.expandedStates){let Nd=O$1(!1,Ed.expandedStates,-1);if(Ed.break){sd.push({ind:yd,mode:Se$1,doc:Nd});break}else for(let Id=1;Id<Ed.expandedStates.length+1;Id++)if(Id>=Ed.expandedStates.length){sd.push({ind:yd,mode:Se$1,doc:Nd});break}else{let Md=Ed.expandedStates[Id],Ld={ind:yd,mode:at$1,doc:Md};if(Jr$1(Ld,sd,kd,Rd,Ja)){sd.push(Ld);break}}}else sd.push({ind:yd,mode:Se$1,doc:Ed.contents});break}}Ed.id&&(Ja[Ed.id]=O$1(!1,sd,-1).mode);break;case Pe$1:{let Td=ed-rd,{parts:kd}=Ed;if(kd.length===0)break;let[Rd,Nd]=kd,Id={ind:yd,mode:at$1,doc:Rd},Md={ind:yd,mode:Se$1,doc:Rd},Ld=Jr$1(Id,[],Td,cd.length>0,Ja,!0);if(kd.length===1){Ld?sd.push(Id):sd.push(Md);break}let Pd={ind:yd,mode:at$1,doc:Nd},qd={ind:yd,mode:Se$1,doc:Nd};if(kd.length===2){Ld?sd.push(Pd,Id):sd.push(qd,Md);break}kd.splice(0,2);let Yd={ind:yd,mode:gd,doc:qt$1(kd)},Ud=kd[0];Jr$1({ind:yd,mode:at$1,doc:[Rd,Nd,Ud]},[],Td,cd.length>0,Ja,!0)?sd.push(Yd,Pd,Id):Ld?sd.push(Yd,qd,Id):sd.push(Yd,qd,Md);break}case xe$2:case He$1:{let Td=Ed.groupId?Ja[Ed.groupId]:gd;if(Td===Se$1){let kd=Ed.type===xe$2?Ed.breakContents:Ed.negate?Ed.contents:f(Ed.contents);kd&&sd.push({ind:yd,mode:gd,doc:kd})}if(Td===at$1){let kd=Ed.type===xe$2?Ed.flatContents:Ed.negate?f(Ed.contents):Ed.contents;kd&&sd.push({ind:yd,mode:gd,doc:kd})}break}case Ve$1:cd.push({ind:yd,mode:gd,doc:Ed.contents});break;case $e$2:cd.length>0&&sd.push({ind:yd,mode:gd,doc:Gn$2});break;case me:switch(gd){case at$1:if(Ed.hard)ld=!0;else{Ed.soft||(od.push(" "),rd+=1);break}case Se$1:if(cd.length>0){sd.push({ind:yd,mode:gd,doc:Ed},...cd.reverse()),cd.length=0;break}Ed.literal?yd.root?(od.push(td,yd.root.value),rd=yd.root.length):(od.push(td),rd=0):(rd-=Zn$1(od),od.push(td+yd.value),rd=yd.length);break}break;case je$2:sd.push({ind:yd,mode:gd,doc:Ed.contents});break;case ve$1:break;default:throw new Ct$1(Ed)}sd.length===0&&cd.length>0&&(sd.push(...cd.reverse()),cd.length=0)}let _d=od.indexOf(ar);if(_d!==-1){let yd=od.indexOf(ar,_d+1),gd=od.slice(0,_d).join(""),Ed=od.slice(_d+1,yd).join(""),Td=od.slice(yd+1).join("");return{formatted:gd+Ed+Td,cursorNodeStart:gd.length,cursorNodeText:Ed}}return{formatted:od.join("")}}function Tp(Ra,qa,Ja=0){let ed=0;for(let td=Ja;td<Ra.length;++td)Ra[td]===" "?ed=ed+qa-ed%qa:ed++;return ed}var Wu=Tp;function dp(Ra,qa){let Ja=Ra.lastIndexOf(`
|
||
`);return Ja===-1?0:Wu(Ra.slice(Ja+1).match(/^[\t ]*/u)[0],qa)}var Gu$1=dp;function qr(Ra,qa,Ja){let{node:ed}=Ra;if(ed.type==="TemplateLiteral"&&gp(Ra)){let ld=xp(Ra,Ja,qa);if(ld)return ld}let td="expressions";ed.type==="TSTemplateLiteralType"&&(td="types");let rd=[],sd=Ra.map(qa,td);rd.push(ke$2,"`");let od=0;return Ra.each(({index:ld,node:cd})=>{if(rd.push(qa()),cd.tail)return;let{tabWidth:ud}=Ja,_d=cd.value.raw,yd=_d.includes(`
|
||
`)?Gu$1(_d,ud):od;od=yd;let gd=sd[ld],Ed=ed[td][ld],Td=de$1(Ja.originalText,k(cd),R$1(ed.quasis[ld+1]));if(!Td){let Rd=es$1(gd,{...Ja,printWidth:Number.POSITIVE_INFINITY}).formatted;Rd.includes(`
|
||
`)?Td=!0:gd=Rd}Td&&(d(Ed)||Ed.type==="Identifier"||q$1(Ed)||Ed.type==="ConditionalExpression"||Ed.type==="SequenceExpression"||Te$1(Ed)||De(Ed))&&(gd=[f([E$1,gd]),E$1]);let kd=yd===0&&_d.endsWith(`
|
||
`)?he$1(Number.NEGATIVE_INFINITY,gd):xu(gd,yd,ud);rd.push(l(["${",kd,ke$2,"}"]))},"quasis"),rd.push("`"),rd}function Uu$1(Ra,qa){let Ja=qa("quasi");return it$1(Ja.label&&{tagged:!0,...Ja.label},[qa("tag"),qa(Ra.node.typeArguments?"typeArguments":"typeParameters"),ke$2,Ja])}function xp(Ra,qa,Ja){let{node:ed}=Ra,td=ed.quasis[0].value.raw.trim().split(/\s*\|\s*/u);if(td.length>1||td.some(rd=>rd.length>0)){qa.__inJestEach=!0;let rd=Ra.map(Ja,"expressions");qa.__inJestEach=!1;let sd=[],od=rd.map(yd=>"${"+es$1(yd,{...qa,printWidth:Number.POSITIVE_INFINITY,endOfLine:"lf"}).formatted+"}"),ld=[{hasLineBreak:!1,cells:[]}];for(let yd=1;yd<ed.quasis.length;yd++){let gd=O$1(!1,ld,-1),Ed=od[yd-1];gd.cells.push(Ed),Ed.includes(`
|
||
`)&&(gd.hasLineBreak=!0),ed.quasis[yd].value.raw.includes(`
|
||
`)&&ld.push({hasLineBreak:!1,cells:[]})}let cd=Math.max(td.length,...ld.map(yd=>yd.cells.length)),ud=Array.from({length:cd}).fill(0),_d=[{cells:td},...ld.filter(yd=>yd.cells.length>0)];for(let{cells:yd}of _d.filter(gd=>!gd.hasLineBreak))for(let[gd,Ed]of yd.entries())ud[gd]=Math.max(ud[gd],et$1(Ed));return sd.push(ke$2,"`",f([F,P$1(F,_d.map(yd=>P$1(" | ",yd.cells.map((gd,Ed)=>yd.hasLineBreak?gd:gd+" ".repeat(ud[Ed]-et$1(gd))))))]),F,"`"),sd}}function hp(Ra,qa){let{node:Ja}=Ra,ed=qa();return d(Ja)&&(ed=l([f([E$1,ed]),E$1])),["${",ed,ke$2,"}"]}function Gt$2(Ra,qa){return Ra.map(Ja=>hp(Ja,qa),"expressions")}function Wr$1(Ra,qa){return mt$1(Ra,Ja=>typeof Ja=="string"?qa?N$1(!1,Ja,/(\\*)`/gu,"$1$1\\`"):ts$1(Ja):Ja)}function ts$1(Ra){return N$1(!1,Ra,/([\\`]|\$\{)/gu,String.raw`\$1`)}function gp({node:Ra,parent:qa}){let Ja=/^[fx]?(?:describe|it|test)$/u;return qa.type==="TaggedTemplateExpression"&&qa.quasi===Ra&&qa.tag.type==="MemberExpression"&&qa.tag.property.type==="Identifier"&&qa.tag.property.name==="each"&&(qa.tag.object.type==="Identifier"&&Ja.test(qa.tag.object.name)||qa.tag.object.type==="MemberExpression"&&qa.tag.object.property.type==="Identifier"&&(qa.tag.object.property.name==="only"||qa.tag.object.property.name==="skip")&&qa.tag.object.object.type==="Identifier"&&Ja.test(qa.tag.object.object.name))}var ns=[(Ra,qa)=>Ra.type==="ObjectExpression"&&qa==="properties",(Ra,qa)=>Ra.type==="CallExpression"&&Ra.callee.type==="Identifier"&&Ra.callee.name==="Component"&&qa==="arguments",(Ra,qa)=>Ra.type==="Decorator"&&qa==="expression"];function Nu$1(Ra){let qa=ed=>ed.type==="TemplateLiteral",Ja=(ed,td)=>Ae$1(ed)&&!ed.computed&&ed.key.type==="Identifier"&&ed.key.name==="styles"&&td==="value";return Ra.match(qa,(ed,td)=>U$1(ed)&&td==="elements",Ja,...ns)||Ra.match(qa,Ja,...ns)}function Xu$2(Ra){return Ra.match(qa=>qa.type==="TemplateLiteral",(qa,Ja)=>Ae$1(qa)&&!qa.computed&&qa.key.type==="Identifier"&&qa.key.name==="template"&&Ja==="value",...ns)}function rs(Ra,qa){return d(Ra,g$2.Block|g$2.Leading,({value:Ja})=>Ja===` ${qa} `)}function Gr$1({node:Ra,parent:qa},Ja){return rs(Ra,Ja)||Sp(qa)&&rs(qa,Ja)||qa.type==="ExpressionStatement"&&rs(qa,Ja)}function Sp(Ra){return Ra.type==="AsConstExpression"||Ra.type==="TSAsExpression"&&Ra.typeAnnotation.type==="TSTypeReference"&&Ra.typeAnnotation.typeName.type==="Identifier"&&Ra.typeAnnotation.typeName.name==="const"}async function Bp(Ra,qa,Ja){let{node:ed}=Ja,td=ed.quasis.map(ud=>ud.value.raw),rd=0,sd=td.reduce((ud,_d,yd)=>yd===0?_d:ud+"@prettier-placeholder-"+rd+++"-id"+_d,""),od=await Ra(sd,{parser:"scss"}),ld=Gt$2(Ja,qa),cd=bp(od,ld);if(!cd)throw new Error("Couldn't insert all the expressions");return["`",f([F,cd]),E$1,"`"]}function bp(Ra,qa){if(!w(qa))return Ra;let Ja=0,ed=mt$1(Wt(Ra),td=>typeof td!="string"||!td.includes("@prettier-placeholder")?td:td.split(/@prettier-placeholder-(\d+)-id/u).map((rd,sd)=>sd%2===0?Ie(rd):(Ja++,qa[rd])));return qa.length===Ja?ed:null}function Pp({node:Ra,parent:qa,grandparent:Ja}){return Ja&&Ra.quasis&&qa.type==="JSXExpressionContainer"&&Ja.type==="JSXElement"&&Ja.openingElement.name.name==="style"&&Ja.openingElement.attributes.some(ed=>ed.type==="JSXAttribute"&&ed.name.name==="jsx")||(qa==null?void 0:qa.type)==="TaggedTemplateExpression"&&qa.tag.type==="Identifier"&&qa.tag.name==="css"||(qa==null?void 0:qa.type)==="TaggedTemplateExpression"&&qa.tag.type==="MemberExpression"&&qa.tag.object.name==="css"&&(qa.tag.property.name==="global"||qa.tag.property.name==="resolve")}function Ur$1(Ra){return Ra.type==="Identifier"&&Ra.name==="styled"}function Yu$1(Ra){return/^[A-Z]/u.test(Ra.object.name)&&Ra.property.name==="extend"}function kp({parent:Ra}){if(!Ra||Ra.type!=="TaggedTemplateExpression")return!1;let qa=Ra.tag.type==="ParenthesizedExpression"?Ra.tag.expression:Ra.tag;switch(qa.type){case"MemberExpression":return Ur$1(qa.object)||Yu$1(qa);case"CallExpression":return Ur$1(qa.callee)||qa.callee.type==="MemberExpression"&&(qa.callee.object.type==="MemberExpression"&&(Ur$1(qa.callee.object.object)||Yu$1(qa.callee.object))||qa.callee.object.type==="CallExpression"&&Ur$1(qa.callee.object.callee));case"Identifier":return qa.name==="css";default:return!1}}function Ip({parent:Ra,grandparent:qa}){return(qa==null?void 0:qa.type)==="JSXAttribute"&&Ra.type==="JSXExpressionContainer"&&qa.name.type==="JSXIdentifier"&&qa.name.name==="css"}function Lp(Ra){if(Pp(Ra)||kp(Ra)||Ip(Ra)||Nu$1(Ra))return Bp}var Hu$1=Lp;async function wp(Ra,qa,Ja){let{node:ed}=Ja,td=ed.quasis.length,rd=Gt$2(Ja,qa),sd=[];for(let od=0;od<td;od++){let ld=ed.quasis[od],cd=od===0,ud=od===td-1,_d=ld.value.cooked,yd=_d.split(`
|
||
`),gd=yd.length,Ed=rd[od],Td=gd>2&&yd[0].trim()===""&&yd[1].trim()==="",kd=gd>2&&yd[gd-1].trim()===""&&yd[gd-2].trim()==="",Rd=yd.every(Id=>/^\s*(?:#[^\n\r]*)?$/u.test(Id));if(!ud&&/#[^\n\r]*$/u.test(yd[gd-1]))return null;let Nd=null;Rd?Nd=Op(yd):Nd=await Ra(_d,{parser:"graphql"}),Nd?(Nd=Wr$1(Nd,!1),!cd&&Td&&sd.push(""),sd.push(Nd),!ud&&kd&&sd.push("")):!cd&&!ud&&Td&&sd.push(""),Ed&&sd.push(Ed)}return["`",f([F,P$1(F,sd)]),F,"`"]}function Op(Ra){let qa=[],Ja=!1,ed=Ra.map(td=>td.trim());for(let[td,rd]of ed.entries())rd!==""&&(ed[td-1]===""&&Ja?qa.push([F,rd]):qa.push(rd),Ja=!0);return qa.length===0?null:P$1(F,qa)}function _p({node:Ra,parent:qa}){return Gr$1({node:Ra,parent:qa},"GraphQL")||qa&&(qa.type==="TaggedTemplateExpression"&&(qa.tag.type==="MemberExpression"&&qa.tag.object.name==="graphql"&&qa.tag.property.name==="experimental"||qa.tag.type==="Identifier"&&(qa.tag.name==="gql"||qa.tag.name==="graphql"))||qa.type==="CallExpression"&&qa.callee.type==="Identifier"&&qa.callee.name==="graphql")}function jp(Ra){if(_p(Ra))return wp}var Vu$1=jp,ss$1=0;async function $u$1(Ra,qa,Ja,ed,td){let{node:rd}=ed,sd=ss$1;ss$1=ss$1+1>>>0;let od=Rd=>`PRETTIER_HTML_PLACEHOLDER_${Rd}_${sd}_IN_JS`,ld=rd.quasis.map((Rd,Nd,Id)=>Nd===Id.length-1?Rd.value.cooked:Rd.value.cooked+od(Nd)).join(""),cd=Gt$2(ed,Ja),ud=new RegExp(od(String.raw`(\d+)`),"gu"),_d=0,yd=await qa(ld,{parser:Ra,__onHtmlRoot(Rd){_d=Rd.children.length}}),gd=mt$1(yd,Rd=>{if(typeof Rd!="string")return Rd;let Nd=[],Id=Rd.split(ud);for(let Md=0;Md<Id.length;Md++){let Ld=Id[Md];if(Md%2===0){Ld&&(Ld=ts$1(Ld),td.__embeddedInHtml&&(Ld=N$1(!1,Ld,/<\/(?=script\b)/giu,String.raw`<\/`)),Nd.push(Ld));continue}let Pd=Number(Ld);Nd.push(cd[Pd])}return Nd}),Ed=/^\s/u.test(ld)?" ":"",Td=/\s$/u.test(ld)?" ":"",kd=td.htmlWhitespaceSensitivity==="ignore"?F:Ed&&Td?x:null;return kd?l(["`",f([kd,l(gd)]),kd,"`"]):it$1({hug:!1},l(["`",Ed,_d>1?f(l(gd)):l(gd),Td,"`"]))}function vp(Ra){return Gr$1(Ra,"HTML")||Ra.match(qa=>qa.type==="TemplateLiteral",(qa,Ja)=>qa.type==="TaggedTemplateExpression"&&qa.tag.type==="Identifier"&&qa.tag.name==="html"&&Ja==="quasi")}var Mp=$u$1.bind(void 0,"html"),Rp=$u$1.bind(void 0,"angular");function Jp(Ra){if(vp(Ra))return Mp;if(Xu$2(Ra))return Rp}var Ku$1=Jp;async function qp(Ra,qa,Ja){let{node:ed}=Ja,td=N$1(!1,ed.quasis[0].value.raw,/((?:\\\\)*)\\`/gu,(ld,cd)=>"\\".repeat(cd.length/2)+"`"),rd=Wp(td),sd=rd!=="";sd&&(td=N$1(!1,td,new RegExp(`^${rd}`,"gmu"),""));let od=Wr$1(await Ra(td,{parser:"markdown",__inJsTemplate:!0}),!0);return["`",sd?f([E$1,od]):[Rr,du$1(od)],E$1,"`"]}function Wp(Ra){let qa=Ra.match(/^([^\S\n]*)\S/mu);return qa===null?"":qa[1]}function Gp(Ra){if(Up(Ra))return qp}function Up({node:Ra,parent:qa}){return(qa==null?void 0:qa.type)==="TaggedTemplateExpression"&&Ra.quasis.length===1&&qa.tag.type==="Identifier"&&(qa.tag.name==="md"||qa.tag.name==="markdown")}var zu=Gp;function Np(Ra){let{node:qa}=Ra;if(qa.type!=="TemplateLiteral"||Xp(qa))return;let Ja;for(let ed of[Hu$1,Vu$1,Ku$1,zu])if(Ja=ed(Ra),!!Ja)return qa.quasis.length===1&&qa.quasis[0].value.raw.trim()===""?"``":async(...td)=>{let rd=await Ja(...td);return rd&&it$1({embed:!0,...rd.label},rd)}}function Xp({quasis:Ra}){return Ra.some(({value:{cooked:qa}})=>qa===null)}var Qu$1=Np,Yp=/\*\/$/,Hp=/^\/\*\*?/,ri$2=/^\s*(\/\*\*?(.|\r?\n)*?\*\/)/,Vp=/(^|\s+)\/\/([^\n\r]*)/g,Zu$2=/^(\r?\n)+/,$p=/(?:^|\r?\n) *(@[^\n\r]*?) *\r?\n *(?![^\n\r@]*\/\/[^]*)([^\s@][^\n\r@]+?) *\r?\n/g,ei$1=/(?:^|\r?\n) *@(\S+) *([^\n\r]*)/g,Kp=/(\r?\n|^) *\* ?/g,ni$2=[];function si$2(Ra){let qa=Ra.match(ri$2);return qa?qa[0].trimStart():""}function ui$1(Ra){let qa=Ra.match(ri$2),Ja=qa==null?void 0:qa[0];return Ja==null?Ra:Ra.slice(Ja.length)}function ii$2(Ra){let qa=`
|
||
`;Ra=N$1(!1,Ra.replace(Hp,"").replace(Yp,""),Kp,"$1");let Ja="";for(;Ja!==Ra;)Ja=Ra,Ra=N$1(!1,Ra,$p,`${qa}$1 $2${qa}`);Ra=Ra.replace(Zu$2,"").trimEnd();let ed=Object.create(null),td=N$1(!1,Ra,ei$1,"").replace(Zu$2,"").trimEnd(),rd;for(;rd=ei$1.exec(Ra);){let sd=N$1(!1,rd[2],Vp,"");if(typeof ed[rd[1]]=="string"||Array.isArray(ed[rd[1]])){let od=ed[rd[1]];ed[rd[1]]=[...ni$2,...Array.isArray(od)?od:[od],sd]}else ed[rd[1]]=sd}return{comments:td,pragmas:ed}}function ai$2({comments:Ra="",pragmas:qa={}}){let Ja=`
|
||
`,ed="/**",td=" *",rd=" */",sd=Object.keys(qa),od=sd.flatMap(cd=>ti$2(cd,qa[cd])).map(cd=>`${td} ${cd}${Ja}`).join("");if(!Ra){if(sd.length===0)return"";if(sd.length===1&&!Array.isArray(qa[sd[0]])){let cd=qa[sd[0]];return`${ed} ${ti$2(sd[0],cd)[0]}${rd}`}}let ld=Ra.split(Ja).map(cd=>`${td} ${cd}`).join(Ja)+Ja;return ed+Ja+(Ra?ld:"")+(Ra&&sd.length>0?td+Ja:"")+od+rd}function ti$2(Ra,qa){return[...ni$2,...Array.isArray(qa)?qa:[qa]].map(Ja=>`@${Ra} ${Ja}`.trim())}function zp(Ra){if(!Ra.startsWith("#!"))return"";let qa=Ra.indexOf(`
|
||
`);return qa===-1?Ra:Ra.slice(0,qa)}var oi$1=zp;function Qp(Ra){let qa=oi$1(Ra);qa&&(Ra=Ra.slice(qa.length+1));let Ja=si$2(Ra),{pragmas:ed,comments:td}=ii$2(Ja);return{shebang:qa,text:Ra,pragmas:ed,comments:td}}function pi$2(Ra){let{shebang:qa,text:Ja,pragmas:ed,comments:td}=Qp(Ra),rd=ui$1(Ja),sd=ai$2({pragmas:{format:"",...ed},comments:td.trimStart()});return(qa?`${qa}
|
||
`:"")+sd+(rd.startsWith(`
|
||
`)?`
|
||
`:`
|
||
|
||
`)+rd}function Zp(Ra,qa){let{originalText:Ja,[Symbol.for("comments")]:ed,locStart:td,locEnd:rd,[Symbol.for("printedComments")]:sd}=qa,{node:od}=Ra,ld=td(od),cd=rd(od);for(let ud of ed)td(ud)>=ld&&rd(ud)<=cd&&sd.add(ud);return Ja.slice(ld,cd)}var ci$1=Zp;function us$1(Ra,qa){var Ja,ed,td,rd,sd,od,ld,cd,ud;if(Ra.isRoot)return!1;let{node:_d,key:yd,parent:gd}=Ra;if(qa.__isInHtmlInterpolation&&!qa.bracketSpacing&&nc$2(_d)&&or(Ra))return!0;if(ec$2(_d))return!1;if(_d.type==="Identifier"){if((Ja=_d.extra)!=null&&Ja.parenthesized&&/^PRETTIER_HTML_PLACEHOLDER_\d+_\d+_IN_JS$/u.test(_d.name)||yd==="left"&&(_d.name==="async"&&!gd.await||_d.name==="let")&&gd.type==="ForOfStatement")return!0;if(_d.name==="let"){let Ed=(ed=Ra.findAncestor(Td=>Td.type==="ForOfStatement"))==null?void 0:ed.left;if(Ed&&ie$1(Ed,Td=>Td===_d))return!0}if(yd==="object"&&_d.name==="let"&&gd.type==="MemberExpression"&&gd.computed&&!gd.optional){let Ed=Ra.findAncestor(kd=>kd.type==="ExpressionStatement"||kd.type==="ForStatement"||kd.type==="ForInStatement"),Td=Ed?Ed.type==="ExpressionStatement"?Ed.expression:Ed.type==="ForStatement"?Ed.init:Ed.left:void 0;if(Td&&ie$1(Td,kd=>kd===_d))return!0}if(yd==="expression")switch(_d.name){case"await":case"interface":case"module":case"using":case"yield":case"let":case"component":case"hook":case"type":{let Ed=Ra.findAncestor(Td=>!Te$1(Td));if(Ed!==gd&&Ed.type==="ExpressionStatement")return!0}}return!1}if(_d.type==="ObjectExpression"||_d.type==="FunctionExpression"||_d.type==="ClassExpression"||_d.type==="DoExpression"){let Ed=(td=Ra.findAncestor(Td=>Td.type==="ExpressionStatement"))==null?void 0:td.expression;if(Ed&&ie$1(Ed,Td=>Td===_d))return!0}if(_d.type==="ObjectExpression"){let Ed=(rd=Ra.findAncestor(Td=>Td.type==="ArrowFunctionExpression"))==null?void 0:rd.body;if(Ed&&Ed.type!=="SequenceExpression"&&Ed.type!=="AssignmentExpression"&&ie$1(Ed,Td=>Td===_d))return!0}switch(gd.type){case"ParenthesizedExpression":return!1;case"ClassDeclaration":case"ClassExpression":if(yd==="superClass"&&(_d.type==="ArrowFunctionExpression"||_d.type==="AssignmentExpression"||_d.type==="AwaitExpression"||_d.type==="BinaryExpression"||_d.type==="ConditionalExpression"||_d.type==="LogicalExpression"||_d.type==="NewExpression"||_d.type==="ObjectExpression"||_d.type==="SequenceExpression"||_d.type==="TaggedTemplateExpression"||_d.type==="UnaryExpression"||_d.type==="UpdateExpression"||_d.type==="YieldExpression"||_d.type==="TSNonNullExpression"||_d.type==="ClassExpression"&&w(_d.decorators)))return!0;break;case"ExportDefaultDeclaration":return li$2(Ra,qa)||_d.type==="SequenceExpression";case"Decorator":if(yd==="expression"&&!uc$1(_d))return!0;break;case"TypeAnnotation":if(Ra.match(void 0,void 0,(Ed,Td)=>Td==="returnType"&&Ed.type==="ArrowFunctionExpression")&&rc$1(_d))return!0;break;case"BinaryExpression":if(yd==="left"&&(gd.operator==="in"||gd.operator==="instanceof")&&_d.type==="UnaryExpression")return!0;break;case"VariableDeclarator":if(yd==="init"&&Ra.match(void 0,void 0,(Ed,Td)=>Td==="declarations"&&Ed.type==="VariableDeclaration",(Ed,Td)=>Td==="left"&&Ed.type==="ForInStatement"))return!0;break}switch(_d.type){case"UpdateExpression":if(gd.type==="UnaryExpression")return _d.prefix&&(_d.operator==="++"&&gd.operator==="+"||_d.operator==="--"&&gd.operator==="-");case"UnaryExpression":switch(gd.type){case"UnaryExpression":return _d.operator===gd.operator&&(_d.operator==="+"||_d.operator==="-");case"BindExpression":return!0;case"MemberExpression":case"OptionalMemberExpression":return yd==="object";case"TaggedTemplateExpression":return!0;case"NewExpression":case"CallExpression":case"OptionalCallExpression":return yd==="callee";case"BinaryExpression":return yd==="left"&&gd.operator==="**";case"TSNonNullExpression":return!0;default:return!1}case"BinaryExpression":if(gd.type==="UpdateExpression"||_d.operator==="in"&&tc$1(Ra))return!0;if(_d.operator==="|>"&&(sd=_d.extra)!=null&&sd.parenthesized){let Ed=Ra.grandparent;if(Ed.type==="BinaryExpression"&&Ed.operator==="|>")return!0}case"TSTypeAssertion":case"TSAsExpression":case"TSSatisfiesExpression":case"AsExpression":case"AsConstExpression":case"SatisfiesExpression":case"LogicalExpression":switch(gd.type){case"TSAsExpression":case"TSSatisfiesExpression":case"AsExpression":case"AsConstExpression":case"SatisfiesExpression":return!Te$1(_d);case"ConditionalExpression":return Te$1(_d)||au$1(_d);case"CallExpression":case"NewExpression":case"OptionalCallExpression":return yd==="callee";case"ClassExpression":case"ClassDeclaration":return yd==="superClass";case"TSTypeAssertion":case"TaggedTemplateExpression":case"UnaryExpression":case"JSXSpreadAttribute":case"SpreadElement":case"BindExpression":case"AwaitExpression":case"TSNonNullExpression":case"UpdateExpression":return!0;case"MemberExpression":case"OptionalMemberExpression":return yd==="object";case"AssignmentExpression":case"AssignmentPattern":return yd==="left"&&(_d.type==="TSTypeAssertion"||Te$1(_d));case"LogicalExpression":if(_d.type==="LogicalExpression")return gd.operator!==_d.operator;case"BinaryExpression":{let{operator:Ed,type:Td}=_d;if(!Ed&&Td!=="TSTypeAssertion")return!0;let kd=er(Ed),Rd=gd.operator,Nd=er(Rd);return Nd>kd||yd==="right"&&Nd===kd||Nd===kd&&!nr(Rd,Ed)?!0:Nd<kd&&Ed==="%"?Rd==="+"||Rd==="-":!!yu(Rd)}default:return!1}case"SequenceExpression":switch(gd.type){case"ReturnStatement":return!1;case"ForStatement":return!1;case"ExpressionStatement":return yd!=="expression";case"ArrowFunctionExpression":return yd!=="body";default:return!0}case"YieldExpression":if(gd.type==="AwaitExpression"||gd.type==="TSTypeAssertion")return!0;case"AwaitExpression":switch(gd.type){case"TaggedTemplateExpression":case"UnaryExpression":case"LogicalExpression":case"SpreadElement":case"TSAsExpression":case"TSSatisfiesExpression":case"TSNonNullExpression":case"AsExpression":case"AsConstExpression":case"SatisfiesExpression":case"BindExpression":return!0;case"MemberExpression":case"OptionalMemberExpression":return yd==="object";case"NewExpression":case"CallExpression":case"OptionalCallExpression":return yd==="callee";case"ConditionalExpression":return yd==="test";case"BinaryExpression":return!(!_d.argument&&gd.operator==="|>");default:return!1}case"TSFunctionType":if(Ra.match(Ed=>Ed.type==="TSFunctionType",(Ed,Td)=>Td==="typeAnnotation"&&Ed.type==="TSTypeAnnotation",(Ed,Td)=>Td==="returnType"&&Ed.type==="ArrowFunctionExpression"))return!0;case"TSConditionalType":case"TSConstructorType":if(yd==="extendsType"&&gd.type==="TSConditionalType"){if(_d.type==="TSConditionalType")return!0;let{typeAnnotation:Ed}=_d.returnType||_d.typeAnnotation;if(Ed.type==="TSTypePredicate"&&Ed.typeAnnotation&&(Ed=Ed.typeAnnotation.typeAnnotation),Ed.type==="TSInferType"&&Ed.typeParameter.constraint)return!0}if(yd==="checkType"&&gd.type==="TSConditionalType")return!0;case"TSUnionType":case"TSIntersectionType":if((gd.type==="TSUnionType"||gd.type==="TSIntersectionType")&&gd.types.length>1&&(!_d.types||_d.types.length>1))return!0;case"TSInferType":if(_d.type==="TSInferType"){if(gd.type==="TSRestType")return!1;if(yd==="types"&&(gd.type==="TSUnionType"||gd.type==="TSIntersectionType")&&_d.typeParameter.type==="TSTypeParameter"&&_d.typeParameter.constraint)return!0}case"TSTypeOperator":return gd.type==="TSArrayType"||gd.type==="TSOptionalType"||gd.type==="TSRestType"||yd==="objectType"&&gd.type==="TSIndexedAccessType"||gd.type==="TSTypeOperator"||gd.type==="TSTypeAnnotation"&&Ra.grandparent.type.startsWith("TSJSDoc");case"TSTypeQuery":return yd==="objectType"&&gd.type==="TSIndexedAccessType"||yd==="elementType"&&gd.type==="TSArrayType";case"TypeOperator":return gd.type==="ArrayTypeAnnotation"||gd.type==="NullableTypeAnnotation"||yd==="objectType"&&(gd.type==="IndexedAccessType"||gd.type==="OptionalIndexedAccessType")||gd.type==="TypeOperator";case"TypeofTypeAnnotation":return yd==="objectType"&&(gd.type==="IndexedAccessType"||gd.type==="OptionalIndexedAccessType")||yd==="elementType"&&gd.type==="ArrayTypeAnnotation";case"ArrayTypeAnnotation":return gd.type==="NullableTypeAnnotation";case"IntersectionTypeAnnotation":case"UnionTypeAnnotation":return gd.type==="TypeOperator"||gd.type==="ArrayTypeAnnotation"||gd.type==="NullableTypeAnnotation"||gd.type==="IntersectionTypeAnnotation"||gd.type==="UnionTypeAnnotation"||yd==="objectType"&&(gd.type==="IndexedAccessType"||gd.type==="OptionalIndexedAccessType");case"InferTypeAnnotation":case"NullableTypeAnnotation":return gd.type==="ArrayTypeAnnotation"||yd==="objectType"&&(gd.type==="IndexedAccessType"||gd.type==="OptionalIndexedAccessType");case"ComponentTypeAnnotation":case"FunctionTypeAnnotation":{if(_d.type==="ComponentTypeAnnotation"&&(_d.rendersType===null||_d.rendersType===void 0))return!1;if(Ra.match(void 0,(Td,kd)=>kd==="typeAnnotation"&&Td.type==="TypeAnnotation",(Td,kd)=>kd==="returnType"&&Td.type==="ArrowFunctionExpression")||Ra.match(void 0,(Td,kd)=>kd==="typeAnnotation"&&Td.type==="TypePredicate",(Td,kd)=>kd==="typeAnnotation"&&Td.type==="TypeAnnotation",(Td,kd)=>kd==="returnType"&&Td.type==="ArrowFunctionExpression"))return!0;let Ed=gd.type==="NullableTypeAnnotation"?Ra.grandparent:gd;return Ed.type==="UnionTypeAnnotation"||Ed.type==="IntersectionTypeAnnotation"||Ed.type==="ArrayTypeAnnotation"||yd==="objectType"&&(Ed.type==="IndexedAccessType"||Ed.type==="OptionalIndexedAccessType")||yd==="checkType"&&gd.type==="ConditionalTypeAnnotation"||yd==="extendsType"&&gd.type==="ConditionalTypeAnnotation"&&((od=_d.returnType)==null?void 0:od.type)==="InferTypeAnnotation"&&((ld=_d.returnType)==null?void 0:ld.typeParameter.bound)||Ed.type==="NullableTypeAnnotation"||gd.type==="FunctionTypeParam"&&gd.name===null&&K$1(_d).some(Td=>{var kd;return((kd=Td.typeAnnotation)==null?void 0:kd.type)==="NullableTypeAnnotation"})}case"ConditionalTypeAnnotation":if(yd==="extendsType"&&gd.type==="ConditionalTypeAnnotation"&&_d.type==="ConditionalTypeAnnotation"||yd==="checkType"&&gd.type==="ConditionalTypeAnnotation")return!0;case"OptionalIndexedAccessType":return yd==="objectType"&&gd.type==="IndexedAccessType";case"StringLiteral":case"NumericLiteral":case"Literal":if(typeof _d.value=="string"&&gd.type==="ExpressionStatement"&&!gd.directive){let Ed=Ra.grandparent;return Ed.type==="Program"||Ed.type==="BlockStatement"}return yd==="object"&&gd.type==="MemberExpression"&&typeof _d.value=="number";case"AssignmentExpression":{let Ed=Ra.grandparent;return yd==="body"&&gd.type==="ArrowFunctionExpression"?!0:yd==="key"&&(gd.type==="ClassProperty"||gd.type==="PropertyDefinition")&&gd.computed||(yd==="init"||yd==="update")&&gd.type==="ForStatement"?!1:gd.type==="ExpressionStatement"?_d.left.type==="ObjectPattern":!(yd==="key"&&gd.type==="TSPropertySignature"||gd.type==="AssignmentExpression"||gd.type==="SequenceExpression"&&Ed.type==="ForStatement"&&(Ed.init===gd||Ed.update===gd)||yd==="value"&&gd.type==="Property"&&Ed.type==="ObjectPattern"&&Ed.properties.includes(gd)||gd.type==="NGChainedExpression")}case"ConditionalExpression":switch(gd.type){case"TaggedTemplateExpression":case"UnaryExpression":case"SpreadElement":case"BinaryExpression":case"LogicalExpression":case"NGPipeExpression":case"ExportDefaultDeclaration":case"AwaitExpression":case"JSXSpreadAttribute":case"TSTypeAssertion":case"TypeCastExpression":case"TSAsExpression":case"TSSatisfiesExpression":case"AsExpression":case"AsConstExpression":case"SatisfiesExpression":case"TSNonNullExpression":return!0;case"NewExpression":case"CallExpression":case"OptionalCallExpression":return yd==="callee";case"ConditionalExpression":return qa.experimentalTernaries?!1:yd==="test";case"MemberExpression":case"OptionalMemberExpression":return yd==="object";default:return!1}case"FunctionExpression":switch(gd.type){case"NewExpression":case"CallExpression":case"OptionalCallExpression":return yd==="callee";case"TaggedTemplateExpression":return!0;default:return!1}case"ArrowFunctionExpression":switch(gd.type){case"BinaryExpression":return gd.operator!=="|>"||((cd=_d.extra)==null?void 0:cd.parenthesized);case"NewExpression":case"CallExpression":case"OptionalCallExpression":return yd==="callee";case"MemberExpression":case"OptionalMemberExpression":return yd==="object";case"TSAsExpression":case"TSSatisfiesExpression":case"AsExpression":case"AsConstExpression":case"SatisfiesExpression":case"TSNonNullExpression":case"BindExpression":case"TaggedTemplateExpression":case"UnaryExpression":case"LogicalExpression":case"AwaitExpression":case"TSTypeAssertion":return!0;case"ConditionalExpression":return yd==="test";default:return!1}case"ClassExpression":switch(gd.type){case"NewExpression":return yd==="callee";default:return!1}case"OptionalMemberExpression":case"OptionalCallExpression":case"CallExpression":case"MemberExpression":if(sc$1(Ra))return!0;case"TaggedTemplateExpression":case"TSNonNullExpression":if(yd==="callee"&&(gd.type==="BindExpression"||gd.type==="NewExpression")){let Ed=_d;for(;Ed;)switch(Ed.type){case"CallExpression":case"OptionalCallExpression":return!0;case"MemberExpression":case"OptionalMemberExpression":case"BindExpression":Ed=Ed.object;break;case"TaggedTemplateExpression":Ed=Ed.tag;break;case"TSNonNullExpression":Ed=Ed.expression;break;default:return!1}}return!1;case"BindExpression":return yd==="callee"&&(gd.type==="BindExpression"||gd.type==="NewExpression")||yd==="object"&&q$1(gd);case"NGPipeExpression":return!(gd.type==="NGRoot"||gd.type==="NGMicrosyntaxExpression"||gd.type==="ObjectProperty"&&!((ud=_d.extra)!=null&&ud.parenthesized)||U$1(gd)||yd==="arguments"&&L$1(gd)||yd==="right"&&gd.type==="NGPipeExpression"||yd==="property"&&gd.type==="MemberExpression"||gd.type==="AssignmentExpression");case"JSXFragment":case"JSXElement":return yd==="callee"||yd==="left"&&gd.type==="BinaryExpression"&&gd.operator==="<"||!U$1(gd)&&gd.type!=="ArrowFunctionExpression"&&gd.type!=="AssignmentExpression"&&gd.type!=="AssignmentPattern"&&gd.type!=="BinaryExpression"&&gd.type!=="NewExpression"&&gd.type!=="ConditionalExpression"&&gd.type!=="ExpressionStatement"&&gd.type!=="JsExpressionRoot"&&gd.type!=="JSXAttribute"&&gd.type!=="JSXElement"&&gd.type!=="JSXExpressionContainer"&&gd.type!=="JSXFragment"&&gd.type!=="LogicalExpression"&&!L$1(gd)&&!Ae$1(gd)&&gd.type!=="ReturnStatement"&&gd.type!=="ThrowStatement"&&gd.type!=="TypeCastExpression"&&gd.type!=="VariableDeclarator"&&gd.type!=="YieldExpression";case"TSInstantiationExpression":return yd==="object"&&q$1(gd)}return!1}var ec$2=v(["BlockStatement","BreakStatement","ComponentDeclaration","ClassBody","ClassDeclaration","ClassMethod","ClassProperty","PropertyDefinition","ClassPrivateProperty","ContinueStatement","DebuggerStatement","DeclareComponent","DeclareClass","DeclareExportAllDeclaration","DeclareExportDeclaration","DeclareFunction","DeclareHook","DeclareInterface","DeclareModule","DeclareModuleExports","DeclareNamespace","DeclareVariable","DeclareEnum","DoWhileStatement","EnumDeclaration","ExportAllDeclaration","ExportDefaultDeclaration","ExportNamedDeclaration","ExpressionStatement","ForInStatement","ForOfStatement","ForStatement","FunctionDeclaration","HookDeclaration","IfStatement","ImportDeclaration","InterfaceDeclaration","LabeledStatement","MethodDefinition","ReturnStatement","SwitchStatement","ThrowStatement","TryStatement","TSDeclareFunction","TSEnumDeclaration","TSImportEqualsDeclaration","TSInterfaceDeclaration","TSModuleDeclaration","TSNamespaceExportDeclaration","TypeAlias","VariableDeclaration","WhileStatement","WithStatement"]);function tc$1(Ra){let qa=0,{node:Ja}=Ra;for(;Ja;){let ed=Ra.getParentNode(qa++);if((ed==null?void 0:ed.type)==="ForStatement"&&ed.init===Ja)return!0;Ja=ed}return!1}function rc$1(Ra){return tr(Ra,qa=>qa.type==="ObjectTypeAnnotation"&&tr(qa,Ja=>Ja.type==="FunctionTypeAnnotation"))}function nc$2(Ra){return se(Ra)}function or(Ra){let{parent:qa,key:Ja}=Ra;switch(qa.type){case"NGPipeExpression":if(Ja==="arguments"&&Ra.isLast)return Ra.callParent(or);break;case"ObjectProperty":if(Ja==="value")return Ra.callParent(()=>Ra.key==="properties"&&Ra.isLast);break;case"BinaryExpression":case"LogicalExpression":if(Ja==="right")return Ra.callParent(or);break;case"ConditionalExpression":if(Ja==="alternate")return Ra.callParent(or);break;case"UnaryExpression":if(qa.prefix)return Ra.callParent(or);break}return!1}function li$2(Ra,qa){let{node:Ja,parent:ed}=Ra;return Ja.type==="FunctionExpression"||Ja.type==="ClassExpression"?ed.type==="ExportDefaultDeclaration"||!us$1(Ra,qa):!jt$1(Ja)||ed.type!=="ExportDefaultDeclaration"&&us$1(Ra,qa)?!1:Ra.call(()=>li$2(Ra,qa),...Pr(Ja))}function sc$1(Ra){let{node:qa,parent:Ja,grandparent:ed,key:td}=Ra;return!!((qa.type==="OptionalMemberExpression"||qa.type==="OptionalCallExpression")&&(td==="object"&&Ja.type==="MemberExpression"||td==="callee"&&(Ja.type==="CallExpression"||Ja.type==="NewExpression")||Ja.type==="TSNonNullExpression"&&ed.type==="MemberExpression"&&ed.object===Ja)||Ra.match(()=>qa.type==="CallExpression"||qa.type==="MemberExpression",(rd,sd)=>sd==="expression"&&rd.type==="ChainExpression")&&(Ra.match(void 0,void 0,(rd,sd)=>sd==="callee"&&(rd.type==="CallExpression"&&!rd.optional||rd.type==="NewExpression")||sd==="object"&&rd.type==="MemberExpression"&&!rd.optional)||Ra.match(void 0,void 0,(rd,sd)=>sd==="expression"&&rd.type==="TSNonNullExpression",(rd,sd)=>sd==="object"&&rd.type==="MemberExpression"))||Ra.match(()=>qa.type==="CallExpression"||qa.type==="MemberExpression",(rd,sd)=>sd==="expression"&&rd.type==="TSNonNullExpression",(rd,sd)=>sd==="expression"&&rd.type==="ChainExpression",(rd,sd)=>sd==="object"&&rd.type==="MemberExpression"))}function is(Ra){return Ra.type==="Identifier"?!0:q$1(Ra)?!Ra.computed&&!Ra.optional&&Ra.property.type==="Identifier"&&is(Ra.object):!1}function uc$1(Ra){return Ra.type==="ChainExpression"&&(Ra=Ra.expression),is(Ra)||L$1(Ra)&&!Ra.optional&&is(Ra.callee)}var Be$1=us$1;function ic$1(Ra,qa){let Ja=qa-1;Ja=Ge$1(Ra,Ja,{backwards:!0}),Ja=Ue$1(Ra,Ja,{backwards:!0}),Ja=Ge$1(Ra,Ja,{backwards:!0});let ed=Ue$1(Ra,Ja,{backwards:!0});return Ja!==ed}var mi=ic$1,ac$1=()=>!0;function as$1(Ra,qa){let Ja=Ra.node;return Ja.printed=!0,qa.printer.printComment(Ra,qa)}function oc$2(Ra,qa){var Ja;let ed=Ra.node,td=[as$1(Ra,qa)],{printer:rd,originalText:sd,locStart:od,locEnd:ld}=qa;if((Ja=rd.isBlockComment)!=null&&Ja.call(rd,ed)){let ud=te$1(sd,ld(ed))?te$1(sd,od(ed),{backwards:!0})?F:x:" ";td.push(ud)}else td.push(F);let cd=Ue$1(sd,Ge$1(sd,ld(ed)));return cd!==!1&&te$1(sd,cd)&&td.push(F),td}function pc(Ra,qa,Ja){var ed;let td=Ra.node,rd=as$1(Ra,qa),{printer:sd,originalText:od,locStart:ld}=qa,cd=(ed=sd.isBlockComment)==null?void 0:ed.call(sd,td);if(Ja!=null&&Ja.hasLineSuffix&&!(Ja!=null&&Ja.isBlock)||te$1(od,ld(td),{backwards:!0})){let ud=mi(od,ld(td));return{doc:Wn$1([F,ud?F:"",rd]),isBlock:cd,hasLineSuffix:!0}}return!cd||Ja!=null&&Ja.hasLineSuffix?{doc:[Wn$1([" ",rd]),Ee$1],isBlock:cd,hasLineSuffix:!0}:{doc:[" ",rd],isBlock:cd,hasLineSuffix:!1}}function M$1(Ra,qa,Ja={}){let{node:ed}=Ra;if(!w(ed==null?void 0:ed.comments))return"";let{indent:td=!1,marker:rd,filter:sd=ac$1}=Ja,od=[];if(Ra.each(({node:cd})=>{cd.leading||cd.trailing||cd.marker!==rd||!sd(cd)||od.push(as$1(Ra,qa))},"comments"),od.length===0)return"";let ld=P$1(F,od);return td?f([F,ld]):ld}function os(Ra,qa){let Ja=Ra.node;if(!Ja)return{};let ed=qa[Symbol.for("printedComments")];if((Ja.comments||[]).filter(od=>!ed.has(od)).length===0)return{leading:"",trailing:""};let td=[],rd=[],sd;return Ra.each(()=>{let od=Ra.node;if(ed!=null&&ed.has(od))return;let{leading:ld,trailing:cd}=od;ld?td.push(oc$2(Ra,qa)):cd&&(sd=pc(Ra,qa,sd),rd.push(sd.doc))},"comments"),{leading:td,trailing:rd}}function ye$1(Ra,qa,Ja){let{leading:ed,trailing:td}=os(Ra,Ja);return!ed&&!td?qa:ir$1(qa,rd=>[ed,rd,td])}var ps=class extends Error{constructor(qa,Ja,ed="type"){super(`Unexpected ${Ja} node ${ed}: ${JSON.stringify(qa[ed])}.`);n1(this,"name","UnexpectedNodeError");this.node=qa}},Me$2=ps;function cs$1(Ra){if(typeof Ra!="string")throw new TypeError("Expected a string");return Ra.replace(/[|\\{}()[\]^$+*?.]/g,"\\$&").replace(/-/g,"\\x2d")}var Re$2,ls$1=class{constructor(qa){Ws(this,Re$2),Gs(this,Re$2,new Set(qa))}getLeadingWhitespaceCount(qa){let Ja=pt$1(this,Re$2),ed=0;for(let td=0;td<qa.length&&Ja.has(qa.charAt(td));td++)ed++;return ed}getTrailingWhitespaceCount(qa){let Ja=pt$1(this,Re$2),ed=0;for(let td=qa.length-1;td>=0&&Ja.has(qa.charAt(td));td--)ed++;return ed}getLeadingWhitespace(qa){let Ja=this.getLeadingWhitespaceCount(qa);return qa.slice(0,Ja)}getTrailingWhitespace(qa){let Ja=this.getTrailingWhitespaceCount(qa);return qa.slice(qa.length-Ja)}hasLeadingWhitespace(qa){return pt$1(this,Re$2).has(qa.charAt(0))}hasTrailingWhitespace(qa){return pt$1(this,Re$2).has(O$1(!1,qa,-1))}trimStart(qa){let Ja=this.getLeadingWhitespaceCount(qa);return qa.slice(Ja)}trimEnd(qa){let Ja=this.getTrailingWhitespaceCount(qa);return qa.slice(0,qa.length-Ja)}trim(qa){return this.trimEnd(this.trimStart(qa))}split(qa,Ja=!1){let ed=`[${cs$1([...pt$1(this,Re$2)].join(""))}]+`,td=new RegExp(Ja?`(${ed})`:ed,"u");return qa.split(td)}hasWhitespaceCharacter(qa){let Ja=pt$1(this,Re$2);return Array.prototype.some.call(qa,ed=>Ja.has(ed))}hasNonWhitespaceCharacter(qa){let Ja=pt$1(this,Re$2);return Array.prototype.some.call(qa,ed=>!Ja.has(ed))}isWhitespaceOnly(qa){let Ja=pt$1(this,Re$2);return Array.prototype.every.call(qa,ed=>Ja.has(ed))}};Re$2=new WeakMap;var yi$2=ls$1,Nr=new yi$2(`
|
||
\r `),ms=Ra=>Ra===""||Ra===x||Ra===F||Ra===E$1;function cc(Ra,qa,Ja){var ed,td,rd;let{node:sd}=Ra;if(sd.type==="JSXElement"&&gc(sd))return[Ja("openingElement"),Ja("closingElement")];let od=sd.type==="JSXElement"?Ja("openingElement"):Ja("openingFragment"),ld=sd.type==="JSXElement"?Ja("closingElement"):Ja("closingFragment");if(sd.children.length===1&&sd.children[0].type==="JSXExpressionContainer"&&(sd.children[0].expression.type==="TemplateLiteral"||sd.children[0].expression.type==="TaggedTemplateExpression"))return[od,...Ra.map(Ja,"children"),ld];sd.children=sd.children.map(Pd=>Sc(Pd)?{type:"JSXText",value:" ",raw:" "}:Pd);let cd=sd.children.some(X),ud=sd.children.filter(Pd=>Pd.type==="JSXExpressionContainer").length>1,_d=sd.type==="JSXElement"&&sd.openingElement.attributes.length>1,yd=ne$1(od)||cd||_d||ud,gd=Ra.parent.rootMarker==="mdx",Ed=qa.singleQuote?"{' '}":'{" "}',Td=gd?" ":b([Ed,E$1]," "),kd=((td=(ed=sd.openingElement)==null?void 0:ed.name)==null?void 0:td.name)==="fbt",Rd=lc$1(Ra,qa,Ja,Td,kd),Nd=sd.children.some(Pd=>pr(Pd));for(let Pd=Rd.length-2;Pd>=0;Pd--){let qd=Rd[Pd]===""&&Rd[Pd+1]==="",Yd=Rd[Pd]===F&&Rd[Pd+1]===""&&Rd[Pd+2]===F,Ud=(Rd[Pd]===E$1||Rd[Pd]===F)&&Rd[Pd+1]===""&&Rd[Pd+2]===Td,Hd=Rd[Pd]===Td&&Rd[Pd+1]===""&&(Rd[Pd+2]===E$1||Rd[Pd+2]===F),Vd=Rd[Pd]===Td&&Rd[Pd+1]===""&&Rd[Pd+2]===Td,Jd=Rd[Pd]===E$1&&Rd[Pd+1]===""&&Rd[Pd+2]===F||Rd[Pd]===F&&Rd[Pd+1]===""&&Rd[Pd+2]===E$1;Yd&&Nd||qd||Ud||Vd||Jd?Rd.splice(Pd,2):Hd&&Rd.splice(Pd+1,2)}for(;Rd.length>0&&ms(O$1(!1,Rd,-1));)Rd.pop();for(;Rd.length>1&&ms(Rd[0])&&ms(Rd[1]);)Rd.shift(),Rd.shift();let Id=[];for(let[Pd,qd]of Rd.entries()){if(qd===Td){if(Pd===1&&Rd[Pd-1]===""){if(Rd.length===2){Id.push(Ed);continue}Id.push([Ed,F]);continue}else if(Pd===Rd.length-1){Id.push(Ed);continue}else if(Rd[Pd-1]===""&&Rd[Pd-2]===F){Id.push(Ed);continue}}Id.push(qd),ne$1(qd)&&(yd=!0)}let Md=Nd?qt$1(Id):l(Id,{shouldBreak:!0});if(((rd=qa.cursorNode)==null?void 0:rd.type)==="JSXText"&&sd.children.includes(qa.cursorNode)&&(Md=[Un$1,Md,Un$1]),gd)return Md;let Ld=l([od,f([F,Md]),F,ld]);return yd?Ld:ze$1([l([od,...Rd,ld]),Ld])}function lc$1(Ra,qa,Ja,ed,td){let rd=[];return Ra.each(({node:sd,next:od})=>{if(sd.type==="JSXText"){let ld=fe$1(sd);if(pr(sd)){let cd=Nr.split(ld,!0);cd[0]===""&&(rd.push(""),cd.shift(),/\n/u.test(cd[0])?rd.push(fi$1(td,cd[1],sd,od)):rd.push(ed),cd.shift());let ud;if(O$1(!1,cd,-1)===""&&(cd.pop(),ud=cd.pop()),cd.length===0)return;for(let[_d,yd]of cd.entries())_d%2===1?rd.push(x):rd.push(yd);ud!==void 0?/\n/u.test(ud)?rd.push(fi$1(td,O$1(!1,rd,-1),sd,od)):rd.push(ed):rd.push(Di$1(td,O$1(!1,rd,-1),sd,od))}else/\n/u.test(ld)?ld.match(/\n/gu).length>1&&rd.push("",F):rd.push("",ed)}else{let ld=Ja();if(rd.push(ld),od&&pr(od)){let cd=Nr.trim(fe$1(od)),[ud]=Nr.split(cd);rd.push(Di$1(td,ud,sd,od))}else rd.push(F)}},"children"),rd}function Di$1(Ra,qa,Ja,ed){return Ra?"":Ja.type==="JSXElement"&&!Ja.closingElement||(ed==null?void 0:ed.type)==="JSXElement"&&!ed.closingElement?qa.length===1?E$1:F:E$1}function fi$1(Ra,qa,Ja,ed){return Ra?F:qa.length===1?Ja.type==="JSXElement"&&!Ja.closingElement||(ed==null?void 0:ed.type)==="JSXElement"&&!ed.closingElement?F:E$1:F}var mc=new Set(["ArrayExpression","TupleExpression","JSXAttribute","JSXElement","JSXExpressionContainer","JSXFragment","ExpressionStatement","CallExpression","OptionalCallExpression","ConditionalExpression","JsExpressionRoot"]);function yc(Ra,qa,Ja){let{parent:ed}=Ra;if(mc.has(ed.type))return qa;let td=Ra.match(void 0,sd=>sd.type==="ArrowFunctionExpression",L$1,sd=>sd.type==="JSXExpressionContainer"),rd=Be$1(Ra,Ja);return l([rd?"":b("("),f([E$1,qa]),E$1,rd?"":b(")")],{shouldBreak:td})}function Dc(Ra,qa,Ja){let{node:ed}=Ra,td=[];if(td.push(Ja("name")),ed.value){let rd;if(Q$2(ed.value)){let sd=fe$1(ed.value),od=N$1(!1,N$1(!1,sd.slice(1,-1),"'","'"),""",'"'),ld=xr(od,qa.jsxSingleQuote);od=ld==='"'?N$1(!1,od,'"',"""):N$1(!1,od,"'","'"),rd=Ra.call(()=>ye$1(Ra,Ie(ld+od+ld),qa),"value")}else rd=Ja("value");td.push("=",rd)}return td}function fc(Ra,qa,Ja){let{node:ed}=Ra,td=(rd,sd)=>rd.type==="JSXEmptyExpression"||!d(rd)&&(U$1(rd)||se(rd)||rd.type==="ArrowFunctionExpression"||rd.type==="AwaitExpression"&&(td(rd.argument,rd)||rd.argument.type==="JSXElement")||L$1(rd)||rd.type==="ChainExpression"&&L$1(rd.expression)||rd.type==="FunctionExpression"||rd.type==="TemplateLiteral"||rd.type==="TaggedTemplateExpression"||rd.type==="DoExpression"||X(sd)&&(rd.type==="ConditionalExpression"||De(rd)));return td(ed.expression,Ra.parent)?l(["{",Ja("expression"),ke$2,"}"]):l(["{",f([E$1,Ja("expression")]),E$1,ke$2,"}"])}function Ec(Ra,qa,Ja){var ed,td;let{node:rd}=Ra,sd=d(rd.name)||d(rd.typeParameters)||d(rd.typeArguments);if(rd.selfClosing&&rd.attributes.length===0&&!sd)return["<",Ja("name"),rd.typeArguments?Ja("typeArguments"):Ja("typeParameters")," />"];if(((ed=rd.attributes)==null?void 0:ed.length)===1&&Q$2(rd.attributes[0].value)&&!rd.attributes[0].value.value.includes(`
|
||
`)&&!sd&&!d(rd.attributes[0]))return l(["<",Ja("name"),rd.typeArguments?Ja("typeArguments"):Ja("typeParameters")," ",...Ra.map(Ja,"attributes"),rd.selfClosing?" />":">"]);let od=(td=rd.attributes)==null?void 0:td.some(cd=>Q$2(cd.value)&&cd.value.value.includes(`
|
||
`)),ld=qa.singleAttributePerLine&&rd.attributes.length>1?F:x;return l(["<",Ja("name"),rd.typeArguments?Ja("typeArguments"):Ja("typeParameters"),f(Ra.map(()=>[ld,Ja()],"attributes")),...Fc(rd,qa,sd)],{shouldBreak:od})}function Fc(Ra,qa,Ja){return Ra.selfClosing?[x,"/>"]:Cc(Ra,qa,Ja)?[">"]:[E$1,">"]}function Cc(Ra,qa,Ja){let ed=Ra.attributes.length>0&&d(O$1(!1,Ra.attributes,-1),g$2.Trailing);return Ra.attributes.length===0&&!Ja||(qa.bracketSameLine||qa.jsxBracketSameLine)&&(!Ja||Ra.attributes.length>0)&&!ed}function Ac(Ra,qa,Ja){let{node:ed}=Ra,td=[];td.push("</");let rd=Ja("name");return d(ed.name,g$2.Leading|g$2.Line)?td.push(f([F,rd]),F):d(ed.name,g$2.Leading|g$2.Block)?td.push(" ",rd):td.push(rd),td.push(">"),td}function Tc(Ra,qa){let{node:Ja}=Ra,ed=d(Ja),td=d(Ja,g$2.Line),rd=Ja.type==="JSXOpeningFragment";return[rd?"<":"</",f([td?F:ed&&!rd?" ":"",M$1(Ra,qa)]),td?F:"",">"]}function dc(Ra,qa,Ja){let ed=ye$1(Ra,cc(Ra,qa,Ja),qa);return yc(Ra,ed,qa)}function xc(Ra,qa){let{node:Ja}=Ra,ed=d(Ja,g$2.Line);return[M$1(Ra,qa,{indent:ed}),ed?F:""]}function hc(Ra,qa,Ja){let{node:ed}=Ra;return["{",Ra.call(({node:td})=>{let rd=["...",Ja()];return!d(td)||!zn$1(Ra)?rd:[f([E$1,ye$1(Ra,rd,qa)]),E$1]},ed.type==="JSXSpreadAttribute"?"argument":"expression"),"}"]}function Ei$1(Ra,qa,Ja){let{node:ed}=Ra;if(ed.type.startsWith("JSX"))switch(ed.type){case"JSXAttribute":return Dc(Ra,qa,Ja);case"JSXIdentifier":return ed.name;case"JSXNamespacedName":return P$1(":",[Ja("namespace"),Ja("name")]);case"JSXMemberExpression":return P$1(".",[Ja("object"),Ja("property")]);case"JSXSpreadAttribute":case"JSXSpreadChild":return hc(Ra,qa,Ja);case"JSXExpressionContainer":return fc(Ra,qa,Ja);case"JSXFragment":case"JSXElement":return dc(Ra,qa,Ja);case"JSXOpeningElement":return Ec(Ra,qa,Ja);case"JSXClosingElement":return Ac(Ra,qa,Ja);case"JSXOpeningFragment":case"JSXClosingFragment":return Tc(Ra,qa);case"JSXEmptyExpression":return xc(Ra,qa);case"JSXText":throw new Error("JSXText should be handled by JSXElement");default:throw new Me$2(ed,"JSX")}}function gc(Ra){if(Ra.children.length===0)return!0;if(Ra.children.length>1)return!1;let qa=Ra.children[0];return qa.type==="JSXText"&&!pr(qa)}function pr(Ra){return Ra.type==="JSXText"&&(Nr.hasNonWhitespaceCharacter(fe$1(Ra))||!/\n/u.test(fe$1(Ra)))}function Sc(Ra){return Ra.type==="JSXExpressionContainer"&&Q$2(Ra.expression)&&Ra.expression.value===" "&&!d(Ra.expression)}function Fi(Ra){let{node:qa,parent:Ja}=Ra;if(!X(qa)||!X(Ja))return!1;let{index:ed,siblings:td}=Ra,rd;for(let sd=ed;sd>0;sd--){let od=td[sd-1];if(!(od.type==="JSXText"&&!pr(od))){rd=od;break}}return(rd==null?void 0:rd.type)==="JSXExpressionContainer"&&rd.expression.type==="JSXEmptyExpression"&&Bt$2(rd.expression)}function Bc(Ra){return Bt$2(Ra.node)||Fi(Ra)}var Xr$1=Bc,bc=0;function Yr$2(Ra,qa,Ja){var ed;let{node:td,parent:rd,grandparent:sd,key:od}=Ra,ld=od!=="body"&&(rd.type==="IfStatement"||rd.type==="WhileStatement"||rd.type==="SwitchStatement"||rd.type==="DoWhileStatement"),cd=td.operator==="|>"&&((ed=Ra.root.extra)==null?void 0:ed.__isUsingHackPipeline),ud=ys(Ra,Ja,qa,!1,ld);if(ld)return ud;if(cd)return l(ud);if(L$1(rd)&&rd.callee===td||rd.type==="UnaryExpression"||q$1(rd)&&!rd.computed)return l([f([E$1,...ud]),E$1]);let _d=rd.type==="ReturnStatement"||rd.type==="ThrowStatement"||rd.type==="JSXExpressionContainer"&&sd.type==="JSXAttribute"||td.operator!=="|"&&rd.type==="JsExpressionRoot"||td.type!=="NGPipeExpression"&&(rd.type==="NGRoot"&&qa.parser==="__ng_binding"||rd.type==="NGMicrosyntaxExpression"&&sd.type==="NGMicrosyntax"&&sd.body.length===1)||td===rd.body&&rd.type==="ArrowFunctionExpression"||td!==rd.body&&rd.type==="ForStatement"||rd.type==="ConditionalExpression"&&sd.type!=="ReturnStatement"&&sd.type!=="ThrowStatement"&&!L$1(sd)||rd.type==="TemplateLiteral",yd=rd.type==="AssignmentExpression"||rd.type==="VariableDeclarator"||rd.type==="ClassProperty"||rd.type==="PropertyDefinition"||rd.type==="TSAbstractPropertyDefinition"||rd.type==="ClassPrivateProperty"||Ae$1(rd),gd=De(td.left)&&nr(td.operator,td.left.operator);if(_d||Ut$1(td)&&!gd||!Ut$1(td)&&yd)return l(ud);if(ud.length===0)return"";let Ed=X(td.right),Td=ud.findIndex(Ld=>typeof Ld!="string"&&!Array.isArray(Ld)&&Ld.type===le$1),kd=ud.slice(0,Td===-1?1:Td+1),Rd=ud.slice(kd.length,Ed?-1:void 0),Nd=Symbol("logicalChain-"+ ++bc),Id=l([...kd,f(Rd)],{id:Nd});if(!Ed)return Id;let Md=O$1(!1,ud,-1);return l([Id,At$2(Md,{groupId:Nd})])}function ys(Ra,qa,Ja,ed,td){var rd;let{node:sd}=Ra;if(!De(sd))return[l(qa())];let od=[];nr(sd.operator,sd.left.operator)?od=Ra.call(kd=>ys(kd,qa,Ja,!0,td),"left"):od.push(l(qa("left")));let ld=Ut$1(sd),cd=(sd.operator==="|>"||sd.type==="NGPipeExpression"||Pc(Ra,Ja))&&!Oe$1(Ja.originalText,sd.right),ud=sd.type==="NGPipeExpression"?"|":sd.operator,_d=sd.type==="NGPipeExpression"&&sd.arguments.length>0?l(f([E$1,": ",P$1([x,": "],Ra.map(()=>he$1(2,l(qa())),"arguments"))])):"",yd;if(ld)yd=[ud," ",qa("right"),_d];else{let kd=ud==="|>"&&((rd=Ra.root.extra)!=null&&rd.__isUsingHackPipeline)?Ra.call(Rd=>ys(Rd,qa,Ja,!0,td),"right"):qa("right");yd=[cd?x:"",ud,cd?" ":x,kd,_d]}let{parent:gd}=Ra,Ed=d(sd.left,g$2.Trailing|g$2.Line),Td=Ed||!(td&&sd.type==="LogicalExpression")&&gd.type!==sd.type&&sd.left.type!==sd.type&&sd.right.type!==sd.type;if(od.push(cd?"":" ",Td?l(yd,{shouldBreak:Ed}):yd),ed&&d(sd)){let kd=Wt(ye$1(Ra,od,Ja));return kd.type===Pe$1?kd.parts:Array.isArray(kd)?kd:[kd]}return od}function Ut$1(Ra){return Ra.type!=="LogicalExpression"?!1:!!(se(Ra.right)&&Ra.right.properties.length>0||U$1(Ra.right)&&Ra.right.elements.length>0||X(Ra.right))}var Ci$1=Ra=>Ra.type==="BinaryExpression"&&Ra.operator==="|";function Pc(Ra,qa){return(qa.parser==="__vue_expression"||qa.parser==="__vue_ts_expression")&&Ci$1(Ra.node)&&!Ra.hasAncestor(Ja=>!Ci$1(Ja)&&Ja.type!=="JsExpressionRoot")}function Ti$1(Ra,qa,Ja){let{node:ed}=Ra;if(ed.type.startsWith("NG"))switch(ed.type){case"NGRoot":return[Ja("node"),d(ed.node)?" //"+ct(ed.node)[0].value.trimEnd():""];case"NGPipeExpression":return Yr$2(Ra,qa,Ja);case"NGChainedExpression":return l(P$1([";",x],Ra.map(()=>Ic(Ra)?Ja():["(",Ja(),")"],"expressions")));case"NGEmptyExpression":return"";case"NGMicrosyntax":return Ra.map(()=>[Ra.isFirst?"":Ai$1(Ra)?" ":[";",x],Ja()],"body");case"NGMicrosyntaxKey":return/^[$_a-z][\w$]*(?:-[$_a-z][\w$])*$/iu.test(ed.name)?ed.name:JSON.stringify(ed.name);case"NGMicrosyntaxExpression":return[Ja("expression"),ed.alias===null?"":[" as ",Ja("alias")]];case"NGMicrosyntaxKeyedExpression":{let{index:td,parent:rd}=Ra,sd=Ai$1(Ra)||(td===1&&(ed.key.name==="then"||ed.key.name==="else"||ed.key.name==="as")||(td===2||td===3)&&(ed.key.name==="else"&&rd.body[td-1].type==="NGMicrosyntaxKeyedExpression"&&rd.body[td-1].key.name==="then"||ed.key.name==="track"))&&rd.body[0].type==="NGMicrosyntaxExpression";return[Ja("key"),sd?" ":": ",Ja("expression")]}case"NGMicrosyntaxLet":return["let ",Ja("key"),ed.value===null?"":[" = ",Ja("value")]];case"NGMicrosyntaxAs":return[Ja("key")," as ",Ja("alias")];default:throw new Me$2(ed,"Angular")}}function Ai$1({node:Ra,index:qa}){return Ra.type==="NGMicrosyntaxKeyedExpression"&&Ra.key.name==="of"&&qa===1}var kc=v(["CallExpression","OptionalCallExpression","AssignmentExpression"]);function Ic({node:Ra}){return tr(Ra,kc)}function Ds$1(Ra,qa,Ja){let{node:ed}=Ra;return l([P$1(x,Ra.map(Ja,"decorators")),hi$1(ed,qa)?F:x])}function di$1(Ra,qa,Ja){return gi$1(Ra.node)?[P$1(F,Ra.map(Ja,"declaration","decorators")),F]:""}function xi$1(Ra,qa,Ja){let{node:ed,parent:td}=Ra,{decorators:rd}=ed;if(!w(rd)||gi$1(td)||Xr$1(Ra))return"";let sd=ed.type==="ClassExpression"||ed.type==="ClassDeclaration"||hi$1(ed,qa);return[Ra.key==="declaration"&&iu$1(td)?F:sd?Ee$1:"",P$1(x,Ra.map(Ja,"decorators")),x]}function hi$1(Ra,qa){return Ra.decorators.some(Ja=>te$1(qa.originalText,k(Ja)))}function gi$1(Ra){var qa;if(Ra.type!=="ExportDefaultDeclaration"&&Ra.type!=="ExportNamedDeclaration"&&Ra.type!=="DeclareExportDeclaration")return!1;let Ja=(qa=Ra.declaration)==null?void 0:qa.decorators;return w(Ja)&&ht$1(Ra,Ja[0])}var Dt$2=class extends Error{constructor(){super(...arguments);n1(this,"name","ArgExpansionBailout")}};function Lc(Ra,qa,Ja){let{node:ed}=Ra,td=oe$1(ed);if(td.length===0)return["(",M$1(Ra,qa),")"];let rd=td.length-1;if(_c(td)){let yd=["("];return Rt$2(Ra,(gd,Ed)=>{yd.push(Ja()),Ed!==rd&&yd.push(", ")}),yd.push(")"),yd}let sd=!1,od=[];Rt$2(Ra,({node:yd},gd)=>{let Ed=Ja();gd===rd||(pe(yd,qa)?(sd=!0,Ed=[Ed,",",F,F]):Ed=[Ed,",",x]),od.push(Ed)});let ld=ed.type==="ImportExpression"||ed.callee.type==="Import",cd=!qa.parser.startsWith("__ng_")&&!ld&&ae(qa,"all")?",":"";function ud(){return l(["(",f([x,...od]),cd,x,")"],{shouldBreak:!0})}if(sd||Ra.parent.type!=="Decorator"&&lu$1(td))return ud();if(Oc(td)){let yd=od.slice(1);if(yd.some(ne$1))return ud();let gd;try{gd=Ja(Rn$1(ed,0),{expandFirstArg:!0})}catch(Ed){if(Ed instanceof Dt$2)return ud();throw Ed}return ne$1(gd)?[Ee$1,ze$1([["(",l(gd,{shouldBreak:!0}),", ",...yd,")"],ud()])]:ze$1([["(",gd,", ",...yd,")"],["(",l(gd,{shouldBreak:!0}),", ",...yd,")"],ud()])}if(wc(td,od,qa)){let yd=od.slice(0,-1);if(yd.some(ne$1))return ud();let gd;try{gd=Ja(Rn$1(ed,-1),{expandLastArg:!0})}catch(Ed){if(Ed instanceof Dt$2)return ud();throw Ed}return ne$1(gd)?[Ee$1,ze$1([["(",...yd,l(gd,{shouldBreak:!0}),")"],ud()])]:ze$1([["(",...yd,gd,")"],["(",...yd,l(gd,{shouldBreak:!0}),")"],ud()])}let _d=["(",f([E$1,...od]),b(cd),E$1,")"];return Or(Ra)?_d:l(_d,{shouldBreak:od.some(ne$1)||sd})}function cr(Ra,qa=!1){return se(Ra)&&(Ra.properties.length>0||d(Ra))||U$1(Ra)&&(Ra.elements.length>0||d(Ra))||Ra.type==="TSTypeAssertion"&&cr(Ra.expression)||Te$1(Ra)&&cr(Ra.expression)||Ra.type==="FunctionExpression"||Ra.type==="ArrowFunctionExpression"&&(!Ra.returnType||!Ra.returnType.typeAnnotation||Ra.returnType.typeAnnotation.type!=="TSTypeReference"||jc(Ra.body))&&(Ra.body.type==="BlockStatement"||Ra.body.type==="ArrowFunctionExpression"&&cr(Ra.body,!0)||se(Ra.body)||U$1(Ra.body)||!qa&&(L$1(Ra.body)||Ra.body.type==="ConditionalExpression")||X(Ra.body))||Ra.type==="DoExpression"||Ra.type==="ModuleExpression"}function wc(Ra,qa,Ja){var ed,td;let rd=O$1(!1,Ra,-1);if(Ra.length===1){let od=O$1(!1,qa,-1);if((ed=od.label)!=null&&ed.embed&&((td=od.label)==null?void 0:td.hug)!==!1)return!0}let sd=O$1(!1,Ra,-2);return!d(rd,g$2.Leading)&&!d(rd,g$2.Trailing)&&cr(rd)&&(!sd||sd.type!==rd.type)&&(Ra.length!==2||sd.type!=="ArrowFunctionExpression"||!U$1(rd))&&!(Ra.length>1&&fs(rd,Ja))}function Oc(Ra){if(Ra.length!==2)return!1;let[qa,Ja]=Ra;return qa.type==="ModuleExpression"&&vc(Ja)?!0:!d(qa)&&(qa.type==="FunctionExpression"||qa.type==="ArrowFunctionExpression"&&qa.body.type==="BlockStatement")&&Ja.type!=="FunctionExpression"&&Ja.type!=="ArrowFunctionExpression"&&Ja.type!=="ConditionalExpression"&&Bi$1(Ja)&&!cr(Ja)}function Bi$1(Ra){if(Ra.type==="ParenthesizedExpression")return Bi$1(Ra.expression);if(Te$1(Ra)||Ra.type==="TypeCastExpression"){let{typeAnnotation:qa}=Ra;if(qa.type==="TypeAnnotation"&&(qa=qa.typeAnnotation),qa.type==="TSArrayType"&&(qa=qa.elementType,qa.type==="TSArrayType"&&(qa=qa.elementType)),qa.type==="GenericTypeAnnotation"||qa.type==="TSTypeReference"){let Ja=qa.typeArguments??qa.typeParameters;(Ja==null?void 0:Ja.params.length)===1&&(qa=Ja.params[0])}return Mt$1(qa)&&be$2(Ra.expression,1)}return lt$1(Ra)&&oe$1(Ra).length>1?!1:De(Ra)?be$2(Ra.left,1)&&be$2(Ra.right,1):vn$1(Ra)||be$2(Ra)}function _c(Ra){return Ra.length===2?Si$1(Ra,0):Ra.length===3?Ra[0].type==="Identifier"&&Si$1(Ra,1):!1}function Si$1(Ra,qa){let Ja=Ra[qa],ed=Ra[qa+1];return Ja.type==="ArrowFunctionExpression"&&K$1(Ja).length===0&&Ja.body.type==="BlockStatement"&&ed.type==="ArrayExpression"&&!Ra.some(td=>d(td))}function jc(Ra){return Ra.type==="BlockStatement"&&(Ra.body.some(qa=>qa.type!=="EmptyStatement")||d(Ra,g$2.Dangling))}function vc(Ra){return Ra.type==="ObjectExpression"&&Ra.properties.length===1&&Ae$1(Ra.properties[0])&&Ra.properties[0].key.type==="Identifier"&&Ra.properties[0].key.name==="type"&&Q$2(Ra.properties[0].value)&&Ra.properties[0].value.value==="module"}var lr=Lc,Mc=Ra=>((Ra.type==="ChainExpression"||Ra.type==="TSNonNullExpression")&&(Ra=Ra.expression),L$1(Ra)&&oe$1(Ra).length>0);function bi$1(Ra,qa,Ja){var ed;let td=Ja("object"),rd=Es(Ra,qa,Ja),{node:sd}=Ra,od=Ra.findAncestor(ud=>!(q$1(ud)||ud.type==="TSNonNullExpression")),ld=Ra.findAncestor(ud=>!(ud.type==="ChainExpression"||ud.type==="TSNonNullExpression")),cd=od&&(od.type==="NewExpression"||od.type==="BindExpression"||od.type==="AssignmentExpression"&&od.left.type!=="Identifier")||sd.computed||sd.object.type==="Identifier"&&sd.property.type==="Identifier"&&!q$1(ld)||(ld.type==="AssignmentExpression"||ld.type==="VariableDeclarator")&&(Mc(sd.object)||((ed=td.label)==null?void 0:ed.memberChain));return it$1(td.label,[td,cd?rd:l(f([E$1,rd]))])}function Es(Ra,qa,Ja){let ed=Ja("property"),{node:td}=Ra,rd=V$1(Ra);return td.computed?!td.property||Ce(td.property)?[rd,"[",ed,"]"]:l([rd,"[",f([E$1,ed]),E$1,"]"]):[rd,".",ed]}function Pi$1(Ra,qa,Ja){if(Ra.node.type==="ChainExpression")return Ra.call(()=>Pi$1(Ra,qa,Ja),"expression");let{parent:ed}=Ra,td=!ed||ed.type==="ExpressionStatement",rd=[];function sd(pf){let{originalText:Xd}=qa,hf=yt$1(Xd,k(pf));return Xd.charAt(hf)===")"?hf!==!1&&Ot$2(Xd,hf+1):pe(pf,qa)}function od(pf){let{node:Xd}=pf;if(Xd.type==="ChainExpression")return pf.call(()=>od(pf),"expression");if(L$1(Xd)&&(Ft$2(Xd.callee)||L$1(Xd.callee))){let hf=sd(Xd);rd.unshift({node:Xd,hasTrailingEmptyLine:hf,printed:[ye$1(pf,[V$1(pf),Qe$1(pf,qa,Ja),lr(pf,qa,Ja)],qa),hf?F:""]}),pf.call(_f=>od(_f),"callee")}else Ft$2(Xd)?(rd.unshift({node:Xd,needsParens:Be$1(pf,qa),printed:ye$1(pf,q$1(Xd)?Es(pf,qa,Ja):Hr$1(pf,qa,Ja),qa)}),pf.call(hf=>od(hf),"object")):Xd.type==="TSNonNullExpression"?(rd.unshift({node:Xd,printed:ye$1(pf,"!",qa)}),pf.call(hf=>od(hf),"expression")):rd.unshift({node:Xd,printed:Ja()})}let{node:ld}=Ra;rd.unshift({node:ld,printed:[V$1(Ra),Qe$1(Ra,qa,Ja),lr(Ra,qa,Ja)]}),ld.callee&&Ra.call(pf=>od(pf),"callee");let cd=[],ud=[rd[0]],_d=1;for(;_d<rd.length&&(rd[_d].node.type==="TSNonNullExpression"||L$1(rd[_d].node)||q$1(rd[_d].node)&&rd[_d].node.computed&&Ce(rd[_d].node.property));++_d)ud.push(rd[_d]);if(!L$1(rd[0].node))for(;_d+1<rd.length&&Ft$2(rd[_d].node)&&Ft$2(rd[_d+1].node);++_d)ud.push(rd[_d]);cd.push(ud),ud=[];let yd=!1;for(;_d<rd.length;++_d){if(yd&&Ft$2(rd[_d].node)){if(rd[_d].node.computed&&Ce(rd[_d].node.property)){ud.push(rd[_d]);continue}cd.push(ud),ud=[],yd=!1}(L$1(rd[_d].node)||rd[_d].node.type==="ImportExpression")&&(yd=!0),ud.push(rd[_d]),d(rd[_d].node,g$2.Trailing)&&(cd.push(ud),ud=[],yd=!1)}ud.length>0&&cd.push(ud);function gd(pf){return/^[A-Z]|^[$_]+$/u.test(pf)}function Ed(pf){return pf.length<=qa.tabWidth}function Td(pf){var Xd;let hf=(Xd=pf[1][0])==null?void 0:Xd.node.computed;if(pf[0].length===1){let xf=pf[0][0].node;return xf.type==="ThisExpression"||xf.type==="Identifier"&&(gd(xf.name)||td&&Ed(xf.name)||hf)}let _f=O$1(!1,pf[0],-1).node;return q$1(_f)&&_f.property.type==="Identifier"&&(gd(_f.property.name)||hf)}let kd=cd.length>=2&&!d(cd[1][0].node)&&Td(cd);function Rd(pf){let Xd=pf.map(hf=>hf.printed);return pf.length>0&&O$1(!1,pf,-1).needsParens?["(",...Xd,")"]:Xd}function Nd(pf){return pf.length===0?"":f([F,P$1(F,pf.map(Rd))])}let Id=cd.map(Rd),Md=Id,Ld=kd?3:2,Pd=cd.flat(),qd=Pd.slice(1,-1).some(pf=>d(pf.node,g$2.Leading))||Pd.slice(0,-1).some(pf=>d(pf.node,g$2.Trailing))||cd[Ld]&&d(cd[Ld][0].node,g$2.Leading);if(cd.length<=Ld&&!qd&&!cd.some(pf=>O$1(!1,pf,-1).hasTrailingEmptyLine))return Or(Ra)?Md:l(Md);let Yd=O$1(!1,cd[kd?1:0],-1).node,Ud=!L$1(Yd)&&sd(Yd),Hd=[Rd(cd[0]),kd?cd.slice(1,2).map(Rd):"",Ud?F:"",Nd(cd.slice(kd?2:1))],Vd=rd.map(({node:pf})=>pf).filter(L$1);function Jd(){let pf=O$1(!1,O$1(!1,cd,-1),-1).node,Xd=O$1(!1,Id,-1);return L$1(pf)&&ne$1(Xd)&&Vd.slice(0,-1).some(hf=>hf.arguments.some(_t$1))}let Zd;return qd||Vd.length>2&&Vd.some(pf=>!pf.arguments.every(Xd=>be$2(Xd)))||Id.slice(0,-1).some(ne$1)||Jd()?Zd=l(Hd):Zd=[ne$1(Md)||Ud?Ee$1:"",ze$1([Md,Hd])],it$1({memberChain:!0},Zd)}var ki$1=Pi$1;function Vr$1(Ra,qa,Ja){var ed;let{node:td}=Ra,rd=td.type==="NewExpression",sd=td.type==="ImportExpression",od=V$1(Ra),ld=oe$1(td),cd=ld.length===1&&Lr(ld[0],qa.originalText);if(cd||Rc(Ra)||St$1(td,Ra.parent)){let _d=[];if(Rt$2(Ra,()=>{_d.push(Ja())}),!(cd&&(ed=_d[0].label)!=null&&ed.embed))return[rd?"new ":"",Ii$1(Ra,Ja),od,Qe$1(Ra,qa,Ja),"(",P$1(", ",_d),")"]}if(!sd&&!rd&&Ft$2(td.callee)&&!Ra.call(_d=>Be$1(_d,qa),"callee",...td.callee.type==="ChainExpression"?["expression"]:[]))return ki$1(Ra,qa,Ja);let ud=[rd?"new ":"",Ii$1(Ra,Ja),od,Qe$1(Ra,qa,Ja),lr(Ra,qa,Ja)];return sd||L$1(td.callee)?l(ud):ud}function Ii$1(Ra,qa){let{node:Ja}=Ra;return Ja.type==="ImportExpression"?`import${Ja.phase?`.${Ja.phase}`:""}`:qa("callee")}function Rc(Ra){let{node:qa}=Ra;if(qa.type!=="CallExpression"||qa.optional||qa.callee.type!=="Identifier")return!1;let Ja=oe$1(qa);return qa.callee.name==="require"?Ja.length===1&&Q$2(Ja[0])||Ja.length>1:qa.callee.name==="define"&&Ra.parent.type==="ExpressionStatement"?Ja.length===1||Ja.length===2&&Ja[0].type==="ArrayExpression"||Ja.length===3&&Q$2(Ja[0])&&Ja[1].type==="ArrayExpression":!1}function Tt$2(Ra,qa,Ja,ed,td,rd){let sd=Jc(Ra,qa,Ja,ed,rd),od=rd?Ja(rd,{assignmentLayout:sd}):"";switch(sd){case"break-after-operator":return l([l(ed),td,l(f([x,od]))]);case"never-break-after-operator":return l([l(ed),td," ",od]);case"fluid":{let ld=Symbol("assignment");return l([l(ed),td,l(f(x),{id:ld}),ke$2,At$2(od,{groupId:ld})])}case"break-lhs":return l([ed,td," ",l(od)]);case"chain":return[l(ed),td,x,od];case"chain-tail":return[l(ed),td,f([x,od])];case"chain-tail-arrow-chain":return[l(ed),td,od];case"only-left":return ed}}function wi$1(Ra,qa,Ja){let{node:ed}=Ra;return Tt$2(Ra,qa,Ja,Ja("left"),[" ",ed.operator],"right")}function Oi$1(Ra,qa,Ja){return Tt$2(Ra,qa,Ja,Ja("id")," =","init")}function Jc(Ra,qa,Ja,ed,td){let{node:rd}=Ra,sd=rd[td];if(!sd)return"only-left";let od=!$r$1(sd);if(Ra.match($r$1,_i$1,ud=>!od||ud.type!=="ExpressionStatement"&&ud.type!=="VariableDeclaration"))return od?sd.type==="ArrowFunctionExpression"&&sd.body.type==="ArrowFunctionExpression"?"chain-tail-arrow-chain":"chain-tail":"chain";if(!od&&$r$1(sd.right)||Oe$1(qa.originalText,sd))return"break-after-operator";if(rd.type==="ImportAttribute"||sd.type==="CallExpression"&&sd.callee.name==="require"||qa.parser==="json5"||qa.parser==="jsonc"||qa.parser==="json")return"never-break-after-operator";let ld=Bu(ed);if(Wc(rd)||Xc(rd)||Fs(rd)&&ld)return"break-lhs";let cd=Hc(rd,ed,qa);return Ra.call(()=>qc(Ra,qa,Ja,cd),td)?"break-after-operator":Gc(rd)?"break-lhs":!ld&&(cd||sd.type==="TemplateLiteral"||sd.type==="TaggedTemplateExpression"||sd.type==="BooleanLiteral"||Ce(sd)||sd.type==="ClassExpression")?"never-break-after-operator":"fluid"}function qc(Ra,qa,Ja,ed){let td=Ra.node;if(De(td)&&!Ut$1(td))return!0;switch(td.type){case"StringLiteralTypeAnnotation":case"SequenceExpression":return!0;case"TSConditionalType":case"ConditionalTypeAnnotation":if(!qa.experimentalTernaries&&!Kc(td))break;return!0;case"ConditionalExpression":{if(!qa.experimentalTernaries){let{test:cd}=td;return De(cd)&&!Ut$1(cd)}let{consequent:od,alternate:ld}=td;return od.type==="ConditionalExpression"||ld.type==="ConditionalExpression"}case"ClassExpression":return w(td.decorators)}if(ed)return!1;let rd=td,sd=[];for(;;)if(rd.type==="UnaryExpression"||rd.type==="AwaitExpression"||rd.type==="YieldExpression"&&rd.argument!==null)rd=rd.argument,sd.push("argument");else if(rd.type==="TSNonNullExpression")rd=rd.expression,sd.push("expression");else break;return!!(Q$2(rd)||Ra.call(()=>ji(Ra,qa,Ja),...sd))}function Wc(Ra){if(_i$1(Ra)){let qa=Ra.left||Ra.id;return qa.type==="ObjectPattern"&&qa.properties.length>2&&qa.properties.some(Ja=>{var ed;return Ae$1(Ja)&&(!Ja.shorthand||((ed=Ja.value)==null?void 0:ed.type)==="AssignmentPattern")})}return!1}function $r$1(Ra){return Ra.type==="AssignmentExpression"}function _i$1(Ra){return $r$1(Ra)||Ra.type==="VariableDeclarator"}function Gc(Ra){let qa=Nc(Ra);if(w(qa)){let Ja=Ra.type==="TSTypeAliasDeclaration"?"constraint":"bound";if(qa.length>1&&qa.some(ed=>ed[Ja]||ed.default))return!0}return!1}var Uc=v(["TSTypeAliasDeclaration","TypeAlias"]);function Nc(Ra){var qa;if(Uc(Ra))return(qa=Ra.typeParameters)==null?void 0:qa.params}function Xc(Ra){if(Ra.type!=="VariableDeclarator")return!1;let{typeAnnotation:qa}=Ra.id;if(!qa||!qa.typeAnnotation)return!1;let Ja=Li$1(qa.typeAnnotation);return w(Ja)&&Ja.length>1&&Ja.some(ed=>w(Li$1(ed))||ed.type==="TSConditionalType")}function Fs(Ra){var qa;return Ra.type==="VariableDeclarator"&&((qa=Ra.init)==null?void 0:qa.type)==="ArrowFunctionExpression"}var Yc=v(["TSTypeReference","GenericTypeAnnotation"]);function Li$1(Ra){var qa;if(Yc(Ra))return(qa=Ra.typeArguments??Ra.typeParameters)==null?void 0:qa.params}function ji(Ra,qa,Ja,ed=!1){var td;let{node:rd}=Ra,sd=()=>ji(Ra,qa,Ja,!0);if(rd.type==="ChainExpression"||rd.type==="TSNonNullExpression")return Ra.call(sd,"expression");if(L$1(rd)){if((td=Vr$1(Ra,qa,Ja).label)!=null&&td.memberChain)return!1;let od=oe$1(rd);return!(od.length===0||od.length===1&&rr(od[0],qa))||Vc(rd,Ja)?!1:Ra.call(sd,"callee")}return q$1(rd)?Ra.call(sd,"object"):ed&&(rd.type==="Identifier"||rd.type==="ThisExpression")}function Hc(Ra,qa,Ja){return Ae$1(Ra)?(qa=Wt(qa),typeof qa=="string"&&et$1(qa)<Ja.tabWidth+3):!1}function Vc(Ra,qa){let Ja=$c(Ra);if(w(Ja)){if(Ja.length>1)return!0;if(Ja.length===1){let td=Ja[0];if(Ne(td)||_r(td)||td.type==="TSTypeLiteral"||td.type==="ObjectTypeAnnotation")return!0}let ed=Ra.typeParameters?"typeParameters":"typeArguments";if(ne$1(qa(ed)))return!0}return!1}function $c(Ra){var qa;return(qa=Ra.typeParameters??Ra.typeArguments)==null?void 0:qa.params}function Kc(Ra){function qa(Ja){switch(Ja.type){case"FunctionTypeAnnotation":case"GenericTypeAnnotation":case"TSFunctionType":return!!Ja.typeParameters;case"TSTypeReference":return!!(Ja.typeArguments??Ja.typeParameters);default:return!1}}return qa(Ra.checkType)||qa(Ra.extendsType)}function Je$1(Ra,qa,Ja,ed,td){let rd=Ra.node,sd=K$1(rd),od=td?Qe$1(Ra,Ja,qa):"";if(sd.length===0)return[od,"(",M$1(Ra,Ja,{filter:gd=>ge$1(Ja.originalText,k(gd))===")"}),")"];let{parent:ld}=Ra,cd=St$1(ld),ud=Cs(rd),_d=[];if(fu(Ra,(gd,Ed)=>{let Td=Ed===sd.length-1;Td&&rd.rest&&_d.push("..."),_d.push(qa()),!Td&&(_d.push(","),cd||ud?_d.push(" "):pe(sd[Ed],Ja)?_d.push(F,F):_d.push(x))}),ed&&!Qc(Ra)){if(ne$1(od)||ne$1(_d))throw new Dt$2;return l([ur(od),"(",ur(_d),")"])}let yd=sd.every(gd=>!w(gd.decorators));return ud&&yd?[od,"(",..._d,")"]:cd?[od,"(",..._d,")"]:(Ir(ld)||ou$1(ld)||ld.type==="TypeAlias"||ld.type==="UnionTypeAnnotation"||ld.type==="IntersectionTypeAnnotation"||ld.type==="FunctionTypeAnnotation"&&ld.returnType===rd)&&sd.length===1&&sd[0].name===null&&rd.this!==sd[0]&&sd[0].typeAnnotation&&rd.typeParameters===null&&Mt$1(sd[0].typeAnnotation)&&!rd.rest?Ja.arrowParens==="always"||rd.type==="HookTypeAnnotation"?["(",..._d,")"]:_d:[od,"(",f([E$1,..._d]),b(!Du$1(rd)&&ae(Ja,"all")?",":""),E$1,")"]}function Cs(Ra){if(!Ra)return!1;let qa=K$1(Ra);if(qa.length!==1)return!1;let[Ja]=qa;return!d(Ja)&&(Ja.type==="ObjectPattern"||Ja.type==="ArrayPattern"||Ja.type==="Identifier"&&Ja.typeAnnotation&&(Ja.typeAnnotation.type==="TypeAnnotation"||Ja.typeAnnotation.type==="TSTypeAnnotation")&&we$1(Ja.typeAnnotation.typeAnnotation)||Ja.type==="FunctionTypeParam"&&we$1(Ja.typeAnnotation)&&Ja!==Ra.rest||Ja.type==="AssignmentPattern"&&(Ja.left.type==="ObjectPattern"||Ja.left.type==="ArrayPattern")&&(Ja.right.type==="Identifier"||se(Ja.right)&&Ja.right.properties.length===0||U$1(Ja.right)&&Ja.right.elements.length===0))}function zc(Ra){let qa;return Ra.returnType?(qa=Ra.returnType,qa.typeAnnotation&&(qa=qa.typeAnnotation)):Ra.typeAnnotation&&(qa=Ra.typeAnnotation),qa}function ot(Ra,qa){var Ja;let ed=zc(Ra);if(!ed)return!1;let td=(Ja=Ra.typeParameters)==null?void 0:Ja.params;if(td){if(td.length>1)return!1;if(td.length===1){let rd=td[0];if(rd.constraint||rd.default)return!1}}return K$1(Ra).length===1&&(we$1(ed)||ne$1(qa))}function Qc(Ra){return Ra.match(qa=>qa.type==="ArrowFunctionExpression"&&qa.body.type==="BlockStatement",(qa,Ja)=>{if(qa.type==="CallExpression"&&Ja==="arguments"&&qa.arguments.length===1&&qa.callee.type==="CallExpression"){let ed=qa.callee.callee;return ed.type==="Identifier"||ed.type==="MemberExpression"&&!ed.computed&&ed.object.type==="Identifier"&&ed.property.type==="Identifier"}return!1},(qa,Ja)=>qa.type==="VariableDeclarator"&&Ja==="init"||qa.type==="ExportDefaultDeclaration"&&Ja==="declaration"||qa.type==="TSExportAssignment"&&Ja==="expression"||qa.type==="AssignmentExpression"&&Ja==="right"&&qa.left.type==="MemberExpression"&&qa.left.object.type==="Identifier"&&qa.left.object.name==="module"&&qa.left.property.type==="Identifier"&&qa.left.property.name==="exports",qa=>qa.type!=="VariableDeclaration"||qa.kind==="const"&&qa.declarations.length===1)}function vi$1(Ra){let qa=K$1(Ra);return qa.length>1&&qa.some(Ja=>Ja.type==="TSParameterProperty")}var Zc=v(["VoidTypeAnnotation","TSVoidKeyword","NullLiteralTypeAnnotation","TSNullKeyword"]),el$1=v(["ObjectTypeAnnotation","TSTypeLiteral","GenericTypeAnnotation","TSTypeReference"]);function tl$1(Ra){let{types:qa}=Ra;if(qa.some(ed=>d(ed)))return!1;let Ja=qa.find(ed=>el$1(ed));return Ja?qa.every(ed=>ed===Ja||Zc(ed)):!1}function As$1(Ra){return Mt$1(Ra)||we$1(Ra)?!0:Ne(Ra)?tl$1(Ra):!1}function Mi(Ra,qa,Ja){let ed=qa.semi?";":"",{node:td}=Ra,rd=[$(Ra),"opaque type ",Ja("id"),Ja("typeParameters")];return td.supertype&&rd.push(": ",Ja("supertype")),td.impltype&&rd.push(" = ",Ja("impltype")),rd.push(ed),rd}function Kr$1(Ra,qa,Ja){let ed=qa.semi?";":"",{node:td}=Ra,rd=[$(Ra)];rd.push("type ",Ja("id"),Ja("typeParameters"));let sd=td.type==="TSTypeAliasDeclaration"?"typeAnnotation":"right";return[Tt$2(Ra,qa,Ja,rd," =",sd),ed]}function zr$1(Ra,qa,Ja){let ed=!1;return l(Ra.map(({isFirst:td,previous:rd,node:sd,index:od})=>{let ld=Ja();if(td)return ld;let cd=we$1(sd),ud=we$1(rd);return ud&&cd?[" & ",ed?f(ld):ld]:!ud&&!cd?f([" &",x,ld]):(od>1&&(ed=!0),[" & ",od>1?f(ld):ld])},"types"))}function Qr$2(Ra,qa,Ja){let{node:ed}=Ra,{parent:td}=Ra,rd=td.type!=="TypeParameterInstantiation"&&(td.type!=="TSConditionalType"||!qa.experimentalTernaries)&&(td.type!=="ConditionalTypeAnnotation"||!qa.experimentalTernaries)&&td.type!=="TSTypeParameterInstantiation"&&td.type!=="GenericTypeAnnotation"&&td.type!=="TSTypeReference"&&td.type!=="TSTypeAssertion"&&td.type!=="TupleTypeAnnotation"&&td.type!=="TSTupleType"&&!(td.type==="FunctionTypeParam"&&!td.name&&Ra.grandparent.this!==td)&&!((td.type==="TypeAlias"||td.type==="VariableDeclarator"||td.type==="TSTypeAliasDeclaration")&&Oe$1(qa.originalText,ed)),sd=As$1(ed),od=Ra.map(ud=>{let _d=Ja();return sd||(_d=he$1(2,_d)),ye$1(ud,_d,qa)},"types");if(sd)return P$1(" | ",od);let ld=rd&&!Oe$1(qa.originalText,ed),cd=[b([ld?x:"","| "]),P$1([x,"| "],od)];return Be$1(Ra,qa)?l([f(cd),E$1]):(td.type==="TupleTypeAnnotation"||td.type==="TSTupleType")&&td[td.type==="TupleTypeAnnotation"&&td.types?"types":"elementTypes"].length>1?l([f([b(["(",E$1]),cd]),E$1,b(")")]):l(rd?f(cd):cd)}function rl$1(Ra){var qa;let{node:Ja,parent:ed}=Ra;return Ja.type==="FunctionTypeAnnotation"&&(Ir(ed)||!((ed.type==="ObjectTypeProperty"||ed.type==="ObjectTypeInternalSlot")&&!ed.variance&&!ed.optional&&ht$1(ed,Ja)||ed.type==="ObjectTypeCallProperty"||((qa=Ra.getParentNode(2))==null?void 0:qa.type)==="DeclareFunction"))}function Zr$2(Ra,qa,Ja){let{node:ed}=Ra,td=[Nt$1(Ra)];(ed.type==="TSConstructorType"||ed.type==="TSConstructSignatureDeclaration")&&td.push("new ");let rd=Je$1(Ra,Ja,qa,!1,!0),sd=[];return ed.type==="FunctionTypeAnnotation"?sd.push(rl$1(Ra)?" => ":": ",Ja("returnType")):sd.push(Y(Ra,Ja,ed.returnType?"returnType":"typeAnnotation")),ot(ed,sd)&&(rd=l(rd)),td.push(rd,sd),l(td)}function en$1(Ra,qa,Ja){return[Ja("objectType"),V$1(Ra),"[",Ja("indexType"),"]"]}function tn$1(Ra,qa,Ja){return["infer ",Ja("typeParameter")]}function Ts(Ra,qa,Ja){let{node:ed}=Ra;return[ed.postfix?"":Ja,Y(Ra,qa),ed.postfix?Ja:""]}function rn$1(Ra,qa,Ja){let{node:ed}=Ra;return["...",...ed.type==="TupleTypeSpreadElement"&&ed.label?[Ja("label"),": "]:[],Ja("typeAnnotation")]}function nn$1(Ra,qa,Ja){let{node:ed}=Ra;return[ed.variance?Ja("variance"):"",Ja("label"),ed.optional?"?":"",": ",Ja("elementType")]}var nl$1=new WeakSet;function Y(Ra,qa,Ja="typeAnnotation"){let{node:{[Ja]:ed}}=Ra;if(!ed)return"";let td=!1;if(ed.type==="TSTypeAnnotation"||ed.type==="TypeAnnotation"){let rd=Ra.call(Ri$1,Ja);(rd==="=>"||rd===":"&&d(ed,g$2.Leading))&&(td=!0),nl$1.add(ed)}return td?[" ",qa(Ja)]:qa(Ja)}var Ri$1=Ra=>Ra.match(qa=>qa.type==="TSTypeAnnotation",(qa,Ja)=>(Ja==="returnType"||Ja==="typeAnnotation")&&(qa.type==="TSFunctionType"||qa.type==="TSConstructorType"))?"=>":Ra.match(qa=>qa.type==="TSTypeAnnotation",(qa,Ja)=>Ja==="typeAnnotation"&&(qa.type==="TSJSDocNullableType"||qa.type==="TSJSDocNonNullableType"||qa.type==="TSTypePredicate"))||Ra.match(qa=>qa.type==="TypeAnnotation",(qa,Ja)=>Ja==="typeAnnotation"&&qa.type==="Identifier",(qa,Ja)=>Ja==="id"&&qa.type==="DeclareFunction")||Ra.match(qa=>qa.type==="TypeAnnotation",(qa,Ja)=>Ja==="typeAnnotation"&&qa.type==="Identifier",(qa,Ja)=>Ja==="id"&&qa.type==="DeclareHook")||Ra.match(qa=>qa.type==="TypeAnnotation",(qa,Ja)=>Ja==="bound"&&qa.type==="TypeParameter"&&qa.usesExtendsBound)?"":":";function sn$1(Ra,qa,Ja){let ed=Ri$1(Ra);return ed?[ed," ",Ja("typeAnnotation")]:Ja("typeAnnotation")}function un$1(Ra){return[Ra("elementType"),"[]"]}function an$1({node:Ra},qa){let Ja=Ra.type==="TSTypeQuery"?"exprName":"argument",ed=Ra.type==="TypeofTypeAnnotation"||Ra.typeArguments?"typeArguments":"typeParameters";return["typeof ",qa(Ja),qa(ed)]}function on$1(Ra,qa){let{node:Ja}=Ra;return[Ja.type==="TSTypePredicate"&&Ja.asserts?"asserts ":Ja.type==="TypePredicate"&&Ja.kind?`${Ja.kind} `:"",qa("parameterName"),Ja.typeAnnotation?[" is ",Y(Ra,qa)]:""]}function V$1(Ra){let{node:qa}=Ra;return!qa.optional||qa.type==="Identifier"&&qa===Ra.parent.key?"":L$1(qa)||q$1(qa)&&qa.computed||qa.type==="OptionalIndexedAccessType"?"?.":"?"}function pn$1(Ra){return Ra.node.definite||Ra.match(void 0,(qa,Ja)=>Ja==="id"&&qa.type==="VariableDeclarator"&&qa.definite)?"!":""}var sl$1=new Set(["DeclareClass","DeclareComponent","DeclareFunction","DeclareHook","DeclareVariable","DeclareExportDeclaration","DeclareExportAllDeclaration","DeclareOpaqueType","DeclareTypeAlias","DeclareEnum","DeclareInterface"]);function $(Ra){let{node:qa}=Ra;return qa.declare||sl$1.has(qa.type)&&Ra.parent.type!=="DeclareExportDeclaration"?"declare ":""}var ul$1=new Set(["TSAbstractMethodDefinition","TSAbstractPropertyDefinition","TSAbstractAccessorProperty"]);function Nt$1({node:Ra}){return Ra.abstract||ul$1.has(Ra.type)?"abstract ":""}function Qe$1(Ra,qa,Ja){let ed=Ra.node;return ed.typeArguments?Ja("typeArguments"):ed.typeParameters?Ja("typeParameters"):""}function Hr$1(Ra,qa,Ja){return["::",Ja("callee")]}function ft(Ra,qa,Ja){return Ra.type==="EmptyStatement"?";":Ra.type==="BlockStatement"||Ja?[" ",qa]:f([x,qa])}function cn$2(Ra,qa){return["...",qa("argument"),Y(Ra,qa)]}function Xt$1(Ra){return Ra.accessibility?Ra.accessibility+" ":""}function il$1(Ra,qa,Ja,ed){let{node:td}=Ra,rd=td.inexact?"...":"";return d(td,g$2.Dangling)?l([Ja,rd,M$1(Ra,qa,{indent:!0}),E$1,ed]):[Ja,rd,ed]}function Yt(Ra,qa,Ja){let{node:ed}=Ra,td=[],rd=ed.type==="TupleExpression"?"#[":"[",sd="]",od=ed.type==="TupleTypeAnnotation"&&ed.types?"types":ed.type==="TSTupleType"||ed.type==="TupleTypeAnnotation"?"elementTypes":"elements",ld=ed[od];if(ld.length===0)td.push(il$1(Ra,qa,rd,sd));else{let cd=O$1(!1,ld,-1),ud=(cd==null?void 0:cd.type)!=="RestElement"&&!ed.inexact,_d=cd===null,yd=Symbol("array"),gd=!qa.__inJestEach&&ld.length>1&&ld.every((kd,Rd,Nd)=>{let Id=kd==null?void 0:kd.type;if(!U$1(kd)&&!se(kd))return!1;let Md=Nd[Rd+1];if(Md&&Id!==Md.type)return!1;let Ld=U$1(kd)?"elements":"properties";return kd[Ld]&&kd[Ld].length>1}),Ed=fs(ed,qa),Td=ud?_d?",":ae(qa)?Ed?b(",","",{groupId:yd}):b(","):"":"";td.push(l([rd,f([E$1,Ed?ol$1(Ra,qa,Ja,Td):[al$1(Ra,qa,od,ed.inexact,Ja),Td],M$1(Ra,qa)]),E$1,sd],{shouldBreak:gd,id:yd}))}return td.push(V$1(Ra),Y(Ra,Ja)),td}function fs(Ra,qa){return U$1(Ra)&&Ra.elements.length>1&&Ra.elements.every(Ja=>Ja&&(Ce(Ja)||jn$2(Ja)&&!d(Ja.argument))&&!d(Ja,g$2.Trailing|g$2.Line,ed=>!te$1(qa.originalText,R$1(ed),{backwards:!0})))}function Ji$2({node:Ra},{originalText:qa}){let Ja=td=>Lt$1(qa,wt(qa,td)),ed=td=>qa[td]===","?td:ed(Ja(td+1));return Ot$2(qa,ed(k(Ra)))}function al$1(Ra,qa,Ja,ed,td){let rd=[];return Ra.each(({node:sd,isLast:od})=>{rd.push(sd?l(td()):""),(!od||ed)&&rd.push([",",x,sd&&Ji$2(Ra,qa)?E$1:""])},Ja),ed&&rd.push("..."),rd}function ol$1(Ra,qa,Ja,ed){let td=[];return Ra.each(({isLast:rd,next:sd})=>{td.push([Ja(),rd?ed:","]),rd||td.push(Ji$2(Ra,qa)?[F,F]:d(sd,g$2.Leading|g$2.Line)?F:x)},"elements"),qt$1(td)}var qi=new Proxy(()=>{},{get:()=>qi}),ln$1=qi,pl$1=/^[\$A-Z_a-z\xAA\xB5\xBA\xC0-\xD6\xD8-\xF6\xF8-\u02C1\u02C6-\u02D1\u02E0-\u02E4\u02EC\u02EE\u0370-\u0374\u0376\u0377\u037A-\u037D\u037F\u0386\u0388-\u038A\u038C\u038E-\u03A1\u03A3-\u03F5\u03F7-\u0481\u048A-\u052F\u0531-\u0556\u0559\u0561-\u0587\u05D0-\u05EA\u05F0-\u05F2\u0620-\u064A\u066E\u066F\u0671-\u06D3\u06D5\u06E5\u06E6\u06EE\u06EF\u06FA-\u06FC\u06FF\u0710\u0712-\u072F\u074D-\u07A5\u07B1\u07CA-\u07EA\u07F4\u07F5\u07FA\u0800-\u0815\u081A\u0824\u0828\u0840-\u0858\u08A0-\u08B4\u08B6-\u08BD\u0904-\u0939\u093D\u0950\u0958-\u0961\u0971-\u0980\u0985-\u098C\u098F\u0990\u0993-\u09A8\u09AA-\u09B0\u09B2\u09B6-\u09B9\u09BD\u09CE\u09DC\u09DD\u09DF-\u09E1\u09F0\u09F1\u0A05-\u0A0A\u0A0F\u0A10\u0A13-\u0A28\u0A2A-\u0A30\u0A32\u0A33\u0A35\u0A36\u0A38\u0A39\u0A59-\u0A5C\u0A5E\u0A72-\u0A74\u0A85-\u0A8D\u0A8F-\u0A91\u0A93-\u0AA8\u0AAA-\u0AB0\u0AB2\u0AB3\u0AB5-\u0AB9\u0ABD\u0AD0\u0AE0\u0AE1\u0AF9\u0B05-\u0B0C\u0B0F\u0B10\u0B13-\u0B28\u0B2A-\u0B30\u0B32\u0B33\u0B35-\u0B39\u0B3D\u0B5C\u0B5D\u0B5F-\u0B61\u0B71\u0B83\u0B85-\u0B8A\u0B8E-\u0B90\u0B92-\u0B95\u0B99\u0B9A\u0B9C\u0B9E\u0B9F\u0BA3\u0BA4\u0BA8-\u0BAA\u0BAE-\u0BB9\u0BD0\u0C05-\u0C0C\u0C0E-\u0C10\u0C12-\u0C28\u0C2A-\u0C39\u0C3D\u0C58-\u0C5A\u0C60\u0C61\u0C80\u0C85-\u0C8C\u0C8E-\u0C90\u0C92-\u0CA8\u0CAA-\u0CB3\u0CB5-\u0CB9\u0CBD\u0CDE\u0CE0\u0CE1\u0CF1\u0CF2\u0D05-\u0D0C\u0D0E-\u0D10\u0D12-\u0D3A\u0D3D\u0D4E\u0D54-\u0D56\u0D5F-\u0D61\u0D7A-\u0D7F\u0D85-\u0D96\u0D9A-\u0DB1\u0DB3-\u0DBB\u0DBD\u0DC0-\u0DC6\u0E01-\u0E30\u0E32\u0E33\u0E40-\u0E46\u0E81\u0E82\u0E84\u0E87\u0E88\u0E8A\u0E8D\u0E94-\u0E97\u0E99-\u0E9F\u0EA1-\u0EA3\u0EA5\u0EA7\u0EAA\u0EAB\u0EAD-\u0EB0\u0EB2\u0EB3\u0EBD\u0EC0-\u0EC4\u0EC6\u0EDC-\u0EDF\u0F00\u0F40-\u0F47\u0F49-\u0F6C\u0F88-\u0F8C\u1000-\u102A\u103F\u1050-\u1055\u105A-\u105D\u1061\u1065\u1066\u106E-\u1070\u1075-\u1081\u108E\u10A0-\u10C5\u10C7\u10CD\u10D0-\u10FA\u10FC-\u1248\u124A-\u124D\u1250-\u1256\u1258\u125A-\u125D\u1260-\u1288\u128A-\u128D\u1290-\u12B0\u12B2-\u12B5\u12B8-\u12BE\u12C0\u12C2-\u12C5\u12C8-\u12D6\u12D8-\u1310\u1312-\u1315\u1318-\u135A\u1380-\u138F\u13A0-\u13F5\u13F8-\u13FD\u1401-\u166C\u166F-\u167F\u1681-\u169A\u16A0-\u16EA\u16EE-\u16F8\u1700-\u170C\u170E-\u1711\u1720-\u1731\u1740-\u1751\u1760-\u176C\u176E-\u1770\u1780-\u17B3\u17D7\u17DC\u1820-\u1877\u1880-\u1884\u1887-\u18A8\u18AA\u18B0-\u18F5\u1900-\u191E\u1950-\u196D\u1970-\u1974\u1980-\u19AB\u19B0-\u19C9\u1A00-\u1A16\u1A20-\u1A54\u1AA7\u1B05-\u1B33\u1B45-\u1B4B\u1B83-\u1BA0\u1BAE\u1BAF\u1BBA-\u1BE5\u1C00-\u1C23\u1C4D-\u1C4F\u1C5A-\u1C7D\u1C80-\u1C88\u1CE9-\u1CEC\u1CEE-\u1CF1\u1CF5\u1CF6\u1D00-\u1DBF\u1E00-\u1F15\u1F18-\u1F1D\u1F20-\u1F45\u1F48-\u1F4D\u1F50-\u1F57\u1F59\u1F5B\u1F5D\u1F5F-\u1F7D\u1F80-\u1FB4\u1FB6-\u1FBC\u1FBE\u1FC2-\u1FC4\u1FC6-\u1FCC\u1FD0-\u1FD3\u1FD6-\u1FDB\u1FE0-\u1FEC\u1FF2-\u1FF4\u1FF6-\u1FFC\u2071\u207F\u2090-\u209C\u2102\u2107\u210A-\u2113\u2115\u2119-\u211D\u2124\u2126\u2128\u212A-\u212D\u212F-\u2139\u213C-\u213F\u2145-\u2149\u214E\u2160-\u2188\u2C00-\u2C2E\u2C30-\u2C5E\u2C60-\u2CE4\u2CEB-\u2CEE\u2CF2\u2CF3\u2D00-\u2D25\u2D27\u2D2D\u2D30-\u2D67\u2D6F\u2D80-\u2D96\u2DA0-\u2DA6\u2DA8-\u2DAE\u2DB0-\u2DB6\u2DB8-\u2DBE\u2DC0-\u2DC6\u2DC8-\u2DCE\u2DD0-\u2DD6\u2DD8-\u2DDE\u2E2F\u3005-\u3007\u3021-\u3029\u3031-\u3035\u3038-\u303C\u3041-\u3096\u309D-\u309F\u30A1-\u30FA\u30FC-\u30FF\u3105-\u312D\u3131-\u318E\u31A0-\u31BA\u31F0-\u31FF\u3400-\u4DB5\u4E00-\u9FD5\uA000-\uA48C\uA4D0-\uA4FD\uA500-\uA60C\uA610-\uA61F\uA62A\uA62B\uA640-\uA66E\uA67F-\uA69D\uA6A0-\uA6EF\uA717-\uA71F\uA722-\uA788\uA78B-\uA7AE\uA7B0-\uA7B7\uA7F7-\uA801\uA803-\uA805\uA807-\uA80A\uA80C-\uA822\uA840-\uA873\uA882-\uA8B3\uA8F2-\uA8F7\uA8FB\uA8FD\uA90A-\uA925\uA930-\uA946\uA960-\uA97C\uA984-\uA9B2\uA9CF\uA9E0-\uA9E4\uA9E6-\uA9EF\uA9FA-\uA9FE\uAA00-\uAA28\uAA40-\uAA42\uAA44-\uAA4B\uAA60-\uAA76\uAA7A\uAA7E-\uAAAF\uAAB1\uAAB5\uAAB6\uAAB9-\uAABD\uAAC0\uAAC2\uAADB-\uAADD\uAAE0-\uAAEA\uAAF2-\uAAF4\uAB01-\uAB06\uAB09-\uAB0E\uAB11-\uAB16\uAB20-\uAB26\uAB28-\uAB2E\uAB30-\uAB5A\uAB5C-\uAB65\uAB70-\uABE2\uAC00-\uD7A3\uD7B0-\uD7C6\uD7CB-\uD7FB\uF900-\uFA6D\uFA70-\uFAD9\uFB00-\uFB06\uFB13-\uFB17\uFB1D\uFB1F-\uFB28\uFB2A-\uFB36\uFB38-\uFB3C\uFB3E\uFB40\uFB41\uFB43\uFB44\uFB46-\uFBB1\uFBD3-\uFD3D\uFD50-\uFD8F\uFD92-\uFDC7\uFDF0-\uFDFB\uFE70-\uFE74\uFE76-\uFEFC\uFF21-\uFF3A\uFF41-\uFF5A\uFF66-\uFFBE\uFFC2-\uFFC7\uFFCA-\uFFCF\uFFD2-\uFFD7\uFFDA-\uFFDC][\$0-9A-Z_a-z\xAA\xB5\xBA\xC0-\xD6\xD8-\xF6\xF8-\u02C1\u02C6-\u02D1\u02E0-\u02E4\u02EC\u02EE\u0300-\u0374\u0376\u0377\u037A-\u037D\u037F\u0386\u0388-\u038A\u038C\u038E-\u03A1\u03A3-\u03F5\u03F7-\u0481\u0483-\u0487\u048A-\u052F\u0531-\u0556\u0559\u0561-\u0587\u0591-\u05BD\u05BF\u05C1\u05C2\u05C4\u05C5\u05C7\u05D0-\u05EA\u05F0-\u05F2\u0610-\u061A\u0620-\u0669\u066E-\u06D3\u06D5-\u06DC\u06DF-\u06E8\u06EA-\u06FC\u06FF\u0710-\u074A\u074D-\u07B1\u07C0-\u07F5\u07FA\u0800-\u082D\u0840-\u085B\u08A0-\u08B4\u08B6-\u08BD\u08D4-\u08E1\u08E3-\u0963\u0966-\u096F\u0971-\u0983\u0985-\u098C\u098F\u0990\u0993-\u09A8\u09AA-\u09B0\u09B2\u09B6-\u09B9\u09BC-\u09C4\u09C7\u09C8\u09CB-\u09CE\u09D7\u09DC\u09DD\u09DF-\u09E3\u09E6-\u09F1\u0A01-\u0A03\u0A05-\u0A0A\u0A0F\u0A10\u0A13-\u0A28\u0A2A-\u0A30\u0A32\u0A33\u0A35\u0A36\u0A38\u0A39\u0A3C\u0A3E-\u0A42\u0A47\u0A48\u0A4B-\u0A4D\u0A51\u0A59-\u0A5C\u0A5E\u0A66-\u0A75\u0A81-\u0A83\u0A85-\u0A8D\u0A8F-\u0A91\u0A93-\u0AA8\u0AAA-\u0AB0\u0AB2\u0AB3\u0AB5-\u0AB9\u0ABC-\u0AC5\u0AC7-\u0AC9\u0ACB-\u0ACD\u0AD0\u0AE0-\u0AE3\u0AE6-\u0AEF\u0AF9\u0B01-\u0B03\u0B05-\u0B0C\u0B0F\u0B10\u0B13-\u0B28\u0B2A-\u0B30\u0B32\u0B33\u0B35-\u0B39\u0B3C-\u0B44\u0B47\u0B48\u0B4B-\u0B4D\u0B56\u0B57\u0B5C\u0B5D\u0B5F-\u0B63\u0B66-\u0B6F\u0B71\u0B82\u0B83\u0B85-\u0B8A\u0B8E-\u0B90\u0B92-\u0B95\u0B99\u0B9A\u0B9C\u0B9E\u0B9F\u0BA3\u0BA4\u0BA8-\u0BAA\u0BAE-\u0BB9\u0BBE-\u0BC2\u0BC6-\u0BC8\u0BCA-\u0BCD\u0BD0\u0BD7\u0BE6-\u0BEF\u0C00-\u0C03\u0C05-\u0C0C\u0C0E-\u0C10\u0C12-\u0C28\u0C2A-\u0C39\u0C3D-\u0C44\u0C46-\u0C48\u0C4A-\u0C4D\u0C55\u0C56\u0C58-\u0C5A\u0C60-\u0C63\u0C66-\u0C6F\u0C80-\u0C83\u0C85-\u0C8C\u0C8E-\u0C90\u0C92-\u0CA8\u0CAA-\u0CB3\u0CB5-\u0CB9\u0CBC-\u0CC4\u0CC6-\u0CC8\u0CCA-\u0CCD\u0CD5\u0CD6\u0CDE\u0CE0-\u0CE3\u0CE6-\u0CEF\u0CF1\u0CF2\u0D01-\u0D03\u0D05-\u0D0C\u0D0E-\u0D10\u0D12-\u0D3A\u0D3D-\u0D44\u0D46-\u0D48\u0D4A-\u0D4E\u0D54-\u0D57\u0D5F-\u0D63\u0D66-\u0D6F\u0D7A-\u0D7F\u0D82\u0D83\u0D85-\u0D96\u0D9A-\u0DB1\u0DB3-\u0DBB\u0DBD\u0DC0-\u0DC6\u0DCA\u0DCF-\u0DD4\u0DD6\u0DD8-\u0DDF\u0DE6-\u0DEF\u0DF2\u0DF3\u0E01-\u0E3A\u0E40-\u0E4E\u0E50-\u0E59\u0E81\u0E82\u0E84\u0E87\u0E88\u0E8A\u0E8D\u0E94-\u0E97\u0E99-\u0E9F\u0EA1-\u0EA3\u0EA5\u0EA7\u0EAA\u0EAB\u0EAD-\u0EB9\u0EBB-\u0EBD\u0EC0-\u0EC4\u0EC6\u0EC8-\u0ECD\u0ED0-\u0ED9\u0EDC-\u0EDF\u0F00\u0F18\u0F19\u0F20-\u0F29\u0F35\u0F37\u0F39\u0F3E-\u0F47\u0F49-\u0F6C\u0F71-\u0F84\u0F86-\u0F97\u0F99-\u0FBC\u0FC6\u1000-\u1049\u1050-\u109D\u10A0-\u10C5\u10C7\u10CD\u10D0-\u10FA\u10FC-\u1248\u124A-\u124D\u1250-\u1256\u1258\u125A-\u125D\u1260-\u1288\u128A-\u128D\u1290-\u12B0\u12B2-\u12B5\u12B8-\u12BE\u12C0\u12C2-\u12C5\u12C8-\u12D6\u12D8-\u1310\u1312-\u1315\u1318-\u135A\u135D-\u135F\u1380-\u138F\u13A0-\u13F5\u13F8-\u13FD\u1401-\u166C\u166F-\u167F\u1681-\u169A\u16A0-\u16EA\u16EE-\u16F8\u1700-\u170C\u170E-\u1714\u1720-\u1734\u1740-\u1753\u1760-\u176C\u176E-\u1770\u1772\u1773\u1780-\u17D3\u17D7\u17DC\u17DD\u17E0-\u17E9\u180B-\u180D\u1810-\u1819\u1820-\u1877\u1880-\u18AA\u18B0-\u18F5\u1900-\u191E\u1920-\u192B\u1930-\u193B\u1946-\u196D\u1970-\u1974\u1980-\u19AB\u19B0-\u19C9\u19D0-\u19D9\u1A00-\u1A1B\u1A20-\u1A5E\u1A60-\u1A7C\u1A7F-\u1A89\u1A90-\u1A99\u1AA7\u1AB0-\u1ABD\u1B00-\u1B4B\u1B50-\u1B59\u1B6B-\u1B73\u1B80-\u1BF3\u1C00-\u1C37\u1C40-\u1C49\u1C4D-\u1C7D\u1C80-\u1C88\u1CD0-\u1CD2\u1CD4-\u1CF6\u1CF8\u1CF9\u1D00-\u1DF5\u1DFB-\u1F15\u1F18-\u1F1D\u1F20-\u1F45\u1F48-\u1F4D\u1F50-\u1F57\u1F59\u1F5B\u1F5D\u1F5F-\u1F7D\u1F80-\u1FB4\u1FB6-\u1FBC\u1FBE\u1FC2-\u1FC4\u1FC6-\u1FCC\u1FD0-\u1FD3\u1FD6-\u1FDB\u1FE0-\u1FEC\u1FF2-\u1FF4\u1FF6-\u1FFC\u200C\u200D\u203F\u2040\u2054\u2071\u207F\u2090-\u209C\u20D0-\u20DC\u20E1\u20E5-\u20F0\u2102\u2107\u210A-\u2113\u2115\u2119-\u211D\u2124\u2126\u2128\u212A-\u212D\u212F-\u2139\u213C-\u213F\u2145-\u2149\u214E\u2160-\u2188\u2C00-\u2C2E\u2C30-\u2C5E\u2C60-\u2CE4\u2CEB-\u2CF3\u2D00-\u2D25\u2D27\u2D2D\u2D30-\u2D67\u2D6F\u2D7F-\u2D96\u2DA0-\u2DA6\u2DA8-\u2DAE\u2DB0-\u2DB6\u2DB8-\u2DBE\u2DC0-\u2DC6\u2DC8-\u2DCE\u2DD0-\u2DD6\u2DD8-\u2DDE\u2DE0-\u2DFF\u2E2F\u3005-\u3007\u3021-\u302F\u3031-\u3035\u3038-\u303C\u3041-\u3096\u3099\u309A\u309D-\u309F\u30A1-\u30FA\u30FC-\u30FF\u3105-\u312D\u3131-\u318E\u31A0-\u31BA\u31F0-\u31FF\u3400-\u4DB5\u4E00-\u9FD5\uA000-\uA48C\uA4D0-\uA4FD\uA500-\uA60C\uA610-\uA62B\uA640-\uA66F\uA674-\uA67D\uA67F-\uA6F1\uA717-\uA71F\uA722-\uA788\uA78B-\uA7AE\uA7B0-\uA7B7\uA7F7-\uA827\uA840-\uA873\uA880-\uA8C5\uA8D0-\uA8D9\uA8E0-\uA8F7\uA8FB\uA8FD\uA900-\uA92D\uA930-\uA953\uA960-\uA97C\uA980-\uA9C0\uA9CF-\uA9D9\uA9E0-\uA9FE\uAA00-\uAA36\uAA40-\uAA4D\uAA50-\uAA59\uAA60-\uAA76\uAA7A-\uAAC2\uAADB-\uAADD\uAAE0-\uAAEF\uAAF2-\uAAF6\uAB01-\uAB06\uAB09-\uAB0E\uAB11-\uAB16\uAB20-\uAB26\uAB28-\uAB2E\uAB30-\uAB5A\uAB5C-\uAB65\uAB70-\uABEA\uABEC\uABED\uABF0-\uABF9\uAC00-\uD7A3\uD7B0-\uD7C6\uD7CB-\uD7FB\uF900-\uFA6D\uFA70-\uFAD9\uFB00-\uFB06\uFB13-\uFB17\uFB1D-\uFB28\uFB2A-\uFB36\uFB38-\uFB3C\uFB3E\uFB40\uFB41\uFB43\uFB44\uFB46-\uFBB1\uFBD3-\uFD3D\uFD50-\uFD8F\uFD92-\uFDC7\uFDF0-\uFDFB\uFE00-\uFE0F\uFE20-\uFE2F\uFE33\uFE34\uFE4D-\uFE4F\uFE70-\uFE74\uFE76-\uFEFC\uFF10-\uFF19\uFF21-\uFF3A\uFF3F\uFF41-\uFF5A\uFF66-\uFFBE\uFFC2-\uFFC7\uFFCA-\uFFCF\uFFD2-\uFFD7\uFFDA-\uFFDC]*$/,cl$1=Ra=>pl$1.test(Ra),Wi=cl$1;function ll$1(Ra){return Ra.length===1?Ra:Ra.toLowerCase().replace(/^([+-]?[\d.]+e)(?:\+|(-))?0*(?=\d)/u,"$1$2").replace(/^([+-]?[\d.]+)e[+-]?0+$/u,"$1").replace(/^([+-])?\./u,"$10.").replace(/(\.\d+?)0+(?=e|$)/u,"$1").replace(/\.(?=e|$)/u,"")}var Ze$1=ll$1,mn$1=new WeakMap;function Ui(Ra){return/^(?:\d+|\d+\.\d+)$/u.test(Ra)}function Gi(Ra,qa){return qa.parser==="json"||qa.parser==="jsonc"||!Q$2(Ra.key)||tt$1(fe$1(Ra.key),qa).slice(1,-1)!==Ra.key.value?!1:!!(Wi(Ra.key.value)&&!(qa.parser==="babel-ts"&&Ra.type==="ClassProperty"||qa.parser==="typescript"&&Ra.type==="PropertyDefinition")||Ui(Ra.key.value)&&String(Number(Ra.key.value))===Ra.key.value&&Ra.type!=="ImportAttribute"&&(qa.parser==="babel"||qa.parser==="acorn"||qa.parser==="espree"||qa.parser==="meriyah"||qa.parser==="__babel_estree"))}function ml$1(Ra,qa){let{key:Ja}=Ra.node;return(Ja.type==="Identifier"||Ce(Ja)&&Ui(Ze$1(fe$1(Ja)))&&String(Ja.value)===Ze$1(fe$1(Ja))&&!(qa.parser==="typescript"||qa.parser==="babel-ts"))&&(qa.parser==="json"||qa.parser==="jsonc"||qa.quoteProps==="consistent"&&mn$1.get(Ra.parent))}function Et$1(Ra,qa,Ja){let{node:ed}=Ra;if(ed.computed)return["[",Ja("key"),"]"];let{parent:td}=Ra,{key:rd}=ed;if(qa.quoteProps==="consistent"&&!mn$1.has(td)){let sd=Ra.siblings.some(od=>!od.computed&&Q$2(od.key)&&!Gi(od,qa));mn$1.set(td,sd)}if(ml$1(Ra,qa)){let sd=tt$1(JSON.stringify(rd.type==="Identifier"?rd.name:rd.value.toString()),qa);return Ra.call(od=>ye$1(od,sd,qa),"key")}return Gi(ed,qa)&&(qa.quoteProps==="as-needed"||qa.quoteProps==="consistent"&&!mn$1.get(td))?Ra.call(sd=>ye$1(sd,/^\d/u.test(rd.value)?Ze$1(rd.value):rd.value,qa),"key"):Ja("key")}function yn$1(Ra,qa,Ja){let{node:ed}=Ra;return ed.shorthand?Ja("value"):Tt$2(Ra,qa,Ja,Et$1(Ra,qa,Ja),":","value")}var yl$1=({node:Ra,key:qa,parent:Ja})=>qa==="value"&&Ra.type==="FunctionExpression"&&(Ja.type==="ObjectMethod"||Ja.type==="ClassMethod"||Ja.type==="ClassPrivateMethod"||Ja.type==="MethodDefinition"||Ja.type==="TSAbstractMethodDefinition"||Ja.type==="TSDeclareMethod"||Ja.type==="Property"&>(Ja));function Dn$2(Ra,qa,Ja,ed){if(yl$1(Ra))return fn$1(Ra,Ja,qa);let{node:td}=Ra,rd=!1;if((td.type==="FunctionDeclaration"||td.type==="FunctionExpression")&&ed!=null&&ed.expandLastArg){let{parent:ud}=Ra;L$1(ud)&&(oe$1(ud).length>1||K$1(td).every(_d=>_d.type==="Identifier"&&!_d.typeAnnotation))&&(rd=!0)}let sd=[$(Ra),td.async?"async ":"",`function${td.generator?"*":""} `,td.id?qa("id"):""],od=Je$1(Ra,qa,Ja,rd),ld=Ht$1(Ra,qa),cd=ot(td,ld);return sd.push(Qe$1(Ra,Ja,qa),l([cd?l(od):od,ld]),td.body?" ":"",qa("body")),Ja.semi&&(td.declare||!td.body)&&sd.push(";"),sd}function mr(Ra,qa,Ja){let{node:ed}=Ra,{kind:td}=ed,rd=ed.value||ed,sd=[];return!td||td==="init"||td==="method"||td==="constructor"?rd.async&&sd.push("async "):(ln$1.ok(td==="get"||td==="set"),sd.push(td," ")),rd.generator&&sd.push("*"),sd.push(Et$1(Ra,qa,Ja),ed.optional||ed.key.optional?"?":"",ed===rd?fn$1(Ra,qa,Ja):Ja("value")),sd}function fn$1(Ra,qa,Ja){let{node:ed}=Ra,td=Je$1(Ra,Ja,qa),rd=Ht$1(Ra,Ja),sd=vi$1(ed),od=ot(ed,rd),ld=[Qe$1(Ra,qa,Ja),l([sd?l(td,{shouldBreak:!0}):od?l(td):td,rd])];return ed.body?ld.push(" ",Ja("body")):ld.push(qa.semi?";":""),ld}function Dl$1(Ra){let qa=K$1(Ra);return qa.length===1&&!Ra.typeParameters&&!d(Ra,g$2.Dangling)&&qa[0].type==="Identifier"&&!qa[0].typeAnnotation&&!d(qa[0])&&!qa[0].optional&&!Ra.predicate&&!Ra.returnType}function En$1(Ra,qa){if(qa.arrowParens==="always")return!1;if(qa.arrowParens==="avoid"){let{node:Ja}=Ra;return Dl$1(Ja)}return!1}function Ht$1(Ra,qa){let{node:Ja}=Ra,ed=[Y(Ra,qa,"returnType")];return Ja.predicate&&ed.push(qa("predicate")),ed}function Ni(Ra,qa,Ja){let{node:ed}=Ra,td=qa.semi?";":"",rd=[];if(ed.argument){let ld=Ja("argument");fl$1(qa,ed.argument)?ld=["(",f([F,ld]),F,")"]:(De(ed.argument)||ed.argument.type==="SequenceExpression"||qa.experimentalTernaries&&ed.argument.type==="ConditionalExpression"&&(ed.argument.consequent.type==="ConditionalExpression"||ed.argument.alternate.type==="ConditionalExpression"))&&(ld=l([b("("),f([E$1,ld]),E$1,b(")")])),rd.push(" ",ld)}let sd=d(ed,g$2.Dangling),od=td&&sd&&d(ed,g$2.Last|g$2.Line);return od&&rd.push(td),sd&&rd.push(" ",M$1(Ra,qa)),od||rd.push(td),rd}function Xi$1(Ra,qa,Ja){return["return",Ni(Ra,qa,Ja)]}function Yi$1(Ra,qa,Ja){return["throw",Ni(Ra,qa,Ja)]}function fl$1(Ra,qa){if(Oe$1(Ra.originalText,qa)||d(qa,g$2.Leading,Ja=>de$1(Ra.originalText,R$1(Ja),k(Ja)))&&!X(qa))return!0;if(jt$1(qa)){let Ja=qa,ed;for(;ed=uu$2(Ja);)if(Ja=ed,Oe$1(Ra.originalText,Ja))return!0}return!1}var ds=new WeakMap;function Hi(Ra){return ds.has(Ra)||ds.set(Ra,Ra.type==="ConditionalExpression"&&!ie$1(Ra,qa=>qa.type==="ObjectExpression")),ds.get(Ra)}var Vi=Ra=>Ra.type==="SequenceExpression";function $i$1(Ra,qa,Ja,ed={}){let td=[],rd,sd=[],od=!1,ld=!ed.expandLastArg&&Ra.node.body.type==="ArrowFunctionExpression",cd;(function kd(){let{node:Rd}=Ra,Nd=El$2(Ra,qa,Ja,ed);if(td.length===0)td.push(Nd);else{let{leading:Id,trailing:Md}=os(Ra,qa);td.push([Id,Nd]),sd.unshift(Md)}ld&&(od||(od=Rd.returnType&&K$1(Rd).length>0||Rd.typeParameters||K$1(Rd).some(Id=>Id.type!=="Identifier"))),!ld||Rd.body.type!=="ArrowFunctionExpression"?(rd=Ja("body",ed),cd=Rd.body):Ra.call(kd,"body")})();let ud=!Oe$1(qa.originalText,cd)&&(Vi(cd)||Fl$2(cd,rd,qa)||!od&&Hi(cd)),_d=Ra.key==="callee"&<$1(Ra.parent),yd=Symbol("arrow-chain"),gd=Cl$1(Ra,ed,{signatureDocs:td,shouldBreak:od}),Ed,Td=!1;return ld&&(_d||ed.assignmentLayout)&&(Td=!0,Ed=ed.assignmentLayout==="chain-tail-arrow-chain"||_d&&!ud),rd=Al$2(Ra,qa,ed,{bodyDoc:rd,bodyComments:sd,functionBody:cd,shouldPutBodyOnSameLine:ud}),l([l(Td?f([E$1,gd]):gd,{shouldBreak:Ed,id:yd})," =>",ld?At$2(rd,{groupId:yd}):l(rd),ld&&_d?b(E$1,"",{groupId:yd}):""])}function El$2(Ra,qa,Ja,ed){let{node:td}=Ra,rd=[];if(td.async&&rd.push("async "),En$1(Ra,qa))rd.push(Ja(["params",0]));else{let od=ed.expandLastArg||ed.expandFirstArg,ld=Ht$1(Ra,Ja);if(od){if(ne$1(ld))throw new Dt$2;ld=l(ur(ld))}rd.push(l([Je$1(Ra,Ja,qa,od,!0),ld]))}let sd=M$1(Ra,qa,{filter(od){let ld=yt$1(qa.originalText,k(od));return ld!==!1&&qa.originalText.slice(ld,ld+2)==="=>"}});return sd&&rd.push(" ",sd),rd}function Fl$2(Ra,qa,Ja){var ed,td;return U$1(Ra)||se(Ra)||Ra.type==="ArrowFunctionExpression"||Ra.type==="DoExpression"||Ra.type==="BlockStatement"||X(Ra)||((ed=qa.label)==null?void 0:ed.hug)!==!1&&(((td=qa.label)==null?void 0:td.embed)||Lr(Ra,Ja.originalText))}function Cl$1(Ra,qa,{signatureDocs:Ja,shouldBreak:ed}){if(Ja.length===1)return Ja[0];let{parent:td,key:rd}=Ra;return rd!=="callee"&<$1(td)||De(td)?l([Ja[0]," =>",f([x,P$1([" =>",x],Ja.slice(1))])],{shouldBreak:ed}):rd==="callee"&<$1(td)||qa.assignmentLayout?l(P$1([" =>",x],Ja),{shouldBreak:ed}):l(f(P$1([" =>",x],Ja)),{shouldBreak:ed})}function Al$2(Ra,qa,Ja,{bodyDoc:ed,bodyComments:td,functionBody:rd,shouldPutBodyOnSameLine:sd}){let{node:od,parent:ld}=Ra,cd=Ja.expandLastArg&&ae(qa,"all")?b(","):"",ud=(Ja.expandLastArg||ld.type==="JSXExpressionContainer")&&!d(od)?E$1:"";return sd&&Hi(rd)?[" ",l([b("","("),f([E$1,ed]),b("",")"),cd,ud]),td]:(Vi(rd)&&(ed=l(["(",f([E$1,ed]),E$1,")"])),sd?[" ",ed,td]:[f([x,ed,td]),cd,ud])}var Tl$2=(Ra,qa,Ja)=>{if(!(Ra&&qa==null)){if(qa.findLast)return qa.findLast(Ja);for(let ed=qa.length-1;ed>=0;ed--){let td=qa[ed];if(Ja(td,ed,qa))return td}}},Ki$1=Tl$2;function yr(Ra,qa,Ja,ed){let{node:td}=Ra,rd=[],sd=Ki$1(!1,td[ed],od=>od.type!=="EmptyStatement");return Ra.each(({node:od})=>{od.type!=="EmptyStatement"&&(rd.push(Ja()),od!==sd&&(rd.push(F),pe(od,qa)&&rd.push(F)))},ed),rd}function Fn$1(Ra,qa,Ja){let ed=dl$1(Ra,qa,Ja),{node:td,parent:rd}=Ra;if(td.type==="Program"&&(rd==null?void 0:rd.type)!=="ModuleExpression")return ed?[ed,F]:"";let sd=[];if(td.type==="StaticBlock"&&sd.push("static "),sd.push("{"),ed)sd.push(f([F,ed]),F);else{let od=Ra.grandparent;rd.type==="ArrowFunctionExpression"||rd.type==="FunctionExpression"||rd.type==="FunctionDeclaration"||rd.type==="ComponentDeclaration"||rd.type==="HookDeclaration"||rd.type==="ObjectMethod"||rd.type==="ClassMethod"||rd.type==="ClassPrivateMethod"||rd.type==="ForStatement"||rd.type==="WhileStatement"||rd.type==="DoWhileStatement"||rd.type==="DoExpression"||rd.type==="ModuleExpression"||rd.type==="CatchClause"&&!od.finalizer||rd.type==="TSModuleDeclaration"||td.type==="StaticBlock"||sd.push(F)}return sd.push("}"),sd}function dl$1(Ra,qa,Ja){let{node:ed}=Ra,td=w(ed.directives),rd=ed.body.some(ld=>ld.type!=="EmptyStatement"),sd=d(ed,g$2.Dangling);if(!td&&!rd&&!sd)return"";let od=[];return td&&(od.push(yr(Ra,qa,Ja,"directives")),(rd||sd)&&(od.push(F),pe(O$1(!1,ed.directives,-1),qa)&&od.push(F))),rd&&od.push(yr(Ra,qa,Ja,"body")),sd&&od.push(M$1(Ra,qa)),od}function xl$2(Ra){let qa=new WeakMap;return function(Ja){return qa.has(Ja)||qa.set(Ja,Symbol(Ra)),qa.get(Ja)}}var Cn$2=xl$2;function hl$1(Ra){switch(Ra){case null:return"";case"PlusOptional":return"+?";case"MinusOptional":return"-?";case"Optional":return"?"}}function zi(Ra,qa,Ja){let{node:ed}=Ra;return l([ed.variance?Ja("variance"):"","[",f([Ja("keyTparam")," in ",Ja("sourceType")]),"]",hl$1(ed.optional),": ",Ja("propType")])}function xs(Ra,qa){return Ra==="+"||Ra==="-"?Ra+qa:qa}function Qi$1(Ra,qa,Ja){let{node:ed}=Ra,td=de$1(qa.originalText,R$1(ed),R$1(ed.typeParameter));return l(["{",f([qa.bracketSpacing?x:E$1,l([Ja("typeParameter"),ed.optional?xs(ed.optional,"?"):"",ed.typeAnnotation?": ":"",Ja("typeAnnotation")]),qa.semi?b(";"):""]),M$1(Ra,qa),qa.bracketSpacing?x:E$1,"}"],{shouldBreak:td})}var Dr=Cn$2("typeParameters");function gl$1(Ra,qa,Ja){let{node:ed}=Ra;return K$1(ed).length===1&&ed.type.startsWith("TS")&&!ed[Ja][0].constraint&&Ra.parent.type==="ArrowFunctionExpression"&&!(qa.filepath&&/\.ts$/u.test(qa.filepath))}function Pt$1(Ra,qa,Ja,ed){let{node:td}=Ra;if(!td[ed])return"";if(!Array.isArray(td[ed]))return Ja(ed);let rd=St$1(Ra.grandparent),sd=Ra.match(ld=>!(ld[ed].length===1&&we$1(ld[ed][0])),void 0,(ld,cd)=>cd==="typeAnnotation",ld=>ld.type==="Identifier",Fs);if(td[ed].length===0||!sd&&(rd||td[ed].length===1&&(td[ed][0].type==="NullableTypeAnnotation"||As$1(td[ed][0]))))return["<",P$1(", ",Ra.map(Ja,ed)),Sl$2(Ra,qa),">"];let od=td.type==="TSTypeParameterInstantiation"?"":gl$1(Ra,qa,ed)?",":ae(qa)?b(","):"";return l(["<",f([E$1,P$1([",",x],Ra.map(Ja,ed))]),od,E$1,">"],{id:Dr(td)})}function Sl$2(Ra,qa){let{node:Ja}=Ra;if(!d(Ja,g$2.Dangling))return"";let ed=!d(Ja,g$2.Line),td=M$1(Ra,qa,{indent:!ed});return ed?td:[td,F]}function An$1(Ra,qa,Ja){let{node:ed,parent:td}=Ra,rd=[ed.type==="TSTypeParameter"&&ed.const?"const ":""],sd=ed.type==="TSTypeParameter"?Ja("name"):ed.name;if(td.type==="TSMappedType")return td.readonly&&rd.push(xs(td.readonly,"readonly")," "),rd.push("[",sd),ed.constraint&&rd.push(" in ",Ja("constraint")),td.nameType&&rd.push(" as ",Ra.callParent(()=>Ja("nameType"))),rd.push("]"),rd;if(ed.variance&&rd.push(Ja("variance")),ed.in&&rd.push("in "),ed.out&&rd.push("out "),rd.push(sd),ed.bound&&(ed.usesExtendsBound&&rd.push(" extends "),rd.push(Y(Ra,Ja,"bound"))),ed.constraint){let od=Symbol("constraint");rd.push(" extends",l(f(x),{id:od}),ke$2,At$2(Ja("constraint"),{groupId:od}))}return ed.default&&rd.push(" = ",Ja("default")),l(rd)}var Zi=v(["ClassProperty","PropertyDefinition","ClassPrivateProperty","ClassAccessorProperty","AccessorProperty","TSAbstractPropertyDefinition","TSAbstractAccessorProperty"]);function Tn$2(Ra,qa,Ja){let{node:ed}=Ra,td=[$(Ra),Nt$1(Ra),"class"],rd=d(ed.id,g$2.Trailing)||d(ed.typeParameters,g$2.Trailing)||d(ed.superClass)||w(ed.extends)||w(ed.mixins)||w(ed.implements),sd=[],od=[];if(ed.id&&sd.push(" ",Ja("id")),sd.push(Ja("typeParameters")),ed.superClass){let ld=[bl$1(Ra,qa,Ja),Ja(ed.superTypeArguments?"superTypeArguments":"superTypeParameters")],cd=Ra.call(ud=>["extends ",ye$1(ud,ld,qa)],"superClass");rd?od.push(x,l(cd)):od.push(" ",cd)}else od.push(hs(Ra,qa,Ja,"extends"));if(od.push(hs(Ra,qa,Ja,"mixins"),hs(Ra,qa,Ja,"implements")),rd){let ld;ta$1(ed)?ld=[...sd,f(od)]:ld=f([...sd,od]),td.push(l(ld,{id:ea(ed)}))}else td.push(...sd,...od);return td.push(" ",Ja("body")),td}var ea=Cn$2("heritageGroup");function gs$1(Ra){return b(F,"",{groupId:ea(Ra)})}function Bl$1(Ra){return["extends","mixins","implements"].reduce((qa,Ja)=>qa+(Array.isArray(Ra[Ja])?Ra[Ja].length:0),Ra.superClass?1:0)>1}function ta$1(Ra){return Ra.typeParameters&&!d(Ra.typeParameters,g$2.Trailing|g$2.Line)&&!Bl$1(Ra)}function hs(Ra,qa,Ja,ed){let{node:td}=Ra;if(!w(td[ed]))return"";let rd=M$1(Ra,qa,{marker:ed});return[ta$1(td)?b(" ",x,{groupId:Dr(td.typeParameters)}):x,rd,rd&&F,ed,l(f([x,P$1([",",x],Ra.map(Ja,ed))]))]}function bl$1(Ra,qa,Ja){let ed=Ja("superClass"),{parent:td}=Ra;return td.type==="AssignmentExpression"?l(b(["(",f([E$1,ed]),E$1,")"],ed)):ed}function dn$1(Ra,qa,Ja){let{node:ed}=Ra,td=[];return w(ed.decorators)&&td.push(Ds$1(Ra,qa,Ja)),td.push(Xt$1(ed)),ed.static&&td.push("static "),td.push(Nt$1(Ra)),ed.override&&td.push("override "),td.push(mr(Ra,qa,Ja)),td}function xn$1(Ra,qa,Ja){let{node:ed}=Ra,td=[],rd=qa.semi?";":"";w(ed.decorators)&&td.push(Ds$1(Ra,qa,Ja)),td.push(Xt$1(ed),$(Ra)),ed.static&&td.push("static "),td.push(Nt$1(Ra)),ed.override&&td.push("override "),ed.readonly&&td.push("readonly "),ed.variance&&td.push(Ja("variance")),(ed.type==="ClassAccessorProperty"||ed.type==="AccessorProperty"||ed.type==="TSAbstractAccessorProperty")&&td.push("accessor "),td.push(Et$1(Ra,qa,Ja),V$1(Ra),pn$1(Ra),Y(Ra,Ja));let sd=ed.type==="TSAbstractPropertyDefinition"||ed.type==="TSAbstractAccessorProperty";return[Tt$2(Ra,qa,Ja,td," =",sd?void 0:"value"),rd]}function ra$1(Ra,qa,Ja){let{node:ed}=Ra,td=[];return Ra.each(({node:rd,next:sd,isLast:od})=>{td.push(Ja()),!qa.semi&&Zi(rd)&&Pl$1(rd,sd)&&td.push(";"),od||(td.push(F),pe(rd,qa)&&td.push(F))},"body"),d(ed,g$2.Dangling)&&td.push(M$1(Ra,qa)),[w(ed.body)?gs$1(Ra.parent):"","{",td.length>0?[f([F,td]),F]:"","}"]}function Pl$1(Ra,qa){var Ja;let{type:ed,name:td}=Ra.key;if(!Ra.computed&&ed==="Identifier"&&(td==="static"||td==="get"||td==="set")&&!Ra.value&&!Ra.typeAnnotation)return!0;if(!qa||qa.static||qa.accessibility||qa.readonly)return!1;if(!qa.computed){let rd=(Ja=qa.key)==null?void 0:Ja.name;if(rd==="in"||rd==="instanceof")return!0}if(Zi(qa)&&qa.variance&&!qa.static&&!qa.declare)return!0;switch(qa.type){case"ClassProperty":case"PropertyDefinition":case"TSAbstractPropertyDefinition":return qa.computed;case"MethodDefinition":case"TSAbstractMethodDefinition":case"ClassMethod":case"ClassPrivateMethod":{if((qa.value?qa.value.async:qa.async)||qa.kind==="get"||qa.kind==="set")return!1;let rd=qa.value?qa.value.generator:qa.generator;return!!(qa.computed||rd)}case"TSIndexSignature":return!0}return!1}function na(Ra,qa){if(qa.semi||Ss(Ra,qa)||bs(Ra,qa))return!1;let{node:Ja,key:ed,parent:td}=Ra;return!!(Ja.type==="ExpressionStatement"&&(ed==="body"&&(td.type==="Program"||td.type==="BlockStatement"||td.type==="StaticBlock"||td.type==="TSModuleBlock")||ed==="consequent"&&td.type==="SwitchCase")&&Ra.call(()=>sa(Ra,qa),"expression"))}function sa(Ra,qa){let{node:Ja}=Ra;switch(Ja.type){case"ParenthesizedExpression":case"TypeCastExpression":case"ArrayExpression":case"ArrayPattern":case"TemplateLiteral":case"TemplateElement":case"RegExpLiteral":return!0;case"ArrowFunctionExpression":if(!En$1(Ra,qa))return!0;break;case"UnaryExpression":{let{prefix:ed,operator:td}=Ja;if(ed&&(td==="+"||td==="-"))return!0;break}case"BindExpression":if(!Ja.object)return!0;break;case"Literal":if(Ja.regex)return!0;break;default:if(X(Ja))return!0}return Be$1(Ra,qa)?!0:jt$1(Ja)?Ra.call(()=>sa(Ra,qa),...Pr(Ja)):!1}function Ss({node:Ra,parent:qa},Ja){return(Ja.parentParser==="markdown"||Ja.parentParser==="mdx")&&Ra.type==="ExpressionStatement"&&X(Ra.expression)&&qa.type==="Program"&&qa.body.length===1}function Bs(Ra){switch(Ra.type){case"MemberExpression":switch(Ra.property.type){case"Identifier":case"NumericLiteral":case"StringLiteral":return Bs(Ra.object)}return!1;case"Identifier":return!0;default:return!1}}function bs({node:Ra,parent:qa},Ja){return(Ja.parser==="__vue_event_binding"||Ja.parser==="__vue_ts_event_binding")&&Ra.type==="ExpressionStatement"&&qa.type==="Program"&&qa.body.length===1}function ua$2(Ra,qa,Ja){let ed=[Ja("expression")];return bs(Ra,qa)?Bs(Ra.node.expression)&&ed.push(";"):Ss(Ra,qa)||qa.semi&&ed.push(";"),ed}function ia$1(Ra,qa,Ja){if(qa.__isVueBindings||qa.__isVueForBindingLeft){let ed=Ra.map(Ja,"program","body",0,"params");if(ed.length===1)return ed[0];let td=P$1([",",x],ed);return qa.__isVueForBindingLeft?["(",f([E$1,l(td)]),E$1,")"]:td}if(qa.__isEmbeddedTypescriptGenericParameters){let ed=Ra.map(Ja,"program","body",0,"typeParameters","params");return P$1([",",x],ed)}}function pa(Ra,qa){let{node:Ja}=Ra;switch(Ja.type){case"RegExpLiteral":return aa$1(Ja);case"BigIntLiteral":return hn$1(Ja.extra.raw);case"NumericLiteral":return Ze$1(Ja.extra.raw);case"StringLiteral":return Ie(tt$1(Ja.extra.raw,qa));case"NullLiteral":return"null";case"BooleanLiteral":return String(Ja.value);case"DecimalLiteral":return Ze$1(Ja.value)+"m";case"DirectiveLiteral":return oa(Ja.extra.raw,qa);case"Literal":{if(Ja.regex)return aa$1(Ja.regex);if(Ja.bigint)return hn$1(Ja.raw);if(Ja.decimal)return Ze$1(Ja.decimal)+"m";let{value:ed}=Ja;return typeof ed=="number"?Ze$1(Ja.raw):typeof ed=="string"?kl$1(Ra)?oa(Ja.raw,qa):Ie(tt$1(Ja.raw,qa)):String(ed)}}}function kl$1(Ra){if(Ra.key!=="expression")return;let{parent:qa}=Ra;return qa.type==="ExpressionStatement"&&qa.directive}function hn$1(Ra){return Ra.toLowerCase()}function aa$1({pattern:Ra,flags:qa}){return qa=[...qa].sort().join(""),`/${Ra}/${qa}`}function oa(Ra,qa){let Ja=Ra.slice(1,-1);if(Ja.includes('"')||Ja.includes("'"))return Ra;let ed=qa.singleQuote?"'":'"';return ed+Ja+ed}function Il$2(Ra,qa,Ja){let ed=Ra.originalText.slice(qa,Ja);for(let td of Ra[Symbol.for("comments")]){let rd=R$1(td);if(rd>Ja)break;let sd=k(td);if(sd<qa)continue;let od=sd-rd;ed=ed.slice(0,rd-qa)+" ".repeat(od)+ed.slice(sd-qa)}return ed}var fr=Il$2;function ca$1(Ra,qa,Ja){let{node:ed}=Ra;return["import",ed.module?" module":"",ed.phase?` ${ed.phase}`:"",ks(ed),ya$1(Ra,qa,Ja),ma(Ra,qa,Ja),fa(Ra,qa,Ja),qa.semi?";":""]}var la$1=Ra=>Ra.type==="ExportDefaultDeclaration"||Ra.type==="DeclareExportDeclaration"&&Ra.default;function gn$2(Ra,qa,Ja){let{node:ed}=Ra,td=[di$1(Ra,qa,Ja),$(Ra),"export",la$1(ed)?" default":""],{declaration:rd,exported:sd}=ed;return d(ed,g$2.Dangling)&&(td.push(" ",M$1(Ra,qa)),wr(ed)&&td.push(F)),rd?td.push(" ",Ja("declaration")):(td.push(Ol$2(ed)),ed.type==="ExportAllDeclaration"||ed.type==="DeclareExportAllDeclaration"?(td.push(" *"),sd&&td.push(" as ",Ja("exported"))):td.push(ya$1(Ra,qa,Ja)),td.push(ma(Ra,qa,Ja),fa(Ra,qa,Ja))),td.push(wl$2(ed,qa)),td}var Ll$2=v(["ClassDeclaration","ComponentDeclaration","FunctionDeclaration","TSInterfaceDeclaration","DeclareClass","DeclareComponent","DeclareFunction","DeclareHook","HookDeclaration","TSDeclareFunction","EnumDeclaration"]);function wl$2(Ra,qa){return qa.semi&&(!Ra.declaration||la$1(Ra)&&!Ll$2(Ra.declaration))?";":""}function Ps(Ra,qa=!0){return Ra&&Ra!=="value"?`${qa?" ":""}${Ra}${qa?"":" "}`:""}function ks(Ra,qa){return Ps(Ra.importKind,qa)}function Ol$2(Ra){return Ps(Ra.exportKind)}function ma(Ra,qa,Ja){let{node:ed}=Ra;if(!ed.source)return"";let td=[];return Da$1(ed,qa)&&td.push(" from"),td.push(" ",Ja("source")),td}function ya$1(Ra,qa,Ja){let{node:ed}=Ra;if(!Da$1(ed,qa))return"";let td=[" "];if(w(ed.specifiers)){let rd=[],sd=[];Ra.each(()=>{let od=Ra.node.type;if(od==="ExportNamespaceSpecifier"||od==="ExportDefaultSpecifier"||od==="ImportNamespaceSpecifier"||od==="ImportDefaultSpecifier")rd.push(Ja());else if(od==="ExportSpecifier"||od==="ImportSpecifier")sd.push(Ja());else throw new Me$2(ed,"specifier")},"specifiers"),td.push(P$1(", ",rd)),sd.length>0&&(rd.length>0&&td.push(", "),sd.length>1||rd.length>0||ed.specifiers.some(od=>d(od))?td.push(l(["{",f([qa.bracketSpacing?x:E$1,P$1([",",x],sd)]),b(ae(qa)?",":""),qa.bracketSpacing?x:E$1,"}"])):td.push(["{",qa.bracketSpacing?" ":"",...sd,qa.bracketSpacing?" ":"","}"]))}else td.push("{}");return td}function Da$1(Ra,qa){return Ra.type!=="ImportDeclaration"||w(Ra.specifiers)||Ra.importKind==="type"?!0:fr(qa,R$1(Ra),R$1(Ra.source)).trimEnd().endsWith("from")}function _l$1(Ra,qa){var Ja,ed;if((Ja=Ra.extra)!=null&&Ja.deprecatedAssertSyntax)return"assert";let td=fr(qa,k(Ra.source),(ed=Ra.attributes)!=null&&ed[0]?R$1(Ra.attributes[0]):k(Ra)).trimStart();return td.startsWith("assert")?"assert":td.startsWith("with")||w(Ra.attributes)?"with":void 0}function fa(Ra,qa,Ja){let{node:ed}=Ra;if(!ed.source)return"";let td=_l$1(ed,qa);if(!td)return"";let rd=[` ${td} {`];return w(ed.attributes)&&(qa.bracketSpacing&&rd.push(" "),rd.push(P$1(", ",Ra.map(Ja,"attributes"))),qa.bracketSpacing&&rd.push(" ")),rd.push("}"),rd}function Ea(Ra,qa,Ja){let{node:ed}=Ra,{type:td}=ed,rd=td.startsWith("Import"),sd=rd?"imported":"local",od=rd?"local":"exported",ld=ed[sd],cd=ed[od],ud="",_d="";return td==="ExportNamespaceSpecifier"||td==="ImportNamespaceSpecifier"?ud="*":ld&&(ud=Ja(sd)),cd&&!jl$1(ed)&&(_d=Ja(od)),[Ps(td==="ImportSpecifier"?ed.importKind:ed.exportKind,!1),ud,ud&&_d?" as ":"",_d]}function jl$1(Ra){if(Ra.type!=="ImportSpecifier"&&Ra.type!=="ExportSpecifier")return!1;let{local:qa,[Ra.type==="ImportSpecifier"?"imported":"exported"]:Ja}=Ra;if(qa.type!==Ja.type||!eu$1(qa,Ja))return!1;if(Q$2(qa))return qa.value===Ja.value&&fe$1(qa)===fe$1(Ja);switch(qa.type){case"Identifier":return qa.name===Ja.name;default:return!1}}function dt$1(Ra,qa,Ja){var ed;let td=qa.semi?";":"",{node:rd}=Ra,sd=rd.type==="ObjectTypeAnnotation",od=rd.type==="TSEnumDeclaration"||rd.type==="EnumBooleanBody"||rd.type==="EnumNumberBody"||rd.type==="EnumBigIntBody"||rd.type==="EnumStringBody"||rd.type==="EnumSymbolBody",ld=[rd.type==="TSTypeLiteral"||od?"members":rd.type==="TSInterfaceBody"?"body":"properties"];sd&&ld.push("indexers","callProperties","internalSlots");let cd=ld.flatMap(Pd=>Ra.map(({node:qd})=>({node:qd,printed:Ja(),loc:R$1(qd)}),Pd));ld.length>1&&cd.sort((Pd,qd)=>Pd.loc-qd.loc);let{parent:ud,key:_d}=Ra,yd=sd&&_d==="body"&&(ud.type==="InterfaceDeclaration"||ud.type==="DeclareInterface"||ud.type==="DeclareClass"),gd=rd.type==="TSInterfaceBody"||od||yd||rd.type==="ObjectPattern"&&ud.type!=="FunctionDeclaration"&&ud.type!=="FunctionExpression"&&ud.type!=="ArrowFunctionExpression"&&ud.type!=="ObjectMethod"&&ud.type!=="ClassMethod"&&ud.type!=="ClassPrivateMethod"&&ud.type!=="AssignmentPattern"&&ud.type!=="CatchClause"&&rd.properties.some(Pd=>Pd.value&&(Pd.value.type==="ObjectPattern"||Pd.value.type==="ArrayPattern"))||rd.type!=="ObjectPattern"&&cd.length>0&&de$1(qa.originalText,R$1(rd),cd[0].loc),Ed=yd?";":rd.type==="TSInterfaceBody"||rd.type==="TSTypeLiteral"?b(td,";"):",",Td=rd.type==="RecordExpression"?"#{":rd.exact?"{|":"{",kd=rd.exact?"|}":"}",Rd=[],Nd=cd.map(Pd=>{let qd=[...Rd,l(Pd.printed)];return Rd=[Ed,x],(Pd.node.type==="TSPropertySignature"||Pd.node.type==="TSMethodSignature"||Pd.node.type==="TSConstructSignatureDeclaration"||Pd.node.type==="TSCallSignatureDeclaration")&&d(Pd.node,g$2.PrettierIgnore)&&Rd.shift(),pe(Pd.node,qa)&&Rd.push(F),qd});if(rd.inexact||rd.hasUnknownMembers){let Pd;if(d(rd,g$2.Dangling)){let qd=d(rd,g$2.Line);Pd=[M$1(Ra,qa),qd||te$1(qa.originalText,k(O$1(!1,ct(rd),-1)))?F:x,"..."]}else Pd=["..."];Nd.push([...Rd,...Pd])}let Id=(ed=O$1(!1,cd,-1))==null?void 0:ed.node,Md=!(rd.inexact||rd.hasUnknownMembers||Id&&(Id.type==="RestElement"||(Id.type==="TSPropertySignature"||Id.type==="TSCallSignatureDeclaration"||Id.type==="TSMethodSignature"||Id.type==="TSConstructSignatureDeclaration")&&d(Id,g$2.PrettierIgnore))),Ld;if(Nd.length===0){if(!d(rd,g$2.Dangling))return[Td,kd,Y(Ra,Ja)];Ld=l([Td,M$1(Ra,qa,{indent:!0}),E$1,kd,V$1(Ra),Y(Ra,Ja)])}else Ld=[yd&&w(rd.properties)?gs$1(ud):"",Td,f([qa.bracketSpacing?x:E$1,...Nd]),b(Md&&(Ed!==","||ae(qa))?Ed:""),qa.bracketSpacing?x:E$1,kd,V$1(Ra),Y(Ra,Ja)];return Ra.match(Pd=>Pd.type==="ObjectPattern"&&!w(Pd.decorators),Is$1)||we$1(rd)&&(Ra.match(void 0,(Pd,qd)=>qd==="typeAnnotation",(Pd,qd)=>qd==="typeAnnotation",Is$1)||Ra.match(void 0,(Pd,qd)=>Pd.type==="FunctionTypeParam"&&qd==="typeAnnotation",Is$1))||!gd&&Ra.match(Pd=>Pd.type==="ObjectPattern",Pd=>Pd.type==="AssignmentExpression"||Pd.type==="VariableDeclarator")?Ld:l(Ld,{shouldBreak:gd})}function Is$1(Ra,qa){return(qa==="params"||qa==="parameters"||qa==="this"||qa==="rest")&&Cs(Ra)}function vl$1(Ra){let qa=[Ra];for(let Ja=0;Ja<qa.length;Ja++){let ed=qa[Ja];for(let td of["test","consequent","alternate"]){let rd=ed[td];if(X(rd))return!0;rd.type==="ConditionalExpression"&&qa.push(rd)}}return!1}function Ml$1(Ra,qa,Ja){let{node:ed}=Ra,td=ed.type==="ConditionalExpression",rd=td?"alternate":"falseType",{parent:sd}=Ra,od=td?Ja("test"):[Ja("checkType")," ","extends"," ",Ja("extendsType")];return sd.type===ed.type&&sd[rd]===ed?he$1(2,od):od}var Rl$2=new Map([["AssignmentExpression","right"],["VariableDeclarator","init"],["ReturnStatement","argument"],["ThrowStatement","argument"],["UnaryExpression","argument"],["YieldExpression","argument"],["AwaitExpression","argument"]]);function Jl$2(Ra){let{node:qa}=Ra;if(qa.type!=="ConditionalExpression")return!1;let Ja,ed=qa;for(let td=0;!Ja;td++){let rd=Ra.getParentNode(td);if(rd.type==="ChainExpression"&&rd.expression===ed||L$1(rd)&&rd.callee===ed||q$1(rd)&&rd.object===ed||rd.type==="TSNonNullExpression"&&rd.expression===ed){ed=rd;continue}rd.type==="NewExpression"&&rd.callee===ed||Te$1(rd)&&rd.expression===ed?(Ja=Ra.getParentNode(td+1),ed=rd):Ja=rd}return ed===qa?!1:Ja[Rl$2.get(Ja.type)]===ed}function Fa(Ra,qa,Ja){let{node:ed}=Ra,td=ed.type==="ConditionalExpression",rd=td?"consequent":"trueType",sd=td?"alternate":"falseType",od=td?["test"]:["checkType","extendsType"],ld=ed[rd],cd=ed[sd],ud=[],_d=!1,{parent:yd}=Ra,gd=yd.type===ed.type&&od.some(Ud=>yd[Ud]===ed),Ed=yd.type===ed.type&&!gd,Td,kd,Rd=0;do kd=Td||ed,Td=Ra.getParentNode(Rd),Rd++;while(Td&&Td.type===ed.type&&od.every(Ud=>Td[Ud]!==kd));let Nd=Td||yd,Id=kd;if(td&&(X(ed[od[0]])||X(ld)||X(cd)||vl$1(Id))){_d=!0,Ed=!0;let Ud=Vd=>[b("("),f([E$1,Vd]),E$1,b(")")],Hd=Vd=>Vd.type==="NullLiteral"||Vd.type==="Literal"&&Vd.value===null||Vd.type==="Identifier"&&Vd.name==="undefined";ud.push(" ? ",Hd(ld)?Ja(rd):Ud(Ja(rd))," : ",cd.type===ed.type||Hd(cd)?Ja(sd):Ud(Ja(sd)))}else{let Ud=Vd=>qa.useTabs?f(Ja(Vd)):he$1(2,Ja(Vd)),Hd=[x,"? ",ld.type===ed.type?b("","("):"",Ud(rd),ld.type===ed.type?b("",")"):"",x,": ",Ud(sd)];ud.push(yd.type!==ed.type||yd[sd]===ed||gd?Hd:qa.useTabs?Mr$1(f(Hd)):he$1(Math.max(0,qa.tabWidth-2),Hd))}let Md=[rd,sd,...od].some(Ud=>d(ed[Ud],Hd=>re$1(Hd)&&de$1(qa.originalText,R$1(Hd),k(Hd)))),Ld=Ud=>yd===Nd?l(Ud,{shouldBreak:Md}):Md?[Ud,Ee$1]:Ud,Pd=!_d&&(q$1(yd)||yd.type==="NGPipeExpression"&&yd.left===ed)&&!yd.computed,qd=Jl$2(Ra),Yd=Ld([Ml$1(Ra,qa,Ja),Ed?ud:f(ud),td&&Pd&&!qd?E$1:""]);return gd||qd?l([f([E$1,Yd]),E$1]):Yd}function ql$2(Ra,qa){return(q$1(qa)||qa.type==="NGPipeExpression"&&qa.left===Ra)&&!qa.computed}function Wl$1(Ra,qa,Ja,ed){return[...Ra.map(td=>ct(td)),ct(qa),ct(Ja)].flat().some(td=>re$1(td)&&de$1(ed.originalText,R$1(td),k(td)))}var Gl$2=new Map([["AssignmentExpression","right"],["VariableDeclarator","init"],["ReturnStatement","argument"],["ThrowStatement","argument"],["UnaryExpression","argument"],["YieldExpression","argument"],["AwaitExpression","argument"]]);function Ul$1(Ra){let{node:qa}=Ra;if(qa.type!=="ConditionalExpression")return!1;let Ja,ed=qa;for(let td=0;!Ja;td++){let rd=Ra.getParentNode(td);if(rd.type==="ChainExpression"&&rd.expression===ed||L$1(rd)&&rd.callee===ed||q$1(rd)&&rd.object===ed||rd.type==="TSNonNullExpression"&&rd.expression===ed){ed=rd;continue}rd.type==="NewExpression"&&rd.callee===ed||Te$1(rd)&&rd.expression===ed?(Ja=Ra.getParentNode(td+1),ed=rd):Ja=rd}return ed===qa?!1:Ja[Gl$2.get(Ja.type)]===ed}var Ls$1=Ra=>[b("("),f([E$1,Ra]),E$1,b(")")];function Vt$1(Ra,qa,Ja,ed){if(!qa.experimentalTernaries)return Fa(Ra,qa,Ja);let{node:td}=Ra,rd=td.type==="ConditionalExpression",sd=td.type==="TSConditionalType"||td.type==="ConditionalTypeAnnotation",od=rd?"consequent":"trueType",ld=rd?"alternate":"falseType",cd=rd?["test"]:["checkType","extendsType"],ud=td[od],_d=td[ld],yd=cd.map(Vf=>td[Vf]),{parent:gd}=Ra,Ed=gd.type===td.type,Td=Ed&&cd.some(Vf=>gd[Vf]===td),kd=Ed&&gd[ld]===td,Rd=ud.type===td.type,Nd=_d.type===td.type,Id=Nd||kd,Md=qa.tabWidth>2||qa.useTabs,Ld,Pd,qd=0;do Pd=Ld||td,Ld=Ra.getParentNode(qd),qd++;while(Ld&&Ld.type===td.type&&cd.every(Vf=>Ld[Vf]!==Pd));let Yd=Ld||gd,Ud=ed&&ed.assignmentLayout&&ed.assignmentLayout!=="break-after-operator"&&(gd.type==="AssignmentExpression"||gd.type==="VariableDeclarator"||gd.type==="ClassProperty"||gd.type==="PropertyDefinition"||gd.type==="ClassPrivateProperty"||gd.type==="ObjectProperty"||gd.type==="Property"),Hd=(gd.type==="ReturnStatement"||gd.type==="ThrowStatement")&&!(Rd||Nd),Vd=rd&&Yd.type==="JSXExpressionContainer"&&Ra.grandparent.type!=="JSXAttribute",Jd=Ul$1(Ra),Zd=ql$2(td,gd),pf=sd&&Be$1(Ra,qa),Xd=Md?qa.useTabs?" ":" ".repeat(qa.tabWidth-1):"",hf=Wl$1(yd,ud,_d,qa)||Rd||Nd,_f=!Id&&!Ed&&!sd&&(Vd?ud.type==="NullLiteral"||ud.type==="Literal"&&ud.value===null:rr(ud,qa)&&Mn$1(td.test,3)),xf=Id||kd||sd&&!Ed||Ed&&rd&&Mn$1(td.test,1)||_f,Lf=[];!Rd&&d(ud,g$2.Dangling)&&Ra.call(Vf=>{Lf.push(M$1(Vf,qa),F)},"consequent");let Wf=[];d(td.test,g$2.Dangling)&&Ra.call(Vf=>{Wf.push(M$1(Vf,qa))},"test"),!Nd&&d(_d,g$2.Dangling)&&Ra.call(Vf=>{Wf.push(M$1(Vf,qa))},"alternate"),d(td,g$2.Dangling)&&Wf.push(M$1(Ra,qa));let Yf=Symbol("test"),If=Symbol("consequent"),Sf=Symbol("test-and-consequent"),wf=rd?[Ls$1(Ja("test")),td.test.type==="ConditionalExpression"?Ee$1:""]:[Ja("checkType")," ","extends"," ",td.extendsType.type==="TSConditionalType"||td.extendsType.type==="ConditionalTypeAnnotation"||td.extendsType.type==="TSMappedType"?Ja("extendsType"):l(Ls$1(Ja("extendsType")))],Kf=l([wf," ?"],{id:Yf}),Gf=Ja(od),gf=f([Rd||Vd&&(X(ud)||Ed||Id)?F:x,Lf,Gf]),mf=xf?l([Kf,Id?gf:b(gf,l(gf,{id:If}),{groupId:Yf})],{id:Sf}):[Kf,gf],$f=Ja(ld),zf=_f?b($f,Mr$1(Ls$1($f)),{groupId:Sf}):$f,hh=[mf,Wf.length>0?[f([F,Wf]),F]:Nd?F:_f?b(x," ",{groupId:Sf}):x,":",Nd?" ":Md?xf?b(Xd,b(Id||_f?" ":Xd," "),{groupId:Sf}):b(Xd," "):" ",Nd?zf:l([f(zf),Vd&&!_f?E$1:""]),Zd&&!Jd?E$1:"",hf?Ee$1:""];return Ud&&!hf?l(f([E$1,l(hh)])):Ud||Hd?l(f(hh)):Jd||sd&&Td?l([f([E$1,hh]),pf?E$1:""]):gd===Yd?l(hh):hh}function Ca$1(Ra,qa,Ja,ed){let{node:td}=Ra;if(kr(td))return pa(Ra,qa);let rd=qa.semi?";":"",sd=[];switch(td.type){case"JsExpressionRoot":return Ja("node");case"JsonRoot":return[Ja("node"),F];case"File":return ia$1(Ra,qa,Ja)??Ja("program");case"EmptyStatement":return"";case"ExpressionStatement":return ua$2(Ra,qa,Ja);case"ChainExpression":return Ja("expression");case"ParenthesizedExpression":return!d(td.expression)&&(se(td.expression)||U$1(td.expression))?["(",Ja("expression"),")"]:l(["(",f([E$1,Ja("expression")]),E$1,")"]);case"AssignmentExpression":return wi$1(Ra,qa,Ja);case"VariableDeclarator":return Oi$1(Ra,qa,Ja);case"BinaryExpression":case"LogicalExpression":return Yr$2(Ra,qa,Ja);case"AssignmentPattern":return[Ja("left")," = ",Ja("right")];case"OptionalMemberExpression":case"MemberExpression":return bi$1(Ra,qa,Ja);case"MetaProperty":return[Ja("meta"),".",Ja("property")];case"BindExpression":return td.object&&sd.push(Ja("object")),sd.push(l(f([E$1,Hr$1(Ra,qa,Ja)]))),sd;case"Identifier":return[td.name,V$1(Ra),pn$1(Ra),Y(Ra,Ja)];case"V8IntrinsicIdentifier":return["%",td.name];case"SpreadElement":case"SpreadElementPattern":case"SpreadPropertyPattern":case"RestElement":return cn$2(Ra,Ja);case"FunctionDeclaration":case"FunctionExpression":return Dn$2(Ra,Ja,qa,ed);case"ArrowFunctionExpression":return $i$1(Ra,qa,Ja,ed);case"YieldExpression":return sd.push("yield"),td.delegate&&sd.push("*"),td.argument&&sd.push(" ",Ja("argument")),sd;case"AwaitExpression":if(sd.push("await"),td.argument){sd.push(" ",Ja("argument"));let{parent:od}=Ra;if(L$1(od)&&od.callee===td||q$1(od)&&od.object===td){sd=[f([E$1,...sd]),E$1];let ld=Ra.findAncestor(cd=>cd.type==="AwaitExpression"||cd.type==="BlockStatement");if((ld==null?void 0:ld.type)!=="AwaitExpression"||!ie$1(ld.argument,cd=>cd===td))return l(sd)}}return sd;case"ExportDefaultDeclaration":case"ExportNamedDeclaration":case"ExportAllDeclaration":return gn$2(Ra,qa,Ja);case"ImportDeclaration":return ca$1(Ra,qa,Ja);case"ImportSpecifier":case"ExportSpecifier":case"ImportNamespaceSpecifier":case"ExportNamespaceSpecifier":case"ImportDefaultSpecifier":case"ExportDefaultSpecifier":return Ea(Ra,qa,Ja);case"ImportAttribute":return yn$1(Ra,qa,Ja);case"Import":return"import";case"Program":case"BlockStatement":case"StaticBlock":return Fn$1(Ra,qa,Ja);case"ClassBody":return ra$1(Ra,qa,Ja);case"ThrowStatement":return Yi$1(Ra,qa,Ja);case"ReturnStatement":return Xi$1(Ra,qa,Ja);case"NewExpression":case"ImportExpression":case"OptionalCallExpression":case"CallExpression":return Vr$1(Ra,qa,Ja);case"ObjectExpression":case"ObjectPattern":case"RecordExpression":return dt$1(Ra,qa,Ja);case"Property":return gt(td)?mr(Ra,qa,Ja):yn$1(Ra,qa,Ja);case"ObjectProperty":return yn$1(Ra,qa,Ja);case"ObjectMethod":return mr(Ra,qa,Ja);case"Decorator":return["@",Ja("expression")];case"ArrayExpression":case"ArrayPattern":case"TupleExpression":return Yt(Ra,qa,Ja);case"SequenceExpression":{let{parent:od}=Ra;if(od.type==="ExpressionStatement"||od.type==="ForStatement"){let ld=[];return Ra.each(({isFirst:cd})=>{cd?ld.push(Ja()):ld.push(",",f([x,Ja()]))},"expressions"),l(ld)}return l(P$1([",",x],Ra.map(Ja,"expressions")))}case"ThisExpression":return"this";case"Super":return"super";case"Directive":return[Ja("value"),rd];case"UnaryExpression":return sd.push(td.operator),/[a-z]$/u.test(td.operator)&&sd.push(" "),d(td.argument)?sd.push(l(["(",f([E$1,Ja("argument")]),E$1,")"])):sd.push(Ja("argument")),sd;case"UpdateExpression":return[td.prefix?td.operator:"",Ja("argument"),td.prefix?"":td.operator];case"ConditionalExpression":return Vt$1(Ra,qa,Ja,ed);case"VariableDeclaration":{let od=Ra.map(Ja,"declarations"),ld=Ra.parent,cd=ld.type==="ForStatement"||ld.type==="ForInStatement"||ld.type==="ForOfStatement",ud=td.declarations.some(yd=>yd.init),_d;return od.length===1&&!d(td.declarations[0])?_d=od[0]:od.length>0&&(_d=f(od[0])),sd=[$(Ra),td.kind,_d?[" ",_d]:"",f(od.slice(1).map(yd=>[",",ud&&!cd?F:x,yd]))],cd&&ld.body!==td||sd.push(rd),l(sd)}case"WithStatement":return l(["with (",Ja("object"),")",ft(td.body,Ja("body"))]);case"IfStatement":{let od=ft(td.consequent,Ja("consequent")),ld=l(["if (",l([f([E$1,Ja("test")]),E$1]),")",od]);if(sd.push(ld),td.alternate){let cd=d(td.consequent,g$2.Trailing|g$2.Line)||wr(td),ud=td.consequent.type==="BlockStatement"&&!cd;sd.push(ud?" ":F),d(td,g$2.Dangling)&&sd.push(M$1(Ra,qa),cd?F:" "),sd.push("else",l(ft(td.alternate,Ja("alternate"),td.alternate.type==="IfStatement")))}return sd}case"ForStatement":{let od=ft(td.body,Ja("body")),ld=M$1(Ra,qa),cd=ld?[ld,E$1]:"";return!td.init&&!td.test&&!td.update?[cd,l(["for (;;)",od])]:[cd,l(["for (",l([f([E$1,Ja("init"),";",x,Ja("test"),";",x,Ja("update")]),E$1]),")",od])]}case"WhileStatement":return l(["while (",l([f([E$1,Ja("test")]),E$1]),")",ft(td.body,Ja("body"))]);case"ForInStatement":return l(["for (",Ja("left")," in ",Ja("right"),")",ft(td.body,Ja("body"))]);case"ForOfStatement":return l(["for",td.await?" await":""," (",Ja("left")," of ",Ja("right"),")",ft(td.body,Ja("body"))]);case"DoWhileStatement":{let od=ft(td.body,Ja("body"));return sd=[l(["do",od])],td.body.type==="BlockStatement"?sd.push(" "):sd.push(F),sd.push("while (",l([f([E$1,Ja("test")]),E$1]),")",rd),sd}case"DoExpression":return[td.async?"async ":"","do ",Ja("body")];case"BreakStatement":case"ContinueStatement":return sd.push(td.type==="BreakStatement"?"break":"continue"),td.label&&sd.push(" ",Ja("label")),sd.push(rd),sd;case"LabeledStatement":return td.body.type==="EmptyStatement"?[Ja("label"),":;"]:[Ja("label"),": ",Ja("body")];case"TryStatement":return["try ",Ja("block"),td.handler?[" ",Ja("handler")]:"",td.finalizer?[" finally ",Ja("finalizer")]:""];case"CatchClause":if(td.param){let od=d(td.param,cd=>!re$1(cd)||cd.leading&&te$1(qa.originalText,k(cd))||cd.trailing&&te$1(qa.originalText,R$1(cd),{backwards:!0})),ld=Ja("param");return["catch ",od?["(",f([E$1,ld]),E$1,") "]:["(",ld,") "],Ja("body")]}return["catch ",Ja("body")];case"SwitchStatement":return[l(["switch (",f([E$1,Ja("discriminant")]),E$1,")"])," {",td.cases.length>0?f([F,P$1(F,Ra.map(({node:od,isLast:ld})=>[Ja(),!ld&&pe(od,qa)?F:""],"cases"))]):"",F,"}"];case"SwitchCase":{td.test?sd.push("case ",Ja("test"),":"):sd.push("default:"),d(td,g$2.Dangling)&&sd.push(" ",M$1(Ra,qa));let od=td.consequent.filter(ld=>ld.type!=="EmptyStatement");if(od.length>0){let ld=yr(Ra,qa,Ja,"consequent");sd.push(od.length===1&&od[0].type==="BlockStatement"?[" ",ld]:f([F,ld]))}return sd}case"DebuggerStatement":return["debugger",rd];case"ClassDeclaration":case"ClassExpression":return Tn$2(Ra,qa,Ja);case"ClassMethod":case"ClassPrivateMethod":case"MethodDefinition":return dn$1(Ra,qa,Ja);case"ClassProperty":case"PropertyDefinition":case"ClassPrivateProperty":case"ClassAccessorProperty":case"AccessorProperty":return xn$1(Ra,qa,Ja);case"TemplateElement":return Ie(td.value.raw);case"TemplateLiteral":return qr(Ra,Ja,qa);case"TaggedTemplateExpression":return Uu$1(Ra,Ja);case"PrivateIdentifier":return["#",td.name];case"PrivateName":return["#",Ja("id")];case"TopicReference":return"%";case"ArgumentPlaceholder":return"?";case"ModuleExpression":return["module ",Ja("body")];case"InterpreterDirective":default:throw new Me$2(td,"ESTree")}}function Sn$1(Ra,qa,Ja){let{parent:ed,node:td,key:rd}=Ra,sd=[Ja("expression")];switch(td.type){case"AsConstExpression":sd.push(" as const");break;case"AsExpression":case"TSAsExpression":sd.push(" as ",Ja("typeAnnotation"));break;case"SatisfiesExpression":case"TSSatisfiesExpression":sd.push(" satisfies ",Ja("typeAnnotation"));break}return rd==="callee"&&L$1(ed)||rd==="object"&&q$1(ed)?l([f([E$1,...sd]),E$1]):sd}function Aa$1(Ra,qa,Ja){let{node:ed}=Ra,td=[$(Ra),"component"];ed.id&&td.push(" ",Ja("id")),td.push(Ja("typeParameters"));let rd=Nl$2(Ra,Ja,qa);return ed.rendersType?td.push(l([rd," ",Ja("rendersType")])):td.push(l([rd])),ed.body&&td.push(" ",Ja("body")),qa.semi&&ed.type==="DeclareComponent"&&td.push(";"),td}function Nl$2(Ra,qa,Ja){let{node:ed}=Ra,td=ed.params;if(ed.rest&&(td=[...td,ed.rest]),td.length===0)return["(",M$1(Ra,Ja,{filter:sd=>ge$1(Ja.originalText,k(sd))===")"}),")"];let rd=[];return Yl$1(Ra,(sd,od)=>{let ld=od===td.length-1;ld&&ed.rest&&rd.push("..."),rd.push(qa()),!ld&&(rd.push(","),pe(td[od],Ja)?rd.push(F,F):rd.push(x))}),["(",f([E$1,...rd]),b(ae(Ja,"all")&&!Xl$2(ed,td)?",":""),E$1,")"]}function Xl$2(Ra,qa){var Ja;return Ra.rest||((Ja=O$1(!1,qa,-1))==null?void 0:Ja.type)==="RestElement"}function Yl$1(Ra,qa){let{node:Ja}=Ra,ed=0,td=rd=>qa(rd,ed++);Ra.each(td,"params"),Ja.rest&&Ra.call(td,"rest")}function Ta(Ra,qa,Ja){let{node:ed}=Ra;return ed.shorthand?Ja("local"):[Ja("name")," as ",Ja("local")]}function da(Ra,qa,Ja){let{node:ed}=Ra,td=[];return ed.name&&td.push(Ja("name"),ed.optional?"?: ":": "),td.push(Ja("typeAnnotation")),td}function xa$1(Ra,qa,Ja){return dt$1(Ra,Ja,qa)}function Bn$2(Ra,qa){let{node:Ja}=Ra,ed=qa("id");Ja.computed&&(ed=["[",ed,"]"]);let td="";return Ja.initializer&&(td=qa("initializer")),Ja.init&&(td=qa("init")),td?[ed," = ",td]:ed}function ha$1(Ra,qa,Ja){let{node:ed}=Ra,td;if(ed.type==="EnumSymbolBody"||ed.explicitType)switch(ed.type){case"EnumBooleanBody":td="boolean";break;case"EnumNumberBody":td="number";break;case"EnumBigIntBody":td="bigint";break;case"EnumStringBody":td="string";break;case"EnumSymbolBody":td="symbol";break}return[td?`of ${td} `:"",xa$1(Ra,qa,Ja)]}function bn$2(Ra,qa,Ja){let{node:ed}=Ra;return[$(Ra),ed.const?"const ":"","enum ",qa("id")," ",ed.type==="TSEnumDeclaration"?xa$1(Ra,qa,Ja):qa("body")]}function Sa$1(Ra,qa,Ja){let{node:ed}=Ra,td=["hook"];ed.id&&td.push(" ",Ja("id"));let rd=Je$1(Ra,Ja,qa,!1,!0),sd=Ht$1(Ra,Ja),od=ot(ed,sd);return td.push(l([od?l(rd):rd,sd]),ed.body?" ":"",Ja("body")),td}function Ba(Ra,qa,Ja){let{node:ed}=Ra,td=[$(Ra),"hook"];return ed.id&&td.push(" ",Ja("id")),qa.semi&&td.push(";"),td}function ga$2(Ra){var qa;let{node:Ja}=Ra;return Ja.type==="HookTypeAnnotation"&&((qa=Ra.getParentNode(2))==null?void 0:qa.type)==="DeclareHook"}function ba$1(Ra,qa,Ja){let{node:ed}=Ra,td=[];td.push(ga$2(Ra)?"":"hook ");let rd=Je$1(Ra,Ja,qa,!1,!0),sd=[];return sd.push(ga$2(Ra)?": ":" => ",Ja("returnType")),ot(ed,sd)&&(rd=l(rd)),td.push(rd,sd),l(td)}function Pn$2(Ra,qa,Ja){let{node:ed}=Ra,td=[$(Ra),"interface"],rd=[],sd=[];ed.type!=="InterfaceTypeAnnotation"&&rd.push(" ",Ja("id"),Ja("typeParameters"));let od=ed.typeParameters&&!d(ed.typeParameters,g$2.Trailing|g$2.Line);return w(ed.extends)&&sd.push(od?b(" ",x,{groupId:Dr(ed.typeParameters)}):x,"extends ",(ed.extends.length===1?mu$1:f)(P$1([",",x],Ra.map(Ja,"extends")))),d(ed.id,g$2.Trailing)||w(ed.extends)?od?td.push(l([...rd,f(sd)])):td.push(l(f([...rd,...sd]))):td.push(...rd,...sd),td.push(" ",Ja("body")),l(td)}function Pa$2(Ra,qa,Ja){let{node:ed}=Ra;if(Sr(ed))return ed.type.slice(0,-14).toLowerCase();let td=qa.semi?";":"";switch(ed.type){case"ComponentDeclaration":case"DeclareComponent":case"ComponentTypeAnnotation":return Aa$1(Ra,qa,Ja);case"ComponentParameter":return Ta(Ra,qa,Ja);case"ComponentTypeParameter":return da(Ra,qa,Ja);case"HookDeclaration":return Sa$1(Ra,qa,Ja);case"DeclareHook":return Ba(Ra,qa,Ja);case"HookTypeAnnotation":return ba$1(Ra,qa,Ja);case"DeclareClass":return Tn$2(Ra,qa,Ja);case"DeclareFunction":return[$(Ra),"function ",Ja("id"),Ja("predicate"),td];case"DeclareModule":return["declare module ",Ja("id")," ",Ja("body")];case"DeclareModuleExports":return["declare module.exports",Y(Ra,Ja),td];case"DeclareNamespace":return["declare namespace ",Ja("id")," ",Ja("body")];case"DeclareVariable":return[$(Ra),ed.kind??"var"," ",Ja("id"),td];case"DeclareExportDeclaration":case"DeclareExportAllDeclaration":return gn$2(Ra,qa,Ja);case"DeclareOpaqueType":case"OpaqueType":return Mi(Ra,qa,Ja);case"DeclareTypeAlias":case"TypeAlias":return Kr$1(Ra,qa,Ja);case"IntersectionTypeAnnotation":return zr$1(Ra,qa,Ja);case"UnionTypeAnnotation":return Qr$2(Ra,qa,Ja);case"ConditionalTypeAnnotation":return Vt$1(Ra,qa,Ja);case"InferTypeAnnotation":return tn$1(Ra,qa,Ja);case"FunctionTypeAnnotation":return Zr$2(Ra,qa,Ja);case"TupleTypeAnnotation":return Yt(Ra,qa,Ja);case"TupleTypeLabeledElement":return nn$1(Ra,qa,Ja);case"TupleTypeSpreadElement":return rn$1(Ra,qa,Ja);case"GenericTypeAnnotation":return[Ja("id"),Pt$1(Ra,qa,Ja,"typeParameters")];case"IndexedAccessType":case"OptionalIndexedAccessType":return en$1(Ra,qa,Ja);case"TypeAnnotation":return sn$1(Ra,qa,Ja);case"TypeParameter":return An$1(Ra,qa,Ja);case"TypeofTypeAnnotation":return an$1(Ra,Ja);case"ExistsTypeAnnotation":return"*";case"ArrayTypeAnnotation":return un$1(Ja);case"DeclareEnum":case"EnumDeclaration":return bn$2(Ra,Ja,qa);case"EnumBooleanBody":case"EnumNumberBody":case"EnumBigIntBody":case"EnumStringBody":case"EnumSymbolBody":return ha$1(Ra,Ja,qa);case"EnumBooleanMember":case"EnumNumberMember":case"EnumBigIntMember":case"EnumStringMember":case"EnumDefaultedMember":return Bn$2(Ra,Ja);case"FunctionTypeParam":{let rd=ed.name?Ja("name"):Ra.parent.this===ed?"this":"";return[rd,V$1(Ra),rd?": ":"",Ja("typeAnnotation")]}case"DeclareInterface":case"InterfaceDeclaration":case"InterfaceTypeAnnotation":return Pn$2(Ra,qa,Ja);case"ClassImplements":case"InterfaceExtends":return[Ja("id"),Ja("typeParameters")];case"NullableTypeAnnotation":return["?",Ja("typeAnnotation")];case"Variance":{let{kind:rd}=ed;return ln$1.ok(rd==="plus"||rd==="minus"),rd==="plus"?"+":"-"}case"KeyofTypeAnnotation":return["keyof ",Ja("argument")];case"ObjectTypeCallProperty":return[ed.static?"static ":"",Ja("value")];case"ObjectTypeMappedTypeProperty":return zi(Ra,qa,Ja);case"ObjectTypeIndexer":return[ed.static?"static ":"",ed.variance?Ja("variance"):"","[",Ja("id"),ed.id?": ":"",Ja("key"),"]: ",Ja("value")];case"ObjectTypeProperty":{let rd="";return ed.proto?rd="proto ":ed.static&&(rd="static "),[rd,ed.kind!=="init"?ed.kind+" ":"",ed.variance?Ja("variance"):"",Et$1(Ra,qa,Ja),V$1(Ra),gt(ed)?"":": ",Ja("value")]}case"ObjectTypeAnnotation":return dt$1(Ra,qa,Ja);case"ObjectTypeInternalSlot":return[ed.static?"static ":"","[[",Ja("id"),"]]",V$1(Ra),ed.method?"":": ",Ja("value")];case"ObjectTypeSpreadProperty":return cn$2(Ra,Ja);case"QualifiedTypeofIdentifier":case"QualifiedTypeIdentifier":return[Ja("qualification"),".",Ja("id")];case"NullLiteralTypeAnnotation":return"null";case"BooleanLiteralTypeAnnotation":return String(ed.value);case"StringLiteralTypeAnnotation":return Ie(tt$1(fe$1(ed),qa));case"NumberLiteralTypeAnnotation":return Ze$1(ed.raw??ed.extra.raw);case"BigIntLiteralTypeAnnotation":return hn$1(ed.raw??ed.extra.raw);case"TypeCastExpression":return["(",Ja("expression"),Y(Ra,Ja),")"];case"TypePredicate":return on$1(Ra,Ja);case"TypeOperator":return[ed.operator," ",Ja("typeAnnotation")];case"TypeParameterDeclaration":case"TypeParameterInstantiation":return Pt$1(Ra,qa,Ja,"params");case"InferredPredicate":case"DeclaredPredicate":return[Ra.key==="predicate"&&Ra.parent.type!=="DeclareFunction"&&!Ra.parent.returnType?": ":" ","%checks",...ed.type==="DeclaredPredicate"?["(",Ja("value"),")"]:[]];case"AsExpression":case"AsConstExpression":case"SatisfiesExpression":return Sn$1(Ra,qa,Ja)}}function ka$1(Ra,qa,Ja){var ed;let{node:td}=Ra;if(!td.type.startsWith("TS"))return;if(Br$1(td))return td.type.slice(2,-7).toLowerCase();let rd=qa.semi?";":"",sd=[];switch(td.type){case"TSThisType":return"this";case"TSTypeAssertion":{let od=!(U$1(td.expression)||se(td.expression)),ld=l(["<",f([E$1,Ja("typeAnnotation")]),E$1,">"]),cd=[b("("),f([E$1,Ja("expression")]),E$1,b(")")];return od?ze$1([[ld,Ja("expression")],[ld,l(cd,{shouldBreak:!0})],[ld,Ja("expression")]]):l([ld,Ja("expression")])}case"TSDeclareFunction":return Dn$2(Ra,Ja,qa);case"TSExportAssignment":return["export = ",Ja("expression"),rd];case"TSModuleBlock":return Fn$1(Ra,qa,Ja);case"TSInterfaceBody":case"TSTypeLiteral":return dt$1(Ra,qa,Ja);case"TSTypeAliasDeclaration":return Kr$1(Ra,qa,Ja);case"TSQualifiedName":return[Ja("left"),".",Ja("right")];case"TSAbstractMethodDefinition":case"TSDeclareMethod":return dn$1(Ra,qa,Ja);case"TSAbstractAccessorProperty":case"TSAbstractPropertyDefinition":return xn$1(Ra,qa,Ja);case"TSInterfaceHeritage":case"TSClassImplements":case"TSExpressionWithTypeArguments":case"TSInstantiationExpression":return[Ja("expression"),Ja(td.typeArguments?"typeArguments":"typeParameters")];case"TSTemplateLiteralType":return qr(Ra,Ja,qa);case"TSNamedTupleMember":return nn$1(Ra,qa,Ja);case"TSRestType":return rn$1(Ra,qa,Ja);case"TSOptionalType":return[Ja("typeAnnotation"),"?"];case"TSInterfaceDeclaration":return Pn$2(Ra,qa,Ja);case"TSTypeParameterDeclaration":case"TSTypeParameterInstantiation":return Pt$1(Ra,qa,Ja,"params");case"TSTypeParameter":return An$1(Ra,qa,Ja);case"TSAsExpression":case"TSSatisfiesExpression":return Sn$1(Ra,qa,Ja);case"TSArrayType":return un$1(Ja);case"TSPropertySignature":return[td.readonly?"readonly ":"",Et$1(Ra,qa,Ja),V$1(Ra),Y(Ra,Ja)];case"TSParameterProperty":return[Xt$1(td),td.static?"static ":"",td.override?"override ":"",td.readonly?"readonly ":"",Ja("parameter")];case"TSTypeQuery":return an$1(Ra,Ja);case"TSIndexSignature":{let od=td.parameters.length>1?b(ae(qa)?",":""):"",ld=l([f([E$1,P$1([", ",E$1],Ra.map(Ja,"parameters"))]),od,E$1]),cd=Ra.parent.type==="ClassBody"&&Ra.key==="body";return[cd&&td.static?"static ":"",td.readonly?"readonly ":"","[",td.parameters?ld:"","]",Y(Ra,Ja),cd?rd:""]}case"TSTypePredicate":return on$1(Ra,Ja);case"TSNonNullExpression":return[Ja("expression"),"!"];case"TSImportType":return[td.isTypeOf?"typeof ":"","import(",Ja("argument"),")",td.qualifier?[".",Ja("qualifier")]:"",Pt$1(Ra,qa,Ja,td.typeArguments?"typeArguments":"typeParameters")];case"TSLiteralType":return Ja("literal");case"TSIndexedAccessType":return en$1(Ra,qa,Ja);case"TSTypeOperator":return[td.operator," ",Ja("typeAnnotation")];case"TSMappedType":return Qi$1(Ra,qa,Ja);case"TSMethodSignature":{let od=td.kind&&td.kind!=="method"?`${td.kind} `:"";sd.push(Xt$1(td),od,td.computed?"[":"",Ja("key"),td.computed?"]":"",V$1(Ra));let ld=Je$1(Ra,Ja,qa,!1,!0),cd=td.returnType?"returnType":"typeAnnotation",ud=td[cd],_d=ud?Y(Ra,Ja,cd):"",yd=ot(td,_d);return sd.push(yd?l(ld):ld),ud&&sd.push(l(_d)),l(sd)}case"TSNamespaceExportDeclaration":return["export as namespace ",Ja("id"),qa.semi?";":""];case"TSEnumDeclaration":return bn$2(Ra,Ja,qa);case"TSEnumMember":return Bn$2(Ra,Ja);case"TSImportEqualsDeclaration":return[td.isExport?"export ":"","import ",ks(td,!1),Ja("id")," = ",Ja("moduleReference"),qa.semi?";":""];case"TSExternalModuleReference":return["require(",Ja("expression"),")"];case"TSModuleDeclaration":{let{parent:od}=Ra,ld=od.type==="TSModuleDeclaration",cd=((ed=td.body)==null?void 0:ed.type)==="TSModuleDeclaration";if(ld)sd.push(".");else if(sd.push($(Ra)),!(td.kind==="global"||td.global)){let ud=td.kind??(Q$2(td.id)||fr(qa,R$1(td),R$1(td.id)).trim().endsWith("module")?"module":"namespace");sd.push(ud," ")}return sd.push(Ja("id")),cd?sd.push(Ja("body")):td.body?sd.push(" ",l(Ja("body"))):sd.push(rd),sd}case"TSConditionalType":return Vt$1(Ra,qa,Ja);case"TSInferType":return tn$1(Ra,qa,Ja);case"TSIntersectionType":return zr$1(Ra,qa,Ja);case"TSUnionType":return Qr$2(Ra,qa,Ja);case"TSFunctionType":case"TSCallSignatureDeclaration":case"TSConstructorType":case"TSConstructSignatureDeclaration":return Zr$2(Ra,qa,Ja);case"TSTupleType":return Yt(Ra,qa,Ja);case"TSTypeReference":return[Ja("typeName"),Pt$1(Ra,qa,Ja,td.typeArguments?"typeArguments":"typeParameters")];case"TSTypeAnnotation":return sn$1(Ra,qa,Ja);case"TSEmptyBodyFunctionExpression":return fn$1(Ra,qa,Ja);case"TSJSDocAllType":return"*";case"TSJSDocUnknownType":return"?";case"TSJSDocNullableType":return Ts(Ra,Ja,"?");case"TSJSDocNonNullableType":return Ts(Ra,Ja,"!");case"TSParenthesizedType":default:throw new Me$2(td,"TypeScript")}}function Hl$1(Ra,qa,Ja,ed){if(Xr$1(Ra))return ci$1(Ra,qa);for(let td of[Ti$1,Ei$1,Pa$2,ka$1,Ca$1]){let rd=td(Ra,qa,Ja,ed);if(rd!==void 0)return rd}}var Vl$1=v(["ClassMethod","ClassPrivateMethod","ClassProperty","ClassAccessorProperty","AccessorProperty","TSAbstractAccessorProperty","PropertyDefinition","TSAbstractPropertyDefinition","ClassPrivateProperty","MethodDefinition","TSAbstractMethodDefinition","TSDeclareMethod"]);function $l$1(Ra,qa,Ja,ed){var td;Ra.isRoot&&((td=qa.__onHtmlBindingRoot)==null||td.call(qa,Ra.node,qa));let rd=Hl$1(Ra,qa,Ja,ed);if(!rd)return"";let{node:sd}=Ra;if(Vl$1(sd))return rd;let od=w(sd.decorators),ld=xi$1(Ra,qa,Ja),cd=sd.type==="ClassExpression";if(od&&!cd)return ir$1(rd,yd=>l([ld,yd]));let ud=Be$1(Ra,qa),_d=na(Ra,qa);return!ld&&!ud&&!_d?rd:ir$1(rd,yd=>[_d?";":"",ud?"(":"",ud&&cd&&od?[f([x,ld,yd]),x]:[ld,yd],ud?")":""])}var Ia$1=$l$1,Kl$1={avoidAstMutation:!0},La$1=[{linguistLanguageId:174,name:"JSON.stringify",type:"data",color:"#292929",tmScope:"source.json",aceMode:"json",codemirrorMode:"javascript",codemirrorMimeType:"application/json",aliases:["geojson","jsonl","topojson"],extensions:[".importmap"],filenames:["package.json","package-lock.json","composer.json"],parsers:["json-stringify"],vscodeLanguageIds:["json"]},{linguistLanguageId:174,name:"JSON",type:"data",color:"#292929",tmScope:"source.json",aceMode:"json",codemirrorMode:"javascript",codemirrorMimeType:"application/json",aliases:["geojson","jsonl","topojson"],extensions:[".json",".4DForm",".4DProject",".avsc",".geojson",".gltf",".har",".ice",".JSON-tmLanguage",".mcmeta",".tfstate",".tfstate.backup",".topojson",".webapp",".webmanifest",".yy",".yyp"],filenames:[".all-contributorsrc",".arcconfig",".auto-changelog",".c8rc",".htmlhintrc",".imgbotconfig",".nycrc",".tern-config",".tern-project",".watchmanconfig","Pipfile.lock","composer.lock","flake.lock","mcmod.info",".babelrc",".jscsrc",".jshintrc",".jslintrc",".swcrc"],parsers:["json"],vscodeLanguageIds:["json"]},{linguistLanguageId:423,name:"JSON with Comments",type:"data",color:"#292929",group:"JSON",tmScope:"source.js",aceMode:"javascript",codemirrorMode:"javascript",codemirrorMimeType:"text/javascript",aliases:["jsonc"],extensions:[".jsonc",".code-snippets",".code-workspace",".sublime-build",".sublime-commands",".sublime-completions",".sublime-keymap",".sublime-macro",".sublime-menu",".sublime-mousemap",".sublime-project",".sublime-settings",".sublime-theme",".sublime-workspace",".sublime_metrics",".sublime_session"],filenames:[],parsers:["jsonc"],vscodeLanguageIds:["jsonc"]},{linguistLanguageId:175,name:"JSON5",type:"data",color:"#267CB9",extensions:[".json5"],tmScope:"source.js",aceMode:"javascript",codemirrorMode:"javascript",codemirrorMimeType:"application/json",parsers:["json5"],vscodeLanguageIds:["json5"]}],Os$1={};Ar(Os$1,{getVisitorKeys:()=>Oa$2,massageAstNode:()=>ja$1,print:()=>Zl$1});var zl$2={JsonRoot:["node"],ArrayExpression:["elements"],ObjectExpression:["properties"],ObjectProperty:["key","value"],UnaryExpression:["argument"],NullLiteral:[],BooleanLiteral:[],StringLiteral:[],NumericLiteral:[],Identifier:[],TemplateLiteral:["quasis"],TemplateElement:[]},wa$1=zl$2,Ql$2=hr(wa$1),Oa$2=Ql$2;function Zl$1(Ra,qa,Ja){let{node:ed}=Ra;switch(ed.type){case"JsonRoot":return[Ja("node"),F];case"ArrayExpression":{if(ed.elements.length===0)return"[]";let td=Ra.map(()=>Ra.node===null?"null":Ja(),"elements");return["[",f([F,P$1([",",F],td)]),F,"]"]}case"ObjectExpression":return ed.properties.length===0?"{}":["{",f([F,P$1([",",F],Ra.map(Ja,"properties"))]),F,"}"];case"ObjectProperty":return[Ja("key"),": ",Ja("value")];case"UnaryExpression":return[ed.operator==="+"?"":ed.operator,Ja("argument")];case"NullLiteral":return"null";case"BooleanLiteral":return ed.value?"true":"false";case"StringLiteral":return JSON.stringify(ed.value);case"NumericLiteral":return _a$2(Ra)?JSON.stringify(String(ed.value)):JSON.stringify(ed.value);case"Identifier":return _a$2(Ra)?JSON.stringify(ed.name):ed.name;case"TemplateLiteral":return Ja(["quasis",0]);case"TemplateElement":return JSON.stringify(ed.value.cooked);default:throw new Me$2(ed,"JSON")}}function _a$2(Ra){return Ra.key==="key"&&Ra.parent.type==="ObjectProperty"}var em$1=new Set(["start","end","extra","loc","comments","leadingComments","trailingComments","innerComments","errors","range","tokens"]);function ja$1(Ra,qa){let{type:Ja}=Ra;if(Ja==="ObjectProperty"){let{key:ed}=Ra;ed.type==="Identifier"?qa.key={type:"StringLiteral",value:ed.name}:ed.type==="NumericLiteral"&&(qa.key={type:"StringLiteral",value:String(ed.value)});return}if(Ja==="UnaryExpression"&&Ra.operator==="+")return qa.argument;if(Ja==="ArrayExpression"){for(let[ed,td]of Ra.elements.entries())td===null&&qa.elements.splice(ed,0,{type:"NullLiteral"});return}if(Ja==="TemplateLiteral")return{type:"StringLiteral",value:Ra.quasis[0].value.cooked}}ja$1.ignoredProperties=em$1;var Er={bracketSpacing:{category:"Common",type:"boolean",default:!0,description:"Print spaces between brackets.",oppositeDescription:"Do not print spaces between brackets."},singleQuote:{category:"Common",type:"boolean",default:!1,description:"Use single quotes instead of double quotes."},proseWrap:{category:"Common",type:"choice",default:"preserve",description:"How to wrap prose.",choices:[{value:"always",description:"Wrap prose if it exceeds the print width."},{value:"never",description:"Do not wrap prose."},{value:"preserve",description:"Wrap prose as-is."}]},bracketSameLine:{category:"Common",type:"boolean",default:!1,description:"Put > of opening tags on the last line instead of on a new line."},singleAttributePerLine:{category:"Common",type:"boolean",default:!1,description:"Enforce single attribute per line in HTML, Vue and JSX."}},kt$1="JavaScript",tm$1={arrowParens:{category:kt$1,type:"choice",default:"always",description:"Include parentheses around a sole arrow function parameter.",choices:[{value:"always",description:"Always include parens. Example: `(x) => x`"},{value:"avoid",description:"Omit parens when possible. Example: `x => x`"}]},bracketSameLine:Er.bracketSameLine,bracketSpacing:Er.bracketSpacing,jsxBracketSameLine:{category:kt$1,type:"boolean",description:"Put > on the last line instead of at a new line.",deprecated:"2.4.0"},semi:{category:kt$1,type:"boolean",default:!0,description:"Print semicolons.",oppositeDescription:"Do not print semicolons, except at the beginning of lines which may need them."},experimentalTernaries:{category:kt$1,type:"boolean",default:!1,description:"Use curious ternaries, with the question mark after the condition.",oppositeDescription:"Default behavior of ternaries; keep question marks on the same line as the consequent."},singleQuote:Er.singleQuote,jsxSingleQuote:{category:kt$1,type:"boolean",default:!1,description:"Use single quotes in JSX."},quoteProps:{category:kt$1,type:"choice",default:"as-needed",description:"Change when properties in objects are quoted.",choices:[{value:"as-needed",description:"Only add quotes around object properties where required."},{value:"consistent",description:"If at least one property in an object requires quotes, quote all properties."},{value:"preserve",description:"Respect the input use of quotes in object properties."}]},trailingComma:{category:kt$1,type:"choice",default:"all",description:"Print trailing commas wherever possible when multi-line.",choices:[{value:"all",description:"Trailing commas wherever possible (including function arguments)."},{value:"es5",description:"Trailing commas where valid in ES5 (objects, arrays, etc.)"},{value:"none",description:"No trailing commas."}]},singleAttributePerLine:Er.singleAttributePerLine},va=tm$1,rm={estree:ws$1,"estree-json":Os$1},nm$1=[...Us,...La$1],$d$1=_s$1;const prettierPluginEstree=Object.freeze(Object.defineProperty({__proto__:null,default:$d$1,languages:nm$1,options:va,printers:rm},Symbol.toStringTag,{value:"Module"}));var al=Object.create,Ur=Object.defineProperty,ul=Object.getOwnPropertyDescriptor,ll=Object.getOwnPropertyNames,cl=Object.getPrototypeOf,fl=Object.prototype.hasOwnProperty,y=(Ra,qa)=>()=>(qa||Ra((qa={exports:{}}).exports,qa),qa.exports),Xs=(Ra,qa)=>{for(var Ja in qa)Ur(Ra,Ja,{get:qa[Ja],enumerable:!0})},pl=(Ra,qa,Ja,ed)=>{if(qa&&typeof qa=="object"||typeof qa=="function")for(let td of ll(qa))!fl.call(Ra,td)&&td!==Ja&&Ur(Ra,td,{get:()=>qa[td],enumerable:!(ed=ul(qa,td))||ed.enumerable});return Ra},ye=(Ra,qa,Ja)=>(Ja=Ra!=null?al(cl(Ra)):{},pl(Ur(Ja,"default",{value:Ra,enumerable:!0}),Ra)),Ut=y((Ra,qa)=>{qa.exports.isClean=Symbol("isClean"),qa.exports.my=Symbol("my")}),yi$1=y((Ra,qa)=>{var Ja=String,ed=function(){return{isColorSupported:!1,reset:Ja,bold:Ja,dim:Ja,italic:Ja,underline:Ja,inverse:Ja,hidden:Ja,strikethrough:Ja,black:Ja,red:Ja,green:Ja,yellow:Ja,blue:Ja,magenta:Ja,cyan:Ja,white:Ja,gray:Ja,bgBlack:Ja,bgRed:Ja,bgGreen:Ja,bgYellow:Ja,bgBlue:Ja,bgMagenta:Ja,bgCyan:Ja,bgWhite:Ja}};qa.exports=ed(),qa.exports.createColors=ed}),ss=y(()=>{}),Ft$1=y((Ra,qa)=>{var Ja=yi$1(),ed=ss(),td=class tS extends Error{constructor(sd,od,ld,cd,ud,_d){super(sd),this.name="CssSyntaxError",this.reason=sd,ud&&(this.file=ud),cd&&(this.source=cd),_d&&(this.plugin=_d),typeof od<"u"&&typeof ld<"u"&&(typeof od=="number"?(this.line=od,this.column=ld):(this.line=od.line,this.column=od.column,this.endLine=ld.line,this.endColumn=ld.column)),this.setMessage(),Error.captureStackTrace&&Error.captureStackTrace(this,tS)}setMessage(){this.message=this.plugin?this.plugin+": ":"",this.message+=this.file?this.file:"<css input>",typeof this.line<"u"&&(this.message+=":"+this.line+":"+this.column),this.message+=": "+this.reason}showSourceCode(sd){if(!this.source)return"";let od=this.source;sd==null&&(sd=Ja.isColorSupported),ed&&sd&&(od=ed(od));let ld=od.split(/\r?\n/),cd=Math.max(this.line-3,0),ud=Math.min(this.line+2,ld.length),_d=String(ud).length,yd,gd;if(sd){let{bold:Ed,gray:Td,red:kd}=Ja.createColors(!0);yd=Rd=>Ed(kd(Rd)),gd=Rd=>Td(Rd)}else yd=gd=Ed=>Ed;return ld.slice(cd,ud).map((Ed,Td)=>{let kd=cd+1+Td,Rd=" "+(" "+kd).slice(-_d)+" | ";if(kd===this.line){let Nd=gd(Rd.replace(/\d/g," "))+Ed.slice(0,this.column-1).replace(/[^\t]/g," ");return yd(">")+gd(Rd)+Ed+`
|
||
`+Nd+yd("^")}return" "+gd(Rd)+Ed}).join(`
|
||
`)}toString(){let sd=this.showSourceCode();return sd&&(sd=`
|
||
|
||
`+sd+`
|
||
`),this.name+": "+this.message+sd}};qa.exports=td,td.default=td}),$t$1=y((Ra,qa)=>{var Ja={after:`
|
||
`,beforeClose:`
|
||
`,beforeComment:`
|
||
`,beforeDecl:`
|
||
`,beforeOpen:" ",beforeRule:`
|
||
`,colon:": ",commentLeft:" ",commentRight:" ",emptyBody:"",indent:" ",semicolon:!1};function ed(rd){return rd[0].toUpperCase()+rd.slice(1)}var td=class{constructor(rd){this.builder=rd}atrule(rd,sd){let od="@"+rd.name,ld=rd.params?this.rawValue(rd,"params"):"";if(typeof rd.raws.afterName<"u"?od+=rd.raws.afterName:ld&&(od+=" "),rd.nodes)this.block(rd,od+ld);else{let cd=(rd.raws.between||"")+(sd?";":"");this.builder(od+ld+cd,rd)}}beforeAfter(rd,sd){let od;rd.type==="decl"?od=this.raw(rd,null,"beforeDecl"):rd.type==="comment"?od=this.raw(rd,null,"beforeComment"):sd==="before"?od=this.raw(rd,null,"beforeRule"):od=this.raw(rd,null,"beforeClose");let ld=rd.parent,cd=0;for(;ld&&ld.type!=="root";)cd+=1,ld=ld.parent;if(od.includes(`
|
||
`)){let ud=this.raw(rd,null,"indent");if(ud.length)for(let _d=0;_d<cd;_d++)od+=ud}return od}block(rd,sd){let od=this.raw(rd,"between","beforeOpen");this.builder(sd+od+"{",rd,"start");let ld;rd.nodes&&rd.nodes.length?(this.body(rd),ld=this.raw(rd,"after")):ld=this.raw(rd,"after","emptyBody"),ld&&this.builder(ld),this.builder("}",rd,"end")}body(rd){let sd=rd.nodes.length-1;for(;sd>0&&rd.nodes[sd].type==="comment";)sd-=1;let od=this.raw(rd,"semicolon");for(let ld=0;ld<rd.nodes.length;ld++){let cd=rd.nodes[ld],ud=this.raw(cd,"before");ud&&this.builder(ud),this.stringify(cd,sd!==ld||od)}}comment(rd){let sd=this.raw(rd,"left","commentLeft"),od=this.raw(rd,"right","commentRight");this.builder("/*"+sd+rd.text+od+"*/",rd)}decl(rd,sd){let od=this.raw(rd,"between","colon"),ld=rd.prop+od+this.rawValue(rd,"value");rd.important&&(ld+=rd.raws.important||" !important"),sd&&(ld+=";"),this.builder(ld,rd)}document(rd){this.body(rd)}raw(rd,sd,od){let ld;if(od||(od=sd),sd&&(ld=rd.raws[sd],typeof ld<"u"))return ld;let cd=rd.parent;if(od==="before"&&(!cd||cd.type==="root"&&cd.first===rd||cd&&cd.type==="document"))return"";if(!cd)return Ja[od];let ud=rd.root();if(ud.rawCache||(ud.rawCache={}),typeof ud.rawCache[od]<"u")return ud.rawCache[od];if(od==="before"||od==="after")return this.beforeAfter(rd,od);{let _d="raw"+ed(od);this[_d]?ld=this[_d](ud,rd):ud.walk(yd=>{if(ld=yd.raws[sd],typeof ld<"u")return!1})}return typeof ld>"u"&&(ld=Ja[od]),ud.rawCache[od]=ld,ld}rawBeforeClose(rd){let sd;return rd.walk(od=>{if(od.nodes&&od.nodes.length>0&&typeof od.raws.after<"u")return sd=od.raws.after,sd.includes(`
|
||
`)&&(sd=sd.replace(/[^\n]+$/,"")),!1}),sd&&(sd=sd.replace(/\S/g,"")),sd}rawBeforeComment(rd,sd){let od;return rd.walkComments(ld=>{if(typeof ld.raws.before<"u")return od=ld.raws.before,od.includes(`
|
||
`)&&(od=od.replace(/[^\n]+$/,"")),!1}),typeof od>"u"?od=this.raw(sd,null,"beforeDecl"):od&&(od=od.replace(/\S/g,"")),od}rawBeforeDecl(rd,sd){let od;return rd.walkDecls(ld=>{if(typeof ld.raws.before<"u")return od=ld.raws.before,od.includes(`
|
||
`)&&(od=od.replace(/[^\n]+$/,"")),!1}),typeof od>"u"?od=this.raw(sd,null,"beforeRule"):od&&(od=od.replace(/\S/g,"")),od}rawBeforeOpen(rd){let sd;return rd.walk(od=>{if(od.type!=="decl"&&(sd=od.raws.between,typeof sd<"u"))return!1}),sd}rawBeforeRule(rd){let sd;return rd.walk(od=>{if(od.nodes&&(od.parent!==rd||rd.first!==od)&&typeof od.raws.before<"u")return sd=od.raws.before,sd.includes(`
|
||
`)&&(sd=sd.replace(/[^\n]+$/,"")),!1}),sd&&(sd=sd.replace(/\S/g,"")),sd}rawColon(rd){let sd;return rd.walkDecls(od=>{if(typeof od.raws.between<"u")return sd=od.raws.between.replace(/[^\s:]/g,""),!1}),sd}rawEmptyBody(rd){let sd;return rd.walk(od=>{if(od.nodes&&od.nodes.length===0&&(sd=od.raws.after,typeof sd<"u"))return!1}),sd}rawIndent(rd){if(rd.raws.indent)return rd.raws.indent;let sd;return rd.walk(od=>{let ld=od.parent;if(ld&&ld!==rd&&ld.parent&&ld.parent===rd&&typeof od.raws.before<"u"){let cd=od.raws.before.split(`
|
||
`);return sd=cd[cd.length-1],sd=sd.replace(/\S/g,""),!1}}),sd}rawSemicolon(rd){let sd;return rd.walk(od=>{if(od.nodes&&od.nodes.length&&od.last.type==="decl"&&(sd=od.raws.semicolon,typeof sd<"u"))return!1}),sd}rawValue(rd,sd){let od=rd[sd],ld=rd.raws[sd];return ld&&ld.value===od?ld.raw:od}root(rd){this.body(rd),rd.raws.after&&this.builder(rd.raws.after)}rule(rd){this.block(rd,this.rawValue(rd,"selector")),rd.raws.ownSemicolon&&this.builder(rd.raws.ownSemicolon,rd,"end")}stringify(rd,sd){if(!this[rd.type])throw new Error("Unknown AST node type "+rd.type+". Maybe you need to change PostCSS stringifier.");this[rd.type](rd,sd)}};qa.exports=td,td.default=td}),it=y((Ra,qa)=>{var Ja=$t$1();function ed(td,rd){new Ja(rd).stringify(td)}qa.exports=ed,ed.default=ed}),at=y((Ra,qa)=>{var{isClean:Ja,my:ed}=Ut(),td=Ft$1(),rd=$t$1(),sd=it();function od(cd,ud){let _d=new cd.constructor;for(let yd in cd){if(!Object.prototype.hasOwnProperty.call(cd,yd)||yd==="proxyCache")continue;let gd=cd[yd],Ed=typeof gd;yd==="parent"&&Ed==="object"?ud&&(_d[yd]=ud):yd==="source"?_d[yd]=gd:Array.isArray(gd)?_d[yd]=gd.map(Td=>od(Td,_d)):(Ed==="object"&&gd!==null&&(gd=od(gd)),_d[yd]=gd)}return _d}var ld=class{constructor(cd={}){this.raws={},this[Ja]=!1,this[ed]=!0;for(let ud in cd)if(ud==="nodes"){this.nodes=[];for(let _d of cd[ud])typeof _d.clone=="function"?this.append(_d.clone()):this.append(_d)}else this[ud]=cd[ud]}addToError(cd){if(cd.postcssNode=this,cd.stack&&this.source&&/\n\s{4}at /.test(cd.stack)){let ud=this.source;cd.stack=cd.stack.replace(/\n\s{4}at /,`$&${ud.input.from}:${ud.start.line}:${ud.start.column}$&`)}return cd}after(cd){return this.parent.insertAfter(this,cd),this}assign(cd={}){for(let ud in cd)this[ud]=cd[ud];return this}before(cd){return this.parent.insertBefore(this,cd),this}cleanRaws(cd){delete this.raws.before,delete this.raws.after,cd||delete this.raws.between}clone(cd={}){let ud=od(this);for(let _d in cd)ud[_d]=cd[_d];return ud}cloneAfter(cd={}){let ud=this.clone(cd);return this.parent.insertAfter(this,ud),ud}cloneBefore(cd={}){let ud=this.clone(cd);return this.parent.insertBefore(this,ud),ud}error(cd,ud={}){if(this.source){let{end:_d,start:yd}=this.rangeBy(ud);return this.source.input.error(cd,{column:yd.column,line:yd.line},{column:_d.column,line:_d.line},ud)}return new td(cd)}getProxyProcessor(){return{get(cd,ud){return ud==="proxyOf"?cd:ud==="root"?()=>cd.root().toProxy():cd[ud]},set(cd,ud,_d){return cd[ud]===_d||(cd[ud]=_d,(ud==="prop"||ud==="value"||ud==="name"||ud==="params"||ud==="important"||ud==="text")&&cd.markDirty()),!0}}}markDirty(){if(this[Ja]){this[Ja]=!1;let cd=this;for(;cd=cd.parent;)cd[Ja]=!1}}next(){if(!this.parent)return;let cd=this.parent.index(this);return this.parent.nodes[cd+1]}positionBy(cd,ud){let _d=this.source.start;if(cd.index)_d=this.positionInside(cd.index,ud);else if(cd.word){ud=this.toString();let yd=ud.indexOf(cd.word);yd!==-1&&(_d=this.positionInside(yd,ud))}return _d}positionInside(cd,ud){let _d=ud||this.toString(),yd=this.source.start.column,gd=this.source.start.line;for(let Ed=0;Ed<cd;Ed++)_d[Ed]===`
|
||
`?(yd=1,gd+=1):yd+=1;return{column:yd,line:gd}}prev(){if(!this.parent)return;let cd=this.parent.index(this);return this.parent.nodes[cd-1]}rangeBy(cd){let ud={column:this.source.start.column,line:this.source.start.line},_d=this.source.end?{column:this.source.end.column+1,line:this.source.end.line}:{column:ud.column+1,line:ud.line};if(cd.word){let yd=this.toString(),gd=yd.indexOf(cd.word);gd!==-1&&(ud=this.positionInside(gd,yd),_d=this.positionInside(gd+cd.word.length,yd))}else cd.start?ud={column:cd.start.column,line:cd.start.line}:cd.index&&(ud=this.positionInside(cd.index)),cd.end?_d={column:cd.end.column,line:cd.end.line}:typeof cd.endIndex=="number"?_d=this.positionInside(cd.endIndex):cd.index&&(_d=this.positionInside(cd.index+1));return(_d.line<ud.line||_d.line===ud.line&&_d.column<=ud.column)&&(_d={column:ud.column+1,line:ud.line}),{end:_d,start:ud}}raw(cd,ud){return new rd().raw(this,cd,ud)}remove(){return this.parent&&this.parent.removeChild(this),this.parent=void 0,this}replaceWith(...cd){if(this.parent){let ud=this,_d=!1;for(let yd of cd)yd===this?_d=!0:_d?(this.parent.insertAfter(ud,yd),ud=yd):this.parent.insertBefore(ud,yd);_d||this.remove()}return this}root(){let cd=this;for(;cd.parent&&cd.parent.type!=="document";)cd=cd.parent;return cd}toJSON(cd,ud){let _d={},yd=ud==null;ud=ud||new Map;let gd=0;for(let Ed in this){if(!Object.prototype.hasOwnProperty.call(this,Ed)||Ed==="parent"||Ed==="proxyCache")continue;let Td=this[Ed];if(Array.isArray(Td))_d[Ed]=Td.map(kd=>typeof kd=="object"&&kd.toJSON?kd.toJSON(null,ud):kd);else if(typeof Td=="object"&&Td.toJSON)_d[Ed]=Td.toJSON(null,ud);else if(Ed==="source"){let kd=ud.get(Td.input);kd==null&&(kd=gd,ud.set(Td.input,gd),gd++),_d[Ed]={end:Td.end,inputId:kd,start:Td.start}}else _d[Ed]=Td}return yd&&(_d.inputs=[...ud.keys()].map(Ed=>Ed.toJSON())),_d}toProxy(){return this.proxyCache||(this.proxyCache=new Proxy(this,this.getProxyProcessor())),this.proxyCache}toString(cd=sd){cd.stringify&&(cd=cd.stringify);let ud="";return cd(this,_d=>{ud+=_d}),ud}warn(cd,ud,_d){let yd={node:this};for(let gd in _d)yd[gd]=_d[gd];return cd.warn(ud,yd)}get proxyOf(){return this}};qa.exports=ld,ld.default=ld}),lt=y((Ra,qa)=>{var Ja=at(),ed=class extends Ja{constructor(td){td&&typeof td.value<"u"&&typeof td.value!="string"&&(td={...td,value:String(td.value)}),super(td),this.type="decl"}get variable(){return this.prop.startsWith("--")||this.prop[0]==="$"}};qa.exports=ed,ed.default=ed}),Oe=y((Ra,qa)=>{var Ja=at(),ed=class extends Ja{constructor(td){super(td),this.type="comment"}};qa.exports=ed,ed.default=ed}),re=y((Ra,qa)=>{var{isClean:Ja,my:ed}=Ut(),td=lt(),rd=Oe(),sd=at(),od,ld,cd,ud;function _d(Ed){return Ed.map(Td=>(Td.nodes&&(Td.nodes=_d(Td.nodes)),delete Td.source,Td))}function yd(Ed){if(Ed[Ja]=!1,Ed.proxyOf.nodes)for(let Td of Ed.proxyOf.nodes)yd(Td)}var gd=class rS extends sd{append(...Td){for(let kd of Td){let Rd=this.normalize(kd,this.last);for(let Nd of Rd)this.proxyOf.nodes.push(Nd)}return this.markDirty(),this}cleanRaws(Td){if(super.cleanRaws(Td),this.nodes)for(let kd of this.nodes)kd.cleanRaws(Td)}each(Td){if(!this.proxyOf.nodes)return;let kd=this.getIterator(),Rd,Nd;for(;this.indexes[kd]<this.proxyOf.nodes.length&&(Rd=this.indexes[kd],Nd=Td(this.proxyOf.nodes[Rd],Rd),Nd!==!1);)this.indexes[kd]+=1;return delete this.indexes[kd],Nd}every(Td){return this.nodes.every(Td)}getIterator(){this.lastEach||(this.lastEach=0),this.indexes||(this.indexes={}),this.lastEach+=1;let Td=this.lastEach;return this.indexes[Td]=0,Td}getProxyProcessor(){return{get(Td,kd){return kd==="proxyOf"?Td:Td[kd]?kd==="each"||typeof kd=="string"&&kd.startsWith("walk")?(...Rd)=>Td[kd](...Rd.map(Nd=>typeof Nd=="function"?(Id,Md)=>Nd(Id.toProxy(),Md):Nd)):kd==="every"||kd==="some"?Rd=>Td[kd]((Nd,...Id)=>Rd(Nd.toProxy(),...Id)):kd==="root"?()=>Td.root().toProxy():kd==="nodes"?Td.nodes.map(Rd=>Rd.toProxy()):kd==="first"||kd==="last"?Td[kd].toProxy():Td[kd]:Td[kd]},set(Td,kd,Rd){return Td[kd]===Rd||(Td[kd]=Rd,(kd==="name"||kd==="params"||kd==="selector")&&Td.markDirty()),!0}}}index(Td){return typeof Td=="number"?Td:(Td.proxyOf&&(Td=Td.proxyOf),this.proxyOf.nodes.indexOf(Td))}insertAfter(Td,kd){let Rd=this.index(Td),Nd=this.normalize(kd,this.proxyOf.nodes[Rd]).reverse();Rd=this.index(Td);for(let Md of Nd)this.proxyOf.nodes.splice(Rd+1,0,Md);let Id;for(let Md in this.indexes)Id=this.indexes[Md],Rd<Id&&(this.indexes[Md]=Id+Nd.length);return this.markDirty(),this}insertBefore(Td,kd){let Rd=this.index(Td),Nd=Rd===0?"prepend":!1,Id=this.normalize(kd,this.proxyOf.nodes[Rd],Nd).reverse();Rd=this.index(Td);for(let Ld of Id)this.proxyOf.nodes.splice(Rd,0,Ld);let Md;for(let Ld in this.indexes)Md=this.indexes[Ld],Rd<=Md&&(this.indexes[Ld]=Md+Id.length);return this.markDirty(),this}normalize(Td,kd){if(typeof Td=="string")Td=_d(od(Td).nodes);else if(typeof Td>"u")Td=[];else if(Array.isArray(Td)){Td=Td.slice(0);for(let Rd of Td)Rd.parent&&Rd.parent.removeChild(Rd,"ignore")}else if(Td.type==="root"&&this.type!=="document"){Td=Td.nodes.slice(0);for(let Rd of Td)Rd.parent&&Rd.parent.removeChild(Rd,"ignore")}else if(Td.type)Td=[Td];else if(Td.prop){if(typeof Td.value>"u")throw new Error("Value field is missed in node creation");typeof Td.value!="string"&&(Td.value=String(Td.value)),Td=[new td(Td)]}else if(Td.selector)Td=[new ld(Td)];else if(Td.name)Td=[new cd(Td)];else if(Td.text)Td=[new rd(Td)];else throw new Error("Unknown node type in node creation");return Td.map(Rd=>(Rd[ed]||rS.rebuild(Rd),Rd=Rd.proxyOf,Rd.parent&&Rd.parent.removeChild(Rd),Rd[Ja]&&yd(Rd),typeof Rd.raws.before>"u"&&kd&&typeof kd.raws.before<"u"&&(Rd.raws.before=kd.raws.before.replace(/\S/g,"")),Rd.parent=this.proxyOf,Rd))}prepend(...Td){Td=Td.reverse();for(let kd of Td){let Rd=this.normalize(kd,this.first,"prepend").reverse();for(let Nd of Rd)this.proxyOf.nodes.unshift(Nd);for(let Nd in this.indexes)this.indexes[Nd]=this.indexes[Nd]+Rd.length}return this.markDirty(),this}push(Td){return Td.parent=this,this.proxyOf.nodes.push(Td),this}removeAll(){for(let Td of this.proxyOf.nodes)Td.parent=void 0;return this.proxyOf.nodes=[],this.markDirty(),this}removeChild(Td){Td=this.index(Td),this.proxyOf.nodes[Td].parent=void 0,this.proxyOf.nodes.splice(Td,1);let kd;for(let Rd in this.indexes)kd=this.indexes[Rd],kd>=Td&&(this.indexes[Rd]=kd-1);return this.markDirty(),this}replaceValues(Td,kd,Rd){return Rd||(Rd=kd,kd={}),this.walkDecls(Nd=>{kd.props&&!kd.props.includes(Nd.prop)||kd.fast&&!Nd.value.includes(kd.fast)||(Nd.value=Nd.value.replace(Td,Rd))}),this.markDirty(),this}some(Td){return this.nodes.some(Td)}walk(Td){return this.each((kd,Rd)=>{let Nd;try{Nd=Td(kd,Rd)}catch(Id){throw kd.addToError(Id)}return Nd!==!1&&kd.walk&&(Nd=kd.walk(Td)),Nd})}walkAtRules(Td,kd){return kd?Td instanceof RegExp?this.walk((Rd,Nd)=>{if(Rd.type==="atrule"&&Td.test(Rd.name))return kd(Rd,Nd)}):this.walk((Rd,Nd)=>{if(Rd.type==="atrule"&&Rd.name===Td)return kd(Rd,Nd)}):(kd=Td,this.walk((Rd,Nd)=>{if(Rd.type==="atrule")return kd(Rd,Nd)}))}walkComments(Td){return this.walk((kd,Rd)=>{if(kd.type==="comment")return Td(kd,Rd)})}walkDecls(Td,kd){return kd?Td instanceof RegExp?this.walk((Rd,Nd)=>{if(Rd.type==="decl"&&Td.test(Rd.prop))return kd(Rd,Nd)}):this.walk((Rd,Nd)=>{if(Rd.type==="decl"&&Rd.prop===Td)return kd(Rd,Nd)}):(kd=Td,this.walk((Rd,Nd)=>{if(Rd.type==="decl")return kd(Rd,Nd)}))}walkRules(Td,kd){return kd?Td instanceof RegExp?this.walk((Rd,Nd)=>{if(Rd.type==="rule"&&Td.test(Rd.selector))return kd(Rd,Nd)}):this.walk((Rd,Nd)=>{if(Rd.type==="rule"&&Rd.selector===Td)return kd(Rd,Nd)}):(kd=Td,this.walk((Rd,Nd)=>{if(Rd.type==="rule")return kd(Rd,Nd)}))}get first(){if(this.proxyOf.nodes)return this.proxyOf.nodes[0]}get last(){if(this.proxyOf.nodes)return this.proxyOf.nodes[this.proxyOf.nodes.length-1]}};gd.registerParse=Ed=>{od=Ed},gd.registerRule=Ed=>{ld=Ed},gd.registerAtRule=Ed=>{cd=Ed},gd.registerRoot=Ed=>{ud=Ed},qa.exports=gd,gd.default=gd,gd.rebuild=Ed=>{Ed.type==="atrule"?Object.setPrototypeOf(Ed,cd.prototype):Ed.type==="rule"?Object.setPrototypeOf(Ed,ld.prototype):Ed.type==="decl"?Object.setPrototypeOf(Ed,td.prototype):Ed.type==="comment"?Object.setPrototypeOf(Ed,rd.prototype):Ed.type==="root"&&Object.setPrototypeOf(Ed,ud.prototype),Ed[ed]=!0,Ed.nodes&&Ed.nodes.forEach(Td=>{gd.rebuild(Td)})}}),Vt=y((Ra,qa)=>{var Ja=/[\t\n\f\r "#'()/;[\\\]{}]/g,ed=/[\t\n\f\r !"#'():;@[\\\]{}]|\/(?=\*)/g,td=/.[\r\n"'(/\\]/,rd=/[\da-f]/i;qa.exports=function(sd,od={}){let ld=sd.css.valueOf(),cd=od.ignoreErrors,ud,_d,yd,gd,Ed,Td,kd,Rd,Nd,Id,Md=ld.length,Ld=0,Pd=[],qd=[];function Yd(){return Ld}function Ud(Zd){throw sd.error("Unclosed "+Zd,Ld)}function Hd(){return qd.length===0&&Ld>=Md}function Vd(Zd){if(qd.length)return qd.pop();if(Ld>=Md)return;let pf=Zd?Zd.ignoreUnclosed:!1;switch(ud=ld.charCodeAt(Ld),ud){case 10:case 32:case 9:case 13:case 12:{_d=Ld;do _d+=1,ud=ld.charCodeAt(_d);while(ud===32||ud===10||ud===9||ud===13||ud===12);Id=["space",ld.slice(Ld,_d)],Ld=_d-1;break}case 91:case 93:case 123:case 125:case 58:case 59:case 41:{let Xd=String.fromCharCode(ud);Id=[Xd,Xd,Ld];break}case 40:{if(Rd=Pd.length?Pd.pop()[1]:"",Nd=ld.charCodeAt(Ld+1),Rd==="url"&&Nd!==39&&Nd!==34&&Nd!==32&&Nd!==10&&Nd!==9&&Nd!==12&&Nd!==13){_d=Ld;do{if(Td=!1,_d=ld.indexOf(")",_d+1),_d===-1)if(cd||pf){_d=Ld;break}else Ud("bracket");for(kd=_d;ld.charCodeAt(kd-1)===92;)kd-=1,Td=!Td}while(Td);Id=["brackets",ld.slice(Ld,_d+1),Ld,_d],Ld=_d}else _d=ld.indexOf(")",Ld+1),gd=ld.slice(Ld,_d+1),_d===-1||td.test(gd)?Id=["(","(",Ld]:(Id=["brackets",gd,Ld,_d],Ld=_d);break}case 39:case 34:{yd=ud===39?"'":'"',_d=Ld;do{if(Td=!1,_d=ld.indexOf(yd,_d+1),_d===-1)if(cd||pf){_d=Ld+1;break}else Ud("string");for(kd=_d;ld.charCodeAt(kd-1)===92;)kd-=1,Td=!Td}while(Td);Id=["string",ld.slice(Ld,_d+1),Ld,_d],Ld=_d;break}case 64:{Ja.lastIndex=Ld+1,Ja.test(ld),Ja.lastIndex===0?_d=ld.length-1:_d=Ja.lastIndex-2,Id=["at-word",ld.slice(Ld,_d+1),Ld,_d],Ld=_d;break}case 92:{for(_d=Ld,Ed=!0;ld.charCodeAt(_d+1)===92;)_d+=1,Ed=!Ed;if(ud=ld.charCodeAt(_d+1),Ed&&ud!==47&&ud!==32&&ud!==10&&ud!==9&&ud!==13&&ud!==12&&(_d+=1,rd.test(ld.charAt(_d)))){for(;rd.test(ld.charAt(_d+1));)_d+=1;ld.charCodeAt(_d+1)===32&&(_d+=1)}Id=["word",ld.slice(Ld,_d+1),Ld,_d],Ld=_d;break}default:{ud===47&&ld.charCodeAt(Ld+1)===42?(_d=ld.indexOf("*/",Ld+2)+1,_d===0&&(cd||pf?_d=ld.length:Ud("comment")),Id=["comment",ld.slice(Ld,_d+1),Ld,_d],Ld=_d):(ed.lastIndex=Ld+1,ed.test(ld),ed.lastIndex===0?_d=ld.length-1:_d=ed.lastIndex-2,Id=["word",ld.slice(Ld,_d+1),Ld,_d],Pd.push(Id),Ld=_d);break}}return Ld++,Id}function Jd(Zd){qd.push(Zd)}return{back:Jd,endOfFile:Hd,nextToken:Vd,position:Yd}}}),Gt$1=y((Ra,qa)=>{var Ja=re(),ed=class extends Ja{constructor(td){super(td),this.type="atrule"}append(...td){return this.proxyOf.nodes||(this.nodes=[]),super.append(...td)}prepend(...td){return this.proxyOf.nodes||(this.nodes=[]),super.prepend(...td)}};qa.exports=ed,ed.default=ed,Ja.registerAtRule(ed)}),Ae=y((Ra,qa)=>{var Ja=re(),ed,td,rd=class extends Ja{constructor(sd){super(sd),this.type="root",this.nodes||(this.nodes=[])}normalize(sd,od,ld){let cd=super.normalize(sd);if(od){if(ld==="prepend")this.nodes.length>1?od.raws.before=this.nodes[1].raws.before:delete od.raws.before;else if(this.first!==od)for(let ud of cd)ud.raws.before=od.raws.before}return cd}removeChild(sd,od){let ld=this.index(sd);return!od&&ld===0&&this.nodes.length>1&&(this.nodes[1].raws.before=this.nodes[ld].raws.before),super.removeChild(sd)}toResult(sd={}){return new ed(new td,this,sd).stringify()}};rd.registerLazyResult=sd=>{ed=sd},rd.registerProcessor=sd=>{td=sd},qa.exports=rd,rd.default=rd,Ja.registerRoot(rd)}),us=y((Ra,qa)=>{var Ja={comma(ed){return Ja.split(ed,[","],!0)},space(ed){let td=[" ",`
|
||
`," "];return Ja.split(ed,td)},split(ed,td,rd){let sd=[],od="",ld=!1,cd=0,ud=!1,_d="",yd=!1;for(let gd of ed)yd?yd=!1:gd==="\\"?yd=!0:ud?gd===_d&&(ud=!1):gd==='"'||gd==="'"?(ud=!0,_d=gd):gd==="("?cd+=1:gd===")"?cd>0&&(cd-=1):cd===0&&td.includes(gd)&&(ld=!0),ld?(od!==""&&sd.push(od.trim()),od="",ld=!1):od+=gd;return(rd||od!=="")&&sd.push(od.trim()),sd}};qa.exports=Ja,Ja.default=Ja}),jt=y((Ra,qa)=>{var Ja=re(),ed=us(),td=class extends Ja{constructor(rd){super(rd),this.type="rule",this.nodes||(this.nodes=[])}get selectors(){return ed.comma(this.selector)}set selectors(rd){let sd=this.selector?this.selector.match(/,\s*/):null,od=sd?sd[0]:","+this.raw("between","beforeOpen");this.selector=rd.join(od)}};qa.exports=td,td.default=td,Ja.registerRule(td)}),Ht=y((Ra,qa)=>{var Ja=lt(),ed=Vt(),td=Oe(),rd=Gt$1(),sd=Ae(),od=jt(),ld={empty:!0,space:!0};function cd(_d){for(let yd=_d.length-1;yd>=0;yd--){let gd=_d[yd],Ed=gd[3]||gd[2];if(Ed)return Ed}}var ud=class{constructor(_d){this.input=_d,this.root=new sd,this.current=this.root,this.spaces="",this.semicolon=!1,this.createTokenizer(),this.root.source={input:_d,start:{column:1,line:1,offset:0}}}atrule(_d){let yd=new rd;yd.name=_d[1].slice(1),yd.name===""&&this.unnamedAtrule(yd,_d),this.init(yd,_d[2]);let gd,Ed,Td,kd=!1,Rd=!1,Nd=[],Id=[];for(;!this.tokenizer.endOfFile();){if(_d=this.tokenizer.nextToken(),gd=_d[0],gd==="("||gd==="["?Id.push(gd==="("?")":"]"):gd==="{"&&Id.length>0?Id.push("}"):gd===Id[Id.length-1]&&Id.pop(),Id.length===0)if(gd===";"){yd.source.end=this.getPosition(_d[2]),yd.source.end.offset++,this.semicolon=!0;break}else if(gd==="{"){Rd=!0;break}else if(gd==="}"){if(Nd.length>0){for(Td=Nd.length-1,Ed=Nd[Td];Ed&&Ed[0]==="space";)Ed=Nd[--Td];Ed&&(yd.source.end=this.getPosition(Ed[3]||Ed[2]),yd.source.end.offset++)}this.end(_d);break}else Nd.push(_d);else Nd.push(_d);if(this.tokenizer.endOfFile()){kd=!0;break}}yd.raws.between=this.spacesAndCommentsFromEnd(Nd),Nd.length?(yd.raws.afterName=this.spacesAndCommentsFromStart(Nd),this.raw(yd,"params",Nd),kd&&(_d=Nd[Nd.length-1],yd.source.end=this.getPosition(_d[3]||_d[2]),yd.source.end.offset++,this.spaces=yd.raws.between,yd.raws.between="")):(yd.raws.afterName="",yd.params=""),Rd&&(yd.nodes=[],this.current=yd)}checkMissedSemicolon(_d){let yd=this.colon(_d);if(yd===!1)return;let gd=0,Ed;for(let Td=yd-1;Td>=0&&(Ed=_d[Td],!(Ed[0]!=="space"&&(gd+=1,gd===2)));Td--);throw this.input.error("Missed semicolon",Ed[0]==="word"?Ed[3]+1:Ed[2])}colon(_d){let yd=0,gd,Ed,Td;for(let[kd,Rd]of _d.entries()){if(gd=Rd,Ed=gd[0],Ed==="("&&(yd+=1),Ed===")"&&(yd-=1),yd===0&&Ed===":")if(!Td)this.doubleColon(gd);else{if(Td[0]==="word"&&Td[1]==="progid")continue;return kd}Td=gd}return!1}comment(_d){let yd=new td;this.init(yd,_d[2]),yd.source.end=this.getPosition(_d[3]||_d[2]),yd.source.end.offset++;let gd=_d[1].slice(2,-2);if(/^\s*$/.test(gd))yd.text="",yd.raws.left=gd,yd.raws.right="";else{let Ed=gd.match(/^(\s*)([^]*\S)(\s*)$/);yd.text=Ed[2],yd.raws.left=Ed[1],yd.raws.right=Ed[3]}}createTokenizer(){this.tokenizer=ed(this.input)}decl(_d,yd){let gd=new Ja;this.init(gd,_d[0][2]);let Ed=_d[_d.length-1];for(Ed[0]===";"&&(this.semicolon=!0,_d.pop()),gd.source.end=this.getPosition(Ed[3]||Ed[2]||cd(_d)),gd.source.end.offset++;_d[0][0]!=="word";)_d.length===1&&this.unknownWord(_d),gd.raws.before+=_d.shift()[1];for(gd.source.start=this.getPosition(_d[0][2]),gd.prop="";_d.length;){let Nd=_d[0][0];if(Nd===":"||Nd==="space"||Nd==="comment")break;gd.prop+=_d.shift()[1]}gd.raws.between="";let Td;for(;_d.length;)if(Td=_d.shift(),Td[0]===":"){gd.raws.between+=Td[1];break}else Td[0]==="word"&&/\w/.test(Td[1])&&this.unknownWord([Td]),gd.raws.between+=Td[1];(gd.prop[0]==="_"||gd.prop[0]==="*")&&(gd.raws.before+=gd.prop[0],gd.prop=gd.prop.slice(1));let kd=[],Rd;for(;_d.length&&(Rd=_d[0][0],!(Rd!=="space"&&Rd!=="comment"));)kd.push(_d.shift());this.precheckMissedSemicolon(_d);for(let Nd=_d.length-1;Nd>=0;Nd--){if(Td=_d[Nd],Td[1].toLowerCase()==="!important"){gd.important=!0;let Id=this.stringFrom(_d,Nd);Id=this.spacesFromEnd(_d)+Id,Id!==" !important"&&(gd.raws.important=Id);break}else if(Td[1].toLowerCase()==="important"){let Id=_d.slice(0),Md="";for(let Ld=Nd;Ld>0;Ld--){let Pd=Id[Ld][0];if(Md.trim().indexOf("!")===0&&Pd!=="space")break;Md=Id.pop()[1]+Md}Md.trim().indexOf("!")===0&&(gd.important=!0,gd.raws.important=Md,_d=Id)}if(Td[0]!=="space"&&Td[0]!=="comment")break}_d.some(Nd=>Nd[0]!=="space"&&Nd[0]!=="comment")&&(gd.raws.between+=kd.map(Nd=>Nd[1]).join(""),kd=[]),this.raw(gd,"value",kd.concat(_d),yd),gd.value.includes(":")&&!yd&&this.checkMissedSemicolon(_d)}doubleColon(_d){throw this.input.error("Double colon",{offset:_d[2]},{offset:_d[2]+_d[1].length})}emptyRule(_d){let yd=new od;this.init(yd,_d[2]),yd.selector="",yd.raws.between="",this.current=yd}end(_d){this.current.nodes&&this.current.nodes.length&&(this.current.raws.semicolon=this.semicolon),this.semicolon=!1,this.current.raws.after=(this.current.raws.after||"")+this.spaces,this.spaces="",this.current.parent?(this.current.source.end=this.getPosition(_d[2]),this.current.source.end.offset++,this.current=this.current.parent):this.unexpectedClose(_d)}endFile(){this.current.parent&&this.unclosedBlock(),this.current.nodes&&this.current.nodes.length&&(this.current.raws.semicolon=this.semicolon),this.current.raws.after=(this.current.raws.after||"")+this.spaces,this.root.source.end=this.getPosition(this.tokenizer.position())}freeSemicolon(_d){if(this.spaces+=_d[1],this.current.nodes){let yd=this.current.nodes[this.current.nodes.length-1];yd&&yd.type==="rule"&&!yd.raws.ownSemicolon&&(yd.raws.ownSemicolon=this.spaces,this.spaces="")}}getPosition(_d){let yd=this.input.fromOffset(_d);return{column:yd.col,line:yd.line,offset:_d}}init(_d,yd){this.current.push(_d),_d.source={input:this.input,start:this.getPosition(yd)},_d.raws.before=this.spaces,this.spaces="",_d.type!=="comment"&&(this.semicolon=!1)}other(_d){let yd=!1,gd=null,Ed=!1,Td=null,kd=[],Rd=_d[1].startsWith("--"),Nd=[],Id=_d;for(;Id;){if(gd=Id[0],Nd.push(Id),gd==="("||gd==="[")Td||(Td=Id),kd.push(gd==="("?")":"]");else if(Rd&&Ed&&gd==="{")Td||(Td=Id),kd.push("}");else if(kd.length===0)if(gd===";")if(Ed){this.decl(Nd,Rd);return}else break;else if(gd==="{"){this.rule(Nd);return}else if(gd==="}"){this.tokenizer.back(Nd.pop()),yd=!0;break}else gd===":"&&(Ed=!0);else gd===kd[kd.length-1]&&(kd.pop(),kd.length===0&&(Td=null));Id=this.tokenizer.nextToken()}if(this.tokenizer.endOfFile()&&(yd=!0),kd.length>0&&this.unclosedBracket(Td),yd&&Ed){if(!Rd)for(;Nd.length&&(Id=Nd[Nd.length-1][0],!(Id!=="space"&&Id!=="comment"));)this.tokenizer.back(Nd.pop());this.decl(Nd,Rd)}else this.unknownWord(Nd)}parse(){let _d;for(;!this.tokenizer.endOfFile();)switch(_d=this.tokenizer.nextToken(),_d[0]){case"space":this.spaces+=_d[1];break;case";":this.freeSemicolon(_d);break;case"}":this.end(_d);break;case"comment":this.comment(_d);break;case"at-word":this.atrule(_d);break;case"{":this.emptyRule(_d);break;default:this.other(_d);break}this.endFile()}precheckMissedSemicolon(){}raw(_d,yd,gd,Ed){let Td,kd,Rd=gd.length,Nd="",Id=!0,Md,Ld;for(let Pd=0;Pd<Rd;Pd+=1)Td=gd[Pd],kd=Td[0],kd==="space"&&Pd===Rd-1&&!Ed?Id=!1:kd==="comment"?(Ld=gd[Pd-1]?gd[Pd-1][0]:"empty",Md=gd[Pd+1]?gd[Pd+1][0]:"empty",!ld[Ld]&&!ld[Md]?Nd.slice(-1)===","?Id=!1:Nd+=Td[1]:Id=!1):Nd+=Td[1];if(!Id){let Pd=gd.reduce((qd,Yd)=>qd+Yd[1],"");_d.raws[yd]={raw:Pd,value:Nd}}_d[yd]=Nd}rule(_d){_d.pop();let yd=new od;this.init(yd,_d[0][2]),yd.raws.between=this.spacesAndCommentsFromEnd(_d),this.raw(yd,"selector",_d),this.current=yd}spacesAndCommentsFromEnd(_d){let yd,gd="";for(;_d.length&&(yd=_d[_d.length-1][0],!(yd!=="space"&&yd!=="comment"));)gd=_d.pop()[1]+gd;return gd}spacesAndCommentsFromStart(_d){let yd,gd="";for(;_d.length&&(yd=_d[0][0],!(yd!=="space"&&yd!=="comment"));)gd+=_d.shift()[1];return gd}spacesFromEnd(_d){let yd,gd="";for(;_d.length&&(yd=_d[_d.length-1][0],yd==="space");)gd=_d.pop()[1]+gd;return gd}stringFrom(_d,yd){let gd="";for(let Ed=yd;Ed<_d.length;Ed++)gd+=_d[Ed][1];return _d.splice(yd,_d.length-yd),gd}unclosedBlock(){let _d=this.current.source.start;throw this.input.error("Unclosed block",_d.line,_d.column)}unclosedBracket(_d){throw this.input.error("Unclosed bracket",{offset:_d[2]},{offset:_d[2]+1})}unexpectedClose(_d){throw this.input.error("Unexpected }",{offset:_d[2]},{offset:_d[2]+1})}unknownWord(_d){throw this.input.error("Unknown word",{offset:_d[0][2]},{offset:_d[0][2]+_d[0][1].length})}unnamedAtrule(_d,yd){throw this.input.error("At-rule without name",{offset:yd[2]},{offset:yd[2]+yd[1].length})}};qa.exports=ud}),Ki=y(()=>{}),Ji$1=y((Ra,qa)=>{var Ja="useandom-26T198340PX75pxJACKVERYMINDBUSHWOLF_GQZbfghjklqvwyzrict",ed=(rd,sd=21)=>(od=sd)=>{let ld="",cd=od;for(;cd--;)ld+=rd[Math.random()*rd.length|0];return ld},td=(rd=21)=>{let sd="",od=rd;for(;od--;)sd+=Ja[Math.random()*64|0];return sd};qa.exports={nanoid:td,customAlphabet:ed}}),cs=y((Ra,qa)=>{qa.exports=class{}}),Re$1=y((Ra,qa)=>{var{SourceMapConsumer:Ja,SourceMapGenerator:ed}=Ki(),{fileURLToPath:td,pathToFileURL:rd}={},{isAbsolute:sd,resolve:od}={},{nanoid:ld}=Ji$1(),cd=ss(),ud=Ft$1(),_d=cs(),yd=Symbol("fromOffsetCache"),gd=!!(Ja&&ed),Ed=!!(od&&sd),Td=class{constructor(kd,Rd={}){if(kd===null||typeof kd>"u"||typeof kd=="object"&&!kd.toString)throw new Error(`PostCSS received ${kd} instead of CSS string`);if(this.css=kd.toString(),this.css[0]==="\uFEFF"||this.css[0]===""?(this.hasBOM=!0,this.css=this.css.slice(1)):this.hasBOM=!1,Rd.from&&(!Ed||/^\w+:\/\//.test(Rd.from)||sd(Rd.from)?this.file=Rd.from:this.file=od(Rd.from)),Ed&&gd){let Nd=new _d(this.css,Rd);if(Nd.text){this.map=Nd;let Id=Nd.consumer().file;!this.file&&Id&&(this.file=this.mapResolve(Id))}}this.file||(this.id="<input css "+ld(6)+">"),this.map&&(this.map.file=this.from)}error(kd,Rd,Nd,Id={}){let Md,Ld,Pd;if(Rd&&typeof Rd=="object"){let Yd=Rd,Ud=Nd;if(typeof Yd.offset=="number"){let Hd=this.fromOffset(Yd.offset);Rd=Hd.line,Nd=Hd.col}else Rd=Yd.line,Nd=Yd.column;if(typeof Ud.offset=="number"){let Hd=this.fromOffset(Ud.offset);Ld=Hd.line,Pd=Hd.col}else Ld=Ud.line,Pd=Ud.column}else if(!Nd){let Yd=this.fromOffset(Rd);Rd=Yd.line,Nd=Yd.col}let qd=this.origin(Rd,Nd,Ld,Pd);return qd?Md=new ud(kd,qd.endLine===void 0?qd.line:{column:qd.column,line:qd.line},qd.endLine===void 0?qd.column:{column:qd.endColumn,line:qd.endLine},qd.source,qd.file,Id.plugin):Md=new ud(kd,Ld===void 0?Rd:{column:Nd,line:Rd},Ld===void 0?Nd:{column:Pd,line:Ld},this.css,this.file,Id.plugin),Md.input={column:Nd,endColumn:Pd,endLine:Ld,line:Rd,source:this.css},this.file&&(rd&&(Md.input.url=rd(this.file).toString()),Md.input.file=this.file),Md}fromOffset(kd){let Rd,Nd;if(this[yd])Nd=this[yd];else{let Md=this.css.split(`
|
||
`);Nd=new Array(Md.length);let Ld=0;for(let Pd=0,qd=Md.length;Pd<qd;Pd++)Nd[Pd]=Ld,Ld+=Md[Pd].length+1;this[yd]=Nd}Rd=Nd[Nd.length-1];let Id=0;if(kd>=Rd)Id=Nd.length-1;else{let Md=Nd.length-2,Ld;for(;Id<Md;)if(Ld=Id+(Md-Id>>1),kd<Nd[Ld])Md=Ld-1;else if(kd>=Nd[Ld+1])Id=Ld+1;else{Id=Ld;break}}return{col:kd-Nd[Id]+1,line:Id+1}}mapResolve(kd){return/^\w+:\/\//.test(kd)?kd:od(this.map.consumer().sourceRoot||this.map.root||".",kd)}origin(kd,Rd,Nd,Id){if(!this.map)return!1;let Md=this.map.consumer(),Ld=Md.originalPositionFor({column:Rd,line:kd});if(!Ld.source)return!1;let Pd;typeof Nd=="number"&&(Pd=Md.originalPositionFor({column:Id,line:Nd}));let qd;sd(Ld.source)?qd=rd(Ld.source):qd=new URL(Ld.source,this.map.consumer().sourceRoot||rd(this.map.mapFile));let Yd={column:Ld.column,endColumn:Pd&&Pd.column,endLine:Pd&&Pd.line,line:Ld.line,url:qd.toString()};if(qd.protocol==="file:")if(td)Yd.file=td(qd);else throw new Error("file: protocol is not available in this PostCSS build");let Ud=Md.sourceContentFor(Ld.source);return Ud&&(Yd.source=Ud),Yd}toJSON(){let kd={};for(let Rd of["hasBOM","css","file","id"])this[Rd]!=null&&(kd[Rd]=this[Rd]);return this.map&&(kd.map={...this.map},kd.map.consumerCache&&(kd.map.consumerCache=void 0)),kd}get from(){return this.file||this.id}};qa.exports=Td,Td.default=Td,cd&&cd.registerInput&&cd.registerInput(Td)}),pt=y((Ra,qa)=>{var Ja=re(),ed=Ht(),td=Re$1();function rd(sd,od){let ld=new td(sd,od),cd=new ed(ld);try{cd.parse()}catch(ud){throw ud}return cd.root}qa.exports=rd,rd.default=rd,Ja.registerParse(rd)}),no=y((Ra,qa)=>{var Ja=Vt(),ed=Re$1();qa.exports={isInlineComment(td){if(td[0]==="word"&&td[1].slice(0,2)==="//"){let rd=td,sd=[],od,ld;for(;td;){if(/\r?\n/.test(td[1])){if(/['"].*\r?\n/.test(td[1])){sd.push(td[1].substring(0,td[1].indexOf(`
|
||
`))),ld=td[1].substring(td[1].indexOf(`
|
||
`));let ud=this.input.css.valueOf().substring(this.tokenizer.position());ld+=ud,od=td[3]+ud.length-ld.length}else this.tokenizer.back(td);break}sd.push(td[1]),od=td[2],td=this.tokenizer.nextToken({ignoreUnclosed:!0})}let cd=["comment",sd.join(""),rd[2],od];return this.inlineComment(cd),ld&&(this.input=new ed(ld),this.tokenizer=Ja(this.input)),!0}else if(td[1]==="/"){let rd=this.tokenizer.nextToken({ignoreUnclosed:!0});if(rd[0]==="comment"&&/^\/\*/.test(rd[1]))return rd[0]="word",rd[1]=rd[1].slice(1),td[1]="//",this.tokenizer.back(rd),qa.exports.isInlineComment.bind(this)(td)}return!1}}}),oo$1=y((Ra,qa)=>{qa.exports={interpolation(Ja){let ed=[Ja,this.tokenizer.nextToken()],td=["word","}"];if(ed[0][1].length>1||ed[1][0]!=="{")return this.tokenizer.back(ed[1]),!1;for(Ja=this.tokenizer.nextToken();Ja&&td.includes(Ja[0]);)ed.push(Ja),Ja=this.tokenizer.nextToken();let rd=ed.map(cd=>cd[1]),[sd]=ed,od=ed.pop(),ld=["word",rd.join(""),sd[2],od[2]];return this.tokenizer.back(Ja),this.tokenizer.back(ld),!0}}}),uo$1=y((Ra,qa)=>{var Ja=/^#[0-9a-fA-F]{6}$|^#[0-9a-fA-F]{3}$/,ed=/\.[0-9]/,td=rd=>{let[,sd]=rd,[od]=sd;return(od==="."||od==="#")&&Ja.test(sd)===!1&&ed.test(sd)===!1};qa.exports={isMixinToken:td}}),co=y((Ra,qa)=>{var Ja=Vt(),ed=/^url\((.+)\)/;qa.exports=td=>{let{name:rd,params:sd=""}=td;if(rd==="import"&&sd.length){td.import=!0;let od=Ja({css:sd});for(td.filename=sd.replace(ed,"$1");!od.endOfFile();){let[ld,cd]=od.nextToken();if(ld==="word"&&cd==="url")return;if(ld==="brackets"){td.options=cd,td.filename=sd.replace(cd,"").trim();break}}}}}),mo$1=y((Ra,qa)=>{var Ja=/:$/,ed=/^:(\s+)?/;qa.exports=td=>{let{name:rd,params:sd=""}=td;if(td.name.slice(-1)===":"){if(Ja.test(rd)){let[od]=rd.match(Ja);td.name=rd.replace(od,""),td.raws.afterName=od+(td.raws.afterName||""),td.variable=!0,td.value=td.params}if(ed.test(sd)){let[od]=sd.match(ed);td.value=sd.replace(od,""),td.raws.afterName=(td.raws.afterName||"")+od,td.variable=!0}}}}),go$1=y((Ra,qa)=>{var Ja=Oe(),ed=Ht(),{isInlineComment:td}=no(),{interpolation:rd}=oo$1(),{isMixinToken:sd}=uo$1(),od=co(),ld=mo$1(),cd=/(!\s*important)$/i;qa.exports=class extends ed{constructor(...ud){super(...ud),this.lastNode=null}atrule(ud){rd.bind(this)(ud)||(super.atrule(ud),od(this.lastNode),ld(this.lastNode))}decl(...ud){super.decl(...ud),/extend\(.+\)/i.test(this.lastNode.value)&&(this.lastNode.extend=!0)}each(ud){ud[0][1]=` ${ud[0][1]}`;let _d=ud.findIndex(Td=>Td[0]==="("),yd=ud.reverse().find(Td=>Td[0]===")"),gd=ud.reverse().indexOf(yd),Ed=ud.splice(_d,gd).map(Td=>Td[1]).join("");for(let Td of ud.reverse())this.tokenizer.back(Td);this.atrule(this.tokenizer.nextToken()),this.lastNode.function=!0,this.lastNode.params=Ed}init(ud,_d,yd){super.init(ud,_d,yd),this.lastNode=ud}inlineComment(ud){let _d=new Ja,yd=ud[1].slice(2);if(this.init(_d,ud[2]),_d.source.end=this.getPosition(ud[3]||ud[2]),_d.inline=!0,_d.raws.begin="//",/^\s*$/.test(yd))_d.text="",_d.raws.left=yd,_d.raws.right="";else{let gd=yd.match(/^(\s*)([^]*[^\s])(\s*)$/);[,_d.raws.left,_d.text,_d.raws.right]=gd}}mixin(ud){let[_d]=ud,yd=_d[1].slice(0,1),gd=ud.findIndex(Nd=>Nd[0]==="brackets"),Ed=ud.findIndex(Nd=>Nd[0]==="("),Td="";if((gd<0||gd>3)&&Ed>0){let Nd=ud.reduce((Vd,Jd,Zd)=>Jd[0]===")"?Zd:Vd),Id=ud.slice(Ed,Nd+Ed).map(Vd=>Vd[1]).join(""),[Md]=ud.slice(Ed),Ld=[Md[2],Md[3]],[Pd]=ud.slice(Nd,Nd+1),qd=[Pd[2],Pd[3]],Yd=["brackets",Id].concat(Ld,qd),Ud=ud.slice(0,Ed),Hd=ud.slice(Nd+1);ud=Ud,ud.push(Yd),ud=ud.concat(Hd)}let kd=[];for(let Nd of ud)if((Nd[1]==="!"||kd.length)&&kd.push(Nd),Nd[1]==="important")break;if(kd.length){let[Nd]=kd,Id=ud.indexOf(Nd),Md=kd[kd.length-1],Ld=[Nd[2],Nd[3]],Pd=[Md[4],Md[5]],qd=["word",kd.map(Yd=>Yd[1]).join("")].concat(Ld,Pd);ud.splice(Id,kd.length,qd)}let Rd=ud.findIndex(Nd=>cd.test(Nd[1]));Rd>0&&([,Td]=ud[Rd],ud.splice(Rd,1));for(let Nd of ud.reverse())this.tokenizer.back(Nd);this.atrule(this.tokenizer.nextToken()),this.lastNode.mixin=!0,this.lastNode.raws.identifier=yd,Td&&(this.lastNode.important=!0,this.lastNode.raws.important=Td)}other(ud){td.bind(this)(ud)||super.other(ud)}rule(ud){let _d=ud[ud.length-1],yd=ud[ud.length-2];if(yd[0]==="at-word"&&_d[0]==="{"&&(this.tokenizer.back(_d),rd.bind(this)(yd))){let gd=this.tokenizer.nextToken();ud=ud.slice(0,ud.length-2).concat([gd]);for(let Ed of ud.reverse())this.tokenizer.back(Ed);return}super.rule(ud),/:extend\(.+\)/i.test(this.lastNode.selector)&&(this.lastNode.extend=!0)}unknownWord(ud){let[_d]=ud;if(ud[0][1]==="each"&&ud[1][0]==="("){this.each(ud);return}if(sd(_d)){this.mixin(ud);return}super.unknownWord(ud)}}}),xo=y((Ra,qa)=>{var Ja=$t$1();qa.exports=class extends Ja{atrule(ed,td){if(!ed.mixin&&!ed.variable&&!ed.function){super.atrule(ed,td);return}let rd=`${ed.function?"":ed.raws.identifier||"@"}${ed.name}`,sd=ed.params?this.rawValue(ed,"params"):"",od=ed.raws.important||"";if(ed.variable&&(sd=ed.value),typeof ed.raws.afterName<"u"?rd+=ed.raws.afterName:sd&&(rd+=" "),ed.nodes)this.block(ed,rd+sd+od);else{let ld=(ed.raws.between||"")+od+(td?";":"");this.builder(rd+sd+ld,ed)}}comment(ed){if(ed.inline){let td=this.raw(ed,"left","commentLeft"),rd=this.raw(ed,"right","commentRight");this.builder(`//${td}${ed.text}${rd}`,ed)}else super.comment(ed)}}}),bo=y((Ra,qa)=>{var Ja=Re$1(),ed=go$1(),td=xo();qa.exports={parse(rd,sd){let od=new Ja(rd,sd),ld=new ed(od);return ld.parse(),ld.root.walk(cd=>{let ud=od.css.lastIndexOf(cd.source.input.css);if(ud===0)return;if(ud+cd.source.input.css.length!==od.css.length)throw new Error("Invalid state detected in postcss-less");let _d=ud+cd.source.start.offset,yd=od.fromOffset(ud+cd.source.start.offset);if(cd.source.start={offset:_d,line:yd.line,column:yd.col},cd.source.end){let gd=ud+cd.source.end.offset,Ed=od.fromOffset(ud+cd.source.end.offset);cd.source.end={offset:gd,line:Ed.line,column:Ed.col}}}),ld.root},stringify(rd,sd){new td(sd).stringify(rd)},nodeToString(rd){let sd="";return qa.exports.stringify(rd,od=>{sd+=od}),sd}}}),ws=y((Ra,qa)=>{qa.exports=class{generate(){}}}),Jt$1=y((Ra,qa)=>{var Ja=re(),ed,td,rd=class extends Ja{constructor(sd){super({type:"document",...sd}),this.nodes||(this.nodes=[])}toResult(sd={}){return new ed(new td,this,sd).stringify()}};rd.registerLazyResult=sd=>{ed=sd},rd.registerProcessor=sd=>{td=sd},qa.exports=rd,rd.default=rd}),gs=y((Ra,qa)=>{var Ja={};qa.exports=function(ed){Ja[ed]||(Ja[ed]=!0,typeof console<"u"&&console.warn&&console.warn(ed))}}),vs=y((Ra,qa)=>{var Ja=class{constructor(ed,td={}){if(this.type="warning",this.text=ed,td.node&&td.node.source){let rd=td.node.rangeBy(td);this.line=rd.start.line,this.column=rd.start.column,this.endLine=rd.end.line,this.endColumn=rd.end.column}for(let rd in td)this[rd]=td[rd]}toString(){return this.node?this.node.error(this.text,{index:this.index,plugin:this.plugin,word:this.word}).message:this.plugin?this.plugin+": "+this.text:this.text}};qa.exports=Ja,Ja.default=Ja}),Xt=y((Ra,qa)=>{var Ja=vs(),ed=class{constructor(td,rd,sd){this.processor=td,this.messages=[],this.root=rd,this.opts=sd,this.css=void 0,this.map=void 0}toString(){return this.css}warn(td,rd={}){rd.plugin||this.lastPlugin&&this.lastPlugin.postcssPlugin&&(rd.plugin=this.lastPlugin.postcssPlugin);let sd=new Ja(td,rd);return this.messages.push(sd),sd}warnings(){return this.messages.filter(td=>td.type==="warning")}get content(){return this.css}};qa.exports=ed,ed.default=ed}),_s=y((Ra,qa)=>{var{isClean:Ja,my:ed}=Ut(),td=ws(),rd=it(),sd=re(),od=Jt$1();gs();var ld=Xt(),cd=pt(),ud=Ae(),_d={atrule:"AtRule",comment:"Comment",decl:"Declaration",document:"Document",root:"Root",rule:"Rule"},yd={AtRule:!0,AtRuleExit:!0,Comment:!0,CommentExit:!0,Declaration:!0,DeclarationExit:!0,Document:!0,DocumentExit:!0,Once:!0,OnceExit:!0,postcssPlugin:!0,prepare:!0,Root:!0,RootExit:!0,Rule:!0,RuleExit:!0},gd={Once:!0,postcssPlugin:!0,prepare:!0},Ed=0;function Td(Ld){return typeof Ld=="object"&&typeof Ld.then=="function"}function kd(Ld){let Pd=!1,qd=_d[Ld.type];return Ld.type==="decl"?Pd=Ld.prop.toLowerCase():Ld.type==="atrule"&&(Pd=Ld.name.toLowerCase()),Pd&&Ld.append?[qd,qd+"-"+Pd,Ed,qd+"Exit",qd+"Exit-"+Pd]:Pd?[qd,qd+"-"+Pd,qd+"Exit",qd+"Exit-"+Pd]:Ld.append?[qd,Ed,qd+"Exit"]:[qd,qd+"Exit"]}function Rd(Ld){let Pd;return Ld.type==="document"?Pd=["Document",Ed,"DocumentExit"]:Ld.type==="root"?Pd=["Root",Ed,"RootExit"]:Pd=kd(Ld),{eventIndex:0,events:Pd,iterator:0,node:Ld,visitorIndex:0,visitors:[]}}function Nd(Ld){return Ld[Ja]=!1,Ld.nodes&&Ld.nodes.forEach(Pd=>Nd(Pd)),Ld}var Id={},Md=class nS{constructor(Pd,qd,Yd){this.stringified=!1,this.processed=!1;let Ud;if(typeof qd=="object"&&qd!==null&&(qd.type==="root"||qd.type==="document"))Ud=Nd(qd);else if(qd instanceof nS||qd instanceof ld)Ud=Nd(qd.root),qd.map&&(typeof Yd.map>"u"&&(Yd.map={}),Yd.map.inline||(Yd.map.inline=!1),Yd.map.prev=qd.map);else{let Hd=cd;Yd.syntax&&(Hd=Yd.syntax.parse),Yd.parser&&(Hd=Yd.parser),Hd.parse&&(Hd=Hd.parse);try{Ud=Hd(qd,Yd)}catch(Vd){this.processed=!0,this.error=Vd}Ud&&!Ud[ed]&&sd.rebuild(Ud)}this.result=new ld(Pd,Ud,Yd),this.helpers={...Id,postcss:Id,result:this.result},this.plugins=this.processor.plugins.map(Hd=>typeof Hd=="object"&&Hd.prepare?{...Hd,...Hd.prepare(this.result)}:Hd)}async(){return this.error?Promise.reject(this.error):this.processed?Promise.resolve(this.result):(this.processing||(this.processing=this.runAsync()),this.processing)}catch(Pd){return this.async().catch(Pd)}finally(Pd){return this.async().then(Pd,Pd)}getAsyncError(){throw new Error("Use process(css).then(cb) to work with async plugins")}handleError(Pd,qd){let Yd=this.result.lastPlugin;try{qd&&qd.addToError(Pd),this.error=Pd,Pd.name==="CssSyntaxError"&&!Pd.plugin?(Pd.plugin=Yd.postcssPlugin,Pd.setMessage()):Yd.postcssVersion}catch(Ud){console&&console.error&&console.error(Ud)}return Pd}prepareVisitors(){this.listeners={};let Pd=(qd,Yd,Ud)=>{this.listeners[Yd]||(this.listeners[Yd]=[]),this.listeners[Yd].push([qd,Ud])};for(let qd of this.plugins)if(typeof qd=="object")for(let Yd in qd){if(!yd[Yd]&&/^[A-Z]/.test(Yd))throw new Error(`Unknown event ${Yd} in ${qd.postcssPlugin}. Try to update PostCSS (${this.processor.version} now).`);if(!gd[Yd])if(typeof qd[Yd]=="object")for(let Ud in qd[Yd])Ud==="*"?Pd(qd,Yd,qd[Yd][Ud]):Pd(qd,Yd+"-"+Ud.toLowerCase(),qd[Yd][Ud]);else typeof qd[Yd]=="function"&&Pd(qd,Yd,qd[Yd])}this.hasListener=Object.keys(this.listeners).length>0}async runAsync(){this.plugin=0;for(let Pd=0;Pd<this.plugins.length;Pd++){let qd=this.plugins[Pd],Yd=this.runOnRoot(qd);if(Td(Yd))try{await Yd}catch(Ud){throw this.handleError(Ud)}}if(this.prepareVisitors(),this.hasListener){let Pd=this.result.root;for(;!Pd[Ja];){Pd[Ja]=!0;let qd=[Rd(Pd)];for(;qd.length>0;){let Yd=this.visitTick(qd);if(Td(Yd))try{await Yd}catch(Ud){let Hd=qd[qd.length-1].node;throw this.handleError(Ud,Hd)}}}if(this.listeners.OnceExit)for(let[qd,Yd]of this.listeners.OnceExit){this.result.lastPlugin=qd;try{if(Pd.type==="document"){let Ud=Pd.nodes.map(Hd=>Yd(Hd,this.helpers));await Promise.all(Ud)}else await Yd(Pd,this.helpers)}catch(Ud){throw this.handleError(Ud)}}}return this.processed=!0,this.stringify()}runOnRoot(Pd){this.result.lastPlugin=Pd;try{if(typeof Pd=="object"&&Pd.Once){if(this.result.root.type==="document"){let qd=this.result.root.nodes.map(Yd=>Pd.Once(Yd,this.helpers));return Td(qd[0])?Promise.all(qd):qd}return Pd.Once(this.result.root,this.helpers)}else if(typeof Pd=="function")return Pd(this.result.root,this.result)}catch(qd){throw this.handleError(qd)}}stringify(){if(this.error)throw this.error;if(this.stringified)return this.result;this.stringified=!0,this.sync();let Pd=this.result.opts,qd=rd;Pd.syntax&&(qd=Pd.syntax.stringify),Pd.stringifier&&(qd=Pd.stringifier),qd.stringify&&(qd=qd.stringify);let Yd=new td(qd,this.result.root,this.result.opts).generate();return this.result.css=Yd[0],this.result.map=Yd[1],this.result}sync(){if(this.error)throw this.error;if(this.processed)return this.result;if(this.processed=!0,this.processing)throw this.getAsyncError();for(let Pd of this.plugins){let qd=this.runOnRoot(Pd);if(Td(qd))throw this.getAsyncError()}if(this.prepareVisitors(),this.hasListener){let Pd=this.result.root;for(;!Pd[Ja];)Pd[Ja]=!0,this.walkSync(Pd);if(this.listeners.OnceExit)if(Pd.type==="document")for(let qd of Pd.nodes)this.visitSync(this.listeners.OnceExit,qd);else this.visitSync(this.listeners.OnceExit,Pd)}return this.result}then(Pd,qd){return this.async().then(Pd,qd)}toString(){return this.css}visitSync(Pd,qd){for(let[Yd,Ud]of Pd){this.result.lastPlugin=Yd;let Hd;try{Hd=Ud(qd,this.helpers)}catch(Vd){throw this.handleError(Vd,qd.proxyOf)}if(qd.type!=="root"&&qd.type!=="document"&&!qd.parent)return!0;if(Td(Hd))throw this.getAsyncError()}}visitTick(Pd){let qd=Pd[Pd.length-1],{node:Yd,visitors:Ud}=qd;if(Yd.type!=="root"&&Yd.type!=="document"&&!Yd.parent){Pd.pop();return}if(Ud.length>0&&qd.visitorIndex<Ud.length){let[Vd,Jd]=Ud[qd.visitorIndex];qd.visitorIndex+=1,qd.visitorIndex===Ud.length&&(qd.visitors=[],qd.visitorIndex=0),this.result.lastPlugin=Vd;try{return Jd(Yd.toProxy(),this.helpers)}catch(Zd){throw this.handleError(Zd,Yd)}}if(qd.iterator!==0){let Vd=qd.iterator,Jd;for(;Jd=Yd.nodes[Yd.indexes[Vd]];)if(Yd.indexes[Vd]+=1,!Jd[Ja]){Jd[Ja]=!0,Pd.push(Rd(Jd));return}qd.iterator=0,delete Yd.indexes[Vd]}let Hd=qd.events;for(;qd.eventIndex<Hd.length;){let Vd=Hd[qd.eventIndex];if(qd.eventIndex+=1,Vd===Ed){Yd.nodes&&Yd.nodes.length&&(Yd[Ja]=!0,qd.iterator=Yd.getIterator());return}else if(this.listeners[Vd]){qd.visitors=this.listeners[Vd];return}}Pd.pop()}walkSync(Pd){Pd[Ja]=!0;let qd=kd(Pd);for(let Yd of qd)if(Yd===Ed)Pd.nodes&&Pd.each(Ud=>{Ud[Ja]||this.walkSync(Ud)});else{let Ud=this.listeners[Yd];if(Ud&&this.visitSync(Ud,Pd.toProxy()))return}}warnings(){return this.sync().warnings()}get content(){return this.stringify().content}get css(){return this.stringify().css}get map(){return this.stringify().map}get messages(){return this.sync().messages}get opts(){return this.result.opts}get processor(){return this.result.processor}get root(){return this.sync().root}get[Symbol.toStringTag](){return"LazyResult"}};Md.registerPostcss=Ld=>{Id=Ld},qa.exports=Md,Md.default=Md,ud.registerLazyResult(Md),od.registerLazyResult(Md)}),Lo$1=y((Ra,qa)=>{var Ja=ws(),ed=it();gs();var td=pt(),rd=Xt(),sd=class{constructor(od,ld,cd){ld=ld.toString(),this.stringified=!1,this._processor=od,this._css=ld,this._opts=cd,this._map=void 0;let ud,_d=ed;this.result=new rd(this._processor,ud,this._opts),this.result.css=ld;let yd=this;Object.defineProperty(this.result,"root",{get(){return yd.root}});let gd=new Ja(_d,ud,this._opts,ld);if(gd.isMap()){let[Ed,Td]=gd.generate();Ed&&(this.result.css=Ed),Td&&(this.result.map=Td)}else gd.clearAnnotation(),this.result.css=gd.css}async(){return this.error?Promise.reject(this.error):Promise.resolve(this.result)}catch(od){return this.async().catch(od)}finally(od){return this.async().then(od,od)}sync(){if(this.error)throw this.error;return this.result}then(od,ld){return this.async().then(od,ld)}toString(){return this._css}warnings(){return[]}get content(){return this.result.css}get css(){return this.result.css}get map(){return this.result.map}get messages(){return[]}get opts(){return this.result.opts}get processor(){return this.result.processor}get root(){if(this._root)return this._root;let od,ld=td;try{od=ld(this._css,this._opts)}catch(cd){this.error=cd}if(this.error)throw this.error;return this._root=od,od}get[Symbol.toStringTag](){return"NoWorkResult"}};qa.exports=sd,sd.default=sd}),Mo=y((Ra,qa)=>{var Ja=Lo$1(),ed=_s(),td=Jt$1(),rd=Ae(),sd=class{constructor(od=[]){this.version="8.4.39",this.plugins=this.normalize(od)}normalize(od){let ld=[];for(let cd of od)if(cd.postcss===!0?cd=cd():cd.postcss&&(cd=cd.postcss),typeof cd=="object"&&Array.isArray(cd.plugins))ld=ld.concat(cd.plugins);else if(typeof cd=="object"&&cd.postcssPlugin)ld.push(cd);else if(typeof cd=="function")ld.push(cd);else if(!(typeof cd=="object"&&(cd.parse||cd.stringify)))throw new Error(cd+" is not a PostCSS plugin");return ld}process(od,ld={}){return!this.plugins.length&&!ld.parser&&!ld.stringifier&&!ld.syntax?new Ja(this,od,ld):new ed(this,od,ld)}use(od){return this.plugins=this.plugins.concat(this.normalize([od])),this}};qa.exports=sd,sd.default=sd,rd.registerProcessor(sd),td.registerProcessor(sd)}),Uo$1=y((Ra,qa)=>{var Ja=lt(),ed=cs(),td=Oe(),rd=Gt$1(),sd=Re$1(),od=Ae(),ld=jt();function cd(ud,_d){if(Array.isArray(ud))return ud.map(Ed=>cd(Ed));let{inputs:yd,...gd}=ud;if(yd){_d=[];for(let Ed of yd){let Td={...Ed,__proto__:sd.prototype};Td.map&&(Td.map={...Td.map,__proto__:ed.prototype}),_d.push(Td)}}if(gd.nodes&&(gd.nodes=ud.nodes.map(Ed=>cd(Ed,_d))),gd.source){let{inputId:Ed,...Td}=gd.source;gd.source=Td,Ed!=null&&(gd.source.input=_d[Ed])}if(gd.type==="root")return new od(gd);if(gd.type==="decl")return new Ja(gd);if(gd.type==="rule")return new ld(gd);if(gd.type==="comment")return new td(gd);if(gd.type==="atrule")return new rd(gd);throw new Error("Unknown node type: "+ud.type)}qa.exports=cd,cd.default=cd}),Zt$1=y((Ra,qa)=>{var Ja=Ft$1(),ed=lt(),td=_s(),rd=re(),sd=Mo(),od=it(),ld=Uo$1(),cd=Jt$1(),ud=vs(),_d=Oe(),yd=Gt$1(),gd=Xt(),Ed=Re$1(),Td=pt(),kd=us(),Rd=jt(),Nd=Ae(),Id=at();function Md(...Ld){return Ld.length===1&&Array.isArray(Ld[0])&&(Ld=Ld[0]),new sd(Ld)}Md.plugin=function(Ld,Pd){let qd=!1;function Yd(...Hd){console&&console.warn&&!qd&&(qd=!0,console.warn(Ld+`: postcss.plugin was deprecated. Migration guide:
|
||
https://evilmartians.com/chronicles/postcss-8-plugin-migration`));let Vd=Pd(...Hd);return Vd.postcssPlugin=Ld,Vd.postcssVersion=new sd().version,Vd}let Ud;return Object.defineProperty(Yd,"postcss",{get(){return Ud||(Ud=Yd()),Ud}}),Yd.process=function(Hd,Vd,Jd){return Md([Yd(Jd)]).process(Hd,Vd)},Yd},Md.stringify=od,Md.parse=Td,Md.fromJSON=ld,Md.list=kd,Md.comment=Ld=>new _d(Ld),Md.atRule=Ld=>new yd(Ld),Md.decl=Ld=>new ed(Ld),Md.rule=Ld=>new Rd(Ld),Md.root=Ld=>new Nd(Ld),Md.document=Ld=>new cd(Ld),Md.CssSyntaxError=Ja,Md.Declaration=ed,Md.Container=rd,Md.Processor=sd,Md.Document=cd,Md.Comment=_d,Md.Warning=ud,Md.AtRule=yd,Md.Result=gd,Md.Input=Ed,Md.Rule=Rd,Md.Root=Nd,Md.Node=Id,td.registerPostcss(Md),qa.exports=Md,Md.default=Md}),Ho$1=y((Ra,qa)=>{var{Container:Ja}=Zt$1(),ed=class extends Ja{constructor(td){super(td),this.type="decl",this.isNested=!0,this.nodes||(this.nodes=[])}};qa.exports=ed}),Jo=y((Ra,qa)=>{var Ja=/[\t\n\f\r "#'()/;[\\\]{}]/g,ed=/[,\t\n\f\r !"#'():;@[\\\]{}]|\/(?=\*)/g,td=/.[\r\n"'(/\\]/,rd=/[\da-f]/i,sd=/[\n\f\r]/g;qa.exports=function(od,ld={}){let cd=od.css.valueOf(),ud=ld.ignoreErrors,_d,yd,gd,Ed,Td,kd,Rd,Nd,Id,Md=cd.length,Ld=0,Pd=[],qd=[],Yd;function Ud(){return Ld}function Hd(Xd){throw od.error("Unclosed "+Xd,Ld)}function Vd(){return qd.length===0&&Ld>=Md}function Jd(){let Xd=1,hf=!1,_f=!1;for(;Xd>0;)yd+=1,cd.length<=yd&&Hd("interpolation"),_d=cd.charCodeAt(yd),Nd=cd.charCodeAt(yd+1),hf?!_f&&_d===hf?(hf=!1,_f=!1):_d===92?_f=!_f:_f&&(_f=!1):_d===39||_d===34?hf=_d:_d===125?Xd-=1:_d===35&&Nd===123&&(Xd+=1)}function Zd(Xd){if(qd.length)return qd.pop();if(Ld>=Md)return;let hf=Xd?Xd.ignoreUnclosed:!1;switch(_d=cd.charCodeAt(Ld),_d){case 10:case 32:case 9:case 13:case 12:{yd=Ld;do yd+=1,_d=cd.charCodeAt(yd);while(_d===32||_d===10||_d===9||_d===13||_d===12);Id=["space",cd.slice(Ld,yd)],Ld=yd-1;break}case 91:case 93:case 123:case 125:case 58:case 59:case 41:{let _f=String.fromCharCode(_d);Id=[_f,_f,Ld];break}case 44:{Id=["word",",",Ld,Ld+1];break}case 40:{if(Rd=Pd.length?Pd.pop()[1]:"",Nd=cd.charCodeAt(Ld+1),Rd==="url"&&Nd!==39&&Nd!==34){for(Yd=1,kd=!1,yd=Ld+1;yd<=cd.length-1;){if(Nd=cd.charCodeAt(yd),Nd===92)kd=!kd;else if(Nd===40)Yd+=1;else if(Nd===41&&(Yd-=1,Yd===0))break;yd+=1}Ed=cd.slice(Ld,yd+1),Id=["brackets",Ed,Ld,yd],Ld=yd}else yd=cd.indexOf(")",Ld+1),Ed=cd.slice(Ld,yd+1),yd===-1||td.test(Ed)?Id=["(","(",Ld]:(Id=["brackets",Ed,Ld,yd],Ld=yd);break}case 39:case 34:{for(gd=_d,yd=Ld,kd=!1;yd<Md&&(yd++,yd===Md&&Hd("string"),_d=cd.charCodeAt(yd),Nd=cd.charCodeAt(yd+1),!(!kd&&_d===gd));)_d===92?kd=!kd:kd?kd=!1:_d===35&&Nd===123&&Jd();Id=["string",cd.slice(Ld,yd+1),Ld,yd],Ld=yd;break}case 64:{Ja.lastIndex=Ld+1,Ja.test(cd),Ja.lastIndex===0?yd=cd.length-1:yd=Ja.lastIndex-2,Id=["at-word",cd.slice(Ld,yd+1),Ld,yd],Ld=yd;break}case 92:{for(yd=Ld,Td=!0;cd.charCodeAt(yd+1)===92;)yd+=1,Td=!Td;if(_d=cd.charCodeAt(yd+1),Td&&_d!==47&&_d!==32&&_d!==10&&_d!==9&&_d!==13&&_d!==12&&(yd+=1,rd.test(cd.charAt(yd)))){for(;rd.test(cd.charAt(yd+1));)yd+=1;cd.charCodeAt(yd+1)===32&&(yd+=1)}Id=["word",cd.slice(Ld,yd+1),Ld,yd],Ld=yd;break}default:Nd=cd.charCodeAt(Ld+1),_d===35&&Nd===123?(yd=Ld,Jd(),Ed=cd.slice(Ld,yd+1),Id=["word",Ed,Ld,yd],Ld=yd):_d===47&&Nd===42?(yd=cd.indexOf("*/",Ld+2)+1,yd===0&&(ud||hf?yd=cd.length:Hd("comment")),Id=["comment",cd.slice(Ld,yd+1),Ld,yd],Ld=yd):_d===47&&Nd===47?(sd.lastIndex=Ld+1,sd.test(cd),sd.lastIndex===0?yd=cd.length-1:yd=sd.lastIndex-2,Ed=cd.slice(Ld,yd+1),Id=["comment",Ed,Ld,yd,"inline"],Ld=yd):(ed.lastIndex=Ld+1,ed.test(cd),ed.lastIndex===0?yd=cd.length-1:yd=ed.lastIndex-2,Id=["word",cd.slice(Ld,yd+1),Ld,yd],Pd.push(Id),Ld=yd);break}return Ld++,Id}function pf(Xd){qd.push(Xd)}return{back:pf,endOfFile:Vd,nextToken:Zd,position:Ud}}}),Zo=y((Ra,qa)=>{var{Comment:Ja}=Zt$1(),ed=Ht(),td=Ho$1(),rd=Jo(),sd=class extends ed{atrule(od){let ld=od[1],cd=od;for(;!this.tokenizer.endOfFile();){let ud=this.tokenizer.nextToken();if(ud[0]==="word"&&ud[2]===cd[3]+1)ld+=ud[1],cd=ud;else{this.tokenizer.back(ud);break}}super.atrule(["at-word",ld,od[2],cd[3]])}comment(od){if(od[4]==="inline"){let ld=new Ja;this.init(ld,od[2]),ld.raws.inline=!0;let cd=this.input.fromOffset(od[3]);ld.source.end={column:cd.col,line:cd.line,offset:od[3]+1};let ud=od[1].slice(2);if(/^\s*$/.test(ud))ld.text="",ld.raws.left=ud,ld.raws.right="";else{let _d=ud.match(/^(\s*)([^]*\S)(\s*)$/),yd=_d[2].replace(/(\*\/|\/\*)/g,"*//*");ld.text=yd,ld.raws.left=_d[1],ld.raws.right=_d[3],ld.raws.text=_d[2]}}else super.comment(od)}createTokenizer(){this.tokenizer=rd(this.input)}raw(od,ld,cd,ud){if(super.raw(od,ld,cd,ud),od.raws[ld]){let _d=od.raws[ld].raw;od.raws[ld].raw=cd.reduce((yd,gd)=>{if(gd[0]==="comment"&&gd[4]==="inline"){let Ed=gd[1].slice(2).replace(/(\*\/|\/\*)/g,"*//*");return yd+"/*"+Ed+"*/"}else return yd+gd[1]},""),_d!==od.raws[ld].raw&&(od.raws[ld].scss=_d)}}rule(od){let ld=!1,cd=0,ud="";for(let _d of od)if(ld)_d[0]!=="comment"&&_d[0]!=="{"&&(ud+=_d[1]);else{if(_d[0]==="space"&&_d[1].includes(`
|
||
`))break;_d[0]==="("?cd+=1:_d[0]===")"?cd-=1:cd===0&&_d[0]===":"&&(ld=!0)}if(!ld||ud.trim()===""||/^[#:A-Za-z-]/.test(ud))super.rule(od);else{od.pop();let _d=new td;this.init(_d,od[0][2]);let yd;for(let Ed=od.length-1;Ed>=0;Ed--)if(od[Ed][0]!=="space"){yd=od[Ed];break}if(yd[3]){let Ed=this.input.fromOffset(yd[3]);_d.source.end={column:Ed.col,line:Ed.line,offset:yd[3]+1}}else{let Ed=this.input.fromOffset(yd[2]);_d.source.end={column:Ed.col,line:Ed.line,offset:yd[2]+1}}for(;od[0][0]!=="word";)_d.raws.before+=od.shift()[1];if(od[0][2]){let Ed=this.input.fromOffset(od[0][2]);_d.source.start={column:Ed.col,line:Ed.line,offset:od[0][2]}}for(_d.prop="";od.length;){let Ed=od[0][0];if(Ed===":"||Ed==="space"||Ed==="comment")break;_d.prop+=od.shift()[1]}_d.raws.between="";let gd;for(;od.length;)if(gd=od.shift(),gd[0]===":"){_d.raws.between+=gd[1];break}else _d.raws.between+=gd[1];(_d.prop[0]==="_"||_d.prop[0]==="*")&&(_d.raws.before+=_d.prop[0],_d.prop=_d.prop.slice(1)),_d.raws.between+=this.spacesAndCommentsFromStart(od),this.precheckMissedSemicolon(od);for(let Ed=od.length-1;Ed>0;Ed--){if(gd=od[Ed],gd[1]==="!important"){_d.important=!0;let Td=this.stringFrom(od,Ed);Td=this.spacesFromEnd(od)+Td,Td!==" !important"&&(_d.raws.important=Td);break}else if(gd[1]==="important"){let Td=od.slice(0),kd="";for(let Rd=Ed;Rd>0;Rd--){let Nd=Td[Rd][0];if(kd.trim().indexOf("!")===0&&Nd!=="space")break;kd=Td.pop()[1]+kd}kd.trim().indexOf("!")===0&&(_d.important=!0,_d.raws.important=kd,od=Td)}if(gd[0]!=="space"&&gd[0]!=="comment")break}this.raw(_d,"value",od),_d.value.includes(":")&&this.checkMissedSemicolon(od),this.current=_d}}};qa.exports=sd}),ta=y((Ra,qa)=>{var{Input:Ja}=Zt$1(),ed=Zo();qa.exports=function(td,rd){let sd=new Ja(td,rd),od=new ed(sd);return od.parse(),od.root}}),Os=y(Ra=>{Object.defineProperty(Ra,"__esModule",{value:!0});function qa(Ja){this.after=Ja.after,this.before=Ja.before,this.type=Ja.type,this.value=Ja.value,this.sourceIndex=Ja.sourceIndex}Ra.default=qa}),As=y(Ra=>{Object.defineProperty(Ra,"__esModule",{value:!0});var qa=Os(),Ja=ed(qa);function ed(rd){return rd&&rd.__esModule?rd:{default:rd}}function td(rd){var sd=this;this.constructor(rd),this.nodes=rd.nodes,this.after===void 0&&(this.after=this.nodes.length>0?this.nodes[this.nodes.length-1].after:""),this.before===void 0&&(this.before=this.nodes.length>0?this.nodes[0].before:""),this.sourceIndex===void 0&&(this.sourceIndex=this.before.length),this.nodes.forEach(function(od){od.parent=sd})}td.prototype=Object.create(Ja.default.prototype),td.constructor=Ja.default,td.prototype.walk=function(rd,sd){for(var od=typeof rd=="string"||rd instanceof RegExp,ld=od?sd:rd,cd=typeof rd=="string"?new RegExp(rd):rd,ud=0;ud<this.nodes.length;ud++){var _d=this.nodes[ud],yd=od?cd.test(_d.type):!0;if(yd&&ld&&ld(_d,ud,this.nodes)===!1||_d.nodes&&_d.walk(rd,sd)===!1)return!1}return!0},td.prototype.each=function(){for(var rd=arguments.length<=0||arguments[0]===void 0?function(){}:arguments[0],sd=0;sd<this.nodes.length;sd++){var od=this.nodes[sd];if(rd(od,sd,this.nodes)===!1)return!1}return!0},Ra.default=td}),aa=y(Ra=>{Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.parseMediaFeature=sd,Ra.parseMediaQuery=od,Ra.parseMediaList=ld;var qa=Os(),Ja=rd(qa),ed=As(),td=rd(ed);function rd(cd){return cd&&cd.__esModule?cd:{default:cd}}function sd(cd){var ud=arguments.length<=1||arguments[1]===void 0?0:arguments[1],_d=[{mode:"normal",character:null}],yd=[],gd=0,Ed="",Td=null,kd=null,Rd=ud,Nd=cd;cd[0]==="("&&cd[cd.length-1]===")"&&(Nd=cd.substring(1,cd.length-1),Rd++);for(var Id=0;Id<Nd.length;Id++){var Md=Nd[Id];if((Md==="'"||Md==='"')&&(_d[gd].isCalculationEnabled===!0?(_d.push({mode:"string",isCalculationEnabled:!1,character:Md}),gd++):_d[gd].mode==="string"&&_d[gd].character===Md&&Nd[Id-1]!=="\\"&&(_d.pop(),gd--)),Md==="{"?(_d.push({mode:"interpolation",isCalculationEnabled:!0}),gd++):Md==="}"&&(_d.pop(),gd--),_d[gd].mode==="normal"&&Md===":"){var Ld=Nd.substring(Id+1);kd={type:"value",before:/^(\s*)/.exec(Ld)[1],after:/(\s*)$/.exec(Ld)[1],value:Ld.trim()},kd.sourceIndex=kd.before.length+Id+1+Rd,Td={type:"colon",sourceIndex:Id+Rd,after:kd.before,value:":"};break}Ed+=Md}return Ed={type:"media-feature",before:/^(\s*)/.exec(Ed)[1],after:/(\s*)$/.exec(Ed)[1],value:Ed.trim()},Ed.sourceIndex=Ed.before.length+Rd,yd.push(Ed),Td!==null&&(Td.before=Ed.after,yd.push(Td)),kd!==null&&yd.push(kd),yd}function od(cd){var ud=arguments.length<=1||arguments[1]===void 0?0:arguments[1],_d=[],yd=0,gd=!1,Ed=void 0;function Td(){return{before:"",after:"",value:""}}Ed=Td();for(var kd=0;kd<cd.length;kd++){var Rd=cd[kd];gd?(Ed.value+=Rd,(Rd==="{"||Rd==="(")&&yd++,(Rd===")"||Rd==="}")&&yd--):Rd.search(/\s/)!==-1?Ed.before+=Rd:(Rd==="("&&(Ed.type="media-feature-expression",yd++),Ed.value=Rd,Ed.sourceIndex=ud+kd,gd=!0),gd&&yd===0&&(Rd===")"||kd===cd.length-1||cd[kd+1].search(/\s/)!==-1)&&(["not","only","and"].indexOf(Ed.value)!==-1&&(Ed.type="keyword"),Ed.type==="media-feature-expression"&&(Ed.nodes=sd(Ed.value,Ed.sourceIndex)),_d.push(Array.isArray(Ed.nodes)?new td.default(Ed):new Ja.default(Ed)),Ed=Td(),gd=!1)}for(var Nd=0;Nd<_d.length;Nd++)if(Ed=_d[Nd],Nd>0&&(_d[Nd-1].after=Ed.before),Ed.type===void 0){if(Nd>0){if(_d[Nd-1].type==="media-feature-expression"){Ed.type="keyword";continue}if(_d[Nd-1].value==="not"||_d[Nd-1].value==="only"){Ed.type="media-type";continue}if(_d[Nd-1].value==="and"){Ed.type="media-feature-expression";continue}_d[Nd-1].type==="media-type"&&(_d[Nd+1]?Ed.type=_d[Nd+1].type==="media-feature-expression"?"keyword":"media-feature-expression":Ed.type="media-feature-expression")}if(Nd===0){if(!_d[Nd+1]){Ed.type="media-type";continue}if(_d[Nd+1]&&(_d[Nd+1].type==="media-feature-expression"||_d[Nd+1].type==="keyword")){Ed.type="media-type";continue}if(_d[Nd+2]){if(_d[Nd+2].type==="media-feature-expression"){Ed.type="media-type",_d[Nd+1].type="keyword";continue}if(_d[Nd+2].type==="keyword"){Ed.type="keyword",_d[Nd+1].type="media-type";continue}}if(_d[Nd+3]&&_d[Nd+3].type==="media-feature-expression"){Ed.type="keyword",_d[Nd+1].type="media-type",_d[Nd+2].type="keyword";continue}}}return _d}function ld(cd){var ud=[],_d=0,yd=0,gd=/^(\s*)url\s*\(/.exec(cd);if(gd!==null){for(var Ed=gd[0].length,Td=1;Td>0;){var kd=cd[Ed];kd==="("&&Td++,kd===")"&&Td--,Ed++}ud.unshift(new Ja.default({type:"url",value:cd.substring(0,Ed).trim(),sourceIndex:gd[1].length,before:gd[1],after:/^(\s*)/.exec(cd.substring(Ed))[1]})),_d=Ed}for(var Rd=_d;Rd<cd.length;Rd++){var Nd=cd[Rd];if(Nd==="("&&yd++,Nd===")"&&yd--,yd===0&&Nd===","){var Id=cd.substring(_d,Rd),Md=/^(\s*)/.exec(Id)[1];ud.push(new td.default({type:"media-query",value:Id.trim(),sourceIndex:_d+Md.length,nodes:od(Id,_d),before:Md,after:/(\s*)$/.exec(Id)[1]})),_d=Rd+1}}var Ld=cd.substring(_d),Pd=/^(\s*)/.exec(Ld)[1];return ud.push(new td.default({type:"media-query",value:Ld.trim(),sourceIndex:_d+Pd.length,nodes:od(Ld,_d),before:Pd,after:/(\s*)$/.exec(Ld)[1]})),ud}}),ua$1=y(Ra=>{Object.defineProperty(Ra,"__esModule",{value:!0}),Ra.default=rd;var qa=As(),Ja=td(qa),ed=aa();function td(sd){return sd&&sd.__esModule?sd:{default:sd}}function rd(sd){return new Ja.default({nodes:(0,ed.parseMediaList)(sd),type:"media-query-list",value:sd.trim()})}}),qs=y((Ra,qa)=>{qa.exports=function(Ja,ed){if(ed=typeof ed=="number"?ed:1/0,!ed)return Array.isArray(Ja)?Ja.map(function(rd){return rd}):Ja;return td(Ja,1);function td(rd,sd){return rd.reduce(function(od,ld){return Array.isArray(ld)&&sd<ed?od.concat(td(ld,sd+1)):od.concat(ld)},[])}}}),Ls=y((Ra,qa)=>{qa.exports=function(Ja,ed){for(var td=-1,rd=[];(td=Ja.indexOf(ed,td+1))!==-1;)rd.push(td);return rd}}),Ds=y((Ra,qa)=>{function Ja(rd,sd){for(var od=1,ld=rd.length,cd=rd[0],ud=rd[0],_d=1;_d<ld;++_d)if(ud=cd,cd=rd[_d],sd(cd,ud)){if(_d===od){od++;continue}rd[od++]=cd}return rd.length=od,rd}function ed(rd){for(var sd=1,od=rd.length,ld=rd[0],cd=rd[0],ud=1;ud<od;++ud,cd=ld)if(cd=ld,ld=rd[ud],ld!==cd){if(ud===sd){sd++;continue}rd[sd++]=ld}return rd.length=sd,rd}function td(rd,sd,od){return rd.length===0?rd:sd?(od||rd.sort(sd),Ja(rd,sd)):(od||rd.sort(),ed(rd))}qa.exports=td}),de=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=typeof Symbol=="function"&&typeof Symbol.iterator=="symbol"?function(sd){return typeof sd}:function(sd){return sd&&typeof Symbol=="function"&&sd.constructor===Symbol&&sd!==Symbol.prototype?"symbol":typeof sd};function ed(sd,od){if(!(sd instanceof od))throw new TypeError("Cannot call a class as a function")}var td=function sd(od,ld){if((typeof od>"u"?"undefined":Ja(od))!=="object")return od;var cd=new od.constructor;for(var ud in od)if(od.hasOwnProperty(ud)){var _d=od[ud],yd=typeof _d>"u"?"undefined":Ja(_d);ud==="parent"&&yd==="object"?ld&&(cd[ud]=ld):_d instanceof Array?cd[ud]=_d.map(function(gd){return sd(gd,cd)}):cd[ud]=sd(_d,cd)}return cd},rd=function(){function sd(){var od=arguments.length>0&&arguments[0]!==void 0?arguments[0]:{};ed(this,sd);for(var ld in od)this[ld]=od[ld];var cd=od.spaces;cd=cd===void 0?{}:cd;var ud=cd.before,_d=ud===void 0?"":ud,yd=cd.after,gd=yd===void 0?"":yd;this.spaces={before:_d,after:gd}}return sd.prototype.remove=function(){return this.parent&&this.parent.removeChild(this),this.parent=void 0,this},sd.prototype.replaceWith=function(){if(this.parent){for(var od in arguments)this.parent.insertBefore(this,arguments[od]);this.remove()}return this},sd.prototype.next=function(){return this.parent.at(this.parent.index(this)+1)},sd.prototype.prev=function(){return this.parent.at(this.parent.index(this)-1)},sd.prototype.clone=function(){var od=arguments.length>0&&arguments[0]!==void 0?arguments[0]:{},ld=td(this);for(var cd in od)ld[cd]=od[cd];return ld},sd.prototype.toString=function(){return[this.spaces.before,String(this.value),this.spaces.after].join("")},sd}();Ra.default=rd,qa.exports=Ra.default}),D=y(Ra=>{Ra.__esModule=!0,Ra.TAG="tag",Ra.STRING="string",Ra.SELECTOR="selector",Ra.ROOT="root",Ra.PSEUDO="pseudo",Ra.NESTING="nesting",Ra.ID="id",Ra.COMMENT="comment",Ra.COMBINATOR="combinator",Ra.CLASS="class",Ra.ATTRIBUTE="attribute",Ra.UNIVERSAL="universal"}),ir=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=function(){function gd(Ed,Td){for(var kd=0;kd<Td.length;kd++){var Rd=Td[kd];Rd.enumerable=Rd.enumerable||!1,Rd.configurable=!0,"value"in Rd&&(Rd.writable=!0),Object.defineProperty(Ed,Rd.key,Rd)}}return function(Ed,Td,kd){return Td&&gd(Ed.prototype,Td),kd&&gd(Ed,kd),Ed}}(),ed=de(),td=ld(ed),rd=D(),sd=od(rd);function od(gd){if(gd&&gd.__esModule)return gd;var Ed={};if(gd!=null)for(var Td in gd)Object.prototype.hasOwnProperty.call(gd,Td)&&(Ed[Td]=gd[Td]);return Ed.default=gd,Ed}function ld(gd){return gd&&gd.__esModule?gd:{default:gd}}function cd(gd,Ed){if(!(gd instanceof Ed))throw new TypeError("Cannot call a class as a function")}function ud(gd,Ed){if(!gd)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return Ed&&(typeof Ed=="object"||typeof Ed=="function")?Ed:gd}function _d(gd,Ed){if(typeof Ed!="function"&&Ed!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof Ed);gd.prototype=Object.create(Ed&&Ed.prototype,{constructor:{value:gd,enumerable:!1,writable:!0,configurable:!0}}),Ed&&(Object.setPrototypeOf?Object.setPrototypeOf(gd,Ed):gd.__proto__=Ed)}var yd=function(gd){_d(Ed,gd);function Ed(Td){cd(this,Ed);var kd=ud(this,gd.call(this,Td));return kd.nodes||(kd.nodes=[]),kd}return Ed.prototype.append=function(Td){return Td.parent=this,this.nodes.push(Td),this},Ed.prototype.prepend=function(Td){return Td.parent=this,this.nodes.unshift(Td),this},Ed.prototype.at=function(Td){return this.nodes[Td]},Ed.prototype.index=function(Td){return typeof Td=="number"?Td:this.nodes.indexOf(Td)},Ed.prototype.removeChild=function(Td){Td=this.index(Td),this.at(Td).parent=void 0,this.nodes.splice(Td,1);var kd=void 0;for(var Rd in this.indexes)kd=this.indexes[Rd],kd>=Td&&(this.indexes[Rd]=kd-1);return this},Ed.prototype.removeAll=function(){for(var Rd=this.nodes,Td=Array.isArray(Rd),kd=0,Rd=Td?Rd:Rd[Symbol.iterator]();;){var Nd;if(Td){if(kd>=Rd.length)break;Nd=Rd[kd++]}else{if(kd=Rd.next(),kd.done)break;Nd=kd.value}var Id=Nd;Id.parent=void 0}return this.nodes=[],this},Ed.prototype.empty=function(){return this.removeAll()},Ed.prototype.insertAfter=function(Td,kd){var Rd=this.index(Td);this.nodes.splice(Rd+1,0,kd);var Nd=void 0;for(var Id in this.indexes)Nd=this.indexes[Id],Rd<=Nd&&(this.indexes[Id]=Nd+this.nodes.length);return this},Ed.prototype.insertBefore=function(Td,kd){var Rd=this.index(Td);this.nodes.splice(Rd,0,kd);var Nd=void 0;for(var Id in this.indexes)Nd=this.indexes[Id],Rd<=Nd&&(this.indexes[Id]=Nd+this.nodes.length);return this},Ed.prototype.each=function(Td){this.lastEach||(this.lastEach=0),this.indexes||(this.indexes={}),this.lastEach++;var kd=this.lastEach;if(this.indexes[kd]=0,!!this.length){for(var Rd=void 0,Nd=void 0;this.indexes[kd]<this.length&&(Rd=this.indexes[kd],Nd=Td(this.at(Rd),Rd),Nd!==!1);)this.indexes[kd]+=1;if(delete this.indexes[kd],Nd===!1)return!1}},Ed.prototype.walk=function(Td){return this.each(function(kd,Rd){var Nd=Td(kd,Rd);if(Nd!==!1&&kd.length&&(Nd=kd.walk(Td)),Nd===!1)return!1})},Ed.prototype.walkAttributes=function(Td){var kd=this;return this.walk(function(Rd){if(Rd.type===sd.ATTRIBUTE)return Td.call(kd,Rd)})},Ed.prototype.walkClasses=function(Td){var kd=this;return this.walk(function(Rd){if(Rd.type===sd.CLASS)return Td.call(kd,Rd)})},Ed.prototype.walkCombinators=function(Td){var kd=this;return this.walk(function(Rd){if(Rd.type===sd.COMBINATOR)return Td.call(kd,Rd)})},Ed.prototype.walkComments=function(Td){var kd=this;return this.walk(function(Rd){if(Rd.type===sd.COMMENT)return Td.call(kd,Rd)})},Ed.prototype.walkIds=function(Td){var kd=this;return this.walk(function(Rd){if(Rd.type===sd.ID)return Td.call(kd,Rd)})},Ed.prototype.walkNesting=function(Td){var kd=this;return this.walk(function(Rd){if(Rd.type===sd.NESTING)return Td.call(kd,Rd)})},Ed.prototype.walkPseudos=function(Td){var kd=this;return this.walk(function(Rd){if(Rd.type===sd.PSEUDO)return Td.call(kd,Rd)})},Ed.prototype.walkTags=function(Td){var kd=this;return this.walk(function(Rd){if(Rd.type===sd.TAG)return Td.call(kd,Rd)})},Ed.prototype.walkUniversals=function(Td){var kd=this;return this.walk(function(Rd){if(Rd.type===sd.UNIVERSAL)return Td.call(kd,Rd)})},Ed.prototype.split=function(Td){var kd=this,Rd=[];return this.reduce(function(Nd,Id,Md){var Ld=Td.call(kd,Id);return Rd.push(Id),Ld?(Nd.push(Rd),Rd=[]):Md===kd.length-1&&Nd.push(Rd),Nd},[])},Ed.prototype.map=function(Td){return this.nodes.map(Td)},Ed.prototype.reduce=function(Td,kd){return this.nodes.reduce(Td,kd)},Ed.prototype.every=function(Td){return this.nodes.every(Td)},Ed.prototype.some=function(Td){return this.nodes.some(Td)},Ed.prototype.filter=function(Td){return this.nodes.filter(Td)},Ed.prototype.sort=function(Td){return this.nodes.sort(Td)},Ed.prototype.toString=function(){return this.map(String).join("")},Ja(Ed,[{key:"first",get:function(){return this.at(0)}},{key:"last",get:function(){return this.at(this.length-1)}},{key:"length",get:function(){return this.nodes.length}}]),Ed}(td.default);Ra.default=yd,qa.exports=Ra.default}),ga$1=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=ir(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.ROOT,gd}return _d.prototype.toString=function(){var yd=this.reduce(function(gd,Ed){var Td=String(Ed);return Td?gd+Td+",":""},"").slice(0,-1);return this.trailingComma?yd+",":yd},_d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),xa=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=ir(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.SELECTOR,gd}return _d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),qe$1=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=function(){function ud(_d,yd){for(var gd=0;gd<yd.length;gd++){var Ed=yd[gd];Ed.enumerable=Ed.enumerable||!1,Ed.configurable=!0,"value"in Ed&&(Ed.writable=!0),Object.defineProperty(_d,Ed.key,Ed)}}return function(_d,yd,gd){return yd&&ud(_d.prototype,yd),gd&&ud(_d,gd),_d}}(),ed=de(),td=rd(ed);function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(){return sd(this,_d),od(this,ud.apply(this,arguments))}return _d.prototype.toString=function(){return[this.spaces.before,this.ns,String(this.value),this.spaces.after].join("")},Ja(_d,[{key:"ns",get:function(){var yd=this.namespace;return yd?(typeof yd=="string"?yd:"")+"|":""}}]),_d}(td.default);Ra.default=cd,qa.exports=Ra.default}),ka=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=qe$1(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.CLASS,gd}return _d.prototype.toString=function(){return[this.spaces.before,this.ns,"."+this.value,this.spaces.after].join("")},_d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),Sa=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=de(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.COMMENT,gd}return _d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),Oa$1=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=qe$1(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.ID,gd}return _d.prototype.toString=function(){return[this.spaces.before,this.ns,"#"+this.value,this.spaces.after].join("")},_d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),Aa=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=qe$1(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.TAG,gd}return _d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),Pa$1=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=de(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.STRING,gd}return _d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),Ia=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=ir(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.PSEUDO,gd}return _d.prototype.toString=function(){var yd=this.length?"("+this.map(String).join(",")+")":"";return[this.spaces.before,String(this.value),yd,this.spaces.after].join("")},_d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),La=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=qe$1(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.ATTRIBUTE,gd.raws={},gd}return _d.prototype.toString=function(){var yd=[this.spaces.before,"[",this.ns,this.attribute];return this.operator&&yd.push(this.operator),this.value&&yd.push(this.value),this.raws.insensitive?yd.push(this.raws.insensitive):this.insensitive&&yd.push(" i"),yd.push("]"),yd.concat(this.spaces.after).join("")},_d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),Ma=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=qe$1(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.UNIVERSAL,gd.value="*",gd}return _d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),Ua$1=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=de(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.COMBINATOR,gd}return _d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),$a$1=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=de(),ed=rd(Ja),td=D();function rd(ud){return ud&&ud.__esModule?ud:{default:ud}}function sd(ud,_d){if(!(ud instanceof _d))throw new TypeError("Cannot call a class as a function")}function od(ud,_d){if(!ud)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return _d&&(typeof _d=="object"||typeof _d=="function")?_d:ud}function ld(ud,_d){if(typeof _d!="function"&&_d!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof _d);ud.prototype=Object.create(_d&&_d.prototype,{constructor:{value:ud,enumerable:!1,writable:!0,configurable:!0}}),_d&&(Object.setPrototypeOf?Object.setPrototypeOf(ud,_d):ud.__proto__=_d)}var cd=function(ud){ld(_d,ud);function _d(yd){sd(this,_d);var gd=od(this,ud.call(this,yd));return gd.type=td.NESTING,gd.value="&",gd}return _d}(ed.default);Ra.default=cd,qa.exports=Ra.default}),Ya$1=y((Ra,qa)=>{Ra.__esModule=!0,Ra.default=Ja;function Ja(ed){return ed.sort(function(td,rd){return td-rd})}qa.exports=Ra.default}),Xa=y((Ra,qa)=>{Ra.__esModule=!0,Ra.default=Vd;var Ja=39,ed=34,td=92,rd=47,sd=10,od=32,ld=12,cd=9,ud=13,_d=43,yd=62,gd=126,Ed=124,Td=44,kd=40,Rd=41,Nd=91,Id=93,Md=59,Ld=42,Pd=58,qd=38,Yd=64,Ud=/[ \n\t\r\{\(\)'"\\;/]/g,Hd=/[ \n\t\r\(\)\*:;@!&'"\+\|~>,\[\]\\]|\/(?=\*)/g;function Vd(Jd){for(var Zd=[],pf=Jd.css.valueOf(),Xd=void 0,hf=void 0,_f=void 0,xf=void 0,Lf=void 0,Wf=void 0,Yf=void 0,If=void 0,Sf=void 0,wf=void 0,Kf=void 0,Gf=pf.length,gf=-1,mf=1,$f=0,zf=function(hh,Vf){if(Jd.safe)pf+=Vf,hf=pf.length-1;else throw Jd.error("Unclosed "+hh,mf,$f-gf,$f)};$f<Gf;){switch(Xd=pf.charCodeAt($f),Xd===sd&&(gf=$f,mf+=1),Xd){case sd:case od:case cd:case ud:case ld:hf=$f;do hf+=1,Xd=pf.charCodeAt(hf),Xd===sd&&(gf=hf,mf+=1);while(Xd===od||Xd===sd||Xd===cd||Xd===ud||Xd===ld);Zd.push(["space",pf.slice($f,hf),mf,$f-gf,$f]),$f=hf-1;break;case _d:case yd:case gd:case Ed:hf=$f;do hf+=1,Xd=pf.charCodeAt(hf);while(Xd===_d||Xd===yd||Xd===gd||Xd===Ed);Zd.push(["combinator",pf.slice($f,hf),mf,$f-gf,$f]),$f=hf-1;break;case Ld:Zd.push(["*","*",mf,$f-gf,$f]);break;case qd:Zd.push(["&","&",mf,$f-gf,$f]);break;case Td:Zd.push([",",",",mf,$f-gf,$f]);break;case Nd:Zd.push(["[","[",mf,$f-gf,$f]);break;case Id:Zd.push(["]","]",mf,$f-gf,$f]);break;case Pd:Zd.push([":",":",mf,$f-gf,$f]);break;case Md:Zd.push([";",";",mf,$f-gf,$f]);break;case kd:Zd.push(["(","(",mf,$f-gf,$f]);break;case Rd:Zd.push([")",")",mf,$f-gf,$f]);break;case Ja:case ed:_f=Xd===Ja?"'":'"',hf=$f;do for(wf=!1,hf=pf.indexOf(_f,hf+1),hf===-1&&zf("quote",_f),Kf=hf;pf.charCodeAt(Kf-1)===td;)Kf-=1,wf=!wf;while(wf);Zd.push(["string",pf.slice($f,hf+1),mf,$f-gf,mf,hf-gf,$f]),$f=hf;break;case Yd:Ud.lastIndex=$f+1,Ud.test(pf),Ud.lastIndex===0?hf=pf.length-1:hf=Ud.lastIndex-2,Zd.push(["at-word",pf.slice($f,hf+1),mf,$f-gf,mf,hf-gf,$f]),$f=hf;break;case td:for(hf=$f,Yf=!0;pf.charCodeAt(hf+1)===td;)hf+=1,Yf=!Yf;Xd=pf.charCodeAt(hf+1),Yf&&Xd!==rd&&Xd!==od&&Xd!==sd&&Xd!==cd&&Xd!==ud&&Xd!==ld&&(hf+=1),Zd.push(["word",pf.slice($f,hf+1),mf,$f-gf,mf,hf-gf,$f]),$f=hf;break;default:Xd===rd&&pf.charCodeAt($f+1)===Ld?(hf=pf.indexOf("*/",$f+2)+1,hf===0&&zf("comment","*/"),Wf=pf.slice($f,hf+1),xf=Wf.split(`
|
||
`),Lf=xf.length-1,Lf>0?(If=mf+Lf,Sf=hf-xf[Lf].length):(If=mf,Sf=gf),Zd.push(["comment",Wf,mf,$f-gf,If,hf-Sf,$f]),gf=Sf,mf=If,$f=hf):(Hd.lastIndex=$f+1,Hd.test(pf),Hd.lastIndex===0?hf=pf.length-1:hf=Hd.lastIndex-2,Zd.push(["word",pf.slice($f,hf+1),mf,$f-gf,mf,hf-gf,$f]),$f=hf);break}$f++}return Zd}qa.exports=Ra.default}),tu$1=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=function(){function gf(mf,$f){for(var zf=0;zf<$f.length;zf++){var hh=$f[zf];hh.enumerable=hh.enumerable||!1,hh.configurable=!0,"value"in hh&&(hh.writable=!0),Object.defineProperty(mf,hh.key,hh)}}return function(mf,$f,zf){return $f&&gf(mf.prototype,$f),zf&&gf(mf,zf),mf}}(),ed=qs(),td=wf(ed),rd=Ls(),sd=wf(rd),od=Ds(),ld=wf(od),cd=ga$1(),ud=wf(cd),_d=xa(),yd=wf(_d),gd=ka(),Ed=wf(gd),Td=Sa(),kd=wf(Td),Rd=Oa$1(),Nd=wf(Rd),Id=Aa(),Md=wf(Id),Ld=Pa$1(),Pd=wf(Ld),qd=Ia(),Yd=wf(qd),Ud=La(),Hd=wf(Ud),Vd=Ma(),Jd=wf(Vd),Zd=Ua$1(),pf=wf(Zd),Xd=$a$1(),hf=wf(Xd),_f=Ya$1(),xf=wf(_f),Lf=Xa(),Wf=wf(Lf),Yf=D(),If=Sf(Yf);function Sf(gf){if(gf&&gf.__esModule)return gf;var mf={};if(gf!=null)for(var $f in gf)Object.prototype.hasOwnProperty.call(gf,$f)&&(mf[$f]=gf[$f]);return mf.default=gf,mf}function wf(gf){return gf&&gf.__esModule?gf:{default:gf}}function Kf(gf,mf){if(!(gf instanceof mf))throw new TypeError("Cannot call a class as a function")}var Gf=function(){function gf(mf){Kf(this,gf),this.input=mf,this.lossy=mf.options.lossless===!1,this.position=0,this.root=new ud.default;var $f=new yd.default;return this.root.append($f),this.current=$f,this.lossy?this.tokens=(0,Wf.default)({safe:mf.safe,css:mf.css.trim()}):this.tokens=(0,Wf.default)(mf),this.loop()}return gf.prototype.attribute=function(){var mf="",$f=void 0,zf=this.currToken;for(this.position++;this.position<this.tokens.length&&this.currToken[0]!=="]";)mf+=this.tokens[this.position][1],this.position++;this.position===this.tokens.length&&!~mf.indexOf("]")&&this.error("Expected a closing square bracket.");var hh=mf.split(/((?:[*~^$|]?=))([^]*)/),Vf=hh[0].split(/(\|)/g),kf={operator:hh[1],value:hh[2],source:{start:{line:zf[2],column:zf[3]},end:{line:this.currToken[2],column:this.currToken[3]}},sourceIndex:zf[4]};if(Vf.length>1?(Vf[0]===""&&(Vf[0]=!0),kf.attribute=this.parseValue(Vf[2]),kf.namespace=this.parseNamespace(Vf[0])):kf.attribute=this.parseValue(hh[0]),$f=new Hd.default(kf),hh[2]){var Jf=hh[2].split(/(\s+i\s*?)$/),Ch=Jf[0].trim();$f.value=this.lossy?Ch:Jf[0],Jf[1]&&($f.insensitive=!0,this.lossy||($f.raws.insensitive=Jf[1])),$f.quoted=Ch[0]==="'"||Ch[0]==='"',$f.raws.unquoted=$f.quoted?Ch.slice(1,-1):Ch}this.newNode($f),this.position++},gf.prototype.combinator=function(){if(this.currToken[1]==="|")return this.namespace();for(var mf=new pf.default({value:"",source:{start:{line:this.currToken[2],column:this.currToken[3]},end:{line:this.currToken[2],column:this.currToken[3]}},sourceIndex:this.currToken[4]});this.position<this.tokens.length&&this.currToken&&(this.currToken[0]==="space"||this.currToken[0]==="combinator");)this.nextToken&&this.nextToken[0]==="combinator"?(mf.spaces.before=this.parseSpace(this.currToken[1]),mf.source.start.line=this.nextToken[2],mf.source.start.column=this.nextToken[3],mf.source.end.column=this.nextToken[3],mf.source.end.line=this.nextToken[2],mf.sourceIndex=this.nextToken[4]):this.prevToken&&this.prevToken[0]==="combinator"?mf.spaces.after=this.parseSpace(this.currToken[1]):this.currToken[0]==="combinator"?mf.value=this.currToken[1]:this.currToken[0]==="space"&&(mf.value=this.parseSpace(this.currToken[1]," ")),this.position++;return this.newNode(mf)},gf.prototype.comma=function(){if(this.position===this.tokens.length-1){this.root.trailingComma=!0,this.position++;return}var mf=new yd.default;this.current.parent.append(mf),this.current=mf,this.position++},gf.prototype.comment=function(){var mf=new kd.default({value:this.currToken[1],source:{start:{line:this.currToken[2],column:this.currToken[3]},end:{line:this.currToken[4],column:this.currToken[5]}},sourceIndex:this.currToken[6]});this.newNode(mf),this.position++},gf.prototype.error=function(mf){throw new this.input.error(mf)},gf.prototype.missingBackslash=function(){return this.error("Expected a backslash preceding the semicolon.")},gf.prototype.missingParenthesis=function(){return this.error("Expected opening parenthesis.")},gf.prototype.missingSquareBracket=function(){return this.error("Expected opening square bracket.")},gf.prototype.namespace=function(){var mf=this.prevToken&&this.prevToken[1]||!0;if(this.nextToken[0]==="word")return this.position++,this.word(mf);if(this.nextToken[0]==="*")return this.position++,this.universal(mf)},gf.prototype.nesting=function(){this.newNode(new hf.default({value:this.currToken[1],source:{start:{line:this.currToken[2],column:this.currToken[3]},end:{line:this.currToken[2],column:this.currToken[3]}},sourceIndex:this.currToken[4]})),this.position++},gf.prototype.parentheses=function(){var mf=this.current.last;if(mf&&mf.type===If.PSEUDO){var $f=new yd.default,zf=this.current;mf.append($f),this.current=$f;var hh=1;for(this.position++;this.position<this.tokens.length&&hh;)this.currToken[0]==="("&&hh++,this.currToken[0]===")"&&hh--,hh?this.parse():($f.parent.source.end.line=this.currToken[2],$f.parent.source.end.column=this.currToken[3],this.position++);hh&&this.error("Expected closing parenthesis."),this.current=zf}else{var Vf=1;for(this.position++,mf.value+="(";this.position<this.tokens.length&&Vf;)this.currToken[0]==="("&&Vf++,this.currToken[0]===")"&&Vf--,mf.value+=this.parseParenthesisToken(this.currToken),this.position++;Vf&&this.error("Expected closing parenthesis.")}},gf.prototype.pseudo=function(){for(var mf=this,$f="",zf=this.currToken;this.currToken&&this.currToken[0]===":";)$f+=this.currToken[1],this.position++;if(!this.currToken)return this.error("Expected pseudo-class or pseudo-element");if(this.currToken[0]==="word"){var hh=void 0;this.splitWord(!1,function(Vf,kf){$f+=Vf,hh=new Yd.default({value:$f,source:{start:{line:zf[2],column:zf[3]},end:{line:mf.currToken[4],column:mf.currToken[5]}},sourceIndex:zf[4]}),mf.newNode(hh),kf>1&&mf.nextToken&&mf.nextToken[0]==="("&&mf.error("Misplaced parenthesis.")})}else this.error('Unexpected "'+this.currToken[0]+'" found.')},gf.prototype.space=function(){var mf=this.currToken;this.position===0||this.prevToken[0]===","||this.prevToken[0]==="("?(this.spaces=this.parseSpace(mf[1]),this.position++):this.position===this.tokens.length-1||this.nextToken[0]===","||this.nextToken[0]===")"?(this.current.last.spaces.after=this.parseSpace(mf[1]),this.position++):this.combinator()},gf.prototype.string=function(){var mf=this.currToken;this.newNode(new Pd.default({value:this.currToken[1],source:{start:{line:mf[2],column:mf[3]},end:{line:mf[4],column:mf[5]}},sourceIndex:mf[6]})),this.position++},gf.prototype.universal=function(mf){var $f=this.nextToken;if($f&&$f[1]==="|")return this.position++,this.namespace();this.newNode(new Jd.default({value:this.currToken[1],source:{start:{line:this.currToken[2],column:this.currToken[3]},end:{line:this.currToken[2],column:this.currToken[3]}},sourceIndex:this.currToken[4]}),mf),this.position++},gf.prototype.splitWord=function(mf,$f){for(var zf=this,hh=this.nextToken,Vf=this.currToken[1];hh&&hh[0]==="word";){this.position++;var kf=this.currToken[1];if(Vf+=kf,kf.lastIndexOf("\\")===kf.length-1){var Jf=this.nextToken;Jf&&Jf[0]==="space"&&(Vf+=this.parseSpace(Jf[1]," "),this.position++)}hh=this.nextToken}var Ch=(0,sd.default)(Vf,"."),qf=(0,sd.default)(Vf,"#"),Tf=(0,sd.default)(Vf,"#{");Tf.length&&(qf=qf.filter(function(Pf){return!~Tf.indexOf(Pf)}));var Af=(0,xf.default)((0,ld.default)((0,td.default)([[0],Ch,qf])));Af.forEach(function(Pf,gh){var Nh=Af[gh+1]||Vf.length,dh=Vf.slice(Pf,Nh);if(gh===0&&$f)return $f.call(zf,dh,Af.length);var $h=void 0;~Ch.indexOf(Pf)?$h=new Ed.default({value:dh.slice(1),source:{start:{line:zf.currToken[2],column:zf.currToken[3]+Pf},end:{line:zf.currToken[4],column:zf.currToken[3]+(Nh-1)}},sourceIndex:zf.currToken[6]+Af[gh]}):~qf.indexOf(Pf)?$h=new Nd.default({value:dh.slice(1),source:{start:{line:zf.currToken[2],column:zf.currToken[3]+Pf},end:{line:zf.currToken[4],column:zf.currToken[3]+(Nh-1)}},sourceIndex:zf.currToken[6]+Af[gh]}):$h=new Md.default({value:dh,source:{start:{line:zf.currToken[2],column:zf.currToken[3]+Pf},end:{line:zf.currToken[4],column:zf.currToken[3]+(Nh-1)}},sourceIndex:zf.currToken[6]+Af[gh]}),zf.newNode($h,mf)}),this.position++},gf.prototype.word=function(mf){var $f=this.nextToken;return $f&&$f[1]==="|"?(this.position++,this.namespace()):this.splitWord(mf)},gf.prototype.loop=function(){for(;this.position<this.tokens.length;)this.parse(!0);return this.root},gf.prototype.parse=function(mf){switch(this.currToken[0]){case"space":this.space();break;case"comment":this.comment();break;case"(":this.parentheses();break;case")":mf&&this.missingParenthesis();break;case"[":this.attribute();break;case"]":this.missingSquareBracket();break;case"at-word":case"word":this.word();break;case":":this.pseudo();break;case";":this.missingBackslash();break;case",":this.comma();break;case"*":this.universal();break;case"&":this.nesting();break;case"combinator":this.combinator();break;case"string":this.string();break}},gf.prototype.parseNamespace=function(mf){if(this.lossy&&typeof mf=="string"){var $f=mf.trim();return $f.length?$f:!0}return mf},gf.prototype.parseSpace=function(mf,$f){return this.lossy?$f||"":mf},gf.prototype.parseValue=function(mf){return this.lossy&&mf&&typeof mf=="string"?mf.trim():mf},gf.prototype.parseParenthesisToken=function(mf){return this.lossy?mf[0]==="space"?this.parseSpace(mf[1]," "):this.parseValue(mf[1]):mf[1]},gf.prototype.newNode=function(mf,$f){return $f&&(mf.namespace=this.parseNamespace($f)),this.spaces&&(mf.spaces.before=this.spaces,this.spaces=""),this.current.append(mf)},Ja(gf,[{key:"currToken",get:function(){return this.tokens[this.position]}},{key:"nextToken",get:function(){return this.tokens[this.position+1]}},{key:"prevToken",get:function(){return this.tokens[this.position-1]}}]),gf}();Ra.default=Gf,qa.exports=Ra.default}),su$1=y((Ra,qa)=>{Ra.__esModule=!0;var Ja=function(){function ld(cd,ud){for(var _d=0;_d<ud.length;_d++){var yd=ud[_d];yd.enumerable=yd.enumerable||!1,yd.configurable=!0,"value"in yd&&(yd.writable=!0),Object.defineProperty(cd,yd.key,yd)}}return function(cd,ud,_d){return ud&&ld(cd.prototype,ud),_d&&ld(cd,_d),cd}}(),ed=tu$1(),td=rd(ed);function rd(ld){return ld&&ld.__esModule?ld:{default:ld}}function sd(ld,cd){if(!(ld instanceof cd))throw new TypeError("Cannot call a class as a function")}var od=function(){function ld(cd){return sd(this,ld),this.func=cd||function(){},this}return ld.prototype.process=function(cd){var ud=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{},_d=new td.default({css:cd,error:function(yd){throw new Error(yd)},options:ud});return this.res=_d,this.func(_d),this},Ja(ld,[{key:"result",get:function(){return String(this.res)}}]),ld}();Ra.default=od,qa.exports=Ra.default}),z=y((Ra,qa)=>{var Ja=function(ed,td){let rd=new ed.constructor;for(let sd in ed){if(!ed.hasOwnProperty(sd))continue;let od=ed[sd],ld=typeof od;sd==="parent"&&ld==="object"?td&&(rd[sd]=td):sd==="source"?rd[sd]=od:od instanceof Array?rd[sd]=od.map(cd=>Ja(cd,rd)):sd!=="before"&&sd!=="after"&&sd!=="between"&&sd!=="semicolon"&&(ld==="object"&&od!==null&&(od=Ja(od)),rd[sd]=od)}return rd};qa.exports=class{constructor(ed){ed=ed||{},this.raws={before:"",after:""};for(let td in ed)this[td]=ed[td]}remove(){return this.parent&&this.parent.removeChild(this),this.parent=void 0,this}toString(){return[this.raws.before,String(this.value),this.raws.after].join("")}clone(ed){ed=ed||{};let td=Ja(this);for(let rd in ed)td[rd]=ed[rd];return td}cloneBefore(ed){ed=ed||{};let td=this.clone(ed);return this.parent.insertBefore(this,td),td}cloneAfter(ed){ed=ed||{};let td=this.clone(ed);return this.parent.insertAfter(this,td),td}replaceWith(){let ed=Array.prototype.slice.call(arguments);if(this.parent){for(let td of ed)this.parent.insertBefore(this,td);this.remove()}return this}moveTo(ed){return this.cleanRaws(this.root()===ed.root()),this.remove(),ed.append(this),this}moveBefore(ed){return this.cleanRaws(this.root()===ed.root()),this.remove(),ed.parent.insertBefore(ed,this),this}moveAfter(ed){return this.cleanRaws(this.root()===ed.root()),this.remove(),ed.parent.insertAfter(ed,this),this}next(){let ed=this.parent.index(this);return this.parent.nodes[ed+1]}prev(){let ed=this.parent.index(this);return this.parent.nodes[ed-1]}toJSON(){let ed={};for(let td in this){if(!this.hasOwnProperty(td)||td==="parent")continue;let rd=this[td];rd instanceof Array?ed[td]=rd.map(sd=>typeof sd=="object"&&sd.toJSON?sd.toJSON():sd):typeof rd=="object"&&rd.toJSON?ed[td]=rd.toJSON():ed[td]=rd}return ed}root(){let ed=this;for(;ed.parent;)ed=ed.parent;return ed}cleanRaws(ed){delete this.raws.before,delete this.raws.after,ed||delete this.raws.between}positionInside(ed){let td=this.toString(),rd=this.source.start.column,sd=this.source.start.line;for(let od=0;od<ed;od++)td[od]===`
|
||
`?(rd=1,sd+=1):rd+=1;return{line:sd,column:rd}}positionBy(ed){let td=this.source.start;if(Object(ed).index)td=this.positionInside(ed.index);else if(Object(ed).word){let rd=this.toString().indexOf(ed.word);rd!==-1&&(td=this.positionInside(rd))}return td}}}),U=y((Ra,qa)=>{var Ja=z(),ed=class extends Ja{constructor(td){super(td),this.nodes||(this.nodes=[])}push(td){return td.parent=this,this.nodes.push(td),this}each(td){this.lastEach||(this.lastEach=0),this.indexes||(this.indexes={}),this.lastEach+=1;let rd=this.lastEach,sd,od;if(this.indexes[rd]=0,!!this.nodes){for(;this.indexes[rd]<this.nodes.length&&(sd=this.indexes[rd],od=td(this.nodes[sd],sd),od!==!1);)this.indexes[rd]+=1;return delete this.indexes[rd],od}}walk(td){return this.each((rd,sd)=>{let od=td(rd,sd);return od!==!1&&rd.walk&&(od=rd.walk(td)),od})}walkType(td,rd){if(!td||!rd)throw new Error("Parameters {type} and {callback} are required.");let sd=typeof td=="function";return this.walk((od,ld)=>{if(sd&&od instanceof td||!sd&&od.type===td)return rd.call(this,od,ld)})}append(td){return td.parent=this,this.nodes.push(td),this}prepend(td){return td.parent=this,this.nodes.unshift(td),this}cleanRaws(td){if(super.cleanRaws(td),this.nodes)for(let rd of this.nodes)rd.cleanRaws(td)}insertAfter(td,rd){let sd=this.index(td),od;this.nodes.splice(sd+1,0,rd);for(let ld in this.indexes)od=this.indexes[ld],sd<=od&&(this.indexes[ld]=od+this.nodes.length);return this}insertBefore(td,rd){let sd=this.index(td),od;this.nodes.splice(sd,0,rd);for(let ld in this.indexes)od=this.indexes[ld],sd<=od&&(this.indexes[ld]=od+this.nodes.length);return this}removeChild(td){td=this.index(td),this.nodes[td].parent=void 0,this.nodes.splice(td,1);let rd;for(let sd in this.indexes)rd=this.indexes[sd],rd>=td&&(this.indexes[sd]=rd-1);return this}removeAll(){for(let td of this.nodes)td.parent=void 0;return this.nodes=[],this}every(td){return this.nodes.every(td)}some(td){return this.nodes.some(td)}index(td){return typeof td=="number"?td:this.nodes.indexOf(td)}get first(){if(this.nodes)return this.nodes[0]}get last(){if(this.nodes)return this.nodes[this.nodes.length-1]}toString(){let td=this.nodes.map(String).join("");return this.value&&(td=this.value+td),this.raws.before&&(td=this.raws.before+td),this.raws.after&&(td+=this.raws.after),td}};ed.registerWalker=td=>{let rd="walk"+td.name;rd.lastIndexOf("s")!==rd.length-1&&(rd+="s"),!ed.prototype[rd]&&(ed.prototype[rd]=function(sd){return this.walkType(td,sd)})},qa.exports=ed}),uu$1=y((Ra,qa)=>{var Ja=U();qa.exports=class extends Ja{constructor(ed){super(ed),this.type="root"}}}),cu=y((Ra,qa)=>{var Ja=U();qa.exports=class extends Ja{constructor(ed){super(ed),this.type="value",this.unbalanced=0}}}),hu=y((Ra,qa)=>{var Ja=U(),ed=class extends Ja{constructor(td){super(td),this.type="atword"}toString(){return this.quoted&&this.raws.quote,[this.raws.before,"@",String.prototype.toString.call(this.value),this.raws.after].join("")}};Ja.registerWalker(ed),qa.exports=ed}),mu=y((Ra,qa)=>{var Ja=U(),ed=z(),td=class extends ed{constructor(rd){super(rd),this.type="colon"}};Ja.registerWalker(td),qa.exports=td}),wu=y((Ra,qa)=>{var Ja=U(),ed=z(),td=class extends ed{constructor(rd){super(rd),this.type="comma"}};Ja.registerWalker(td),qa.exports=td}),vu=y((Ra,qa)=>{var Ja=U(),ed=z(),td=class extends ed{constructor(rd){super(rd),this.type="comment",this.inline=Object(rd).inline||!1}toString(){return[this.raws.before,this.inline?"//":"/*",String(this.value),this.inline?"":"*/",this.raws.after].join("")}};Ja.registerWalker(td),qa.exports=td}),_u=y((Ra,qa)=>{var Ja=U(),ed=class extends Ja{constructor(td){super(td),this.type="func",this.unbalanced=-1}};Ja.registerWalker(ed),qa.exports=ed}),Eu=y((Ra,qa)=>{var Ja=U(),ed=z(),td=class extends ed{constructor(rd){super(rd),this.type="number",this.unit=Object(rd).unit||""}toString(){return[this.raws.before,String(this.value),this.unit,this.raws.after].join("")}};Ja.registerWalker(td),qa.exports=td}),Tu=y((Ra,qa)=>{var Ja=U(),ed=z(),td=class extends ed{constructor(rd){super(rd),this.type="operator"}};Ja.registerWalker(td),qa.exports=td}),Cu=y((Ra,qa)=>{var Ja=U(),ed=z(),td=class extends ed{constructor(rd){super(rd),this.type="paren",this.parenType=""}};Ja.registerWalker(td),qa.exports=td}),Nu=y((Ra,qa)=>{var Ja=U(),ed=z(),td=class extends ed{constructor(rd){super(rd),this.type="string"}toString(){let rd=this.quoted?this.raws.quote:"";return[this.raws.before,rd,this.value+"",rd,this.raws.after].join("")}};Ja.registerWalker(td),qa.exports=td}),Ru=y((Ra,qa)=>{var Ja=U(),ed=z(),td=class extends ed{constructor(rd){super(rd),this.type="word"}};Ja.registerWalker(td),qa.exports=td}),qu$1=y((Ra,qa)=>{var Ja=U(),ed=z(),td=class extends ed{constructor(rd){super(rd),this.type="unicode-range"}};Ja.registerWalker(td),qa.exports=td}),Du=y((Ra,qa)=>{var Ja=class extends Error{constructor(ed){super(ed),this.name=this.constructor.name,this.message=ed||"An error ocurred while tokzenizing.",typeof Error.captureStackTrace=="function"?Error.captureStackTrace(this,this.constructor):this.stack=new Error(ed).stack}};qa.exports=Ja}),Uu=y((Ra,qa)=>{var Ja=/[ \n\t\r\{\(\)'"\\;,/]/g,ed=/[ \n\t\r\(\)\{\}\*:;@!&'"\+\|~>,\[\]\\]|\/(?=\*)/g,td=/[ \n\t\r\(\)\{\}\*:;@!&'"\-\+\|~>,\[\]\\]|\//g,rd=/^[a-z0-9]/i,sd=/^[a-f0-9?\-]/i,od=Du();qa.exports=function(ld,cd){cd=cd||{};let ud=[],_d=ld.valueOf(),yd=_d.length,gd=-1,Ed=1,Td=0,kd=0,Rd=null,Nd,Id,Md,Ld,Pd,qd,Yd,Ud,Hd,Vd,Jd;function Zd(pf){let Xd=`Unclosed ${pf} at line: ${Ed}, column: ${Td-gd}, token: ${Td}`;throw new od(Xd)}for(;Td<yd;){switch(Nd=_d.charCodeAt(Td),Nd===10&&(gd=Td,Ed+=1),Nd){case 10:case 32:case 9:case 13:case 12:Id=Td;do Id+=1,Nd=_d.charCodeAt(Id),Nd===10&&(gd=Id,Ed+=1);while(Nd===32||Nd===10||Nd===9||Nd===13||Nd===12);ud.push(["space",_d.slice(Td,Id),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id-1;break;case 58:Id=Td+1,ud.push(["colon",_d.slice(Td,Id),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id-1;break;case 44:Id=Td+1,ud.push(["comma",_d.slice(Td,Id),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id-1;break;case 123:ud.push(["{","{",Ed,Td-gd,Ed,Id-gd,Td]);break;case 125:ud.push(["}","}",Ed,Td-gd,Ed,Id-gd,Td]);break;case 40:kd++,Rd=!Rd&&kd===1&&ud.length>0&&ud[ud.length-1][0]==="word"&&ud[ud.length-1][1]==="url",ud.push(["(","(",Ed,Td-gd,Ed,Id-gd,Td]);break;case 41:kd--,Rd=Rd&&kd>0,ud.push([")",")",Ed,Td-gd,Ed,Id-gd,Td]);break;case 39:case 34:Md=Nd===39?"'":'"',Id=Td;do for(Hd=!1,Id=_d.indexOf(Md,Id+1),Id===-1&&Zd("quote"),Vd=Id;_d.charCodeAt(Vd-1)===92;)Vd-=1,Hd=!Hd;while(Hd);ud.push(["string",_d.slice(Td,Id+1),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id;break;case 64:Ja.lastIndex=Td+1,Ja.test(_d),Ja.lastIndex===0?Id=_d.length-1:Id=Ja.lastIndex-2,ud.push(["atword",_d.slice(Td,Id+1),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id;break;case 92:Id=Td,Nd=_d.charCodeAt(Id+1),ud.push(["word",_d.slice(Td,Id+1),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id;break;case 43:case 45:case 42:if(Id=Td+1,Jd=_d.slice(Td+1,Id+1),_d.slice(Td-1,Td),Nd===45&&Jd.charCodeAt(0)===45){Id++,ud.push(["word",_d.slice(Td,Id),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id-1;break}ud.push(["operator",_d.slice(Td,Id),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id-1;break;default:if(Nd===47&&(_d.charCodeAt(Td+1)===42||cd.loose&&!Rd&&_d.charCodeAt(Td+1)===47)){if(_d.charCodeAt(Td+1)===42)Id=_d.indexOf("*/",Td+2)+1,Id===0&&Zd("comment");else{let pf=_d.indexOf(`
|
||
`,Td+2);Id=pf!==-1?pf-1:yd}qd=_d.slice(Td,Id+1),Ld=qd.split(`
|
||
`),Pd=Ld.length-1,Pd>0?(Yd=Ed+Pd,Ud=Id-Ld[Pd].length):(Yd=Ed,Ud=gd),ud.push(["comment",qd,Ed,Td-gd,Yd,Id-Ud,Td]),gd=Ud,Ed=Yd,Td=Id}else if(Nd===35&&!rd.test(_d.slice(Td+1,Td+2)))Id=Td+1,ud.push(["#",_d.slice(Td,Id),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id-1;else if((Nd===117||Nd===85)&&_d.charCodeAt(Td+1)===43){Id=Td+2;do Id+=1,Nd=_d.charCodeAt(Id);while(Id<yd&&sd.test(_d.slice(Id,Id+1)));ud.push(["unicoderange",_d.slice(Td,Id),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id-1}else if(Nd===47)Id=Td+1,ud.push(["operator",_d.slice(Td,Id),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id-1;else{let pf=ed;if(Nd>=48&&Nd<=57&&(pf=td),pf.lastIndex=Td+1,pf.test(_d),pf.lastIndex===0?Id=_d.length-1:Id=pf.lastIndex-2,pf===td||Nd===46){let Xd=_d.charCodeAt(Id),hf=_d.charCodeAt(Id+1),_f=_d.charCodeAt(Id+2);(Xd===101||Xd===69)&&(hf===45||hf===43)&&_f>=48&&_f<=57&&(td.lastIndex=Id+2,td.test(_d),td.lastIndex===0?Id=_d.length-1:Id=td.lastIndex-2)}ud.push(["word",_d.slice(Td,Id+1),Ed,Td-gd,Ed,Id-gd,Td]),Td=Id}break}Td++}return ud}}),$u=y((Ra,qa)=>{var Ja=class extends Error{constructor(ed){super(ed),this.name=this.constructor.name,this.message=ed||"An error ocurred while parsing.",typeof Error.captureStackTrace=="function"?Error.captureStackTrace(this,this.constructor):this.stack=new Error(ed).stack}};qa.exports=Ja}),Vu=y((Ra,qa)=>{var Ja=uu$1(),ed=cu(),td=hu(),rd=mu(),sd=wu(),od=vu(),ld=_u(),cd=Eu(),ud=Tu(),_d=Cu(),yd=Nu(),gd=Ru(),Ed=qu$1(),Td=Uu(),kd=qs(),Rd=Ls(),Nd=Ds(),Id=$u();function Md(Ld){return Ld.sort((Pd,qd)=>Pd-qd)}qa.exports=class{constructor(Ld,Pd){let qd={loose:!1};this.cache=[],this.input=Ld,this.options=Object.assign({},qd,Pd),this.position=0,this.unbalanced=0,this.root=new Ja;let Yd=new ed;this.root.append(Yd),this.current=Yd,this.tokens=Td(Ld,this.options)}parse(){return this.loop()}colon(){let Ld=this.currToken;this.newNode(new rd({value:Ld[1],source:{start:{line:Ld[2],column:Ld[3]},end:{line:Ld[4],column:Ld[5]}},sourceIndex:Ld[6]})),this.position++}comma(){let Ld=this.currToken;this.newNode(new sd({value:Ld[1],source:{start:{line:Ld[2],column:Ld[3]},end:{line:Ld[4],column:Ld[5]}},sourceIndex:Ld[6]})),this.position++}comment(){let Ld=!1,Pd=this.currToken[1].replace(/\/\*|\*\//g,""),qd;this.options.loose&&Pd.startsWith("//")&&(Pd=Pd.substring(2),Ld=!0),qd=new od({value:Pd,inline:Ld,source:{start:{line:this.currToken[2],column:this.currToken[3]},end:{line:this.currToken[4],column:this.currToken[5]}},sourceIndex:this.currToken[6]}),this.newNode(qd),this.position++}error(Ld,Pd){throw new Id(Ld+` at line: ${Pd[2]}, column ${Pd[3]}`)}loop(){for(;this.position<this.tokens.length;)this.parseTokens();return!this.current.last&&this.spaces?this.current.raws.before+=this.spaces:this.spaces&&(this.current.last.raws.after+=this.spaces),this.spaces="",this.root}operator(){let Ld=this.currToken[1],Pd;if(Ld==="+"||Ld==="-"){if(this.options.loose||this.position>0&&(this.current.type==="func"&&this.current.value==="calc"?this.prevToken[0]!=="space"&&this.prevToken[0]!=="("?this.error("Syntax Error",this.currToken):this.nextToken[0]!=="space"&&this.nextToken[0]!=="word"?this.error("Syntax Error",this.currToken):this.nextToken[0]==="word"&&this.current.last.type!=="operator"&&this.current.last.value!=="("&&this.error("Syntax Error",this.currToken):(this.nextToken[0]==="space"||this.nextToken[0]==="operator"||this.prevToken[0]==="operator")&&this.error("Syntax Error",this.currToken)),this.options.loose){if((!this.current.nodes.length||this.current.last&&this.current.last.type==="operator")&&this.nextToken[0]==="word")return this.word()}else if(this.nextToken[0]==="word")return this.word()}return Pd=new ud({value:this.currToken[1],source:{start:{line:this.currToken[2],column:this.currToken[3]},end:{line:this.currToken[2],column:this.currToken[3]}},sourceIndex:this.currToken[4]}),this.position++,this.newNode(Pd)}parseTokens(){switch(this.currToken[0]){case"space":this.space();break;case"colon":this.colon();break;case"comma":this.comma();break;case"comment":this.comment();break;case"(":this.parenOpen();break;case")":this.parenClose();break;case"atword":case"word":this.word();break;case"operator":this.operator();break;case"string":this.string();break;case"unicoderange":this.unicodeRange();break;default:this.word();break}}parenOpen(){let Ld=1,Pd=this.position+1,qd=this.currToken,Yd;for(;Pd<this.tokens.length&&Ld;){let Ud=this.tokens[Pd];Ud[0]==="("&&Ld++,Ud[0]===")"&&Ld--,Pd++}if(Ld&&this.error("Expected closing parenthesis",qd),Yd=this.current.last,Yd&&Yd.type==="func"&&Yd.unbalanced<0&&(Yd.unbalanced=0,this.current=Yd),this.current.unbalanced++,this.newNode(new _d({value:qd[1],source:{start:{line:qd[2],column:qd[3]},end:{line:qd[4],column:qd[5]}},sourceIndex:qd[6]})),this.position++,this.current.type==="func"&&this.current.unbalanced&&this.current.value==="url"&&this.currToken[0]!=="string"&&this.currToken[0]!==")"&&!this.options.loose){let Ud=this.nextToken,Hd=this.currToken[1],Vd={line:this.currToken[2],column:this.currToken[3]};for(;Ud&&Ud[0]!==")"&&this.current.unbalanced;)this.position++,Hd+=this.currToken[1],Ud=this.nextToken;this.position!==this.tokens.length-1&&(this.position++,this.newNode(new gd({value:Hd,source:{start:Vd,end:{line:this.currToken[4],column:this.currToken[5]}},sourceIndex:this.currToken[6]})))}}parenClose(){let Ld=this.currToken;this.newNode(new _d({value:Ld[1],source:{start:{line:Ld[2],column:Ld[3]},end:{line:Ld[4],column:Ld[5]}},sourceIndex:Ld[6]})),this.position++,!(this.position>=this.tokens.length-1&&!this.current.unbalanced)&&(this.current.unbalanced--,this.current.unbalanced<0&&this.error("Expected opening parenthesis",Ld),!this.current.unbalanced&&this.cache.length&&(this.current=this.cache.pop()))}space(){let Ld=this.currToken;this.position===this.tokens.length-1||this.nextToken[0]===","||this.nextToken[0]===")"?(this.current.last.raws.after+=Ld[1],this.position++):(this.spaces=Ld[1],this.position++)}unicodeRange(){let Ld=this.currToken;this.newNode(new Ed({value:Ld[1],source:{start:{line:Ld[2],column:Ld[3]},end:{line:Ld[4],column:Ld[5]}},sourceIndex:Ld[6]})),this.position++}splitWord(){let Ld=this.nextToken,Pd=this.currToken[1],qd=/^[\+\-]?((\d+(\.\d*)?)|(\.\d+))([eE][\+\-]?\d+)?/,Yd=/^(?!\#([a-z0-9]+))[\#\{\}]/gi,Ud,Hd;if(!Yd.test(Pd))for(;Ld&&Ld[0]==="word";){this.position++;let Vd=this.currToken[1];Pd+=Vd,Ld=this.nextToken}Ud=Rd(Pd,"@"),Hd=Md(Nd(kd([[0],Ud]))),Hd.forEach((Vd,Jd)=>{let Zd=Hd[Jd+1]||Pd.length,pf=Pd.slice(Vd,Zd),Xd;if(~Ud.indexOf(Vd))Xd=new td({value:pf.slice(1),source:{start:{line:this.currToken[2],column:this.currToken[3]+Vd},end:{line:this.currToken[4],column:this.currToken[3]+(Zd-1)}},sourceIndex:this.currToken[6]+Hd[Jd]});else if(qd.test(this.currToken[1])){let hf=pf.replace(qd,"");Xd=new cd({value:pf.replace(hf,""),source:{start:{line:this.currToken[2],column:this.currToken[3]+Vd},end:{line:this.currToken[4],column:this.currToken[3]+(Zd-1)}},sourceIndex:this.currToken[6]+Hd[Jd],unit:hf})}else Xd=new(Ld&&Ld[0]==="("?ld:gd)({value:pf,source:{start:{line:this.currToken[2],column:this.currToken[3]+Vd},end:{line:this.currToken[4],column:this.currToken[3]+(Zd-1)}},sourceIndex:this.currToken[6]+Hd[Jd]}),Xd.type==="word"?(Xd.isHex=/^#(.+)/.test(pf),Xd.isColor=/^#([0-9a-f]{3}|[0-9a-f]{4}|[0-9a-f]{6}|[0-9a-f]{8})$/i.test(pf)):this.cache.push(this.current);this.newNode(Xd)}),this.position++}string(){let Ld=this.currToken,Pd=this.currToken[1],qd=/^(\"|\')/,Yd=qd.test(Pd),Ud="",Hd;Yd&&(Ud=Pd.match(qd)[0],Pd=Pd.slice(1,Pd.length-1)),Hd=new yd({value:Pd,source:{start:{line:Ld[2],column:Ld[3]},end:{line:Ld[4],column:Ld[5]}},sourceIndex:Ld[6],quoted:Yd}),Hd.raws.quote=Ud,this.newNode(Hd),this.position++}word(){return this.splitWord()}newNode(Ld){return this.spaces&&(Ld.raws.before+=this.spaces,this.spaces=""),this.current.append(Ld)}get currToken(){return this.tokens[this.position]}get nextToken(){return this.tokens[this.position+1]}get prevToken(){return this.tokens[this.position-1]}}}),Qs={};Xs(Qs,{languages:()=>pi$1,options:()=>di,parsers:()=>Ks,printers:()=>Ey});var hl=(Ra,qa,Ja,ed)=>{if(!(Ra&&qa==null))return qa.replaceAll?qa.replaceAll(Ja,ed):Ja.global?qa.replace(Ja,ed):qa.split(Ja).join(ed)},_$1=hl,Me$1="string",Be="array",Ue="cursor",we="indent",ge="align",Fe="trim",ve="group",xe$1="fill",oe="if-break",$e$1="indent-if-break",We="line-suffix",Ye="line-suffix-boundary",K="line",ze="label",be$1="break-parent",bt=new Set([Ue,we,ge,Fe,ve,xe$1,oe,$e$1,We,Ye,K,ze,be$1]);function dl(Ra){if(typeof Ra=="string")return Me$1;if(Array.isArray(Ra))return Be;if(!Ra)return;let{type:qa}=Ra;if(bt.has(qa))return qa}var Ve=dl,ml=Ra=>new Intl.ListFormat("en-US",{type:"disjunction"}).format(Ra);function yl(Ra){let qa=Ra===null?"null":typeof Ra;if(qa!=="string"&&qa!=="object")return`Unexpected doc '${qa}',
|
||
Expected it to be 'string' or 'object'.`;if(Ve(Ra))throw new Error("doc is valid.");let Ja=Object.prototype.toString.call(Ra);if(Ja!=="[object Object]")return`Unexpected doc '${Ja}'.`;let ed=ml([...bt].map(td=>`'${td}'`));return`Unexpected doc.type '${Ra.type}'.
|
||
Expected it to be ${ed}.`}var Fr=class extends Error{constructor(qa){super(yl(qa));n1(this,"name","InvalidDocError");this.doc=qa}},$r=Fr,Zs=()=>{},_t=Zs;function q(Ra){return{type:we,contents:Ra}}function en(Ra,qa){return{type:ge,contents:qa,n:Ra}}function L(Ra,qa={}){return _t(qa.expandedStates),{type:ve,id:qa.id,contents:Ra,break:!!qa.shouldBreak,expandedStates:qa.expandedStates}}function tn(Ra){return en({type:"root"},Ra)}function ue(Ra){return en(-1,Ra)}function Ge(Ra){return{type:xe$1,parts:Ra}}function kt(Ra,qa="",Ja={}){return{type:oe,breakContents:Ra,flatContents:qa,groupId:Ja.groupId}}var je$1={type:be$1},wl$1={type:K,hard:!0},A={type:K},M={type:K,soft:!0},E=[wl$1,je$1];function V(Ra,qa){let Ja=[];for(let ed=0;ed<qa.length;ed++)ed!==0&&Ja.push(Ra),Ja.push(qa[ed]);return Ja}var gl=(Ra,qa,Ja)=>{if(!(Ra&&qa==null))return Array.isArray(qa)||typeof qa=="string"?qa[Ja<0?qa.length+Ja:Ja]:qa.at(Ja)},G=gl;function vl(Ra,qa){if(typeof Ra=="string")return qa(Ra);let Ja=new Map;return ed(Ra);function ed(rd){if(Ja.has(rd))return Ja.get(rd);let sd=td(rd);return Ja.set(rd,sd),sd}function td(rd){switch(Ve(rd)){case Be:return qa(rd.map(ed));case xe$1:return qa({...rd,parts:rd.parts.map(ed)});case oe:return qa({...rd,breakContents:ed(rd.breakContents),flatContents:ed(rd.flatContents)});case ve:{let{expandedStates:sd,contents:od}=rd;return sd?(sd=sd.map(ed),od=sd[0]):od=ed(od),qa({...rd,contents:od,expandedStates:sd})}case ge:case we:case $e$1:case ze:case We:return qa({...rd,contents:ed(rd.contents)});case Me$1:case Ue:case Fe:case Ye:case K:case be$1:return qa(rd);default:throw new $r(rd)}}}function xl$1(Ra){return Ra.type===K&&!Ra.hard?Ra.soft?"":" ":Ra.type===oe?Ra.flatContents:Ra}function rn(Ra){return vl(Ra,xl$1)}function bl(Ra){return Array.isArray(Ra)&&Ra.length>0}var ee=bl,Et="'",sn='"';function _l(Ra,qa){let Ja=qa===!0||qa===Et?Et:sn,ed=Ja===Et?sn:Et,td=0,rd=0;for(let sd of Ra)sd===Ja?td++:sd===ed&&rd++;return td>rd?ed:Ja}var nn=_l;function kl(Ra,qa,Ja){let ed=qa==='"'?"'":'"',td=_$1(!1,Ra,/\\(.)|(["'])/gsu,(rd,sd,od)=>sd===ed?sd:od===qa?"\\"+od:od||(Ja&&/^[^\n\r"'0-7\\bfnrt-vx\u2028\u2029]$/u.test(sd)?sd:"\\"+sd));return qa+td+qa}var on=kl;function El$1(Ra,qa){let Ja=Ra.slice(1,-1),ed=qa.parser==="json"||qa.parser==="jsonc"||qa.parser==="json5"&&qa.quoteProps==="preserve"&&!qa.singleQuote?'"':qa.__isInHtmlAttribute?"'":nn(Ja,qa.singleQuote);return on(Ja,ed,!(qa.parser==="css"||qa.parser==="less"||qa.parser==="scss"||qa.__embeddedInHtml))}var St=El$1,Wr=class extends Error{constructor(qa,Ja,ed="type"){super(`Unexpected ${Ja} node ${ed}: ${JSON.stringify(qa[ed])}.`);n1(this,"name","UnexpectedNodeError");this.node=qa}},an=Wr;function Sl$1(Ra){return(Ra==null?void 0:Ra.type)==="front-matter"}var _e=Sl$1,Tl$1=new Set(["raw","raws","sourceIndex","source","before","after","trailingComma","spaces"]);function un(Ra,qa,Ja){if(_e(Ra)&&Ra.language==="yaml"&&delete qa.value,Ra.type==="css-comment"&&Ja.type==="css-root"&&Ja.nodes.length>0&&((Ja.nodes[0]===Ra||_e(Ja.nodes[0])&&Ja.nodes[1]===Ra)&&(delete qa.text,/^\*\s*@(?:format|prettier)\s*$/u.test(Ra.text))||Ja.type==="css-root"&&G(!1,Ja.nodes,-1)===Ra))return null;if(Ra.type==="value-root"&&delete qa.text,(Ra.type==="media-query"||Ra.type==="media-query-list"||Ra.type==="media-feature-expression")&&delete qa.value,Ra.type==="css-rule"&&delete qa.params,(Ra.type==="media-feature"||Ra.type==="media-keyword"||Ra.type==="media-type"||Ra.type==="media-unknown"||Ra.type==="media-url"||Ra.type==="media-value"||Ra.type==="selector-attribute"||Ra.type==="selector-string"||Ra.type==="selector-class"||Ra.type==="selector-combinator"||Ra.type==="value-string")&&Ra.value&&(qa.value=Ol$1(Ra.value)),Ra.type==="selector-combinator"&&(qa.value=_$1(!1,qa.value,/\s+/gu," ")),Ra.type==="media-feature"&&(qa.value=_$1(!1,qa.value," ","")),(Ra.type==="value-word"&&(Ra.isColor&&Ra.isHex||["initial","inherit","unset","revert"].includes(Ra.value.toLowerCase()))||Ra.type==="media-feature"||Ra.type==="selector-root-invalid"||Ra.type==="selector-pseudo")&&(qa.value=qa.value.toLowerCase()),Ra.type==="css-decl"&&(qa.prop=Ra.prop.toLowerCase()),(Ra.type==="css-atrule"||Ra.type==="css-import")&&(qa.name=Ra.name.toLowerCase()),Ra.type==="value-number"&&(qa.unit=Ra.unit.toLowerCase()),Ra.type==="value-unknown"&&(qa.value=_$1(!1,qa.value,/;$/gu,"")),Ra.type==="selector-attribute"&&(qa.attribute=Ra.attribute.trim(),Ra.namespace&&typeof Ra.namespace=="string"&&(qa.namespace=Ra.namespace.trim()||!0),Ra.value&&(qa.value=_$1(!1,qa.value.trim(),/^["']|["']$/gu,""),delete qa.quoted)),(Ra.type==="media-value"||Ra.type==="media-type"||Ra.type==="value-number"||Ra.type==="selector-root-invalid"||Ra.type==="selector-class"||Ra.type==="selector-combinator"||Ra.type==="selector-tag")&&Ra.value&&(qa.value=_$1(!1,qa.value,/([\d+.e-]+)([a-z]*)/giu,(ed,td,rd)=>{let sd=Number(td);return Number.isNaN(sd)?ed:sd+rd.toLowerCase()})),Ra.type==="selector-tag"){let ed=qa.value.toLowerCase();["from","to"].includes(ed)&&(qa.value=ed)}if(Ra.type==="css-atrule"&&Ra.name.toLowerCase()==="supports"&&delete qa.value,Ra.type==="selector-unknown"&&delete qa.value,Ra.type==="value-comma_group"){let ed=Ra.groups.findIndex(td=>td.type==="value-number"&&td.unit==="...");ed!==-1&&(qa.groups[ed].unit="",qa.groups.splice(ed+1,0,{type:"value-word",value:"...",isColor:!1,isHex:!1}))}if(Ra.type==="value-comma_group"&&Ra.groups.some(ed=>ed.type==="value-atword"&&ed.value.endsWith("[")||ed.type==="value-word"&&ed.value.startsWith("]")))return{type:"value-atword",value:Ra.groups.map(ed=>ed.value).join(""),group:{open:null,close:null,groups:[],type:"value-paren_group"}}}un.ignoredProperties=Tl$1;function Ol$1(Ra){return _$1(!1,_$1(!1,Ra,"'",'"'),/\\([^\da-f])/giu,"$1")}var ln=un;async function Cl(Ra,qa){if(Ra.language==="yaml"){let Ja=Ra.value.trim(),ed=Ja?await qa(Ja,{parser:"yaml"}):"";return tn([Ra.startDelimiter,Ra.explicitLanguage,E,ed,ed?E:"",Ra.endDelimiter])}}var cn$1=Cl;function fn(Ra){let{node:qa}=Ra;if(qa.type==="front-matter")return async Ja=>{let ed=await cn$1(qa,Ja);return ed?[ed,E]:void 0}}fn.getVisitorKeys=Ra=>Ra.type==="css-root"?["frontMatter"]:[];var pn=fn,He=null;function Ke$1(Ra){if(He!==null&&typeof He.property){let qa=He;return He=Ke$1.prototype=null,qa}return He=Ke$1.prototype=Ra??Object.create(null),new Ke$1}var Al$1=10;for(let Ra=0;Ra<=Al$1;Ra++)Ke$1();function Yr$1(Ra){return Ke$1(Ra)}function Nl$1(Ra,qa="type"){Yr$1(Ra);function Ja(ed){let td=ed[qa],rd=Ra[td];if(!Array.isArray(rd))throw Object.assign(new Error(`Missing visitor keys for '${td}'.`),{node:ed});return rd}return Ja}var hn=Nl$1,Pl={"front-matter":[],"css-root":["frontMatter","nodes"],"css-comment":[],"css-rule":["selector","nodes"],"css-decl":["value","selector","nodes"],"css-atrule":["selector","params","value","nodes"],"media-query-list":["nodes"],"media-query":["nodes"],"media-type":[],"media-feature-expression":["nodes"],"media-feature":[],"media-colon":[],"media-value":[],"media-keyword":[],"media-url":[],"media-unknown":[],"selector-root":["nodes"],"selector-selector":["nodes"],"selector-comment":[],"selector-string":[],"selector-tag":[],"selector-id":[],"selector-class":[],"selector-attribute":[],"selector-combinator":["nodes"],"selector-universal":[],"selector-pseudo":["nodes"],"selector-nesting":[],"selector-unknown":[],"value-value":["group"],"value-root":["group"],"value-comment":[],"value-comma_group":["groups"],"value-paren_group":["open","groups","close"],"value-func":["group"],"value-paren":[],"value-number":[],"value-operator":[],"value-word":[],"value-colon":[],"value-comma":[],"value-string":[],"value-atword":[],"value-unicode-range":[],"value-unknown":[]},dn=Pl,Rl$1=hn(dn),mn=Rl$1;function Il$1(Ra,qa){let Ja=0;for(let ed=0;ed<Ra.line-1;++ed)Ja=qa.indexOf(`
|
||
`,Ja)+1;return Ja+Ra.column}var zr=Il$1;function Tt$1(Ra){return(qa,Ja,ed)=>{let td=!!(ed!=null&&ed.backwards);if(Ja===!1)return!1;let{length:rd}=qa,sd=Ja;for(;sd>=0&&sd<rd;){let od=qa.charAt(sd);if(Ra instanceof RegExp){if(!Ra.test(od))return sd}else if(!Ra.includes(od))return sd;td?sd--:sd++}return sd===-1||sd===rd?sd:!1}}var Ot$1=Tt$1(" "),yn=Tt$1(",; "),Ct=Tt$1(/[^\n\r]/u);function wn$1(Ra,qa){var Ja,ed,td;if(typeof((ed=(Ja=Ra.source)==null?void 0:Ja.start)==null?void 0:ed.offset)=="number")return Ra.source.start.offset;if(typeof Ra.sourceIndex=="number")return Ra.sourceIndex;if((td=Ra.source)!=null&&td.start)return zr(Ra.source.start,qa);throw Object.assign(new Error("Can not locate node."),{node:Ra})}function Vr(Ra,qa){var Ja,ed;if(Ra.type==="css-comment"&&Ra.inline)return Ct(qa,Ra.source.startOffset);if(typeof((ed=(Ja=Ra.source)==null?void 0:Ja.end)==null?void 0:ed.offset)=="number")return Ra.source.end.offset;if(Ra.source){if(Ra.source.end)return zr(Ra.source.end,qa);if(ee(Ra.nodes))return Vr(G(!1,Ra.nodes,-1),qa)}return null}function Gr(Ra,qa){Ra.source&&(Ra.source.startOffset=wn$1(Ra,qa),Ra.source.endOffset=Vr(Ra,qa));for(let Ja in Ra){let ed=Ra[Ja];Ja==="source"||!ed||typeof ed!="object"||(ed.type==="value-root"||ed.type==="value-unknown"?gn$1(ed,ql$1(Ra),ed.text||ed.value):Gr(ed,qa))}}function gn$1(Ra,qa,Ja){Ra.source&&(Ra.source.startOffset=wn$1(Ra,Ja)+qa,Ra.source.endOffset=Vr(Ra,Ja)+qa);for(let ed in Ra){let td=Ra[ed];ed==="source"||!td||typeof td!="object"||gn$1(td,qa,Ja)}}function ql$1(Ra){var qa;let Ja=Ra.source.startOffset;return typeof Ra.prop=="string"&&(Ja+=Ra.prop.length),Ra.type==="css-atrule"&&typeof Ra.name=="string"&&(Ja+=1+Ra.name.length+Ra.raws.afterName.match(/^\s*:?\s*/u)[0].length),Ra.type!=="css-atrule"&&typeof((qa=Ra.raws)==null?void 0:qa.between)=="string"&&(Ja+=Ra.raws.between.length),Ja}function vn(Ra){let qa="initial",Ja="initial",ed,td=!1,rd=[];for(let sd=0;sd<Ra.length;sd++){let od=Ra[sd];switch(qa){case"initial":if(od==="'"){qa="single-quotes";continue}if(od==='"'){qa="double-quotes";continue}if((od==="u"||od==="U")&&Ra.slice(sd,sd+4).toLowerCase()==="url("){qa="url",sd+=3;continue}if(od==="*"&&Ra[sd-1]==="/"){qa="comment-block";continue}if(od==="/"&&Ra[sd-1]==="/"){qa="comment-inline",ed=sd-1;continue}continue;case"single-quotes":if(od==="'"&&Ra[sd-1]!=="\\"&&(qa=Ja,Ja="initial"),od===`
|
||
`||od==="\r")return Ra;continue;case"double-quotes":if(od==='"'&&Ra[sd-1]!=="\\"&&(qa=Ja,Ja="initial"),od===`
|
||
`||od==="\r")return Ra;continue;case"url":if(od===")"&&(qa="initial"),od===`
|
||
`||od==="\r")return Ra;if(od==="'"){qa="single-quotes",Ja="url";continue}if(od==='"'){qa="double-quotes",Ja="url";continue}continue;case"comment-block":od==="/"&&Ra[sd-1]==="*"&&(qa="initial");continue;case"comment-inline":(od==='"'||od==="'"||od==="*")&&(td=!0),(od===`
|
||
`||od==="\r")&&(td&&rd.push([ed,sd]),qa="initial",td=!1);continue}}for(let[sd,od]of rd)Ra=Ra.slice(0,sd)+_$1(!1,Ra.slice(sd,od),/["'*]/gu," ")+Ra.slice(od);return Ra}function N(Ra){var qa;return(qa=Ra.source)==null?void 0:qa.startOffset}function P(Ra){var qa;return(qa=Ra.source)==null?void 0:qa.endOffset}var Ll$1=/\*\/$/,Dl=/^\/\*\*?/,kn=/^\s*(\/\*\*?(.|\r?\n)*?\*\/)/,Ml=/(^|\s+)\/\/([^\n\r]*)/g,xn=/^(\r?\n)+/,Bl=/(?:^|\r?\n) *(@[^\n\r]*?) *\r?\n *(?![^\n\r@]*\/\/[^]*)([^\s@][^\n\r@]+?) *\r?\n/g,bn$1=/(?:^|\r?\n) *@(\S+) *([^\n\r]*)/g,Ul=/(\r?\n|^) *\* ?/g,En=[];function Sn(Ra){let qa=Ra.match(kn);return qa?qa[0].trimStart():""}function Tn$1(Ra){let qa=Ra.match(kn),Ja=qa==null?void 0:qa[0];return Ja==null?Ra:Ra.slice(Ja.length)}function On(Ra){let qa=`
|
||
`;Ra=_$1(!1,Ra.replace(Dl,"").replace(Ll$1,""),Ul,"$1");let Ja="";for(;Ja!==Ra;)Ja=Ra,Ra=_$1(!1,Ra,Bl,`${qa}$1 $2${qa}`);Ra=Ra.replace(xn,"").trimEnd();let ed=Object.create(null),td=_$1(!1,Ra,bn$1,"").replace(xn,"").trimEnd(),rd;for(;rd=bn$1.exec(Ra);){let sd=_$1(!1,rd[2],Ml,"");if(typeof ed[rd[1]]=="string"||Array.isArray(ed[rd[1]])){let od=ed[rd[1]];ed[rd[1]]=[...En,...Array.isArray(od)?od:[od],sd]}else ed[rd[1]]=sd}return{comments:td,pragmas:ed}}function Cn$1({comments:Ra="",pragmas:qa={}}){let Ja=`
|
||
`,ed="/**",td=" *",rd=" */",sd=Object.keys(qa),od=sd.flatMap(cd=>_n$1(cd,qa[cd])).map(cd=>`${td} ${cd}${Ja}`).join("");if(!Ra){if(sd.length===0)return"";if(sd.length===1&&!Array.isArray(qa[sd[0]])){let cd=qa[sd[0]];return`${ed} ${_n$1(sd[0],cd)[0]}${rd}`}}let ld=Ra.split(Ja).map(cd=>`${td} ${cd}`).join(Ja)+Ja;return ed+Ja+(Ra?ld:"")+(Ra&&sd.length>0?td+Ja:"")+od+rd}function _n$1(Ra,qa){return[...En,...Array.isArray(qa)?qa:[qa]].map(Ja=>`@${Ra} ${Ja}`.trim())}function Fl$1(Ra){if(!Ra.startsWith("#!"))return"";let qa=Ra.indexOf(`
|
||
`);return qa===-1?Ra:Ra.slice(0,qa)}var An=Fl$1;function Nn(Ra){let qa=An(Ra);qa&&(Ra=Ra.slice(qa.length+1));let Ja=Sn(Ra),{pragmas:ed,comments:td}=On(Ja);return{shebang:qa,text:Ra,pragmas:ed,comments:td}}function Pn$1(Ra){let{pragmas:qa}=Nn(Ra);return Object.prototype.hasOwnProperty.call(qa,"prettier")||Object.prototype.hasOwnProperty.call(qa,"format")}function Rn(Ra){let{shebang:qa,text:Ja,pragmas:ed,comments:td}=Nn(Ra),rd=Tn$1(Ja),sd=Cn$1({pragmas:{format:"",...ed},comments:td.trimStart()});return(qa?`${qa}
|
||
`:"")+sd+(rd.startsWith(`
|
||
`)?`
|
||
`:`
|
||
|
||
`)+rd}var Qe=3;function $l(Ra){let qa=Ra.slice(0,Qe);if(qa!=="---"&&qa!=="+++")return;let Ja=Ra.indexOf(`
|
||
`,Qe);if(Ja===-1)return;let ed=Ra.slice(Qe,Ja).trim(),td=Ra.indexOf(`
|
||
${qa}`,Ja),rd=ed;if(rd||(rd=qa==="+++"?"toml":"yaml"),td===-1&&qa==="---"&&rd==="yaml"&&(td=Ra.indexOf(`
|
||
...`,Ja)),td===-1)return;let sd=td+1+Qe,od=Ra.charAt(sd+1);if(!/\s?/u.test(od))return;let ld=Ra.slice(0,sd);return{type:"front-matter",language:rd,explicitLanguage:ed,value:Ra.slice(Ja+1,td),startDelimiter:qa,endDelimiter:ld.slice(-Qe),raw:ld}}function Wl(Ra){let qa=$l(Ra);if(!qa)return{content:Ra};let{raw:Ja}=qa;return{frontMatter:qa,content:_$1(!1,Ja,/[^\n]/gu," ")+Ra.slice(Ja.length)}}var Je=Wl;function In(Ra){return Pn$1(Je(Ra).content)}function qn$1(Ra){let{frontMatter:qa,content:Ja}=Je(Ra);return(qa?qa.raw+`
|
||
|
||
`:"")+Rn(Ja)}var Yl=new Set(["red","green","blue","alpha","a","rgb","hue","h","saturation","s","lightness","l","whiteness","w","blackness","b","tint","shade","blend","blenda","contrast","hsl","hsla","hwb","hwba"]);function Ln(Ra){var qa,Ja;return(Ja=(qa=Ra.findAncestor(ed=>ed.type==="css-decl"))==null?void 0:qa.prop)==null?void 0:Ja.toLowerCase()}var zl$1=new Set(["initial","inherit","unset","revert"]);function Dn$1(Ra){return zl$1.has(Ra.toLowerCase())}function Mn(Ra,qa){var Ja;let ed=Ra.findAncestor(td=>td.type==="css-atrule");return((Ja=ed==null?void 0:ed.name)==null?void 0:Ja.toLowerCase().endsWith("keyframes"))&&["from","to"].includes(qa.toLowerCase())}function te(Ra){return Ra.includes("$")||Ra.includes("@")||Ra.includes("#")||Ra.startsWith("%")||Ra.startsWith("--")||Ra.startsWith(":--")||Ra.includes("(")&&Ra.includes(")")?Ra:Ra.toLowerCase()}function ke$1(Ra,qa){var Ja;let ed=Ra.findAncestor(td=>td.type==="value-func");return((Ja=ed==null?void 0:ed.value)==null?void 0:Ja.toLowerCase())===qa}function Bn$1(Ra){var qa;let Ja=Ra.findAncestor(td=>td.type==="css-rule"),ed=(qa=Ja==null?void 0:Ja.raws)==null?void 0:qa.selector;return ed&&(ed.startsWith(":import")||ed.startsWith(":export"))}function Ee(Ra,qa){let Ja=Array.isArray(qa)?qa:[qa],ed=Ra.findAncestor(td=>td.type==="css-atrule");return ed&&Ja.includes(ed.name.toLowerCase())}function Un(Ra){var qa;let{node:Ja}=Ra;return Ja.groups[0].value==="url"&&Ja.groups.length===2&&((qa=Ra.findAncestor(ed=>ed.type==="css-atrule"))==null?void 0:qa.name)==="import"}function Fn(Ra){return Ra.type==="value-func"&&Ra.value.toLowerCase()==="url"}function $n$1(Ra){return Ra.type==="value-func"&&Ra.value.toLowerCase()==="var"}function Wn(Ra){let{selector:qa}=Ra;return qa?typeof qa=="string"&&/^@.+:.*$/u.test(qa)||qa.value&&/^@.+:.*$/u.test(qa.value):!1}function Yn$1(Ra){return Ra.type==="value-word"&&["from","through","end"].includes(Ra.value)}function zn(Ra){return Ra.type==="value-word"&&["and","or","not"].includes(Ra.value)}function Vn(Ra){return Ra.type==="value-word"&&Ra.value==="in"}function At$1(Ra){return Ra.type==="value-operator"&&Ra.value==="*"}function Xe(Ra){return Ra.type==="value-operator"&&Ra.value==="/"}function Q$1(Ra){return Ra.type==="value-operator"&&Ra.value==="+"}function le(Ra){return Ra.type==="value-operator"&&Ra.value==="-"}function Vl(Ra){return Ra.type==="value-operator"&&Ra.value==="%"}function Nt(Ra){return At$1(Ra)||Xe(Ra)||Q$1(Ra)||le(Ra)||Vl(Ra)}function Gn$1(Ra){return Ra.type==="value-word"&&["==","!="].includes(Ra.value)}function jn$1(Ra){return Ra.type==="value-word"&&["<",">","<=",">="].includes(Ra.value)}function Ze(Ra,qa){return qa.parser==="scss"&&Ra.type==="css-atrule"&&["if","else","for","each","while"].includes(Ra.name)}function Hr(Ra){var qa;return((qa=Ra.raws)==null?void 0:qa.params)&&/^\(\s*\)$/u.test(Ra.raws.params)}function Pt(Ra){return Ra.name.startsWith("prettier-placeholder")}function Hn(Ra){return Ra.prop.startsWith("@prettier-placeholder")}function Kn(Ra,qa){return Ra.value==="$$"&&Ra.type==="value-func"&&(qa==null?void 0:qa.type)==="value-word"&&!qa.raws.before}function Qn$1(Ra){var qa,Ja;return((qa=Ra.value)==null?void 0:qa.type)==="value-root"&&((Ja=Ra.value.group)==null?void 0:Ja.type)==="value-value"&&Ra.prop.toLowerCase()==="composes"}function Jn$1(Ra){var qa,Ja,ed;return((ed=(Ja=(qa=Ra.value)==null?void 0:qa.group)==null?void 0:Ja.group)==null?void 0:ed.type)==="value-paren_group"&&Ra.value.group.group.open!==null&&Ra.value.group.group.close!==null}function ce(Ra){var qa;return((qa=Ra.raws)==null?void 0:qa.before)===""}function Rt$1(Ra){var qa,Ja;return Ra.type==="value-comma_group"&&((Ja=(qa=Ra.groups)==null?void 0:qa[1])==null?void 0:Ja.type)==="value-colon"}function jr(Ra){var qa;return Ra.type==="value-paren_group"&&((qa=Ra.groups)==null?void 0:qa[0])&&Rt$1(Ra.groups[0])}function Kr(Ra,qa){var Ja;if(qa.parser!=="scss")return!1;let{node:ed}=Ra;if(ed.groups.length===0)return!1;let td=Ra.grandparent;if(!jr(ed)&&!(td&&jr(td)))return!1;let rd=Ra.findAncestor(sd=>sd.type==="css-decl");return!!((Ja=rd==null?void 0:rd.prop)!=null&&Ja.startsWith("$")||jr(td)||td.type==="value-func")}function Qr$1(Ra){return Ra.type==="value-comment"&&Ra.inline}function It$1(Ra){return Ra.type==="value-word"&&Ra.value==="#"}function Jr(Ra){return Ra.type==="value-word"&&Ra.value==="{"}function qt(Ra){return Ra.type==="value-word"&&Ra.value==="}"}function et(Ra){return["value-word","value-atword"].includes(Ra.type)}function Lt(Ra){return(Ra==null?void 0:Ra.type)==="value-colon"}function Xn$1(Ra,qa){if(!Rt$1(qa))return!1;let{groups:Ja}=qa,ed=Ja.indexOf(Ra);return ed===-1?!1:Lt(Ja[ed+1])}function Zn(Ra){return Ra.value&&["not","and","or"].includes(Ra.value.toLowerCase())}function ei(Ra){return Ra.type!=="value-func"?!1:Yl.has(Ra.value.toLowerCase())}function Se(Ra){return/\/\//u.test(Ra.split(/[\n\r]/u).pop())}function tt(Ra){return(Ra==null?void 0:Ra.type)==="value-atword"&&Ra.value.startsWith("prettier-placeholder-")}function ti$1(Ra,qa){var Ja,ed;if(((Ja=Ra.open)==null?void 0:Ja.value)!=="("||((ed=Ra.close)==null?void 0:ed.value)!==")"||Ra.groups.some(td=>td.type!=="value-comma_group"))return!1;if(qa.type==="value-comma_group"){let td=qa.groups.indexOf(Ra)-1,rd=qa.groups[td];if((rd==null?void 0:rd.type)==="value-word"&&rd.value==="with")return!0}return!1}function rt(Ra){var qa,Ja;return Ra.type==="value-paren_group"&&((qa=Ra.open)==null?void 0:qa.value)==="("&&((Ja=Ra.close)==null?void 0:Ja.value)===")"}function Gl$1(Ra,qa,Ja){var ed;let{node:td}=Ra,rd=Ra.parent,sd=Ra.grandparent,od=Ln(Ra),ld=od&&rd.type==="value-value"&&(od==="grid"||od.startsWith("grid-template")),cd=Ra.findAncestor(Rd=>Rd.type==="css-atrule"),ud=cd&&Ze(cd,qa),_d=td.groups.some(Rd=>Qr$1(Rd)),yd=Ra.map(Ja,"groups"),gd=[],Ed=ke$1(Ra,"url"),Td=!1,kd=!1;for(let Rd=0;Rd<td.groups.length;++Rd){gd.push(yd[Rd]);let Nd=td.groups[Rd-1],Id=td.groups[Rd],Md=td.groups[Rd+1],Ld=td.groups[Rd+2];if(Ed){(Md&&Q$1(Md)||Q$1(Id))&&gd.push(" ");continue}if(Ee(Ra,"forward")&&Id.type==="value-word"&&Id.value&&Nd!==void 0&&Nd.type==="value-word"&&Nd.value==="as"&&Md.type==="value-operator"&&Md.value==="*"||!Md||Id.type==="value-word"&&Id.value.endsWith("-")&&tt(Md))continue;if(Id.type==="value-string"&&Id.quoted){let Vd=Id.value.lastIndexOf("#{"),Jd=Id.value.lastIndexOf("}");Vd!==-1&&Jd!==-1?Td=Vd>Jd:Vd!==-1?Td=!0:Jd!==-1&&(Td=!1)}if(Td||Lt(Id)||Lt(Md)||Id.type==="value-atword"&&(Id.value===""||Id.value.endsWith("["))||Md.type==="value-word"&&Md.value.startsWith("]")||Id.value==="~"||Id.type!=="value-string"&&Id.value&&Id.value.includes("\\")&&Md&&Md.type!=="value-comment"||Nd!=null&&Nd.value&&Nd.value.indexOf("\\")===Nd.value.length-1&&Id.type==="value-operator"&&Id.value==="/"||Id.value==="\\"||Kn(Id,Md)||It$1(Id)||Jr(Id)||qt(Md)||Jr(Md)&&ce(Md)||qt(Id)&&ce(Md)||Id.value==="--"&&It$1(Md))continue;let Pd=Nt(Id),qd=Nt(Md);if((Pd&&It$1(Md)||qd&&qt(Id))&&ce(Md)||!Nd&&Xe(Id)||ke$1(Ra,"calc")&&(Q$1(Id)||Q$1(Md)||le(Id)||le(Md))&&ce(Md))continue;let Yd=(Q$1(Id)||le(Id))&&Rd===0&&(Md.type==="value-number"||Md.isHex)&&sd&&ei(sd)&&!ce(Md),Ud=(Ld==null?void 0:Ld.type)==="value-func"||Ld&&et(Ld)||Id.type==="value-func"||et(Id),Hd=Md.type==="value-func"||et(Md)||(Nd==null?void 0:Nd.type)==="value-func"||Nd&&et(Nd);if(qa.parser==="scss"&&Pd&&Id.value==="-"&&Md.type==="value-func"&&P(Id)!==N(Md)){gd.push(" ");continue}if(!(!(At$1(Md)||At$1(Id))&&!ke$1(Ra,"calc")&&!Yd&&(Xe(Md)&&!Ud||Xe(Id)&&!Hd||Q$1(Md)&&!Ud||Q$1(Id)&&!Hd||le(Md)||le(Id))&&(ce(Md)||Pd&&(!Nd||Nd&&Nt(Nd))))&&!((qa.parser==="scss"||qa.parser==="less")&&Pd&&Id.value==="-"&&rt(Md)&&P(Id)===N(Md.open)&&Md.open.value==="(")){if(Qr$1(Id)){if(rd.type==="value-paren_group"){gd.push(ue(E));continue}gd.push(E);continue}if(ud&&(Gn$1(Md)||jn$1(Md)||zn(Md)||Vn(Id)||Yn$1(Id))){gd.push(" ");continue}if(cd&&cd.name.toLowerCase()==="namespace"){gd.push(" ");continue}if(ld){Id.source&&Md.source&&Id.source.start.line!==Md.source.start.line?(gd.push(E),kd=!0):gd.push(" ");continue}if(qd){gd.push(" ");continue}if((Md==null?void 0:Md.value)!=="..."&&!(tt(Id)&&tt(Md)&&P(Id)===N(Md))){if(tt(Id)&&rt(Md)&&P(Id)===N(Md.open)){gd.push(M);continue}if(Id.value==="with"&&rt(Md)){gd.push(" ");continue}(ed=Id.value)!=null&&ed.endsWith("#")&&Md.value==="{"&&rt(Md.group)||gd.push(A)}}}return _d&&gd.push(je$1),kd&&gd.unshift(E),ud?L(q(gd)):Un(Ra)?L(Ge(gd)):L(q(Ge(gd)))}var ri$1=Gl$1;function jl(Ra){return Ra.length===1?Ra:Ra.toLowerCase().replace(/^([+-]?[\d.]+e)(?:\+|(-))?0*(?=\d)/u,"$1$2").replace(/^([+-]?[\d.]+)e[+-]?0+$/u,"$1").replace(/^([+-])?\./u,"$10.").replace(/(\.\d+?)0+(?=e|$)/u,"$1").replace(/\.(?=e|$)/u,"")}var si$1=jl,Xr=new Map([["em","em"],["rem","rem"],["ex","ex"],["rex","rex"],["cap","cap"],["rcap","rcap"],["ch","ch"],["rch","rch"],["ic","ic"],["ric","ric"],["lh","lh"],["rlh","rlh"],["vw","vw"],["svw","svw"],["lvw","lvw"],["dvw","dvw"],["vh","vh"],["svh","svh"],["lvh","lvh"],["dvh","dvh"],["vi","vi"],["svi","svi"],["lvi","lvi"],["dvi","dvi"],["vb","vb"],["svb","svb"],["lvb","lvb"],["dvb","dvb"],["vmin","vmin"],["svmin","svmin"],["lvmin","lvmin"],["dvmin","dvmin"],["vmax","vmax"],["svmax","svmax"],["lvmax","lvmax"],["dvmax","dvmax"],["cm","cm"],["mm","mm"],["q","Q"],["in","in"],["pt","pt"],["pc","pc"],["px","px"],["deg","deg"],["grad","grad"],["rad","rad"],["turn","turn"],["s","s"],["ms","ms"],["hz","Hz"],["khz","kHz"],["dpi","dpi"],["dpcm","dpcm"],["dppx","dppx"],["x","x"],["cqw","cqw"],["cqh","cqh"],["cqi","cqi"],["cqb","cqb"],["cqmin","cqmin"],["cqmax","cqmax"]]);function ni$1(Ra){let qa=Ra.toLowerCase();return Xr.has(qa)?Xr.get(qa):Ra}var ii$1=/(["'])(?:(?!\1)[^\\]|\\.)*\1/gsu,Hl=/(?:\d*\.\d+|\d+\.?)(?:e[+-]?\d+)?/giu,Kl=/[a-z]+/giu,Ql$1=/[$@]?[_a-z\u0080-\uFFFF][\w\u0080-\uFFFF-]*/giu,Jl$1=new RegExp(ii$1.source+`|(${Ql$1.source})?(${Hl.source})(${Kl.source})?`,"giu");function W(Ra,qa){return _$1(!1,Ra,ii$1,Ja=>St(Ja,qa))}function oi(Ra,qa){let Ja=qa.singleQuote?"'":'"';return Ra.includes('"')||Ra.includes("'")?Ra:Ja+Ra+Ja}function fe(Ra){return _$1(!1,Ra,Jl$1,(qa,Ja,ed,td,rd)=>!ed&&td?Zr$1(td)+te(rd||""):qa)}function Zr$1(Ra){return si$1(Ra).replace(/\.0(?=$|e)/u,"")}function ai$1(Ra){return Ra.trailingComma==="es5"||Ra.trailingComma==="all"}function Xl$1(Ra,qa,Ja){let ed=!!(Ja!=null&&Ja.backwards);if(qa===!1)return!1;let td=Ra.charAt(qa);if(ed){if(Ra.charAt(qa-1)==="\r"&&td===`
|
||
`)return qa-2;if(td===`
|
||
`||td==="\r"||td==="\u2028"||td==="\u2029")return qa-1}else{if(td==="\r"&&Ra.charAt(qa+1)===`
|
||
`)return qa+2;if(td===`
|
||
`||td==="\r"||td==="\u2028"||td==="\u2029")return qa+1}return qa}var Dt$1=Xl$1;function Zl(Ra,qa,Ja={}){let ed=Ot$1(Ra,Ja.backwards?qa-1:qa,Ja),td=Dt$1(Ra,ed,Ja);return ed!==td}var Mt=Zl;function ec$1(Ra,qa){if(qa===!1)return!1;if(Ra.charAt(qa)==="/"&&Ra.charAt(qa+1)==="*"){for(let Ja=qa+2;Ja<Ra.length;++Ja)if(Ra.charAt(Ja)==="*"&&Ra.charAt(Ja+1)==="/")return Ja+2}return qa}var ui=ec$1;function tc(Ra,qa){return qa===!1?!1:Ra.charAt(qa)==="/"&&Ra.charAt(qa+1)==="/"?Ct(Ra,qa):qa}var li$1=tc;function rc(Ra,qa){let Ja=null,ed=qa;for(;ed!==Ja;)Ja=ed,ed=yn(Ra,ed),ed=ui(Ra,ed),ed=Ot$1(Ra,ed);return ed=li$1(Ra,ed),ed=Dt$1(Ra,ed),ed!==!1&&Mt(Ra,ed)}var Bt$1=rc;function sc({node:Ra,parent:qa},Ja){return!!(Ra.source&&Ja.originalText.slice(N(Ra),N(qa.close)).trimEnd().endsWith(","))}function nc$1(Ra,qa){return $n$1(Ra.grandparent)&&sc(Ra,qa)?",":Ra.node.type!=="value-comment"&&!(Ra.node.type==="value-comma_group"&&Ra.node.groups.every(Ja=>Ja.type==="value-comment"))&&ai$1(qa)&&Ra.callParent(()=>Kr(Ra,qa))?kt(","):""}function ci(Ra,qa,Ja){let{node:ed,parent:td}=Ra,rd=Ra.map(({node:gd})=>typeof gd=="string"?gd:Ja(),"groups");if(td&&Fn(td)&&(ed.groups.length===1||ed.groups.length>0&&ed.groups[0].type==="value-comma_group"&&ed.groups[0].groups.length>0&&ed.groups[0].groups[0].type==="value-word"&&ed.groups[0].groups[0].value.startsWith("data:")))return[ed.open?Ja("open"):"",V(",",rd),ed.close?Ja("close"):""];if(!ed.open){let gd=es(Ra),Ed=V([",",gd?E:A],rd);return q(gd?[E,Ed]:L(Ge(Ed)))}let sd=Ra.map(({node:gd,isLast:Ed,index:Td})=>{var kd;let Rd=rd[Td];if(Rt$1(gd)&&gd.type==="value-comma_group"&&gd.groups&&gd.groups[0].type!=="value-paren_group"&&((kd=gd.groups[2])==null?void 0:kd.type)==="value-paren_group"){let{parts:Id}=Rd.contents.contents;Id[1]=L(Id[1]),Rd=L(ue(Rd))}let Nd=[Rd,Ed?nc$1(Ra,qa):","];if(!Ed&&gd.type==="value-comma_group"&&ee(gd.groups)){let Id=G(!1,gd.groups,-1);!Id.source&&Id.close&&(Id=Id.close),Id.source&&Bt$1(qa.originalText,P(Id))&&Nd.push(E)}return Nd},"groups"),od=Xn$1(ed,td),ld=ti$1(ed,td),cd=Kr(Ra,qa),ud=ld||cd&&!od,_d=ld||od,yd=L([ed.open?Ja("open"):"",q([M,V(A,sd)]),M,ed.close?Ja("close"):""],{shouldBreak:ud});return _d?ue(yd):yd}function es(Ra){return Ra.match(qa=>qa.type==="value-paren_group"&&!qa.open&&qa.groups.some(Ja=>Ja.type==="value-comma_group"),(qa,Ja)=>Ja==="group"&&qa.type==="value-value",(qa,Ja)=>Ja==="group"&&qa.type==="value-root",(qa,Ja)=>Ja==="value"&&(qa.type==="css-decl"&&!qa.prop.startsWith("--")||qa.type==="css-atrule"&&qa.variable))}function ic(Ra,qa,Ja){let ed=[];return Ra.each(()=>{let{node:td,previous:rd}=Ra;if((rd==null?void 0:rd.type)==="css-comment"&&rd.text.trim()==="prettier-ignore"?ed.push(qa.originalText.slice(N(td),P(td))):ed.push(Ja()),Ra.isLast)return;let{next:sd}=Ra;sd.type==="css-comment"&&!Mt(qa.originalText,N(sd),{backwards:!0})&&!_e(td)||sd.type==="css-atrule"&&sd.name==="else"&&td.type!=="css-comment"?ed.push(" "):(ed.push(qa.__isHTMLStyleAttribute?A:E),Bt$1(qa.originalText,P(td))&&!_e(td)&&ed.push(E))},"nodes"),ed}var Te=ic;function oc$1(Ra,qa,Ja){var ed,td,rd,sd,od,ld;let{node:cd}=Ra;switch(cd.type){case"front-matter":return[cd.raw,E];case"css-root":{let ud=Te(Ra,qa,Ja),_d=cd.raws.after.trim();return _d.startsWith(";")&&(_d=_d.slice(1).trim()),[cd.frontMatter?[Ja("frontMatter"),E]:"",ud,_d?` ${_d}`:"",cd.nodes.length>0?E:""]}case"css-comment":{let ud=cd.inline||cd.raws.inline,_d=qa.originalText.slice(N(cd),P(cd));return ud?_d.trimEnd():_d}case"css-rule":return[Ja("selector"),cd.important?" !important":"",cd.nodes?[((ed=cd.selector)==null?void 0:ed.type)==="selector-unknown"&&Se(cd.selector.value)?A:cd.selector?" ":"","{",cd.nodes.length>0?q([E,Te(Ra,qa,Ja)]):"",E,"}",Wn(cd)?";":""]:";"];case"css-decl":{let ud=Ra.parent,{between:_d}=cd.raws,yd=_d.trim(),gd=yd===":",Ed=typeof cd.value=="string"&&/^ *$/u.test(cd.value),Td=typeof cd.value=="string"?cd.value:Ja("value");return Td=Qn$1(cd)?rn(Td):Td,!gd&&Se(yd)&&!((rd=(td=cd.value)==null?void 0:td.group)!=null&&rd.group&&Ra.call(()=>es(Ra),"value","group","group"))&&(Td=q([E,ue(Td)])),[_$1(!1,cd.raws.before,/[\s;]/gu,""),ud.type==="css-atrule"&&ud.variable||Bn$1(Ra)?cd.prop:te(cd.prop),yd.startsWith("//")?" ":"",yd,cd.extend||Ed?"":" ",qa.parser==="less"&&cd.extend&&cd.selector?["extend(",Ja("selector"),")"]:"",Td,cd.raws.important?cd.raws.important.replace(/\s*!\s*important/iu," !important"):cd.important?" !important":"",cd.raws.scssDefault?cd.raws.scssDefault.replace(/\s*!default/iu," !default"):cd.scssDefault?" !default":"",cd.raws.scssGlobal?cd.raws.scssGlobal.replace(/\s*!global/iu," !global"):cd.scssGlobal?" !global":"",cd.nodes?[" {",q([M,Te(Ra,qa,Ja)]),M,"}"]:Hn(cd)&&!ud.raws.semicolon&&qa.originalText[P(cd)-1]!==";"?"":qa.__isHTMLStyleAttribute&&Ra.isLast?kt(";"):";"]}case"css-atrule":{let ud=Ra.parent,_d=Pt(cd)&&!ud.raws.semicolon&&qa.originalText[P(cd)-1]!==";";if(qa.parser==="less"){if(cd.mixin)return[Ja("selector"),cd.important?" !important":"",_d?"":";"];if(cd.function)return[cd.name,typeof cd.params=="string"?cd.params:Ja("params"),_d?"":";"];if(cd.variable)return["@",cd.name,": ",cd.value?Ja("value"):"",cd.raws.between.trim()?cd.raws.between.trim()+" ":"",cd.nodes?["{",q([cd.nodes.length>0?M:"",Te(Ra,qa,Ja)]),M,"}"]:"",_d?"":";"]}let yd=cd.name==="import"&&((sd=cd.params)==null?void 0:sd.type)==="value-unknown"&&cd.params.value.endsWith(";");return["@",Hr(cd)||cd.name.endsWith(":")||Pt(cd)?cd.name:te(cd.name),cd.params?[Hr(cd)?"":Pt(cd)?cd.raws.afterName===""?"":cd.name.endsWith(":")?" ":/^\s*\n\s*\n/u.test(cd.raws.afterName)?[E,E]:/^\s*\n/u.test(cd.raws.afterName)?E:" ":" ",typeof cd.params=="string"?cd.params:Ja("params")]:"",cd.selector?q([" ",Ja("selector")]):"",cd.value?L([" ",Ja("value"),Ze(cd,qa)?Jn$1(cd)?" ":A:""]):cd.name==="else"?" ":"",cd.nodes?[Ze(cd,qa)?"":cd.selector&&!cd.selector.nodes&&typeof cd.selector.value=="string"&&Se(cd.selector.value)||!cd.selector&&typeof cd.params=="string"&&Se(cd.params)?A:" ","{",q([cd.nodes.length>0?M:"",Te(Ra,qa,Ja)]),M,"}"]:_d||yd?"":";"]}case"media-query-list":{let ud=[];return Ra.each(({node:_d})=>{_d.type==="media-query"&&_d.value===""||ud.push(Ja())},"nodes"),L(q(V(A,ud)))}case"media-query":return[V(" ",Ra.map(Ja,"nodes")),Ra.isLast?"":","];case"media-type":return fe(W(cd.value,qa));case"media-feature-expression":return cd.nodes?["(",...Ra.map(Ja,"nodes"),")"]:cd.value;case"media-feature":return te(W(_$1(!1,cd.value,/ +/gu," "),qa));case"media-colon":return[cd.value," "];case"media-value":return fe(W(cd.value,qa));case"media-keyword":return W(cd.value,qa);case"media-url":return W(_$1(!1,_$1(!1,cd.value,/^url\(\s+/giu,"url("),/\s+\)$/gu,")"),qa);case"media-unknown":return cd.value;case"selector-root":return L([Ee(Ra,"custom-selector")?[Ra.findAncestor(ud=>ud.type==="css-atrule").customSelector,A]:"",V([",",Ee(Ra,["extend","custom-selector","nest"])?A:E],Ra.map(Ja,"nodes"))]);case"selector-selector":return L(q(Ra.map(Ja,"nodes")));case"selector-comment":return cd.value;case"selector-string":return W(cd.value,qa);case"selector-tag":return[cd.namespace?[cd.namespace===!0?"":cd.namespace.trim(),"|"]:"",((od=Ra.previous)==null?void 0:od.type)==="selector-nesting"?cd.value:fe(Mn(Ra,cd.value)?cd.value.toLowerCase():cd.value)];case"selector-id":return["#",cd.value];case"selector-class":return[".",fe(W(cd.value,qa))];case"selector-attribute":return["[",cd.namespace?[cd.namespace===!0?"":cd.namespace.trim(),"|"]:"",cd.attribute.trim(),cd.operator??"",cd.value?oi(W(cd.value.trim(),qa),qa):"",cd.insensitive?" i":"","]"];case"selector-combinator":{if(cd.value==="+"||cd.value===">"||cd.value==="~"||cd.value===">>>"){let yd=Ra.parent;return[yd.type==="selector-selector"&&yd.nodes[0]===cd?"":A,cd.value,Ra.isLast?"":" "]}let ud=cd.value.trim().startsWith("(")?A:"",_d=fe(W(cd.value.trim(),qa))||A;return[ud,_d]}case"selector-universal":return[cd.namespace?[cd.namespace===!0?"":cd.namespace.trim(),"|"]:"",cd.value];case"selector-pseudo":return[te(cd.value),ee(cd.nodes)?L(["(",q([M,V([",",A],Ra.map(Ja,"nodes"))]),M,")"]):""];case"selector-nesting":return cd.value;case"selector-unknown":{let ud=Ra.findAncestor(gd=>gd.type==="css-rule");if(ud!=null&&ud.isSCSSNesterProperty)return fe(W(te(cd.value),qa));let _d=Ra.parent;if((ld=_d.raws)!=null&&ld.selector){let gd=N(_d),Ed=gd+_d.raws.selector.length;return qa.originalText.slice(gd,Ed).trim()}let yd=Ra.grandparent;if(_d.type==="value-paren_group"&&(yd==null?void 0:yd.type)==="value-func"&&yd.value==="selector"){let gd=P(_d.open)+1,Ed=N(_d.close),Td=qa.originalText.slice(gd,Ed).trim();return Se(Td)?[je$1,Td]:Td}return cd.value}case"value-value":case"value-root":return Ja("group");case"value-comment":return qa.originalText.slice(N(cd),P(cd));case"value-comma_group":return ri$1(Ra,qa,Ja);case"value-paren_group":return ci(Ra,qa,Ja);case"value-func":return[cd.value,Ee(Ra,"supports")&&Zn(cd)?" ":"",Ja("group")];case"value-paren":return cd.value;case"value-number":return[Zr$1(cd.value),ni$1(cd.unit)];case"value-operator":return cd.value;case"value-word":return cd.isColor&&cd.isHex||Dn$1(cd.value)?cd.value.toLowerCase():cd.value;case"value-colon":{let{previous:ud}=Ra;return[cd.value,typeof(ud==null?void 0:ud.value)=="string"&&ud.value.endsWith("\\")||ke$1(Ra,"url")?"":A]}case"value-string":return St(cd.raws.quote+cd.value+cd.raws.quote,qa);case"value-atword":return["@",cd.value];case"value-unicode-range":return cd.value;case"value-unknown":return cd.value;case"value-comma":default:throw new an(cd,"PostCSS")}}var ac={print:oc$1,embed:pn,insertPragma:qn$1,massageAstNode:ln,getVisitorKeys:mn},fi=ac,pi$1=[{linguistLanguageId:50,name:"CSS",type:"markup",tmScope:"source.css",aceMode:"css",codemirrorMode:"css",codemirrorMimeType:"text/css",color:"#563d7c",extensions:[".css",".wxss"],parsers:["css"],vscodeLanguageIds:["css"]},{linguistLanguageId:262764437,name:"PostCSS",type:"markup",color:"#dc3a0c",tmScope:"source.postcss",group:"CSS",extensions:[".pcss",".postcss"],aceMode:"text",parsers:["css"],vscodeLanguageIds:["postcss"]},{linguistLanguageId:198,name:"Less",type:"markup",color:"#1d365d",aliases:["less-css"],extensions:[".less"],tmScope:"source.css.less",aceMode:"less",codemirrorMode:"css",codemirrorMimeType:"text/css",parsers:["less"],vscodeLanguageIds:["less"]},{linguistLanguageId:329,name:"SCSS",type:"markup",color:"#c6538c",tmScope:"source.css.scss",aceMode:"scss",codemirrorMode:"css",codemirrorMimeType:"text/x-scss",extensions:[".scss"],parsers:["scss"],vscodeLanguageIds:["scss"]}],hi={bracketSpacing:{category:"Common",type:"boolean",default:!0,description:"Print spaces between brackets.",oppositeDescription:"Do not print spaces between brackets."},singleQuote:{category:"Common",type:"boolean",default:!1,description:"Use single quotes instead of double quotes."},proseWrap:{category:"Common",type:"choice",default:"preserve",description:"How to wrap prose.",choices:[{value:"always",description:"Wrap prose if it exceeds the print width."},{value:"never",description:"Do not wrap prose."},{value:"preserve",description:"Wrap prose as-is."}]},bracketSameLine:{category:"Common",type:"boolean",default:!1,description:"Put > of opening tags on the last line instead of on a new line."},singleAttributePerLine:{category:"Common",type:"boolean",default:!1,description:"Enforce single attribute per line in HTML, Vue and JSX."}},uc={singleQuote:hi.singleQuote},di=uc,Ks={};Xs(Ks,{css:()=>by,less:()=>_y,scss:()=>ky});var el=ye(pt()),tl=ye(bo()),rl=ye(ta());function Hf(Ra,qa){let Ja=new SyntaxError(Ra+" ("+qa.loc.start.line+":"+qa.loc.start.column+")");return Object.assign(Ja,qa)}var ra=Hf,la=ye(ua$1());function J(Ra,qa,Ja){if(Ra&&typeof Ra=="object"){delete Ra.parent;for(let ed in Ra)J(Ra[ed],qa,Ja),ed==="type"&&typeof Ra[ed]=="string"&&!Ra[ed].startsWith(qa)&&(!Ja||!Ja.test(Ra[ed]))&&(Ra[ed]=qa+Ra[ed])}return Ra}function Is(Ra){if(Ra&&typeof Ra=="object"){delete Ra.parent;for(let qa in Ra)Is(Ra[qa]);!Array.isArray(Ra)&&Ra.value&&!Ra.type&&(Ra.type="unknown")}return Ra}var op=la.default.default;function ap(Ra){let qa;try{qa=op(Ra)}catch{return{type:"selector-unknown",value:Ra}}return J(Is(qa),"media-")}var ca=ap,nu$1=ye(su$1());function bm(Ra){if(/\/\/|\/\*/u.test(Ra))return{type:"selector-unknown",value:Ra.trim()};let qa;try{new nu$1.default(Ja=>{qa=Ja}).process(Ra)}catch{return{type:"selector-unknown",value:Ra}}return J(qa,"selector-")}var Z=bm,Qu=ye(Vu()),ly=Ra=>{for(;Ra.parent;)Ra=Ra.parent;return Ra},Mr=ly;function cy(Ra){return Mr(Ra).text.slice(Ra.group.open.sourceIndex+1,Ra.group.close.sourceIndex).trim()}var Gu=cy;function fy(Ra){if(ee(Ra)){for(let qa=Ra.length-1;qa>0;qa--)if(Ra[qa].type==="word"&&Ra[qa].value==="{"&&Ra[qa-1].type==="word"&&Ra[qa-1].value.endsWith("#"))return!0}return!1}var ju=fy;function py(Ra){return Ra.some(qa=>qa.type==="string"||qa.type==="func"&&!qa.value.endsWith("\\"))}var Hu=py;function hy(Ra,qa){return!!(qa.parser==="scss"&&(Ra==null?void 0:Ra.type)==="word"&&Ra.value.startsWith("$"))}var Ku=hy;function dy(Ra,qa){var Ja;let{nodes:ed}=Ra,td={open:null,close:null,groups:[],type:"paren_group"},rd=[td],sd=td,od={groups:[],type:"comma_group"},ld=[od];for(let cd=0;cd<ed.length;++cd){let ud=ed[cd];if(qa.parser==="scss"&&ud.type==="number"&&ud.unit===".."&&ud.value.endsWith(".")&&(ud.value=ud.value.slice(0,-1),ud.unit="..."),ud.type==="func"&&ud.value==="selector"&&(ud.group.groups=[Z(Mr(Ra).text.slice(ud.group.open.sourceIndex+1,ud.group.close.sourceIndex))]),ud.type==="func"&&ud.value==="url"){let _d=((Ja=ud.group)==null?void 0:Ja.groups)??[],yd=[];for(let gd=0;gd<_d.length;gd++){let Ed=_d[gd];Ed.type==="comma_group"?yd=[...yd,...Ed.groups]:yd.push(Ed)}(ju(yd)||!Hu(yd)&&!Ku(yd[0],qa))&&(ud.group.groups=[Gu(ud)])}if(ud.type==="paren"&&ud.value==="(")td={open:ud,close:null,groups:[],type:"paren_group"},rd.push(td),od={groups:[],type:"comma_group"},ld.push(od);else if(ud.type==="paren"&&ud.value===")"){if(od.groups.length>0&&td.groups.push(od),td.close=ud,ld.length===1)throw new Error("Unbalanced parenthesis");ld.pop(),od=G(!1,ld,-1),od.groups.push(td),rd.pop(),td=G(!1,rd,-1)}else ud.type==="comma"?(td.groups.push(od),od={groups:[],type:"comma_group"},ld[ld.length-1]=od):od.groups.push(ud)}return od.groups.length>0&&td.groups.push(od),sd}function Br(Ra){return Ra.type==="paren_group"&&!Ra.open&&!Ra.close&&Ra.groups.length===1||Ra.type==="comma_group"&&Ra.groups.length===1?Br(Ra.groups[0]):Ra.type==="paren_group"||Ra.type==="comma_group"?{...Ra,groups:Ra.groups.map(Br)}:Ra}function Ju$1(Ra,qa){if(Ra&&typeof Ra=="object")for(let Ja in Ra)Ja!=="parent"&&(Ju$1(Ra[Ja],qa),Ja==="nodes"&&(Ra.group=Br(dy(Ra,qa)),delete Ra[Ja]));return Ra}function my(Ra,qa){if(qa.parser==="less"&&Ra.startsWith("~`"))return{type:"value-unknown",value:Ra};let Ja=null;try{Ja=new Qu.default(Ra,{loose:!0}).parse()}catch{return{type:"value-unknown",value:Ra}}Ja.text=Ra;let ed=Ju$1(Ja,qa);return J(ed,"value-",/^selector-/u)}var ie=my,yy=new Set(["import","use","forward"]);function wy(Ra){return yy.has(Ra)}var Xu$1=wy;function gy(Ra,qa){return qa.parser!=="scss"||!Ra.selector?!1:Ra.selector.replace(/\/\*.*?\*\//u,"").replace(/\/\/.*\n/u,"").trim().endsWith(":")}var Zu$1=gy,vy=/(\s*)(!default).*$/u,xy=/(\s*)(!global).*$/u;function sl(Ra,qa){var Ja,ed;if(Ra&&typeof Ra=="object"){delete Ra.parent;for(let od in Ra)sl(Ra[od],qa);if(!Ra.type)return Ra;if(Ra.raws??(Ra.raws={}),Ra.type==="css-decl"&&typeof Ra.prop=="string"&&Ra.prop.startsWith("--")&&typeof Ra.value=="string"&&Ra.value.startsWith("{")){let od;if(Ra.value.trimEnd().endsWith("}")){let ld=qa.originalText.slice(0,Ra.source.start.offset),cd="a".repeat(Ra.prop.length)+qa.originalText.slice(Ra.source.start.offset+Ra.prop.length,Ra.source.end.offset),ud=_$1(!1,ld,/[^\n]/gu," ")+cd,_d;qa.parser==="scss"?_d=ol:qa.parser==="less"?_d=il:_d=nl;let yd;try{yd=_d(ud,{...qa})}catch{}((Ja=yd==null?void 0:yd.nodes)==null?void 0:Ja.length)===1&&yd.nodes[0].type==="css-rule"&&(od=yd.nodes[0].nodes)}return od?Ra.value={type:"css-rule",nodes:od}:Ra.value={type:"value-unknown",value:Ra.raws.value.raw},Ra}let td="";typeof Ra.selector=="string"&&(td=Ra.raws.selector?Ra.raws.selector.scss??Ra.raws.selector.raw:Ra.selector,Ra.raws.between&&Ra.raws.between.trim().length>0&&(td+=Ra.raws.between),Ra.raws.selector=td);let rd="";typeof Ra.value=="string"&&(rd=Ra.raws.value?Ra.raws.value.scss??Ra.raws.value.raw:Ra.value,rd=rd.trim(),Ra.raws.value=rd);let sd="";if(typeof Ra.params=="string"&&(sd=Ra.raws.params?Ra.raws.params.scss??Ra.raws.params.raw:Ra.params,Ra.raws.afterName&&Ra.raws.afterName.trim().length>0&&(sd=Ra.raws.afterName+sd),Ra.raws.between&&Ra.raws.between.trim().length>0&&(sd=sd+Ra.raws.between),sd=sd.trim(),Ra.raws.params=sd),td.trim().length>0)return td.startsWith("@")&&td.endsWith(":")?Ra:Ra.mixin?(Ra.selector=ie(td,qa),Ra):(Zu$1(Ra,qa)&&(Ra.isSCSSNesterProperty=!0),Ra.selector=Z(td),Ra);if(rd.length>0){let od=rd.match(vy);od&&(rd=rd.slice(0,od.index),Ra.scssDefault=!0,od[0].trim()!=="!default"&&(Ra.raws.scssDefault=od[0]));let ld=rd.match(xy);if(ld&&(rd=rd.slice(0,ld.index),Ra.scssGlobal=!0,ld[0].trim()!=="!global"&&(Ra.raws.scssGlobal=ld[0])),rd.startsWith("progid:"))return{type:"value-unknown",value:rd};Ra.value=ie(rd,qa)}if(qa.parser==="less"&&Ra.type==="css-decl"&&rd.startsWith("extend(")&&(Ra.extend||(Ra.extend=Ra.raws.between===":"),Ra.extend&&!Ra.selector&&(delete Ra.value,Ra.selector=Z(rd.slice(7,-1)))),Ra.type==="css-atrule"){if(qa.parser==="less"){if(Ra.mixin){let od=Ra.raws.identifier+Ra.name+Ra.raws.afterName+Ra.raws.params;return Ra.selector=Z(od),delete Ra.params,Ra}if(Ra.function)return Ra}if(qa.parser==="css"&&Ra.name==="custom-selector"){let od=Ra.params.match(/:--\S+\s+/u)[0].trim();return Ra.customSelector=od,Ra.selector=Z(Ra.params.slice(od.length).trim()),delete Ra.params,Ra}if(qa.parser==="less"){if(Ra.name.includes(":")&&!Ra.params){Ra.variable=!0;let od=Ra.name.split(":");Ra.name=od[0],Ra.value=ie(od.slice(1).join(":"),qa)}if(!["page","nest","keyframes"].includes(Ra.name)&&((ed=Ra.params)==null?void 0:ed[0])===":"){Ra.variable=!0;let od=Ra.params.slice(1);od&&(Ra.value=ie(od,qa)),Ra.raws.afterName+=":"}if(Ra.variable)return delete Ra.params,Ra.value||delete Ra.value,Ra}}if(Ra.type==="css-atrule"&&sd.length>0){let{name:od}=Ra,ld=Ra.name.toLowerCase();return od==="warn"||od==="error"?(Ra.params={type:"media-unknown",value:sd},Ra):od==="extend"||od==="nest"?(Ra.selector=Z(sd),delete Ra.params,Ra):od==="at-root"?(/^\(\s*(?:without|with)\s*:.+\)$/su.test(sd)?Ra.params=ie(sd,qa):(Ra.selector=Z(sd),delete Ra.params),Ra):Xu$1(ld)?(Ra.import=!0,delete Ra.filename,Ra.params=ie(sd,qa),Ra):["namespace","supports","if","else","for","each","while","debug","mixin","include","function","return","define-mixin","add-mixin"].includes(od)?(sd=sd.replace(/(\$\S+?)(\s+)?\.{3}/u,"$1...$2"),sd=sd.replace(/^(?!if)(\S+)(\s+)\(/u,"$1($2"),Ra.value=ie(sd,qa),delete Ra.params,Ra):["media","custom-media"].includes(ld)?sd.includes("#{")?{type:"media-unknown",value:sd}:(Ra.params=ca(sd),Ra):(Ra.params=sd,Ra)}}return Ra}function js(Ra,qa,Ja){let ed=Je(qa),{frontMatter:td}=ed;qa=ed.content;let rd;try{rd=Ra(qa,{map:!1})}catch(sd){let{name:od,reason:ld,line:cd,column:ud}=sd;throw typeof cd!="number"?sd:ra(`${od}: ${ld}`,{loc:{start:{line:cd,column:ud}},cause:sd})}return Ja.originalText=qa,rd=sl(J(rd,"css-"),Ja),Gr(rd,qa),td&&(td.source={startOffset:0,endOffset:td.raw.length},rd.frontMatter=td),rd}function nl(Ra,qa={}){return js(el.default.default,Ra,qa)}function il(Ra,qa={}){return js(Ja=>tl.default.parse(vn(Ja)),Ra,qa)}function ol(Ra,qa={}){return js(rl.default,Ra,qa)}var Hs={astFormat:"postcss",hasPragma:In,locStart:N,locEnd:P},by={...Hs,parse:nl},_y={...Hs,parse:il},ky={...Hs,parse:ol},Ey={postcss:fi},Ob=Qs;const prettierPluginCss=Object.freeze(Object.defineProperty({__proto__:null,default:Ob,languages:pi$1,options:di,parsers:Ks,printers:Ey},Symbol.toStringTag,{value:"Module"}));function addPrefix(Ra){return`${prefix}__${Ra}`}function customizeTheme(Ra,qa){const Ja=JSON.parse(JSON.stringify(Ra)),{fontSize:ed,color:td}=qa;if(ed)for(let rd=1;rd<=4;rd++){const sd=Ja.block[`h${rd}`]["font-size"];Ja.block[`h${rd}`]["font-size"]=`${ed*Number.parseFloat(sd)}px`}return td&&(Ja.base["--md-primary-color"]=td),Ja}function customCssWithTemplate(Ra,qa,Ja){const ed=customizeTheme(Ja,{color:qa}),td=(od,ld,cd)=>{cd.forEach(ud=>{ld[ud]&&(od[ud]=Object.assign(od[ud]||{},ld[ud]))})},rd=["h1","h2","h3","h4","code","p","hr","blockquote","blockquote_p","image","ul","ol"],sd=["strong","codespan","link","wx_link","listitem"];return td(ed.block,Ra,rd),td(ed.inline,Ra,sd),ed}function css2json(Ra){Ra=Ra.replace(/\/\*[\s\S]*?\*\//g,"");const qa={},Ja=ed=>ed.reduce((td,rd)=>{const[sd,od]=rd.split(":").map(ld=>ld.trim());return sd&&(td[sd]=od),td},{});for(;Ra.includes("{")&&Ra.includes("}");){const ed=Ra.indexOf("{"),td=Ra.indexOf("}"),rd=Ra.substring(ed+1,td).split(";").map(ld=>ld.trim()).filter(Boolean),sd=Ra.substring(0,ed).split(",").map(ld=>ld.trim()),od=Ja(rd);sd.forEach(ld=>{qa[ld]={...qa[ld]||{},...od}}),Ra=Ra.slice(td+1).trim()}return qa}async function formatDoc(Ra,qa="markdown"){const Ja={markdown:[prettierPluginMarkdown,prettierPluginBabel,prettierPluginEstree],css:[prettierPluginCss]},ed=qa in Ja?qa:"markdown";return await gu$1(Ra,{parser:ed,plugins:Ja[ed]})}function downloadMD(Ra){const qa=document.createElement("a");qa.download="content.md",qa.style.display="none";const Ja=new Blob([Ra]);qa.href=URL.createObjectURL(Ja),document.body.appendChild(qa),qa.click(),document.body.removeChild(qa)}function exportHTML(){const Ra=document.querySelector("#output");td(Ra);const qa=Ra.innerHTML,Ja=document.createElement("a");Ja.download="content.html",Ja.style.display="none";const ed=new Blob([`<html><head><meta charset="utf-8" /></head><body><div style="width: 750px; margin: auto;">${qa}</div></body></html>`]);Ja.href=URL.createObjectURL(ed),document.body.appendChild(Ja),Ja.click(),document.body.removeChild(Ja);function td(rd){function sd(ud,_d=["width","height"]){const yd=getComputedStyle(ud,null);return Object.entries(yd).filter(([gd])=>yd.getPropertyValue(gd)&&!_d.includes(gd)).map(([gd,Ed])=>`${gd}:${Ed};`).join("")}switch(!0){case od(rd):case ld(rd):case cd(rd):rd.setAttribute("style",sd(rd))}rd.children.length&&Array.from(rd.children).forEach(ud=>td(ud));function od(ud){return ud.tagName==="PRE"&&Array.from(ud.classList).includes("code__pre")}function ld(ud){return ud.tagName==="CODE"}function cd(ud){return ud.tagName==="SPAN"&&(ld(ud.parentElement)||ld(ud.parentElement.parentElement))}}}function createTable({data:Ra,rows:qa,cols:Ja}){let ed="";for(let td=0;td<qa+2;++td){ed+="| ";const rd=[];for(let sd=0;sd<Ja;++sd){const od=td>1?td-1:td;rd.push(td===1?"---":Ra[`k_${od}_${sd}`]||" ")}ed+=rd.join(" | "),ed+=` |
|
||
`}return ed}function toBase64(Ra){return new Promise((qa,Ja)=>{const ed=new FileReader;ed.readAsDataURL(Ra),ed.onload=()=>qa(ed.result.split(",").pop()),ed.onerror=td=>Ja(td)})}function checkImage(Ra){if(!/\.(?:gif|jpe?g|png)$/i.test(Ra.name))return{ok:!1,msg:"请上传 JPG/PNG/GIF 格式的图片"};const Ja=10;return Ra.size>Ja*1024*1024?{ok:!1,msg:`由于公众号限制,图片大小不能超过 ${Ja}M`}:{ok:!0}}function removeLeft(Ra){const qa=Ra.split(`
|
||
`),Ja=qa.filter(ed=>ed.trim()).map(ed=>ed.match(/(^\s+)?/)[0].length).sort((ed,td)=>ed-td)[0];return qa.map(ed=>ed.slice(Ja)).join(`
|
||
`)}function solveWeChatImage(){const qa=document.getElementById("output").getElementsByTagName("img");for(let Ja=0;Ja<qa.length;Ja++){const ed=qa[Ja],td=ed.getAttribute("width"),rd=ed.getAttribute("height");ed.removeAttribute("width"),ed.removeAttribute("height"),ed.style.width=td,ed.style.height=rd}}function mergeCss(Ra){return juice(Ra,{inlinePseudoElements:!0,preserveImportant:!0})}const useStore=defineStore("store",()=>{const Ra=useDark(),qa=useToggle(Ra),Ja=useStorage("isMacCodeBlock",!0),ed=useToggle(Ja),td=useStorage("isEditOnLeft",!0),rd=useToggle(td),sd=useStorage("isCiteStatus",!1),od=useToggle(sd),ld=ref$1(""),cd=useStorage(addPrefix("theme"),themeOptions[0].value),ud=useStorage("fonts",fontFamilyOptions[0].value),_d=useStorage("size",fontSizeOptions[2].value),yd=useStorage("color",colorOptions[0].value),gd=useStorage("codeBlockTheme",codeBlockThemeOptions[23].value),Ed=useStorage("legend",legendOptions[3].value),Td=_d.value.replace("px",""),kd=initRenderer({theme:customizeTheme(themeMap[cd.value],{fontSize:Td,color:yd.value}),fonts:ud.value,size:_d.value}),Rd=ref$1(null),Nd=useStorage("__editor_content",DEFAULT_CONTENT),Id=()=>{formatDoc(Rd.value.getValue()).then(Tf=>{Nd.value=Tf,Rd.value.setValue(Tf)})},Md=()=>{const Tf=gd.value,Af=document.querySelector("#hljs");if(Af)Af.setAttribute("href",Tf);else{const Pf=document.createElement("link");Pf.setAttribute("type","text/css"),Pf.setAttribute("rel","stylesheet"),Pf.setAttribute("href",Tf),Pf.setAttribute("id","hljs"),document.head.appendChild(Pf)}},Ld=()=>{Md(),kd.reset({status:sd.value,legend:Ed.value});let Tf=marked$1.parse(Rd.value.getValue(0));Tf=Tf.replace(/(style=".*?)"/,'$1;margin-top: 0"'),sd.value&&(Tf+=kd.buildFootnotes(),Tf+=kd.buildAddition()),Ja.value&&(Tf+=`
|
||
<style>
|
||
.hljs.code__pre::before {
|
||
position: initial;
|
||
padding: initial;
|
||
content: '';
|
||
display: block;
|
||
height: 25px;
|
||
background-color: transparent;
|
||
background-image: url("https://doocs.oss-cn-shenzhen.aliyuncs.com/img/123.svg");
|
||
background-position: 14px 10px!important;
|
||
background-repeat: no-repeat;
|
||
background-size: 40px!important;
|
||
}
|
||
|
||
.hljs.code__pre {
|
||
padding: 0!important;
|
||
}
|
||
|
||
.hljs.code__pre code {
|
||
display: -webkit-box;
|
||
padding: 0.5em 1em 1em;
|
||
overflow-x: auto;
|
||
text-indent: 0;
|
||
}
|
||
</style>
|
||
`),ld.value=Tf},Pd=ref$1(null),qd=Tf=>{Pd.value.setValue(Tf)},Yd=useStorage("__css_content",DEFAULT_CSS_CONTENT),Ud=useStorage(addPrefix("css_content_config"),{active:"方案1",tabs:[{title:"方案1",name:"方案1",content:Yd.value||DEFAULT_CSS_CONTENT}]});onMounted(()=>{Yd.value=""});const Hd=()=>Ud.value.tabs.find(Tf=>Tf.name===Ud.value.active),Vd=Tf=>{Ud.value.active=Tf;const Af=Ud.value.tabs.find(Pf=>Pf.name===Tf).content;qd(Af)},Jd=Tf=>{const Af=Hd();Af.title=Tf,Af.name=Tf,Ud.value.active=Tf},Zd=Tf=>{Ud.value.tabs.push({name:Tf,title:Tf,content:DEFAULT_CSS_CONTENT}),Ud.value.active=Tf,qd(DEFAULT_CSS_CONTENT)},pf=Tf=>Ud.value.tabs.every(({name:Af})=>Af!==Tf),Xd=()=>{const Tf=css2json(Pd.value.getValue()),Af=customCssWithTemplate(Tf,yd.value,customizeTheme(themeMap[cd.value],{fontSize:Td,color:yd.value}));kd.setOptions({theme:Af}),Ld()};onMounted(()=>{const Tf=document.querySelector("#cssEditor");Tf.value=Hd().content,Pd.value=markRaw(CodeMirror.fromTextArea(Tf,{mode:"css",theme:"style-mirror",lineNumbers:!1,lineWrapping:!0,matchBrackets:!0,autofocus:!0,extraKeys:{[`${shiftKey}-${altKey}-F`]:function(Pf){formatDoc(Pf.getValue(),"css").then(gh=>{Hd().content=gh,Pf.setValue(gh)})}}})),Pd.value.on("keyup",(Af,Pf)=>{(Pf.keyCode>=65&&Pf.keyCode<=90||Pf.keyCode===189)&&Af.showHint(Pf)}),Pd.value.on("update",()=>{Xd(),Hd().content=Pd.value.getValue()})});const hf=()=>{sd.value=!1,Ja.value=!0,cd.value=themeOptions[0].value,ud.value=fontFamilyOptions[0].value,ud.value=fontFamilyOptions[0].value,_d.value=fontSizeOptions[2].value,yd.value=colorOptions[0].value,gd.value=codeBlockThemeOptions[2].value,Ed.value=legendOptions[3].value,Ud.value={active:"方案 1",tabs:[{title:"方案 1",name:"方案 1",content:Yd.value||DEFAULT_CSS_CONTENT}]},Pd.value.setValue(DEFAULT_CSS_CONTENT),Xd(),Ld()},_f=Tf=>(...Af)=>{Tf(...Af),Ld()},xf=(Tf,Af)=>{const Pf=themeMap[cd.value],gh=Tf.replace("px","");return customizeTheme(Pf,{fontSize:gh,color:Af})},Lf=_f(Tf=>{kd.setOptions({theme:customizeTheme(themeMap[Tf],{fontSize:Td,color:yd.value})}),cd.value=Tf}),Wf=_f(Tf=>{kd.setOptions({fonts:Tf}),ud.value=Tf}),Yf=_f(Tf=>{const Af=xf(Tf,yd.value);kd.setOptions({size:Tf,theme:Af}),_d.value=Tf}),If=_f(Tf=>{const Af=xf(_d.value,Tf);kd.setOptions({theme:Af}),yd.value=Tf}),Sf=_f(Tf=>{gd.value=Tf}),wf=_f(Tf=>{Ed.value=Tf}),Kf=_f(()=>{ed()}),Gf=_f(()=>{od()}),gf=()=>{exportHTML(),document.querySelector("#output").innerHTML=ld.value},mf=()=>{downloadMD(Rd.value.getValue())},$f=()=>{const Tf=document.body,Af=document.createElement("input");Af.type="file",Af.name="filename",Af.accept=".md",Af.onchange=()=>{const Pf=Af.files[0];if(!Pf)return;const gh=new FileReader;gh.readAsText(Pf),gh.onload=Nh=>{Rd.value.setValue(Nh.target.result),ElMessage.success("文档导入成功")}},Tf.appendChild(Af),Af.click(),Tf.removeChild(Af)},zf=()=>{ElMessageBox.confirm("此操作将丢失本地自定义样式,是否继续?","提示",{confirmButtonText:"确定",cancelButtonText:"取消",type:"warning",center:!0}).then(()=>{hf(),ElMessage({type:"success",message:"样式重置成功~"})}).catch(()=>{Rd.value.focus()})},hh=ref$1(!1),Vf=useToggle(hh),kf=ref$1(!1),Jf=useToggle(kf),Ch=ref$1(!1),qf=useToggle(Ch);return{isShowCssEditor:hh,toggleShowCssEditor:Vf,isShowInsertFormDialog:kf,toggleShowInsertFormDialog:Jf,isShowUploadImgDialog:Ch,toggleShowUploadImgDialog:qf,isDark:Ra,toggleDark:qa,isEditOnLeft:td,toggleEditOnLeft:rd,isMacCodeBlock:Ja,isCiteStatus:sd,citeStatusChanged:Gf,output:ld,editor:Rd,cssEditor:Pd,theme:cd,fontFamily:ud,fontSize:_d,fontColor:yd,codeBlockTheme:gd,legend:Ed,editorRefresh:Ld,themeChanged:Lf,fontChanged:Wf,sizeChanged:Yf,colorChanged:If,codeBlockThemeChanged:Sf,legendChanged:wf,macCodeBlockChanged:Kf,formatContent:Id,exportEditorContent2HTML:gf,exportEditorContent2MD:mf,importMarkdownContent:$f,resetStyleConfirm:zf,editorContent:Nd,cssContentConfig:Ud,addCssContentTab:Zd,validatorTabName:pf,setCssEditorValue:qd,tabChanged:Vd,renameTab:Jd}}),Store=createPinia(),ElementPlus={install(Ra){Ra.config.globalProperties.$loading=ElLoading.service,Ra.config.globalProperties.$message=ElMessage;for(const[qa,Ja]of Object.entries(ElementPlusIconsVue))Ra.component(`ElIcon${qa}`,Ja)}};var cryptoJs={exports:{}};function commonjsRequire(Ra){throw new Error('Could not dynamically require "'+Ra+'". Please configure the dynamicRequireTargets or/and ignoreDynamicRequires option of @rollup/plugin-commonjs appropriately for this require call to work.')}var core={exports:{}};const __viteBrowserExternal={},http=Object.freeze(Object.defineProperty({__proto__:null,default:__viteBrowserExternal},Symbol.toStringTag,{value:"Module"})),require$$0$1=getAugmentedNamespace(http);var hasRequiredCore;function requireCore(){return hasRequiredCore||(hasRequiredCore=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed()})(commonjsGlobal,function(){var Ja=Ja||function(ed,td){var rd;if(typeof window<"u"&&window.crypto&&(rd=window.crypto),typeof self<"u"&&self.crypto&&(rd=self.crypto),typeof globalThis<"u"&&globalThis.crypto&&(rd=globalThis.crypto),!rd&&typeof window<"u"&&window.msCrypto&&(rd=window.msCrypto),!rd&&typeof commonjsGlobal<"u"&&commonjsGlobal.crypto&&(rd=commonjsGlobal.crypto),!rd&&typeof commonjsRequire=="function")try{rd=require$$0$1}catch{}var sd=function(){if(rd){if(typeof rd.getRandomValues=="function")try{return rd.getRandomValues(new Uint32Array(1))[0]}catch{}if(typeof rd.randomBytes=="function")try{return rd.randomBytes(4).readInt32LE()}catch{}}throw new Error("Native crypto module could not be used to get secure random number.")},od=Object.create||function(){function Nd(){}return function(Id){var Md;return Nd.prototype=Id,Md=new Nd,Nd.prototype=null,Md}}(),ld={},cd=ld.lib={},ud=cd.Base=function(){return{extend:function(Nd){var Id=od(this);return Nd&&Id.mixIn(Nd),(!Id.hasOwnProperty("init")||this.init===Id.init)&&(Id.init=function(){Id.$super.init.apply(this,arguments)}),Id.init.prototype=Id,Id.$super=this,Id},create:function(){var Nd=this.extend();return Nd.init.apply(Nd,arguments),Nd},init:function(){},mixIn:function(Nd){for(var Id in Nd)Nd.hasOwnProperty(Id)&&(this[Id]=Nd[Id]);Nd.hasOwnProperty("toString")&&(this.toString=Nd.toString)},clone:function(){return this.init.prototype.extend(this)}}}(),_d=cd.WordArray=ud.extend({init:function(Nd,Id){Nd=this.words=Nd||[],Id!=td?this.sigBytes=Id:this.sigBytes=Nd.length*4},toString:function(Nd){return(Nd||gd).stringify(this)},concat:function(Nd){var Id=this.words,Md=Nd.words,Ld=this.sigBytes,Pd=Nd.sigBytes;if(this.clamp(),Ld%4)for(var qd=0;qd<Pd;qd++){var Yd=Md[qd>>>2]>>>24-qd%4*8&255;Id[Ld+qd>>>2]|=Yd<<24-(Ld+qd)%4*8}else for(var Ud=0;Ud<Pd;Ud+=4)Id[Ld+Ud>>>2]=Md[Ud>>>2];return this.sigBytes+=Pd,this},clamp:function(){var Nd=this.words,Id=this.sigBytes;Nd[Id>>>2]&=4294967295<<32-Id%4*8,Nd.length=ed.ceil(Id/4)},clone:function(){var Nd=ud.clone.call(this);return Nd.words=this.words.slice(0),Nd},random:function(Nd){for(var Id=[],Md=0;Md<Nd;Md+=4)Id.push(sd());return new _d.init(Id,Nd)}}),yd=ld.enc={},gd=yd.Hex={stringify:function(Nd){for(var Id=Nd.words,Md=Nd.sigBytes,Ld=[],Pd=0;Pd<Md;Pd++){var qd=Id[Pd>>>2]>>>24-Pd%4*8&255;Ld.push((qd>>>4).toString(16)),Ld.push((qd&15).toString(16))}return Ld.join("")},parse:function(Nd){for(var Id=Nd.length,Md=[],Ld=0;Ld<Id;Ld+=2)Md[Ld>>>3]|=parseInt(Nd.substr(Ld,2),16)<<24-Ld%8*4;return new _d.init(Md,Id/2)}},Ed=yd.Latin1={stringify:function(Nd){for(var Id=Nd.words,Md=Nd.sigBytes,Ld=[],Pd=0;Pd<Md;Pd++){var qd=Id[Pd>>>2]>>>24-Pd%4*8&255;Ld.push(String.fromCharCode(qd))}return Ld.join("")},parse:function(Nd){for(var Id=Nd.length,Md=[],Ld=0;Ld<Id;Ld++)Md[Ld>>>2]|=(Nd.charCodeAt(Ld)&255)<<24-Ld%4*8;return new _d.init(Md,Id)}},Td=yd.Utf8={stringify:function(Nd){try{return decodeURIComponent(escape(Ed.stringify(Nd)))}catch{throw new Error("Malformed UTF-8 data")}},parse:function(Nd){return Ed.parse(unescape(encodeURIComponent(Nd)))}},kd=cd.BufferedBlockAlgorithm=ud.extend({reset:function(){this._data=new _d.init,this._nDataBytes=0},_append:function(Nd){typeof Nd=="string"&&(Nd=Td.parse(Nd)),this._data.concat(Nd),this._nDataBytes+=Nd.sigBytes},_process:function(Nd){var Id,Md=this._data,Ld=Md.words,Pd=Md.sigBytes,qd=this.blockSize,Yd=qd*4,Ud=Pd/Yd;Nd?Ud=ed.ceil(Ud):Ud=ed.max((Ud|0)-this._minBufferSize,0);var Hd=Ud*qd,Vd=ed.min(Hd*4,Pd);if(Hd){for(var Jd=0;Jd<Hd;Jd+=qd)this._doProcessBlock(Ld,Jd);Id=Ld.splice(0,Hd),Md.sigBytes-=Vd}return new _d.init(Id,Vd)},clone:function(){var Nd=ud.clone.call(this);return Nd._data=this._data.clone(),Nd},_minBufferSize:0});cd.Hasher=kd.extend({cfg:ud.extend(),init:function(Nd){this.cfg=this.cfg.extend(Nd),this.reset()},reset:function(){kd.reset.call(this),this._doReset()},update:function(Nd){return this._append(Nd),this._process(),this},finalize:function(Nd){Nd&&this._append(Nd);var Id=this._doFinalize();return Id},blockSize:16,_createHelper:function(Nd){return function(Id,Md){return new Nd.init(Md).finalize(Id)}},_createHmacHelper:function(Nd){return function(Id,Md){return new Rd.HMAC.init(Nd,Md).finalize(Id)}}});var Rd=ld.algo={};return ld}(Math);return Ja})}(core)),core.exports}var x64Core={exports:{}},hasRequiredX64Core;function requireX64Core(){return hasRequiredX64Core||(hasRequiredX64Core=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed(requireCore())})(commonjsGlobal,function(Ja){return function(ed){var td=Ja,rd=td.lib,sd=rd.Base,od=rd.WordArray,ld=td.x64={};ld.Word=sd.extend({init:function(cd,ud){this.high=cd,this.low=ud}}),ld.WordArray=sd.extend({init:function(cd,ud){cd=this.words=cd||[],ud!=ed?this.sigBytes=ud:this.sigBytes=cd.length*8},toX32:function(){for(var cd=this.words,ud=cd.length,_d=[],yd=0;yd<ud;yd++){var gd=cd[yd];_d.push(gd.high),_d.push(gd.low)}return od.create(_d,this.sigBytes)},clone:function(){for(var cd=sd.clone.call(this),ud=cd.words=this.words.slice(0),_d=ud.length,yd=0;yd<_d;yd++)ud[yd]=ud[yd].clone();return cd}})}(),Ja})}(x64Core)),x64Core.exports}var libTypedarrays={exports:{}},hasRequiredLibTypedarrays;function requireLibTypedarrays(){return hasRequiredLibTypedarrays||(hasRequiredLibTypedarrays=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed(requireCore())})(commonjsGlobal,function(Ja){return function(){if(typeof ArrayBuffer=="function"){var ed=Ja,td=ed.lib,rd=td.WordArray,sd=rd.init,od=rd.init=function(ld){if(ld instanceof ArrayBuffer&&(ld=new Uint8Array(ld)),(ld instanceof Int8Array||typeof Uint8ClampedArray<"u"&&ld instanceof Uint8ClampedArray||ld instanceof Int16Array||ld instanceof Uint16Array||ld instanceof Int32Array||ld instanceof Uint32Array||ld instanceof Float32Array||ld instanceof Float64Array)&&(ld=new Uint8Array(ld.buffer,ld.byteOffset,ld.byteLength)),ld instanceof Uint8Array){for(var cd=ld.byteLength,ud=[],_d=0;_d<cd;_d++)ud[_d>>>2]|=ld[_d]<<24-_d%4*8;sd.call(this,ud,cd)}else sd.apply(this,arguments)};od.prototype=rd}}(),Ja.lib.WordArray})}(libTypedarrays)),libTypedarrays.exports}var encUtf16={exports:{}},hasRequiredEncUtf16;function requireEncUtf16(){return hasRequiredEncUtf16||(hasRequiredEncUtf16=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed(requireCore())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.WordArray,sd=ed.enc;sd.Utf16=sd.Utf16BE={stringify:function(ld){for(var cd=ld.words,ud=ld.sigBytes,_d=[],yd=0;yd<ud;yd+=2){var gd=cd[yd>>>2]>>>16-yd%4*8&65535;_d.push(String.fromCharCode(gd))}return _d.join("")},parse:function(ld){for(var cd=ld.length,ud=[],_d=0;_d<cd;_d++)ud[_d>>>1]|=ld.charCodeAt(_d)<<16-_d%2*16;return rd.create(ud,cd*2)}},sd.Utf16LE={stringify:function(ld){for(var cd=ld.words,ud=ld.sigBytes,_d=[],yd=0;yd<ud;yd+=2){var gd=od(cd[yd>>>2]>>>16-yd%4*8&65535);_d.push(String.fromCharCode(gd))}return _d.join("")},parse:function(ld){for(var cd=ld.length,ud=[],_d=0;_d<cd;_d++)ud[_d>>>1]|=od(ld.charCodeAt(_d)<<16-_d%2*16);return rd.create(ud,cd*2)}};function od(ld){return ld<<8&4278255360|ld>>>8&16711935}}(),Ja.enc.Utf16})}(encUtf16)),encUtf16.exports}var encBase64={exports:{}},hasRequiredEncBase64;function requireEncBase64(){return hasRequiredEncBase64||(hasRequiredEncBase64=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed(requireCore())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.WordArray,sd=ed.enc;sd.Base64={stringify:function(ld){var cd=ld.words,ud=ld.sigBytes,_d=this._map;ld.clamp();for(var yd=[],gd=0;gd<ud;gd+=3)for(var Ed=cd[gd>>>2]>>>24-gd%4*8&255,Td=cd[gd+1>>>2]>>>24-(gd+1)%4*8&255,kd=cd[gd+2>>>2]>>>24-(gd+2)%4*8&255,Rd=Ed<<16|Td<<8|kd,Nd=0;Nd<4&&gd+Nd*.75<ud;Nd++)yd.push(_d.charAt(Rd>>>6*(3-Nd)&63));var Id=_d.charAt(64);if(Id)for(;yd.length%4;)yd.push(Id);return yd.join("")},parse:function(ld){var cd=ld.length,ud=this._map,_d=this._reverseMap;if(!_d){_d=this._reverseMap=[];for(var yd=0;yd<ud.length;yd++)_d[ud.charCodeAt(yd)]=yd}var gd=ud.charAt(64);if(gd){var Ed=ld.indexOf(gd);Ed!==-1&&(cd=Ed)}return od(ld,cd,_d)},_map:"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/="};function od(ld,cd,ud){for(var _d=[],yd=0,gd=0;gd<cd;gd++)if(gd%4){var Ed=ud[ld.charCodeAt(gd-1)]<<gd%4*2,Td=ud[ld.charCodeAt(gd)]>>>6-gd%4*2,kd=Ed|Td;_d[yd>>>2]|=kd<<24-yd%4*8,yd++}return rd.create(_d,yd)}}(),Ja.enc.Base64})}(encBase64)),encBase64.exports}var encBase64url={exports:{}},hasRequiredEncBase64url;function requireEncBase64url(){return hasRequiredEncBase64url||(hasRequiredEncBase64url=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed(requireCore())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.WordArray,sd=ed.enc;sd.Base64url={stringify:function(ld,cd){cd===void 0&&(cd=!0);var ud=ld.words,_d=ld.sigBytes,yd=cd?this._safe_map:this._map;ld.clamp();for(var gd=[],Ed=0;Ed<_d;Ed+=3)for(var Td=ud[Ed>>>2]>>>24-Ed%4*8&255,kd=ud[Ed+1>>>2]>>>24-(Ed+1)%4*8&255,Rd=ud[Ed+2>>>2]>>>24-(Ed+2)%4*8&255,Nd=Td<<16|kd<<8|Rd,Id=0;Id<4&&Ed+Id*.75<_d;Id++)gd.push(yd.charAt(Nd>>>6*(3-Id)&63));var Md=yd.charAt(64);if(Md)for(;gd.length%4;)gd.push(Md);return gd.join("")},parse:function(ld,cd){cd===void 0&&(cd=!0);var ud=ld.length,_d=cd?this._safe_map:this._map,yd=this._reverseMap;if(!yd){yd=this._reverseMap=[];for(var gd=0;gd<_d.length;gd++)yd[_d.charCodeAt(gd)]=gd}var Ed=_d.charAt(64);if(Ed){var Td=ld.indexOf(Ed);Td!==-1&&(ud=Td)}return od(ld,ud,yd)},_map:"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=",_safe_map:"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789-_"};function od(ld,cd,ud){for(var _d=[],yd=0,gd=0;gd<cd;gd++)if(gd%4){var Ed=ud[ld.charCodeAt(gd-1)]<<gd%4*2,Td=ud[ld.charCodeAt(gd)]>>>6-gd%4*2,kd=Ed|Td;_d[yd>>>2]|=kd<<24-yd%4*8,yd++}return rd.create(_d,yd)}}(),Ja.enc.Base64url})}(encBase64url)),encBase64url.exports}var md5$1={exports:{}},hasRequiredMd5$1;function requireMd5$1(){return hasRequiredMd5$1||(hasRequiredMd5$1=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed(requireCore())})(commonjsGlobal,function(Ja){return function(ed){var td=Ja,rd=td.lib,sd=rd.WordArray,od=rd.Hasher,ld=td.algo,cd=[];(function(){for(var Td=0;Td<64;Td++)cd[Td]=ed.abs(ed.sin(Td+1))*4294967296|0})();var ud=ld.MD5=od.extend({_doReset:function(){this._hash=new sd.init([1732584193,4023233417,2562383102,271733878])},_doProcessBlock:function(Td,kd){for(var Rd=0;Rd<16;Rd++){var Nd=kd+Rd,Id=Td[Nd];Td[Nd]=(Id<<8|Id>>>24)&16711935|(Id<<24|Id>>>8)&4278255360}var Md=this._hash.words,Ld=Td[kd+0],Pd=Td[kd+1],qd=Td[kd+2],Yd=Td[kd+3],Ud=Td[kd+4],Hd=Td[kd+5],Vd=Td[kd+6],Jd=Td[kd+7],Zd=Td[kd+8],pf=Td[kd+9],Xd=Td[kd+10],hf=Td[kd+11],_f=Td[kd+12],xf=Td[kd+13],Lf=Td[kd+14],Wf=Td[kd+15],Yf=Md[0],If=Md[1],Sf=Md[2],wf=Md[3];Yf=_d(Yf,If,Sf,wf,Ld,7,cd[0]),wf=_d(wf,Yf,If,Sf,Pd,12,cd[1]),Sf=_d(Sf,wf,Yf,If,qd,17,cd[2]),If=_d(If,Sf,wf,Yf,Yd,22,cd[3]),Yf=_d(Yf,If,Sf,wf,Ud,7,cd[4]),wf=_d(wf,Yf,If,Sf,Hd,12,cd[5]),Sf=_d(Sf,wf,Yf,If,Vd,17,cd[6]),If=_d(If,Sf,wf,Yf,Jd,22,cd[7]),Yf=_d(Yf,If,Sf,wf,Zd,7,cd[8]),wf=_d(wf,Yf,If,Sf,pf,12,cd[9]),Sf=_d(Sf,wf,Yf,If,Xd,17,cd[10]),If=_d(If,Sf,wf,Yf,hf,22,cd[11]),Yf=_d(Yf,If,Sf,wf,_f,7,cd[12]),wf=_d(wf,Yf,If,Sf,xf,12,cd[13]),Sf=_d(Sf,wf,Yf,If,Lf,17,cd[14]),If=_d(If,Sf,wf,Yf,Wf,22,cd[15]),Yf=yd(Yf,If,Sf,wf,Pd,5,cd[16]),wf=yd(wf,Yf,If,Sf,Vd,9,cd[17]),Sf=yd(Sf,wf,Yf,If,hf,14,cd[18]),If=yd(If,Sf,wf,Yf,Ld,20,cd[19]),Yf=yd(Yf,If,Sf,wf,Hd,5,cd[20]),wf=yd(wf,Yf,If,Sf,Xd,9,cd[21]),Sf=yd(Sf,wf,Yf,If,Wf,14,cd[22]),If=yd(If,Sf,wf,Yf,Ud,20,cd[23]),Yf=yd(Yf,If,Sf,wf,pf,5,cd[24]),wf=yd(wf,Yf,If,Sf,Lf,9,cd[25]),Sf=yd(Sf,wf,Yf,If,Yd,14,cd[26]),If=yd(If,Sf,wf,Yf,Zd,20,cd[27]),Yf=yd(Yf,If,Sf,wf,xf,5,cd[28]),wf=yd(wf,Yf,If,Sf,qd,9,cd[29]),Sf=yd(Sf,wf,Yf,If,Jd,14,cd[30]),If=yd(If,Sf,wf,Yf,_f,20,cd[31]),Yf=gd(Yf,If,Sf,wf,Hd,4,cd[32]),wf=gd(wf,Yf,If,Sf,Zd,11,cd[33]),Sf=gd(Sf,wf,Yf,If,hf,16,cd[34]),If=gd(If,Sf,wf,Yf,Lf,23,cd[35]),Yf=gd(Yf,If,Sf,wf,Pd,4,cd[36]),wf=gd(wf,Yf,If,Sf,Ud,11,cd[37]),Sf=gd(Sf,wf,Yf,If,Jd,16,cd[38]),If=gd(If,Sf,wf,Yf,Xd,23,cd[39]),Yf=gd(Yf,If,Sf,wf,xf,4,cd[40]),wf=gd(wf,Yf,If,Sf,Ld,11,cd[41]),Sf=gd(Sf,wf,Yf,If,Yd,16,cd[42]),If=gd(If,Sf,wf,Yf,Vd,23,cd[43]),Yf=gd(Yf,If,Sf,wf,pf,4,cd[44]),wf=gd(wf,Yf,If,Sf,_f,11,cd[45]),Sf=gd(Sf,wf,Yf,If,Wf,16,cd[46]),If=gd(If,Sf,wf,Yf,qd,23,cd[47]),Yf=Ed(Yf,If,Sf,wf,Ld,6,cd[48]),wf=Ed(wf,Yf,If,Sf,Jd,10,cd[49]),Sf=Ed(Sf,wf,Yf,If,Lf,15,cd[50]),If=Ed(If,Sf,wf,Yf,Hd,21,cd[51]),Yf=Ed(Yf,If,Sf,wf,_f,6,cd[52]),wf=Ed(wf,Yf,If,Sf,Yd,10,cd[53]),Sf=Ed(Sf,wf,Yf,If,Xd,15,cd[54]),If=Ed(If,Sf,wf,Yf,Pd,21,cd[55]),Yf=Ed(Yf,If,Sf,wf,Zd,6,cd[56]),wf=Ed(wf,Yf,If,Sf,Wf,10,cd[57]),Sf=Ed(Sf,wf,Yf,If,Vd,15,cd[58]),If=Ed(If,Sf,wf,Yf,xf,21,cd[59]),Yf=Ed(Yf,If,Sf,wf,Ud,6,cd[60]),wf=Ed(wf,Yf,If,Sf,hf,10,cd[61]),Sf=Ed(Sf,wf,Yf,If,qd,15,cd[62]),If=Ed(If,Sf,wf,Yf,pf,21,cd[63]),Md[0]=Md[0]+Yf|0,Md[1]=Md[1]+If|0,Md[2]=Md[2]+Sf|0,Md[3]=Md[3]+wf|0},_doFinalize:function(){var Td=this._data,kd=Td.words,Rd=this._nDataBytes*8,Nd=Td.sigBytes*8;kd[Nd>>>5]|=128<<24-Nd%32;var Id=ed.floor(Rd/4294967296),Md=Rd;kd[(Nd+64>>>9<<4)+15]=(Id<<8|Id>>>24)&16711935|(Id<<24|Id>>>8)&4278255360,kd[(Nd+64>>>9<<4)+14]=(Md<<8|Md>>>24)&16711935|(Md<<24|Md>>>8)&4278255360,Td.sigBytes=(kd.length+1)*4,this._process();for(var Ld=this._hash,Pd=Ld.words,qd=0;qd<4;qd++){var Yd=Pd[qd];Pd[qd]=(Yd<<8|Yd>>>24)&16711935|(Yd<<24|Yd>>>8)&4278255360}return Ld},clone:function(){var Td=od.clone.call(this);return Td._hash=this._hash.clone(),Td}});function _d(Td,kd,Rd,Nd,Id,Md,Ld){var Pd=Td+(kd&Rd|~kd&Nd)+Id+Ld;return(Pd<<Md|Pd>>>32-Md)+kd}function yd(Td,kd,Rd,Nd,Id,Md,Ld){var Pd=Td+(kd&Nd|Rd&~Nd)+Id+Ld;return(Pd<<Md|Pd>>>32-Md)+kd}function gd(Td,kd,Rd,Nd,Id,Md,Ld){var Pd=Td+(kd^Rd^Nd)+Id+Ld;return(Pd<<Md|Pd>>>32-Md)+kd}function Ed(Td,kd,Rd,Nd,Id,Md,Ld){var Pd=Td+(Rd^(kd|~Nd))+Id+Ld;return(Pd<<Md|Pd>>>32-Md)+kd}td.MD5=od._createHelper(ud),td.HmacMD5=od._createHmacHelper(ud)}(Math),Ja.MD5})}(md5$1)),md5$1.exports}var sha1={exports:{}},hasRequiredSha1;function requireSha1(){return hasRequiredSha1||(hasRequiredSha1=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed(requireCore())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.WordArray,sd=td.Hasher,od=ed.algo,ld=[],cd=od.SHA1=sd.extend({_doReset:function(){this._hash=new rd.init([1732584193,4023233417,2562383102,271733878,3285377520])},_doProcessBlock:function(ud,_d){for(var yd=this._hash.words,gd=yd[0],Ed=yd[1],Td=yd[2],kd=yd[3],Rd=yd[4],Nd=0;Nd<80;Nd++){if(Nd<16)ld[Nd]=ud[_d+Nd]|0;else{var Id=ld[Nd-3]^ld[Nd-8]^ld[Nd-14]^ld[Nd-16];ld[Nd]=Id<<1|Id>>>31}var Md=(gd<<5|gd>>>27)+Rd+ld[Nd];Nd<20?Md+=(Ed&Td|~Ed&kd)+1518500249:Nd<40?Md+=(Ed^Td^kd)+1859775393:Nd<60?Md+=(Ed&Td|Ed&kd|Td&kd)-1894007588:Md+=(Ed^Td^kd)-899497514,Rd=kd,kd=Td,Td=Ed<<30|Ed>>>2,Ed=gd,gd=Md}yd[0]=yd[0]+gd|0,yd[1]=yd[1]+Ed|0,yd[2]=yd[2]+Td|0,yd[3]=yd[3]+kd|0,yd[4]=yd[4]+Rd|0},_doFinalize:function(){var ud=this._data,_d=ud.words,yd=this._nDataBytes*8,gd=ud.sigBytes*8;return _d[gd>>>5]|=128<<24-gd%32,_d[(gd+64>>>9<<4)+14]=Math.floor(yd/4294967296),_d[(gd+64>>>9<<4)+15]=yd,ud.sigBytes=_d.length*4,this._process(),this._hash},clone:function(){var ud=sd.clone.call(this);return ud._hash=this._hash.clone(),ud}});ed.SHA1=sd._createHelper(cd),ed.HmacSHA1=sd._createHmacHelper(cd)}(),Ja.SHA1})}(sha1)),sha1.exports}var sha256={exports:{}},hasRequiredSha256;function requireSha256(){return hasRequiredSha256||(hasRequiredSha256=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed(requireCore())})(commonjsGlobal,function(Ja){return function(ed){var td=Ja,rd=td.lib,sd=rd.WordArray,od=rd.Hasher,ld=td.algo,cd=[],ud=[];(function(){function gd(Rd){for(var Nd=ed.sqrt(Rd),Id=2;Id<=Nd;Id++)if(!(Rd%Id))return!1;return!0}function Ed(Rd){return(Rd-(Rd|0))*4294967296|0}for(var Td=2,kd=0;kd<64;)gd(Td)&&(kd<8&&(cd[kd]=Ed(ed.pow(Td,1/2))),ud[kd]=Ed(ed.pow(Td,1/3)),kd++),Td++})();var _d=[],yd=ld.SHA256=od.extend({_doReset:function(){this._hash=new sd.init(cd.slice(0))},_doProcessBlock:function(gd,Ed){for(var Td=this._hash.words,kd=Td[0],Rd=Td[1],Nd=Td[2],Id=Td[3],Md=Td[4],Ld=Td[5],Pd=Td[6],qd=Td[7],Yd=0;Yd<64;Yd++){if(Yd<16)_d[Yd]=gd[Ed+Yd]|0;else{var Ud=_d[Yd-15],Hd=(Ud<<25|Ud>>>7)^(Ud<<14|Ud>>>18)^Ud>>>3,Vd=_d[Yd-2],Jd=(Vd<<15|Vd>>>17)^(Vd<<13|Vd>>>19)^Vd>>>10;_d[Yd]=Hd+_d[Yd-7]+Jd+_d[Yd-16]}var Zd=Md&Ld^~Md&Pd,pf=kd&Rd^kd&Nd^Rd&Nd,Xd=(kd<<30|kd>>>2)^(kd<<19|kd>>>13)^(kd<<10|kd>>>22),hf=(Md<<26|Md>>>6)^(Md<<21|Md>>>11)^(Md<<7|Md>>>25),_f=qd+hf+Zd+ud[Yd]+_d[Yd],xf=Xd+pf;qd=Pd,Pd=Ld,Ld=Md,Md=Id+_f|0,Id=Nd,Nd=Rd,Rd=kd,kd=_f+xf|0}Td[0]=Td[0]+kd|0,Td[1]=Td[1]+Rd|0,Td[2]=Td[2]+Nd|0,Td[3]=Td[3]+Id|0,Td[4]=Td[4]+Md|0,Td[5]=Td[5]+Ld|0,Td[6]=Td[6]+Pd|0,Td[7]=Td[7]+qd|0},_doFinalize:function(){var gd=this._data,Ed=gd.words,Td=this._nDataBytes*8,kd=gd.sigBytes*8;return Ed[kd>>>5]|=128<<24-kd%32,Ed[(kd+64>>>9<<4)+14]=ed.floor(Td/4294967296),Ed[(kd+64>>>9<<4)+15]=Td,gd.sigBytes=Ed.length*4,this._process(),this._hash},clone:function(){var gd=od.clone.call(this);return gd._hash=this._hash.clone(),gd}});td.SHA256=od._createHelper(yd),td.HmacSHA256=od._createHmacHelper(yd)}(Math),Ja.SHA256})}(sha256)),sha256.exports}var sha224={exports:{}},hasRequiredSha224;function requireSha224(){return hasRequiredSha224||(hasRequiredSha224=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireSha256())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.WordArray,sd=ed.algo,od=sd.SHA256,ld=sd.SHA224=od.extend({_doReset:function(){this._hash=new rd.init([3238371032,914150663,812702999,4144912697,4290775857,1750603025,1694076839,3204075428])},_doFinalize:function(){var cd=od._doFinalize.call(this);return cd.sigBytes-=4,cd}});ed.SHA224=od._createHelper(ld),ed.HmacSHA224=od._createHmacHelper(ld)}(),Ja.SHA224})}(sha224)),sha224.exports}var sha512={exports:{}},hasRequiredSha512;function requireSha512(){return hasRequiredSha512||(hasRequiredSha512=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireX64Core())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.Hasher,sd=ed.x64,od=sd.Word,ld=sd.WordArray,cd=ed.algo;function ud(){return od.create.apply(od,arguments)}var _d=[ud(1116352408,3609767458),ud(1899447441,602891725),ud(3049323471,3964484399),ud(3921009573,2173295548),ud(961987163,4081628472),ud(1508970993,3053834265),ud(2453635748,2937671579),ud(2870763221,3664609560),ud(3624381080,2734883394),ud(310598401,1164996542),ud(607225278,1323610764),ud(1426881987,3590304994),ud(1925078388,4068182383),ud(2162078206,991336113),ud(2614888103,633803317),ud(3248222580,3479774868),ud(3835390401,2666613458),ud(4022224774,944711139),ud(264347078,2341262773),ud(604807628,2007800933),ud(770255983,1495990901),ud(1249150122,1856431235),ud(1555081692,3175218132),ud(1996064986,2198950837),ud(2554220882,3999719339),ud(2821834349,766784016),ud(2952996808,2566594879),ud(3210313671,3203337956),ud(3336571891,1034457026),ud(3584528711,2466948901),ud(113926993,3758326383),ud(338241895,168717936),ud(666307205,1188179964),ud(773529912,1546045734),ud(1294757372,1522805485),ud(1396182291,2643833823),ud(1695183700,2343527390),ud(1986661051,1014477480),ud(2177026350,1206759142),ud(2456956037,344077627),ud(2730485921,1290863460),ud(2820302411,3158454273),ud(3259730800,3505952657),ud(3345764771,106217008),ud(3516065817,3606008344),ud(3600352804,1432725776),ud(4094571909,1467031594),ud(275423344,851169720),ud(430227734,3100823752),ud(506948616,1363258195),ud(659060556,3750685593),ud(883997877,3785050280),ud(958139571,3318307427),ud(1322822218,3812723403),ud(1537002063,2003034995),ud(1747873779,3602036899),ud(1955562222,1575990012),ud(2024104815,1125592928),ud(2227730452,2716904306),ud(2361852424,442776044),ud(2428436474,593698344),ud(2756734187,3733110249),ud(3204031479,2999351573),ud(3329325298,3815920427),ud(3391569614,3928383900),ud(3515267271,566280711),ud(3940187606,3454069534),ud(4118630271,4000239992),ud(116418474,1914138554),ud(174292421,2731055270),ud(289380356,3203993006),ud(460393269,320620315),ud(685471733,587496836),ud(852142971,1086792851),ud(1017036298,365543100),ud(1126000580,2618297676),ud(1288033470,3409855158),ud(1501505948,4234509866),ud(1607167915,987167468),ud(1816402316,1246189591)],yd=[];(function(){for(var Ed=0;Ed<80;Ed++)yd[Ed]=ud()})();var gd=cd.SHA512=rd.extend({_doReset:function(){this._hash=new ld.init([new od.init(1779033703,4089235720),new od.init(3144134277,2227873595),new od.init(1013904242,4271175723),new od.init(2773480762,1595750129),new od.init(1359893119,2917565137),new od.init(2600822924,725511199),new od.init(528734635,4215389547),new od.init(1541459225,327033209)])},_doProcessBlock:function(Ed,Td){for(var kd=this._hash.words,Rd=kd[0],Nd=kd[1],Id=kd[2],Md=kd[3],Ld=kd[4],Pd=kd[5],qd=kd[6],Yd=kd[7],Ud=Rd.high,Hd=Rd.low,Vd=Nd.high,Jd=Nd.low,Zd=Id.high,pf=Id.low,Xd=Md.high,hf=Md.low,_f=Ld.high,xf=Ld.low,Lf=Pd.high,Wf=Pd.low,Yf=qd.high,If=qd.low,Sf=Yd.high,wf=Yd.low,Kf=Ud,Gf=Hd,gf=Vd,mf=Jd,$f=Zd,zf=pf,hh=Xd,Vf=hf,kf=_f,Jf=xf,Ch=Lf,qf=Wf,Tf=Yf,Af=If,Pf=Sf,gh=wf,Nh=0;Nh<80;Nh++){var dh,$h,Rh=yd[Nh];if(Nh<16)$h=Rh.high=Ed[Td+Nh*2]|0,dh=Rh.low=Ed[Td+Nh*2+1]|0;else{var jh=yd[Nh-15],Zh=jh.high,Wh=jh.low,sm=(Zh>>>1|Wh<<31)^(Zh>>>8|Wh<<24)^Zh>>>7,fm=(Wh>>>1|Zh<<31)^(Wh>>>8|Zh<<24)^(Wh>>>7|Zh<<25),ih=yd[Nh-2],Rf=ih.high,Df=ih.low,Zf=(Rf>>>19|Df<<13)^(Rf<<3|Df>>>29)^Rf>>>6,bh=(Df>>>19|Rf<<13)^(Df<<3|Rf>>>29)^(Df>>>6|Rf<<26),Lh=yd[Nh-7],Hh=Lh.high,Xh=Lh.low,gm=yd[Nh-16],om=gm.high,Gm=gm.low;dh=fm+Xh,$h=sm+Hh+(dh>>>0<fm>>>0?1:0),dh=dh+bh,$h=$h+Zf+(dh>>>0<bh>>>0?1:0),dh=dh+Gm,$h=$h+om+(dh>>>0<Gm>>>0?1:0),Rh.high=$h,Rh.low=dh}var Fm=kf&Ch^~kf&Tf,Om=Jf&qf^~Jf&Af,Jm=Kf&gf^Kf&$f^gf&$f,N1=Gf&mf^Gf&zf^mf&zf,R1=(Kf>>>28|Gf<<4)^(Kf<<30|Gf>>>2)^(Kf<<25|Gf>>>7),x1=(Gf>>>28|Kf<<4)^(Gf<<30|Kf>>>2)^(Gf<<25|Kf>>>7),Xm=(kf>>>14|Jf<<18)^(kf>>>18|Jf<<14)^(kf<<23|Jf>>>9),F1=(Jf>>>14|kf<<18)^(Jf>>>18|kf<<14)^(Jf<<23|kf>>>9),y1=_d[Nh],V1=y1.high,Z1=y1.low,I1=gh+F1,O1=Pf+Xm+(I1>>>0<gh>>>0?1:0),I1=I1+Om,O1=O1+Fm+(I1>>>0<Om>>>0?1:0),I1=I1+Z1,O1=O1+V1+(I1>>>0<Z1>>>0?1:0),I1=I1+dh,O1=O1+$h+(I1>>>0<dh>>>0?1:0),jm=x1+N1,g1=R1+Jm+(jm>>>0<x1>>>0?1:0);Pf=Tf,gh=Af,Tf=Ch,Af=qf,Ch=kf,qf=Jf,Jf=Vf+I1|0,kf=hh+O1+(Jf>>>0<Vf>>>0?1:0)|0,hh=$f,Vf=zf,$f=gf,zf=mf,gf=Kf,mf=Gf,Gf=I1+jm|0,Kf=O1+g1+(Gf>>>0<I1>>>0?1:0)|0}Hd=Rd.low=Hd+Gf,Rd.high=Ud+Kf+(Hd>>>0<Gf>>>0?1:0),Jd=Nd.low=Jd+mf,Nd.high=Vd+gf+(Jd>>>0<mf>>>0?1:0),pf=Id.low=pf+zf,Id.high=Zd+$f+(pf>>>0<zf>>>0?1:0),hf=Md.low=hf+Vf,Md.high=Xd+hh+(hf>>>0<Vf>>>0?1:0),xf=Ld.low=xf+Jf,Ld.high=_f+kf+(xf>>>0<Jf>>>0?1:0),Wf=Pd.low=Wf+qf,Pd.high=Lf+Ch+(Wf>>>0<qf>>>0?1:0),If=qd.low=If+Af,qd.high=Yf+Tf+(If>>>0<Af>>>0?1:0),wf=Yd.low=wf+gh,Yd.high=Sf+Pf+(wf>>>0<gh>>>0?1:0)},_doFinalize:function(){var Ed=this._data,Td=Ed.words,kd=this._nDataBytes*8,Rd=Ed.sigBytes*8;Td[Rd>>>5]|=128<<24-Rd%32,Td[(Rd+128>>>10<<5)+30]=Math.floor(kd/4294967296),Td[(Rd+128>>>10<<5)+31]=kd,Ed.sigBytes=Td.length*4,this._process();var Nd=this._hash.toX32();return Nd},clone:function(){var Ed=rd.clone.call(this);return Ed._hash=this._hash.clone(),Ed},blockSize:1024/32});ed.SHA512=rd._createHelper(gd),ed.HmacSHA512=rd._createHmacHelper(gd)}(),Ja.SHA512})}(sha512)),sha512.exports}var sha384={exports:{}},hasRequiredSha384;function requireSha384(){return hasRequiredSha384||(hasRequiredSha384=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireX64Core(),requireSha512())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.x64,rd=td.Word,sd=td.WordArray,od=ed.algo,ld=od.SHA512,cd=od.SHA384=ld.extend({_doReset:function(){this._hash=new sd.init([new rd.init(3418070365,3238371032),new rd.init(1654270250,914150663),new rd.init(2438529370,812702999),new rd.init(355462360,4144912697),new rd.init(1731405415,4290775857),new rd.init(2394180231,1750603025),new rd.init(3675008525,1694076839),new rd.init(1203062813,3204075428)])},_doFinalize:function(){var ud=ld._doFinalize.call(this);return ud.sigBytes-=16,ud}});ed.SHA384=ld._createHelper(cd),ed.HmacSHA384=ld._createHmacHelper(cd)}(),Ja.SHA384})}(sha384)),sha384.exports}var sha3={exports:{}},hasRequiredSha3;function requireSha3(){return hasRequiredSha3||(hasRequiredSha3=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireX64Core())})(commonjsGlobal,function(Ja){return function(ed){var td=Ja,rd=td.lib,sd=rd.WordArray,od=rd.Hasher,ld=td.x64,cd=ld.Word,ud=td.algo,_d=[],yd=[],gd=[];(function(){for(var kd=1,Rd=0,Nd=0;Nd<24;Nd++){_d[kd+5*Rd]=(Nd+1)*(Nd+2)/2%64;var Id=Rd%5,Md=(2*kd+3*Rd)%5;kd=Id,Rd=Md}for(var kd=0;kd<5;kd++)for(var Rd=0;Rd<5;Rd++)yd[kd+5*Rd]=Rd+(2*kd+3*Rd)%5*5;for(var Ld=1,Pd=0;Pd<24;Pd++){for(var qd=0,Yd=0,Ud=0;Ud<7;Ud++){if(Ld&1){var Hd=(1<<Ud)-1;Hd<32?Yd^=1<<Hd:qd^=1<<Hd-32}Ld&128?Ld=Ld<<1^113:Ld<<=1}gd[Pd]=cd.create(qd,Yd)}})();var Ed=[];(function(){for(var kd=0;kd<25;kd++)Ed[kd]=cd.create()})();var Td=ud.SHA3=od.extend({cfg:od.cfg.extend({outputLength:512}),_doReset:function(){for(var kd=this._state=[],Rd=0;Rd<25;Rd++)kd[Rd]=new cd.init;this.blockSize=(1600-2*this.cfg.outputLength)/32},_doProcessBlock:function(kd,Rd){for(var Nd=this._state,Id=this.blockSize/2,Md=0;Md<Id;Md++){var Ld=kd[Rd+2*Md],Pd=kd[Rd+2*Md+1];Ld=(Ld<<8|Ld>>>24)&16711935|(Ld<<24|Ld>>>8)&4278255360,Pd=(Pd<<8|Pd>>>24)&16711935|(Pd<<24|Pd>>>8)&4278255360;var qd=Nd[Md];qd.high^=Pd,qd.low^=Ld}for(var Yd=0;Yd<24;Yd++){for(var Ud=0;Ud<5;Ud++){for(var Hd=0,Vd=0,Jd=0;Jd<5;Jd++){var qd=Nd[Ud+5*Jd];Hd^=qd.high,Vd^=qd.low}var Zd=Ed[Ud];Zd.high=Hd,Zd.low=Vd}for(var Ud=0;Ud<5;Ud++)for(var pf=Ed[(Ud+4)%5],Xd=Ed[(Ud+1)%5],hf=Xd.high,_f=Xd.low,Hd=pf.high^(hf<<1|_f>>>31),Vd=pf.low^(_f<<1|hf>>>31),Jd=0;Jd<5;Jd++){var qd=Nd[Ud+5*Jd];qd.high^=Hd,qd.low^=Vd}for(var xf=1;xf<25;xf++){var Hd,Vd,qd=Nd[xf],Lf=qd.high,Wf=qd.low,Yf=_d[xf];Yf<32?(Hd=Lf<<Yf|Wf>>>32-Yf,Vd=Wf<<Yf|Lf>>>32-Yf):(Hd=Wf<<Yf-32|Lf>>>64-Yf,Vd=Lf<<Yf-32|Wf>>>64-Yf);var If=Ed[yd[xf]];If.high=Hd,If.low=Vd}var Sf=Ed[0],wf=Nd[0];Sf.high=wf.high,Sf.low=wf.low;for(var Ud=0;Ud<5;Ud++)for(var Jd=0;Jd<5;Jd++){var xf=Ud+5*Jd,qd=Nd[xf],Kf=Ed[xf],Gf=Ed[(Ud+1)%5+5*Jd],gf=Ed[(Ud+2)%5+5*Jd];qd.high=Kf.high^~Gf.high&gf.high,qd.low=Kf.low^~Gf.low&gf.low}var qd=Nd[0],mf=gd[Yd];qd.high^=mf.high,qd.low^=mf.low}},_doFinalize:function(){var kd=this._data,Rd=kd.words;this._nDataBytes*8;var Nd=kd.sigBytes*8,Id=this.blockSize*32;Rd[Nd>>>5]|=1<<24-Nd%32,Rd[(ed.ceil((Nd+1)/Id)*Id>>>5)-1]|=128,kd.sigBytes=Rd.length*4,this._process();for(var Md=this._state,Ld=this.cfg.outputLength/8,Pd=Ld/8,qd=[],Yd=0;Yd<Pd;Yd++){var Ud=Md[Yd],Hd=Ud.high,Vd=Ud.low;Hd=(Hd<<8|Hd>>>24)&16711935|(Hd<<24|Hd>>>8)&4278255360,Vd=(Vd<<8|Vd>>>24)&16711935|(Vd<<24|Vd>>>8)&4278255360,qd.push(Vd),qd.push(Hd)}return new sd.init(qd,Ld)},clone:function(){for(var kd=od.clone.call(this),Rd=kd._state=this._state.slice(0),Nd=0;Nd<25;Nd++)Rd[Nd]=Rd[Nd].clone();return kd}});td.SHA3=od._createHelper(Td),td.HmacSHA3=od._createHmacHelper(Td)}(Math),Ja.SHA3})}(sha3)),sha3.exports}var ripemd160={exports:{}},hasRequiredRipemd160;function requireRipemd160(){return hasRequiredRipemd160||(hasRequiredRipemd160=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed(requireCore())})(commonjsGlobal,function(Ja){/** @preserve
|
||
(c) 2012 by Cédric Mesnil. All rights reserved.
|
||
|
||
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
|
||
|
||
- Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
|
||
- Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
|
||
|
||
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
||
*/return function(ed){var td=Ja,rd=td.lib,sd=rd.WordArray,od=rd.Hasher,ld=td.algo,cd=sd.create([0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,7,4,13,1,10,6,15,3,12,0,9,5,2,14,11,8,3,10,14,4,9,15,8,1,2,7,0,6,13,11,5,12,1,9,11,10,0,8,12,4,13,3,7,15,14,5,6,2,4,0,5,9,7,12,2,10,14,1,3,8,11,6,15,13]),ud=sd.create([5,14,7,0,9,2,11,4,13,6,15,8,1,10,3,12,6,11,3,7,0,13,5,10,14,15,8,12,4,9,1,2,15,5,1,3,7,14,6,9,11,8,12,2,10,0,4,13,8,6,4,1,3,11,15,0,5,12,2,13,9,7,10,14,12,15,10,4,1,5,8,7,6,2,13,14,0,3,9,11]),_d=sd.create([11,14,15,12,5,8,7,9,11,13,14,15,6,7,9,8,7,6,8,13,11,9,7,15,7,12,15,9,11,7,13,12,11,13,6,7,14,9,13,15,14,8,13,6,5,12,7,5,11,12,14,15,14,15,9,8,9,14,5,6,8,6,5,12,9,15,5,11,6,8,13,12,5,12,13,14,11,8,5,6]),yd=sd.create([8,9,9,11,13,15,15,5,7,7,8,11,14,14,12,6,9,13,15,7,12,8,9,11,7,7,12,7,6,15,13,11,9,7,15,11,8,6,6,14,12,13,5,14,13,13,7,5,15,5,8,11,14,14,6,14,6,9,12,9,12,5,15,8,8,5,12,9,12,5,14,6,8,13,6,5,15,13,11,11]),gd=sd.create([0,1518500249,1859775393,2400959708,2840853838]),Ed=sd.create([1352829926,1548603684,1836072691,2053994217,0]),Td=ld.RIPEMD160=od.extend({_doReset:function(){this._hash=sd.create([1732584193,4023233417,2562383102,271733878,3285377520])},_doProcessBlock:function(Pd,qd){for(var Yd=0;Yd<16;Yd++){var Ud=qd+Yd,Hd=Pd[Ud];Pd[Ud]=(Hd<<8|Hd>>>24)&16711935|(Hd<<24|Hd>>>8)&4278255360}var Vd=this._hash.words,Jd=gd.words,Zd=Ed.words,pf=cd.words,Xd=ud.words,hf=_d.words,_f=yd.words,xf,Lf,Wf,Yf,If,Sf,wf,Kf,Gf,gf;Sf=xf=Vd[0],wf=Lf=Vd[1],Kf=Wf=Vd[2],Gf=Yf=Vd[3],gf=If=Vd[4];for(var mf,Yd=0;Yd<80;Yd+=1)mf=xf+Pd[qd+pf[Yd]]|0,Yd<16?mf+=kd(Lf,Wf,Yf)+Jd[0]:Yd<32?mf+=Rd(Lf,Wf,Yf)+Jd[1]:Yd<48?mf+=Nd(Lf,Wf,Yf)+Jd[2]:Yd<64?mf+=Id(Lf,Wf,Yf)+Jd[3]:mf+=Md(Lf,Wf,Yf)+Jd[4],mf=mf|0,mf=Ld(mf,hf[Yd]),mf=mf+If|0,xf=If,If=Yf,Yf=Ld(Wf,10),Wf=Lf,Lf=mf,mf=Sf+Pd[qd+Xd[Yd]]|0,Yd<16?mf+=Md(wf,Kf,Gf)+Zd[0]:Yd<32?mf+=Id(wf,Kf,Gf)+Zd[1]:Yd<48?mf+=Nd(wf,Kf,Gf)+Zd[2]:Yd<64?mf+=Rd(wf,Kf,Gf)+Zd[3]:mf+=kd(wf,Kf,Gf)+Zd[4],mf=mf|0,mf=Ld(mf,_f[Yd]),mf=mf+gf|0,Sf=gf,gf=Gf,Gf=Ld(Kf,10),Kf=wf,wf=mf;mf=Vd[1]+Wf+Gf|0,Vd[1]=Vd[2]+Yf+gf|0,Vd[2]=Vd[3]+If+Sf|0,Vd[3]=Vd[4]+xf+wf|0,Vd[4]=Vd[0]+Lf+Kf|0,Vd[0]=mf},_doFinalize:function(){var Pd=this._data,qd=Pd.words,Yd=this._nDataBytes*8,Ud=Pd.sigBytes*8;qd[Ud>>>5]|=128<<24-Ud%32,qd[(Ud+64>>>9<<4)+14]=(Yd<<8|Yd>>>24)&16711935|(Yd<<24|Yd>>>8)&4278255360,Pd.sigBytes=(qd.length+1)*4,this._process();for(var Hd=this._hash,Vd=Hd.words,Jd=0;Jd<5;Jd++){var Zd=Vd[Jd];Vd[Jd]=(Zd<<8|Zd>>>24)&16711935|(Zd<<24|Zd>>>8)&4278255360}return Hd},clone:function(){var Pd=od.clone.call(this);return Pd._hash=this._hash.clone(),Pd}});function kd(Pd,qd,Yd){return Pd^qd^Yd}function Rd(Pd,qd,Yd){return Pd&qd|~Pd&Yd}function Nd(Pd,qd,Yd){return(Pd|~qd)^Yd}function Id(Pd,qd,Yd){return Pd&Yd|qd&~Yd}function Md(Pd,qd,Yd){return Pd^(qd|~Yd)}function Ld(Pd,qd){return Pd<<qd|Pd>>>32-qd}td.RIPEMD160=od._createHelper(Td),td.HmacRIPEMD160=od._createHmacHelper(Td)}(),Ja.RIPEMD160})}(ripemd160)),ripemd160.exports}var hmac={exports:{}},hasRequiredHmac;function requireHmac(){return hasRequiredHmac||(hasRequiredHmac=1,function(Ra,qa){(function(Ja,ed){Ra.exports=ed(requireCore())})(commonjsGlobal,function(Ja){(function(){var ed=Ja,td=ed.lib,rd=td.Base,sd=ed.enc,od=sd.Utf8,ld=ed.algo;ld.HMAC=rd.extend({init:function(cd,ud){cd=this._hasher=new cd.init,typeof ud=="string"&&(ud=od.parse(ud));var _d=cd.blockSize,yd=_d*4;ud.sigBytes>yd&&(ud=cd.finalize(ud)),ud.clamp();for(var gd=this._oKey=ud.clone(),Ed=this._iKey=ud.clone(),Td=gd.words,kd=Ed.words,Rd=0;Rd<_d;Rd++)Td[Rd]^=1549556828,kd[Rd]^=909522486;gd.sigBytes=Ed.sigBytes=yd,this.reset()},reset:function(){var cd=this._hasher;cd.reset(),cd.update(this._iKey)},update:function(cd){return this._hasher.update(cd),this},finalize:function(cd){var ud=this._hasher,_d=ud.finalize(cd);ud.reset();var yd=ud.finalize(this._oKey.clone().concat(_d));return yd}})})()})}(hmac)),hmac.exports}var pbkdf2={exports:{}},hasRequiredPbkdf2;function requirePbkdf2(){return hasRequiredPbkdf2||(hasRequiredPbkdf2=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireSha256(),requireHmac())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.Base,sd=td.WordArray,od=ed.algo,ld=od.SHA256,cd=od.HMAC,ud=od.PBKDF2=rd.extend({cfg:rd.extend({keySize:128/32,hasher:ld,iterations:25e4}),init:function(_d){this.cfg=this.cfg.extend(_d)},compute:function(_d,yd){for(var gd=this.cfg,Ed=cd.create(gd.hasher,_d),Td=sd.create(),kd=sd.create([1]),Rd=Td.words,Nd=kd.words,Id=gd.keySize,Md=gd.iterations;Rd.length<Id;){var Ld=Ed.update(yd).finalize(kd);Ed.reset();for(var Pd=Ld.words,qd=Pd.length,Yd=Ld,Ud=1;Ud<Md;Ud++){Yd=Ed.finalize(Yd),Ed.reset();for(var Hd=Yd.words,Vd=0;Vd<qd;Vd++)Pd[Vd]^=Hd[Vd]}Td.concat(Ld),Nd[0]++}return Td.sigBytes=Id*4,Td}});ed.PBKDF2=function(_d,yd,gd){return ud.create(gd).compute(_d,yd)}}(),Ja.PBKDF2})}(pbkdf2)),pbkdf2.exports}var evpkdf={exports:{}},hasRequiredEvpkdf;function requireEvpkdf(){return hasRequiredEvpkdf||(hasRequiredEvpkdf=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireSha1(),requireHmac())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.Base,sd=td.WordArray,od=ed.algo,ld=od.MD5,cd=od.EvpKDF=rd.extend({cfg:rd.extend({keySize:128/32,hasher:ld,iterations:1}),init:function(ud){this.cfg=this.cfg.extend(ud)},compute:function(ud,_d){for(var yd,gd=this.cfg,Ed=gd.hasher.create(),Td=sd.create(),kd=Td.words,Rd=gd.keySize,Nd=gd.iterations;kd.length<Rd;){yd&&Ed.update(yd),yd=Ed.update(ud).finalize(_d),Ed.reset();for(var Id=1;Id<Nd;Id++)yd=Ed.finalize(yd),Ed.reset();Td.concat(yd)}return Td.sigBytes=Rd*4,Td}});ed.EvpKDF=function(ud,_d,yd){return cd.create(yd).compute(ud,_d)}}(),Ja.EvpKDF})}(evpkdf)),evpkdf.exports}var cipherCore={exports:{}},hasRequiredCipherCore;function requireCipherCore(){return hasRequiredCipherCore||(hasRequiredCipherCore=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireEvpkdf())})(commonjsGlobal,function(Ja){Ja.lib.Cipher||function(ed){var td=Ja,rd=td.lib,sd=rd.Base,od=rd.WordArray,ld=rd.BufferedBlockAlgorithm,cd=td.enc;cd.Utf8;var ud=cd.Base64,_d=td.algo,yd=_d.EvpKDF,gd=rd.Cipher=ld.extend({cfg:sd.extend(),createEncryptor:function(Hd,Vd){return this.create(this._ENC_XFORM_MODE,Hd,Vd)},createDecryptor:function(Hd,Vd){return this.create(this._DEC_XFORM_MODE,Hd,Vd)},init:function(Hd,Vd,Jd){this.cfg=this.cfg.extend(Jd),this._xformMode=Hd,this._key=Vd,this.reset()},reset:function(){ld.reset.call(this),this._doReset()},process:function(Hd){return this._append(Hd),this._process()},finalize:function(Hd){Hd&&this._append(Hd);var Vd=this._doFinalize();return Vd},keySize:128/32,ivSize:128/32,_ENC_XFORM_MODE:1,_DEC_XFORM_MODE:2,_createHelper:function(){function Hd(Vd){return typeof Vd=="string"?Ud:Pd}return function(Vd){return{encrypt:function(Jd,Zd,pf){return Hd(Zd).encrypt(Vd,Jd,Zd,pf)},decrypt:function(Jd,Zd,pf){return Hd(Zd).decrypt(Vd,Jd,Zd,pf)}}}}()});rd.StreamCipher=gd.extend({_doFinalize:function(){var Hd=this._process(!0);return Hd},blockSize:1});var Ed=td.mode={},Td=rd.BlockCipherMode=sd.extend({createEncryptor:function(Hd,Vd){return this.Encryptor.create(Hd,Vd)},createDecryptor:function(Hd,Vd){return this.Decryptor.create(Hd,Vd)},init:function(Hd,Vd){this._cipher=Hd,this._iv=Vd}}),kd=Ed.CBC=function(){var Hd=Td.extend();Hd.Encryptor=Hd.extend({processBlock:function(Jd,Zd){var pf=this._cipher,Xd=pf.blockSize;Vd.call(this,Jd,Zd,Xd),pf.encryptBlock(Jd,Zd),this._prevBlock=Jd.slice(Zd,Zd+Xd)}}),Hd.Decryptor=Hd.extend({processBlock:function(Jd,Zd){var pf=this._cipher,Xd=pf.blockSize,hf=Jd.slice(Zd,Zd+Xd);pf.decryptBlock(Jd,Zd),Vd.call(this,Jd,Zd,Xd),this._prevBlock=hf}});function Vd(Jd,Zd,pf){var Xd,hf=this._iv;hf?(Xd=hf,this._iv=ed):Xd=this._prevBlock;for(var _f=0;_f<pf;_f++)Jd[Zd+_f]^=Xd[_f]}return Hd}(),Rd=td.pad={},Nd=Rd.Pkcs7={pad:function(Hd,Vd){for(var Jd=Vd*4,Zd=Jd-Hd.sigBytes%Jd,pf=Zd<<24|Zd<<16|Zd<<8|Zd,Xd=[],hf=0;hf<Zd;hf+=4)Xd.push(pf);var _f=od.create(Xd,Zd);Hd.concat(_f)},unpad:function(Hd){var Vd=Hd.words[Hd.sigBytes-1>>>2]&255;Hd.sigBytes-=Vd}};rd.BlockCipher=gd.extend({cfg:gd.cfg.extend({mode:kd,padding:Nd}),reset:function(){var Hd;gd.reset.call(this);var Vd=this.cfg,Jd=Vd.iv,Zd=Vd.mode;this._xformMode==this._ENC_XFORM_MODE?Hd=Zd.createEncryptor:(Hd=Zd.createDecryptor,this._minBufferSize=1),this._mode&&this._mode.__creator==Hd?this._mode.init(this,Jd&&Jd.words):(this._mode=Hd.call(Zd,this,Jd&&Jd.words),this._mode.__creator=Hd)},_doProcessBlock:function(Hd,Vd){this._mode.processBlock(Hd,Vd)},_doFinalize:function(){var Hd,Vd=this.cfg.padding;return this._xformMode==this._ENC_XFORM_MODE?(Vd.pad(this._data,this.blockSize),Hd=this._process(!0)):(Hd=this._process(!0),Vd.unpad(Hd)),Hd},blockSize:128/32});var Id=rd.CipherParams=sd.extend({init:function(Hd){this.mixIn(Hd)},toString:function(Hd){return(Hd||this.formatter).stringify(this)}}),Md=td.format={},Ld=Md.OpenSSL={stringify:function(Hd){var Vd,Jd=Hd.ciphertext,Zd=Hd.salt;return Zd?Vd=od.create([1398893684,1701076831]).concat(Zd).concat(Jd):Vd=Jd,Vd.toString(ud)},parse:function(Hd){var Vd,Jd=ud.parse(Hd),Zd=Jd.words;return Zd[0]==1398893684&&Zd[1]==1701076831&&(Vd=od.create(Zd.slice(2,4)),Zd.splice(0,4),Jd.sigBytes-=16),Id.create({ciphertext:Jd,salt:Vd})}},Pd=rd.SerializableCipher=sd.extend({cfg:sd.extend({format:Ld}),encrypt:function(Hd,Vd,Jd,Zd){Zd=this.cfg.extend(Zd);var pf=Hd.createEncryptor(Jd,Zd),Xd=pf.finalize(Vd),hf=pf.cfg;return Id.create({ciphertext:Xd,key:Jd,iv:hf.iv,algorithm:Hd,mode:hf.mode,padding:hf.padding,blockSize:Hd.blockSize,formatter:Zd.format})},decrypt:function(Hd,Vd,Jd,Zd){Zd=this.cfg.extend(Zd),Vd=this._parse(Vd,Zd.format);var pf=Hd.createDecryptor(Jd,Zd).finalize(Vd.ciphertext);return pf},_parse:function(Hd,Vd){return typeof Hd=="string"?Vd.parse(Hd,this):Hd}}),qd=td.kdf={},Yd=qd.OpenSSL={execute:function(Hd,Vd,Jd,Zd,pf){if(Zd||(Zd=od.random(64/8)),pf)var Xd=yd.create({keySize:Vd+Jd,hasher:pf}).compute(Hd,Zd);else var Xd=yd.create({keySize:Vd+Jd}).compute(Hd,Zd);var hf=od.create(Xd.words.slice(Vd),Jd*4);return Xd.sigBytes=Vd*4,Id.create({key:Xd,iv:hf,salt:Zd})}},Ud=rd.PasswordBasedCipher=Pd.extend({cfg:Pd.cfg.extend({kdf:Yd}),encrypt:function(Hd,Vd,Jd,Zd){Zd=this.cfg.extend(Zd);var pf=Zd.kdf.execute(Jd,Hd.keySize,Hd.ivSize,Zd.salt,Zd.hasher);Zd.iv=pf.iv;var Xd=Pd.encrypt.call(this,Hd,Vd,pf.key,Zd);return Xd.mixIn(pf),Xd},decrypt:function(Hd,Vd,Jd,Zd){Zd=this.cfg.extend(Zd),Vd=this._parse(Vd,Zd.format);var pf=Zd.kdf.execute(Jd,Hd.keySize,Hd.ivSize,Vd.salt,Zd.hasher);Zd.iv=pf.iv;var Xd=Pd.decrypt.call(this,Hd,Vd,pf.key,Zd);return Xd}})}()})}(cipherCore)),cipherCore.exports}var modeCfb={exports:{}},hasRequiredModeCfb;function requireModeCfb(){return hasRequiredModeCfb||(hasRequiredModeCfb=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){return Ja.mode.CFB=function(){var ed=Ja.lib.BlockCipherMode.extend();ed.Encryptor=ed.extend({processBlock:function(rd,sd){var od=this._cipher,ld=od.blockSize;td.call(this,rd,sd,ld,od),this._prevBlock=rd.slice(sd,sd+ld)}}),ed.Decryptor=ed.extend({processBlock:function(rd,sd){var od=this._cipher,ld=od.blockSize,cd=rd.slice(sd,sd+ld);td.call(this,rd,sd,ld,od),this._prevBlock=cd}});function td(rd,sd,od,ld){var cd,ud=this._iv;ud?(cd=ud.slice(0),this._iv=void 0):cd=this._prevBlock,ld.encryptBlock(cd,0);for(var _d=0;_d<od;_d++)rd[sd+_d]^=cd[_d]}return ed}(),Ja.mode.CFB})}(modeCfb)),modeCfb.exports}var modeCtr={exports:{}},hasRequiredModeCtr;function requireModeCtr(){return hasRequiredModeCtr||(hasRequiredModeCtr=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){return Ja.mode.CTR=function(){var ed=Ja.lib.BlockCipherMode.extend(),td=ed.Encryptor=ed.extend({processBlock:function(rd,sd){var od=this._cipher,ld=od.blockSize,cd=this._iv,ud=this._counter;cd&&(ud=this._counter=cd.slice(0),this._iv=void 0);var _d=ud.slice(0);od.encryptBlock(_d,0),ud[ld-1]=ud[ld-1]+1|0;for(var yd=0;yd<ld;yd++)rd[sd+yd]^=_d[yd]}});return ed.Decryptor=td,ed}(),Ja.mode.CTR})}(modeCtr)),modeCtr.exports}var modeCtrGladman={exports:{}},hasRequiredModeCtrGladman;function requireModeCtrGladman(){return hasRequiredModeCtrGladman||(hasRequiredModeCtrGladman=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){/** @preserve
|
||
* Counter block mode compatible with Dr Brian Gladman fileenc.c
|
||
* derived from CryptoJS.mode.CTR
|
||
* Jan Hruby jhruby.web@gmail.com
|
||
*/return Ja.mode.CTRGladman=function(){var ed=Ja.lib.BlockCipherMode.extend();function td(od){if((od>>24&255)===255){var ld=od>>16&255,cd=od>>8&255,ud=od&255;ld===255?(ld=0,cd===255?(cd=0,ud===255?ud=0:++ud):++cd):++ld,od=0,od+=ld<<16,od+=cd<<8,od+=ud}else od+=1<<24;return od}function rd(od){return(od[0]=td(od[0]))===0&&(od[1]=td(od[1])),od}var sd=ed.Encryptor=ed.extend({processBlock:function(od,ld){var cd=this._cipher,ud=cd.blockSize,_d=this._iv,yd=this._counter;_d&&(yd=this._counter=_d.slice(0),this._iv=void 0),rd(yd);var gd=yd.slice(0);cd.encryptBlock(gd,0);for(var Ed=0;Ed<ud;Ed++)od[ld+Ed]^=gd[Ed]}});return ed.Decryptor=sd,ed}(),Ja.mode.CTRGladman})}(modeCtrGladman)),modeCtrGladman.exports}var modeOfb={exports:{}},hasRequiredModeOfb;function requireModeOfb(){return hasRequiredModeOfb||(hasRequiredModeOfb=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){return Ja.mode.OFB=function(){var ed=Ja.lib.BlockCipherMode.extend(),td=ed.Encryptor=ed.extend({processBlock:function(rd,sd){var od=this._cipher,ld=od.blockSize,cd=this._iv,ud=this._keystream;cd&&(ud=this._keystream=cd.slice(0),this._iv=void 0),od.encryptBlock(ud,0);for(var _d=0;_d<ld;_d++)rd[sd+_d]^=ud[_d]}});return ed.Decryptor=td,ed}(),Ja.mode.OFB})}(modeOfb)),modeOfb.exports}var modeEcb={exports:{}},hasRequiredModeEcb;function requireModeEcb(){return hasRequiredModeEcb||(hasRequiredModeEcb=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){return Ja.mode.ECB=function(){var ed=Ja.lib.BlockCipherMode.extend();return ed.Encryptor=ed.extend({processBlock:function(td,rd){this._cipher.encryptBlock(td,rd)}}),ed.Decryptor=ed.extend({processBlock:function(td,rd){this._cipher.decryptBlock(td,rd)}}),ed}(),Ja.mode.ECB})}(modeEcb)),modeEcb.exports}var padAnsix923={exports:{}},hasRequiredPadAnsix923;function requirePadAnsix923(){return hasRequiredPadAnsix923||(hasRequiredPadAnsix923=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){return Ja.pad.AnsiX923={pad:function(ed,td){var rd=ed.sigBytes,sd=td*4,od=sd-rd%sd,ld=rd+od-1;ed.clamp(),ed.words[ld>>>2]|=od<<24-ld%4*8,ed.sigBytes+=od},unpad:function(ed){var td=ed.words[ed.sigBytes-1>>>2]&255;ed.sigBytes-=td}},Ja.pad.Ansix923})}(padAnsix923)),padAnsix923.exports}var padIso10126={exports:{}},hasRequiredPadIso10126;function requirePadIso10126(){return hasRequiredPadIso10126||(hasRequiredPadIso10126=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){return Ja.pad.Iso10126={pad:function(ed,td){var rd=td*4,sd=rd-ed.sigBytes%rd;ed.concat(Ja.lib.WordArray.random(sd-1)).concat(Ja.lib.WordArray.create([sd<<24],1))},unpad:function(ed){var td=ed.words[ed.sigBytes-1>>>2]&255;ed.sigBytes-=td}},Ja.pad.Iso10126})}(padIso10126)),padIso10126.exports}var padIso97971={exports:{}},hasRequiredPadIso97971;function requirePadIso97971(){return hasRequiredPadIso97971||(hasRequiredPadIso97971=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){return Ja.pad.Iso97971={pad:function(ed,td){ed.concat(Ja.lib.WordArray.create([2147483648],1)),Ja.pad.ZeroPadding.pad(ed,td)},unpad:function(ed){Ja.pad.ZeroPadding.unpad(ed),ed.sigBytes--}},Ja.pad.Iso97971})}(padIso97971)),padIso97971.exports}var padZeropadding={exports:{}},hasRequiredPadZeropadding;function requirePadZeropadding(){return hasRequiredPadZeropadding||(hasRequiredPadZeropadding=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){return Ja.pad.ZeroPadding={pad:function(ed,td){var rd=td*4;ed.clamp(),ed.sigBytes+=rd-(ed.sigBytes%rd||rd)},unpad:function(ed){for(var td=ed.words,rd=ed.sigBytes-1,rd=ed.sigBytes-1;rd>=0;rd--)if(td[rd>>>2]>>>24-rd%4*8&255){ed.sigBytes=rd+1;break}}},Ja.pad.ZeroPadding})}(padZeropadding)),padZeropadding.exports}var padNopadding={exports:{}},hasRequiredPadNopadding;function requirePadNopadding(){return hasRequiredPadNopadding||(hasRequiredPadNopadding=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){return Ja.pad.NoPadding={pad:function(){},unpad:function(){}},Ja.pad.NoPadding})}(padNopadding)),padNopadding.exports}var formatHex={exports:{}},hasRequiredFormatHex;function requireFormatHex(){return hasRequiredFormatHex||(hasRequiredFormatHex=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireCipherCore())})(commonjsGlobal,function(Ja){return function(ed){var td=Ja,rd=td.lib,sd=rd.CipherParams,od=td.enc,ld=od.Hex,cd=td.format;cd.Hex={stringify:function(ud){return ud.ciphertext.toString(ld)},parse:function(ud){var _d=ld.parse(ud);return sd.create({ciphertext:_d})}}}(),Ja.format.Hex})}(formatHex)),formatHex.exports}var aes={exports:{}},hasRequiredAes;function requireAes(){return hasRequiredAes||(hasRequiredAes=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.BlockCipher,sd=ed.algo,od=[],ld=[],cd=[],ud=[],_d=[],yd=[],gd=[],Ed=[],Td=[],kd=[];(function(){for(var Id=[],Md=0;Md<256;Md++)Md<128?Id[Md]=Md<<1:Id[Md]=Md<<1^283;for(var Ld=0,Pd=0,Md=0;Md<256;Md++){var qd=Pd^Pd<<1^Pd<<2^Pd<<3^Pd<<4;qd=qd>>>8^qd&255^99,od[Ld]=qd,ld[qd]=Ld;var Yd=Id[Ld],Ud=Id[Yd],Hd=Id[Ud],Vd=Id[qd]*257^qd*16843008;cd[Ld]=Vd<<24|Vd>>>8,ud[Ld]=Vd<<16|Vd>>>16,_d[Ld]=Vd<<8|Vd>>>24,yd[Ld]=Vd;var Vd=Hd*16843009^Ud*65537^Yd*257^Ld*16843008;gd[qd]=Vd<<24|Vd>>>8,Ed[qd]=Vd<<16|Vd>>>16,Td[qd]=Vd<<8|Vd>>>24,kd[qd]=Vd,Ld?(Ld=Yd^Id[Id[Id[Hd^Yd]]],Pd^=Id[Id[Pd]]):Ld=Pd=1}})();var Rd=[0,1,2,4,8,16,32,64,128,27,54],Nd=sd.AES=rd.extend({_doReset:function(){var Id;if(!(this._nRounds&&this._keyPriorReset===this._key)){for(var Md=this._keyPriorReset=this._key,Ld=Md.words,Pd=Md.sigBytes/4,qd=this._nRounds=Pd+6,Yd=(qd+1)*4,Ud=this._keySchedule=[],Hd=0;Hd<Yd;Hd++)Hd<Pd?Ud[Hd]=Ld[Hd]:(Id=Ud[Hd-1],Hd%Pd?Pd>6&&Hd%Pd==4&&(Id=od[Id>>>24]<<24|od[Id>>>16&255]<<16|od[Id>>>8&255]<<8|od[Id&255]):(Id=Id<<8|Id>>>24,Id=od[Id>>>24]<<24|od[Id>>>16&255]<<16|od[Id>>>8&255]<<8|od[Id&255],Id^=Rd[Hd/Pd|0]<<24),Ud[Hd]=Ud[Hd-Pd]^Id);for(var Vd=this._invKeySchedule=[],Jd=0;Jd<Yd;Jd++){var Hd=Yd-Jd;if(Jd%4)var Id=Ud[Hd];else var Id=Ud[Hd-4];Jd<4||Hd<=4?Vd[Jd]=Id:Vd[Jd]=gd[od[Id>>>24]]^Ed[od[Id>>>16&255]]^Td[od[Id>>>8&255]]^kd[od[Id&255]]}}},encryptBlock:function(Id,Md){this._doCryptBlock(Id,Md,this._keySchedule,cd,ud,_d,yd,od)},decryptBlock:function(Id,Md){var Ld=Id[Md+1];Id[Md+1]=Id[Md+3],Id[Md+3]=Ld,this._doCryptBlock(Id,Md,this._invKeySchedule,gd,Ed,Td,kd,ld);var Ld=Id[Md+1];Id[Md+1]=Id[Md+3],Id[Md+3]=Ld},_doCryptBlock:function(Id,Md,Ld,Pd,qd,Yd,Ud,Hd){for(var Vd=this._nRounds,Jd=Id[Md]^Ld[0],Zd=Id[Md+1]^Ld[1],pf=Id[Md+2]^Ld[2],Xd=Id[Md+3]^Ld[3],hf=4,_f=1;_f<Vd;_f++){var xf=Pd[Jd>>>24]^qd[Zd>>>16&255]^Yd[pf>>>8&255]^Ud[Xd&255]^Ld[hf++],Lf=Pd[Zd>>>24]^qd[pf>>>16&255]^Yd[Xd>>>8&255]^Ud[Jd&255]^Ld[hf++],Wf=Pd[pf>>>24]^qd[Xd>>>16&255]^Yd[Jd>>>8&255]^Ud[Zd&255]^Ld[hf++],Yf=Pd[Xd>>>24]^qd[Jd>>>16&255]^Yd[Zd>>>8&255]^Ud[pf&255]^Ld[hf++];Jd=xf,Zd=Lf,pf=Wf,Xd=Yf}var xf=(Hd[Jd>>>24]<<24|Hd[Zd>>>16&255]<<16|Hd[pf>>>8&255]<<8|Hd[Xd&255])^Ld[hf++],Lf=(Hd[Zd>>>24]<<24|Hd[pf>>>16&255]<<16|Hd[Xd>>>8&255]<<8|Hd[Jd&255])^Ld[hf++],Wf=(Hd[pf>>>24]<<24|Hd[Xd>>>16&255]<<16|Hd[Jd>>>8&255]<<8|Hd[Zd&255])^Ld[hf++],Yf=(Hd[Xd>>>24]<<24|Hd[Jd>>>16&255]<<16|Hd[Zd>>>8&255]<<8|Hd[pf&255])^Ld[hf++];Id[Md]=xf,Id[Md+1]=Lf,Id[Md+2]=Wf,Id[Md+3]=Yf},keySize:256/32});ed.AES=rd._createHelper(Nd)}(),Ja.AES})}(aes)),aes.exports}var tripledes={exports:{}},hasRequiredTripledes;function requireTripledes(){return hasRequiredTripledes||(hasRequiredTripledes=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.WordArray,sd=td.BlockCipher,od=ed.algo,ld=[57,49,41,33,25,17,9,1,58,50,42,34,26,18,10,2,59,51,43,35,27,19,11,3,60,52,44,36,63,55,47,39,31,23,15,7,62,54,46,38,30,22,14,6,61,53,45,37,29,21,13,5,28,20,12,4],cd=[14,17,11,24,1,5,3,28,15,6,21,10,23,19,12,4,26,8,16,7,27,20,13,2,41,52,31,37,47,55,30,40,51,45,33,48,44,49,39,56,34,53,46,42,50,36,29,32],ud=[1,2,4,6,8,10,12,14,15,17,19,21,23,25,27,28],_d=[{0:8421888,268435456:32768,536870912:8421378,805306368:2,1073741824:512,1342177280:8421890,1610612736:8389122,1879048192:8388608,2147483648:514,2415919104:8389120,2684354560:33280,2952790016:8421376,3221225472:32770,3489660928:8388610,3758096384:0,4026531840:33282,134217728:0,402653184:8421890,671088640:33282,939524096:32768,1207959552:8421888,1476395008:512,1744830464:8421378,2013265920:2,2281701376:8389120,2550136832:33280,2818572288:8421376,3087007744:8389122,3355443200:8388610,3623878656:32770,3892314112:514,4160749568:8388608,1:32768,268435457:2,536870913:8421888,805306369:8388608,1073741825:8421378,1342177281:33280,1610612737:512,1879048193:8389122,2147483649:8421890,2415919105:8421376,2684354561:8388610,2952790017:33282,3221225473:514,3489660929:8389120,3758096385:32770,4026531841:0,134217729:8421890,402653185:8421376,671088641:8388608,939524097:512,1207959553:32768,1476395009:8388610,1744830465:2,2013265921:33282,2281701377:32770,2550136833:8389122,2818572289:514,3087007745:8421888,3355443201:8389120,3623878657:0,3892314113:33280,4160749569:8421378},{0:1074282512,16777216:16384,33554432:524288,50331648:1074266128,67108864:1073741840,83886080:1074282496,100663296:1073758208,117440512:16,134217728:540672,150994944:1073758224,167772160:1073741824,184549376:540688,201326592:524304,218103808:0,234881024:16400,251658240:1074266112,8388608:1073758208,25165824:540688,41943040:16,58720256:1073758224,75497472:1074282512,92274688:1073741824,109051904:524288,125829120:1074266128,142606336:524304,159383552:0,176160768:16384,192937984:1074266112,209715200:1073741840,226492416:540672,243269632:1074282496,260046848:16400,268435456:0,285212672:1074266128,301989888:1073758224,318767104:1074282496,335544320:1074266112,352321536:16,369098752:540688,385875968:16384,402653184:16400,419430400:524288,436207616:524304,452984832:1073741840,469762048:540672,486539264:1073758208,503316480:1073741824,520093696:1074282512,276824064:540688,293601280:524288,310378496:1074266112,327155712:16384,343932928:1073758208,360710144:1074282512,377487360:16,394264576:1073741824,411041792:1074282496,427819008:1073741840,444596224:1073758224,461373440:524304,478150656:0,494927872:16400,511705088:1074266128,528482304:540672},{0:260,1048576:0,2097152:67109120,3145728:65796,4194304:65540,5242880:67108868,6291456:67174660,7340032:67174400,8388608:67108864,9437184:67174656,10485760:65792,11534336:67174404,12582912:67109124,13631488:65536,14680064:4,15728640:256,524288:67174656,1572864:67174404,2621440:0,3670016:67109120,4718592:67108868,5767168:65536,6815744:65540,7864320:260,8912896:4,9961472:256,11010048:67174400,12058624:65796,13107200:65792,14155776:67109124,15204352:67174660,16252928:67108864,16777216:67174656,17825792:65540,18874368:65536,19922944:67109120,20971520:256,22020096:67174660,23068672:67108868,24117248:0,25165824:67109124,26214400:67108864,27262976:4,28311552:65792,29360128:67174400,30408704:260,31457280:65796,32505856:67174404,17301504:67108864,18350080:260,19398656:67174656,20447232:0,21495808:65540,22544384:67109120,23592960:256,24641536:67174404,25690112:65536,26738688:67174660,27787264:65796,28835840:67108868,29884416:67109124,30932992:67174400,31981568:4,33030144:65792},{0:2151682048,65536:2147487808,131072:4198464,196608:2151677952,262144:0,327680:4198400,393216:2147483712,458752:4194368,524288:2147483648,589824:4194304,655360:64,720896:2147487744,786432:2151678016,851968:4160,917504:4096,983040:2151682112,32768:2147487808,98304:64,163840:2151678016,229376:2147487744,294912:4198400,360448:2151682112,425984:0,491520:2151677952,557056:4096,622592:2151682048,688128:4194304,753664:4160,819200:2147483648,884736:4194368,950272:4198464,1015808:2147483712,1048576:4194368,1114112:4198400,1179648:2147483712,1245184:0,1310720:4160,1376256:2151678016,1441792:2151682048,1507328:2147487808,1572864:2151682112,1638400:2147483648,1703936:2151677952,1769472:4198464,1835008:2147487744,1900544:4194304,1966080:64,2031616:4096,1081344:2151677952,1146880:2151682112,1212416:0,1277952:4198400,1343488:4194368,1409024:2147483648,1474560:2147487808,1540096:64,1605632:2147483712,1671168:4096,1736704:2147487744,1802240:2151678016,1867776:4160,1933312:2151682048,1998848:4194304,2064384:4198464},{0:128,4096:17039360,8192:262144,12288:536870912,16384:537133184,20480:16777344,24576:553648256,28672:262272,32768:16777216,36864:537133056,40960:536871040,45056:553910400,49152:553910272,53248:0,57344:17039488,61440:553648128,2048:17039488,6144:553648256,10240:128,14336:17039360,18432:262144,22528:537133184,26624:553910272,30720:536870912,34816:537133056,38912:0,43008:553910400,47104:16777344,51200:536871040,55296:553648128,59392:16777216,63488:262272,65536:262144,69632:128,73728:536870912,77824:553648256,81920:16777344,86016:553910272,90112:537133184,94208:16777216,98304:553910400,102400:553648128,106496:17039360,110592:537133056,114688:262272,118784:536871040,122880:0,126976:17039488,67584:553648256,71680:16777216,75776:17039360,79872:537133184,83968:536870912,88064:17039488,92160:128,96256:553910272,100352:262272,104448:553910400,108544:0,112640:553648128,116736:16777344,120832:262144,124928:537133056,129024:536871040},{0:268435464,256:8192,512:270532608,768:270540808,1024:268443648,1280:2097152,1536:2097160,1792:268435456,2048:0,2304:268443656,2560:2105344,2816:8,3072:270532616,3328:2105352,3584:8200,3840:270540800,128:270532608,384:270540808,640:8,896:2097152,1152:2105352,1408:268435464,1664:268443648,1920:8200,2176:2097160,2432:8192,2688:268443656,2944:270532616,3200:0,3456:270540800,3712:2105344,3968:268435456,4096:268443648,4352:270532616,4608:270540808,4864:8200,5120:2097152,5376:268435456,5632:268435464,5888:2105344,6144:2105352,6400:0,6656:8,6912:270532608,7168:8192,7424:268443656,7680:270540800,7936:2097160,4224:8,4480:2105344,4736:2097152,4992:268435464,5248:268443648,5504:8200,5760:270540808,6016:270532608,6272:270540800,6528:270532616,6784:8192,7040:2105352,7296:2097160,7552:0,7808:268435456,8064:268443656},{0:1048576,16:33555457,32:1024,48:1049601,64:34604033,80:0,96:1,112:34603009,128:33555456,144:1048577,160:33554433,176:34604032,192:34603008,208:1025,224:1049600,240:33554432,8:34603009,24:0,40:33555457,56:34604032,72:1048576,88:33554433,104:33554432,120:1025,136:1049601,152:33555456,168:34603008,184:1048577,200:1024,216:34604033,232:1,248:1049600,256:33554432,272:1048576,288:33555457,304:34603009,320:1048577,336:33555456,352:34604032,368:1049601,384:1025,400:34604033,416:1049600,432:1,448:0,464:34603008,480:33554433,496:1024,264:1049600,280:33555457,296:34603009,312:1,328:33554432,344:1048576,360:1025,376:34604032,392:33554433,408:34603008,424:0,440:34604033,456:1049601,472:1024,488:33555456,504:1048577},{0:134219808,1:131072,2:134217728,3:32,4:131104,5:134350880,6:134350848,7:2048,8:134348800,9:134219776,10:133120,11:134348832,12:2080,13:0,14:134217760,15:133152,2147483648:2048,2147483649:134350880,2147483650:134219808,2147483651:134217728,2147483652:134348800,2147483653:133120,2147483654:133152,2147483655:32,2147483656:134217760,2147483657:2080,2147483658:131104,2147483659:134350848,2147483660:0,2147483661:134348832,2147483662:134219776,2147483663:131072,16:133152,17:134350848,18:32,19:2048,20:134219776,21:134217760,22:134348832,23:131072,24:0,25:131104,26:134348800,27:134219808,28:134350880,29:133120,30:2080,31:134217728,2147483664:131072,2147483665:2048,2147483666:134348832,2147483667:133152,2147483668:32,2147483669:134348800,2147483670:134217728,2147483671:134219808,2147483672:134350880,2147483673:134217760,2147483674:134219776,2147483675:0,2147483676:133120,2147483677:2080,2147483678:131104,2147483679:134350848}],yd=[4160749569,528482304,33030144,2064384,129024,8064,504,2147483679],gd=od.DES=sd.extend({_doReset:function(){for(var Rd=this._key,Nd=Rd.words,Id=[],Md=0;Md<56;Md++){var Ld=ld[Md]-1;Id[Md]=Nd[Ld>>>5]>>>31-Ld%32&1}for(var Pd=this._subKeys=[],qd=0;qd<16;qd++){for(var Yd=Pd[qd]=[],Ud=ud[qd],Md=0;Md<24;Md++)Yd[Md/6|0]|=Id[(cd[Md]-1+Ud)%28]<<31-Md%6,Yd[4+(Md/6|0)]|=Id[28+(cd[Md+24]-1+Ud)%28]<<31-Md%6;Yd[0]=Yd[0]<<1|Yd[0]>>>31;for(var Md=1;Md<7;Md++)Yd[Md]=Yd[Md]>>>(Md-1)*4+3;Yd[7]=Yd[7]<<5|Yd[7]>>>27}for(var Hd=this._invSubKeys=[],Md=0;Md<16;Md++)Hd[Md]=Pd[15-Md]},encryptBlock:function(Rd,Nd){this._doCryptBlock(Rd,Nd,this._subKeys)},decryptBlock:function(Rd,Nd){this._doCryptBlock(Rd,Nd,this._invSubKeys)},_doCryptBlock:function(Rd,Nd,Id){this._lBlock=Rd[Nd],this._rBlock=Rd[Nd+1],Ed.call(this,4,252645135),Ed.call(this,16,65535),Td.call(this,2,858993459),Td.call(this,8,16711935),Ed.call(this,1,1431655765);for(var Md=0;Md<16;Md++){for(var Ld=Id[Md],Pd=this._lBlock,qd=this._rBlock,Yd=0,Ud=0;Ud<8;Ud++)Yd|=_d[Ud][((qd^Ld[Ud])&yd[Ud])>>>0];this._lBlock=qd,this._rBlock=Pd^Yd}var Hd=this._lBlock;this._lBlock=this._rBlock,this._rBlock=Hd,Ed.call(this,1,1431655765),Td.call(this,8,16711935),Td.call(this,2,858993459),Ed.call(this,16,65535),Ed.call(this,4,252645135),Rd[Nd]=this._lBlock,Rd[Nd+1]=this._rBlock},keySize:64/32,ivSize:64/32,blockSize:64/32});function Ed(Rd,Nd){var Id=(this._lBlock>>>Rd^this._rBlock)&Nd;this._rBlock^=Id,this._lBlock^=Id<<Rd}function Td(Rd,Nd){var Id=(this._rBlock>>>Rd^this._lBlock)&Nd;this._lBlock^=Id,this._rBlock^=Id<<Rd}ed.DES=sd._createHelper(gd);var kd=od.TripleDES=sd.extend({_doReset:function(){var Rd=this._key,Nd=Rd.words;if(Nd.length!==2&&Nd.length!==4&&Nd.length<6)throw new Error("Invalid key length - 3DES requires the key length to be 64, 128, 192 or >192.");var Id=Nd.slice(0,2),Md=Nd.length<4?Nd.slice(0,2):Nd.slice(2,4),Ld=Nd.length<6?Nd.slice(0,2):Nd.slice(4,6);this._des1=gd.createEncryptor(rd.create(Id)),this._des2=gd.createEncryptor(rd.create(Md)),this._des3=gd.createEncryptor(rd.create(Ld))},encryptBlock:function(Rd,Nd){this._des1.encryptBlock(Rd,Nd),this._des2.decryptBlock(Rd,Nd),this._des3.encryptBlock(Rd,Nd)},decryptBlock:function(Rd,Nd){this._des3.decryptBlock(Rd,Nd),this._des2.encryptBlock(Rd,Nd),this._des1.decryptBlock(Rd,Nd)},keySize:192/32,ivSize:64/32,blockSize:64/32});ed.TripleDES=sd._createHelper(kd)}(),Ja.TripleDES})}(tripledes)),tripledes.exports}var rc4={exports:{}},hasRequiredRc4;function requireRc4(){return hasRequiredRc4||(hasRequiredRc4=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.StreamCipher,sd=ed.algo,od=sd.RC4=rd.extend({_doReset:function(){for(var ud=this._key,_d=ud.words,yd=ud.sigBytes,gd=this._S=[],Ed=0;Ed<256;Ed++)gd[Ed]=Ed;for(var Ed=0,Td=0;Ed<256;Ed++){var kd=Ed%yd,Rd=_d[kd>>>2]>>>24-kd%4*8&255;Td=(Td+gd[Ed]+Rd)%256;var Nd=gd[Ed];gd[Ed]=gd[Td],gd[Td]=Nd}this._i=this._j=0},_doProcessBlock:function(ud,_d){ud[_d]^=ld.call(this)},keySize:256/32,ivSize:0});function ld(){for(var ud=this._S,_d=this._i,yd=this._j,gd=0,Ed=0;Ed<4;Ed++){_d=(_d+1)%256,yd=(yd+ud[_d])%256;var Td=ud[_d];ud[_d]=ud[yd],ud[yd]=Td,gd|=ud[(ud[_d]+ud[yd])%256]<<24-Ed*8}return this._i=_d,this._j=yd,gd}ed.RC4=rd._createHelper(od);var cd=sd.RC4Drop=od.extend({cfg:od.cfg.extend({drop:192}),_doReset:function(){od._doReset.call(this);for(var ud=this.cfg.drop;ud>0;ud--)ld.call(this)}});ed.RC4Drop=rd._createHelper(cd)}(),Ja.RC4})}(rc4)),rc4.exports}var rabbit={exports:{}},hasRequiredRabbit;function requireRabbit(){return hasRequiredRabbit||(hasRequiredRabbit=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.StreamCipher,sd=ed.algo,od=[],ld=[],cd=[],ud=sd.Rabbit=rd.extend({_doReset:function(){for(var yd=this._key.words,gd=this.cfg.iv,Ed=0;Ed<4;Ed++)yd[Ed]=(yd[Ed]<<8|yd[Ed]>>>24)&16711935|(yd[Ed]<<24|yd[Ed]>>>8)&4278255360;var Td=this._X=[yd[0],yd[3]<<16|yd[2]>>>16,yd[1],yd[0]<<16|yd[3]>>>16,yd[2],yd[1]<<16|yd[0]>>>16,yd[3],yd[2]<<16|yd[1]>>>16],kd=this._C=[yd[2]<<16|yd[2]>>>16,yd[0]&4294901760|yd[1]&65535,yd[3]<<16|yd[3]>>>16,yd[1]&4294901760|yd[2]&65535,yd[0]<<16|yd[0]>>>16,yd[2]&4294901760|yd[3]&65535,yd[1]<<16|yd[1]>>>16,yd[3]&4294901760|yd[0]&65535];this._b=0;for(var Ed=0;Ed<4;Ed++)_d.call(this);for(var Ed=0;Ed<8;Ed++)kd[Ed]^=Td[Ed+4&7];if(gd){var Rd=gd.words,Nd=Rd[0],Id=Rd[1],Md=(Nd<<8|Nd>>>24)&16711935|(Nd<<24|Nd>>>8)&4278255360,Ld=(Id<<8|Id>>>24)&16711935|(Id<<24|Id>>>8)&4278255360,Pd=Md>>>16|Ld&4294901760,qd=Ld<<16|Md&65535;kd[0]^=Md,kd[1]^=Pd,kd[2]^=Ld,kd[3]^=qd,kd[4]^=Md,kd[5]^=Pd,kd[6]^=Ld,kd[7]^=qd;for(var Ed=0;Ed<4;Ed++)_d.call(this)}},_doProcessBlock:function(yd,gd){var Ed=this._X;_d.call(this),od[0]=Ed[0]^Ed[5]>>>16^Ed[3]<<16,od[1]=Ed[2]^Ed[7]>>>16^Ed[5]<<16,od[2]=Ed[4]^Ed[1]>>>16^Ed[7]<<16,od[3]=Ed[6]^Ed[3]>>>16^Ed[1]<<16;for(var Td=0;Td<4;Td++)od[Td]=(od[Td]<<8|od[Td]>>>24)&16711935|(od[Td]<<24|od[Td]>>>8)&4278255360,yd[gd+Td]^=od[Td]},blockSize:128/32,ivSize:64/32});function _d(){for(var yd=this._X,gd=this._C,Ed=0;Ed<8;Ed++)ld[Ed]=gd[Ed];gd[0]=gd[0]+1295307597+this._b|0,gd[1]=gd[1]+3545052371+(gd[0]>>>0<ld[0]>>>0?1:0)|0,gd[2]=gd[2]+886263092+(gd[1]>>>0<ld[1]>>>0?1:0)|0,gd[3]=gd[3]+1295307597+(gd[2]>>>0<ld[2]>>>0?1:0)|0,gd[4]=gd[4]+3545052371+(gd[3]>>>0<ld[3]>>>0?1:0)|0,gd[5]=gd[5]+886263092+(gd[4]>>>0<ld[4]>>>0?1:0)|0,gd[6]=gd[6]+1295307597+(gd[5]>>>0<ld[5]>>>0?1:0)|0,gd[7]=gd[7]+3545052371+(gd[6]>>>0<ld[6]>>>0?1:0)|0,this._b=gd[7]>>>0<ld[7]>>>0?1:0;for(var Ed=0;Ed<8;Ed++){var Td=yd[Ed]+gd[Ed],kd=Td&65535,Rd=Td>>>16,Nd=((kd*kd>>>17)+kd*Rd>>>15)+Rd*Rd,Id=((Td&4294901760)*Td|0)+((Td&65535)*Td|0);cd[Ed]=Nd^Id}yd[0]=cd[0]+(cd[7]<<16|cd[7]>>>16)+(cd[6]<<16|cd[6]>>>16)|0,yd[1]=cd[1]+(cd[0]<<8|cd[0]>>>24)+cd[7]|0,yd[2]=cd[2]+(cd[1]<<16|cd[1]>>>16)+(cd[0]<<16|cd[0]>>>16)|0,yd[3]=cd[3]+(cd[2]<<8|cd[2]>>>24)+cd[1]|0,yd[4]=cd[4]+(cd[3]<<16|cd[3]>>>16)+(cd[2]<<16|cd[2]>>>16)|0,yd[5]=cd[5]+(cd[4]<<8|cd[4]>>>24)+cd[3]|0,yd[6]=cd[6]+(cd[5]<<16|cd[5]>>>16)+(cd[4]<<16|cd[4]>>>16)|0,yd[7]=cd[7]+(cd[6]<<8|cd[6]>>>24)+cd[5]|0}ed.Rabbit=rd._createHelper(ud)}(),Ja.Rabbit})}(rabbit)),rabbit.exports}var rabbitLegacy={exports:{}},hasRequiredRabbitLegacy;function requireRabbitLegacy(){return hasRequiredRabbitLegacy||(hasRequiredRabbitLegacy=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.StreamCipher,sd=ed.algo,od=[],ld=[],cd=[],ud=sd.RabbitLegacy=rd.extend({_doReset:function(){var yd=this._key.words,gd=this.cfg.iv,Ed=this._X=[yd[0],yd[3]<<16|yd[2]>>>16,yd[1],yd[0]<<16|yd[3]>>>16,yd[2],yd[1]<<16|yd[0]>>>16,yd[3],yd[2]<<16|yd[1]>>>16],Td=this._C=[yd[2]<<16|yd[2]>>>16,yd[0]&4294901760|yd[1]&65535,yd[3]<<16|yd[3]>>>16,yd[1]&4294901760|yd[2]&65535,yd[0]<<16|yd[0]>>>16,yd[2]&4294901760|yd[3]&65535,yd[1]<<16|yd[1]>>>16,yd[3]&4294901760|yd[0]&65535];this._b=0;for(var kd=0;kd<4;kd++)_d.call(this);for(var kd=0;kd<8;kd++)Td[kd]^=Ed[kd+4&7];if(gd){var Rd=gd.words,Nd=Rd[0],Id=Rd[1],Md=(Nd<<8|Nd>>>24)&16711935|(Nd<<24|Nd>>>8)&4278255360,Ld=(Id<<8|Id>>>24)&16711935|(Id<<24|Id>>>8)&4278255360,Pd=Md>>>16|Ld&4294901760,qd=Ld<<16|Md&65535;Td[0]^=Md,Td[1]^=Pd,Td[2]^=Ld,Td[3]^=qd,Td[4]^=Md,Td[5]^=Pd,Td[6]^=Ld,Td[7]^=qd;for(var kd=0;kd<4;kd++)_d.call(this)}},_doProcessBlock:function(yd,gd){var Ed=this._X;_d.call(this),od[0]=Ed[0]^Ed[5]>>>16^Ed[3]<<16,od[1]=Ed[2]^Ed[7]>>>16^Ed[5]<<16,od[2]=Ed[4]^Ed[1]>>>16^Ed[7]<<16,od[3]=Ed[6]^Ed[3]>>>16^Ed[1]<<16;for(var Td=0;Td<4;Td++)od[Td]=(od[Td]<<8|od[Td]>>>24)&16711935|(od[Td]<<24|od[Td]>>>8)&4278255360,yd[gd+Td]^=od[Td]},blockSize:128/32,ivSize:64/32});function _d(){for(var yd=this._X,gd=this._C,Ed=0;Ed<8;Ed++)ld[Ed]=gd[Ed];gd[0]=gd[0]+1295307597+this._b|0,gd[1]=gd[1]+3545052371+(gd[0]>>>0<ld[0]>>>0?1:0)|0,gd[2]=gd[2]+886263092+(gd[1]>>>0<ld[1]>>>0?1:0)|0,gd[3]=gd[3]+1295307597+(gd[2]>>>0<ld[2]>>>0?1:0)|0,gd[4]=gd[4]+3545052371+(gd[3]>>>0<ld[3]>>>0?1:0)|0,gd[5]=gd[5]+886263092+(gd[4]>>>0<ld[4]>>>0?1:0)|0,gd[6]=gd[6]+1295307597+(gd[5]>>>0<ld[5]>>>0?1:0)|0,gd[7]=gd[7]+3545052371+(gd[6]>>>0<ld[6]>>>0?1:0)|0,this._b=gd[7]>>>0<ld[7]>>>0?1:0;for(var Ed=0;Ed<8;Ed++){var Td=yd[Ed]+gd[Ed],kd=Td&65535,Rd=Td>>>16,Nd=((kd*kd>>>17)+kd*Rd>>>15)+Rd*Rd,Id=((Td&4294901760)*Td|0)+((Td&65535)*Td|0);cd[Ed]=Nd^Id}yd[0]=cd[0]+(cd[7]<<16|cd[7]>>>16)+(cd[6]<<16|cd[6]>>>16)|0,yd[1]=cd[1]+(cd[0]<<8|cd[0]>>>24)+cd[7]|0,yd[2]=cd[2]+(cd[1]<<16|cd[1]>>>16)+(cd[0]<<16|cd[0]>>>16)|0,yd[3]=cd[3]+(cd[2]<<8|cd[2]>>>24)+cd[1]|0,yd[4]=cd[4]+(cd[3]<<16|cd[3]>>>16)+(cd[2]<<16|cd[2]>>>16)|0,yd[5]=cd[5]+(cd[4]<<8|cd[4]>>>24)+cd[3]|0,yd[6]=cd[6]+(cd[5]<<16|cd[5]>>>16)+(cd[4]<<16|cd[4]>>>16)|0,yd[7]=cd[7]+(cd[6]<<8|cd[6]>>>24)+cd[5]|0}ed.RabbitLegacy=rd._createHelper(ud)}(),Ja.RabbitLegacy})}(rabbitLegacy)),rabbitLegacy.exports}var blowfish={exports:{}},hasRequiredBlowfish;function requireBlowfish(){return hasRequiredBlowfish||(hasRequiredBlowfish=1,function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireEncBase64(),requireMd5$1(),requireEvpkdf(),requireCipherCore())})(commonjsGlobal,function(Ja){return function(){var ed=Ja,td=ed.lib,rd=td.BlockCipher,sd=ed.algo;const od=16,ld=[608135816,2242054355,320440878,57701188,2752067618,698298832,137296536,3964562569,1160258022,953160567,3193202383,887688300,3232508343,3380367581,1065670069,3041331479,2450970073,2306472731],cd=[[3509652390,2564797868,805139163,3491422135,3101798381,1780907670,3128725573,4046225305,614570311,3012652279,134345442,2240740374,1667834072,1901547113,2757295779,4103290238,227898511,1921955416,1904987480,2182433518,2069144605,3260701109,2620446009,720527379,3318853667,677414384,3393288472,3101374703,2390351024,1614419982,1822297739,2954791486,3608508353,3174124327,2024746970,1432378464,3864339955,2857741204,1464375394,1676153920,1439316330,715854006,3033291828,289532110,2706671279,2087905683,3018724369,1668267050,732546397,1947742710,3462151702,2609353502,2950085171,1814351708,2050118529,680887927,999245976,1800124847,3300911131,1713906067,1641548236,4213287313,1216130144,1575780402,4018429277,3917837745,3693486850,3949271944,596196993,3549867205,258830323,2213823033,772490370,2760122372,1774776394,2652871518,566650946,4142492826,1728879713,2882767088,1783734482,3629395816,2517608232,2874225571,1861159788,326777828,3124490320,2130389656,2716951837,967770486,1724537150,2185432712,2364442137,1164943284,2105845187,998989502,3765401048,2244026483,1075463327,1455516326,1322494562,910128902,469688178,1117454909,936433444,3490320968,3675253459,1240580251,122909385,2157517691,634681816,4142456567,3825094682,3061402683,2540495037,79693498,3249098678,1084186820,1583128258,426386531,1761308591,1047286709,322548459,995290223,1845252383,2603652396,3431023940,2942221577,3202600964,3727903485,1712269319,422464435,3234572375,1170764815,3523960633,3117677531,1434042557,442511882,3600875718,1076654713,1738483198,4213154764,2393238008,3677496056,1014306527,4251020053,793779912,2902807211,842905082,4246964064,1395751752,1040244610,2656851899,3396308128,445077038,3742853595,3577915638,679411651,2892444358,2354009459,1767581616,3150600392,3791627101,3102740896,284835224,4246832056,1258075500,768725851,2589189241,3069724005,3532540348,1274779536,3789419226,2764799539,1660621633,3471099624,4011903706,913787905,3497959166,737222580,2514213453,2928710040,3937242737,1804850592,3499020752,2949064160,2386320175,2390070455,2415321851,4061277028,2290661394,2416832540,1336762016,1754252060,3520065937,3014181293,791618072,3188594551,3933548030,2332172193,3852520463,3043980520,413987798,3465142937,3030929376,4245938359,2093235073,3534596313,375366246,2157278981,2479649556,555357303,3870105701,2008414854,3344188149,4221384143,3956125452,2067696032,3594591187,2921233993,2428461,544322398,577241275,1471733935,610547355,4027169054,1432588573,1507829418,2025931657,3646575487,545086370,48609733,2200306550,1653985193,298326376,1316178497,3007786442,2064951626,458293330,2589141269,3591329599,3164325604,727753846,2179363840,146436021,1461446943,4069977195,705550613,3059967265,3887724982,4281599278,3313849956,1404054877,2845806497,146425753,1854211946],[1266315497,3048417604,3681880366,3289982499,290971e4,1235738493,2632868024,2414719590,3970600049,1771706367,1449415276,3266420449,422970021,1963543593,2690192192,3826793022,1062508698,1531092325,1804592342,2583117782,2714934279,4024971509,1294809318,4028980673,1289560198,2221992742,1669523910,35572830,157838143,1052438473,1016535060,1802137761,1753167236,1386275462,3080475397,2857371447,1040679964,2145300060,2390574316,1461121720,2956646967,4031777805,4028374788,33600511,2920084762,1018524850,629373528,3691585981,3515945977,2091462646,2486323059,586499841,988145025,935516892,3367335476,2599673255,2839830854,265290510,3972581182,2759138881,3795373465,1005194799,847297441,406762289,1314163512,1332590856,1866599683,4127851711,750260880,613907577,1450815602,3165620655,3734664991,3650291728,3012275730,3704569646,1427272223,778793252,1343938022,2676280711,2052605720,1946737175,3164576444,3914038668,3967478842,3682934266,1661551462,3294938066,4011595847,840292616,3712170807,616741398,312560963,711312465,1351876610,322626781,1910503582,271666773,2175563734,1594956187,70604529,3617834859,1007753275,1495573769,4069517037,2549218298,2663038764,504708206,2263041392,3941167025,2249088522,1514023603,1998579484,1312622330,694541497,2582060303,2151582166,1382467621,776784248,2618340202,3323268794,2497899128,2784771155,503983604,4076293799,907881277,423175695,432175456,1378068232,4145222326,3954048622,3938656102,3820766613,2793130115,2977904593,26017576,3274890735,3194772133,1700274565,1756076034,4006520079,3677328699,720338349,1533947780,354530856,688349552,3973924725,1637815568,332179504,3949051286,53804574,2852348879,3044236432,1282449977,3583942155,3416972820,4006381244,1617046695,2628476075,3002303598,1686838959,431878346,2686675385,1700445008,1080580658,1009431731,832498133,3223435511,2605976345,2271191193,2516031870,1648197032,4164389018,2548247927,300782431,375919233,238389289,3353747414,2531188641,2019080857,1475708069,455242339,2609103871,448939670,3451063019,1395535956,2413381860,1841049896,1491858159,885456874,4264095073,4001119347,1565136089,3898914787,1108368660,540939232,1173283510,2745871338,3681308437,4207628240,3343053890,4016749493,1699691293,1103962373,3625875870,2256883143,3830138730,1031889488,3479347698,1535977030,4236805024,3251091107,2132092099,1774941330,1199868427,1452454533,157007616,2904115357,342012276,595725824,1480756522,206960106,497939518,591360097,863170706,2375253569,3596610801,1814182875,2094937945,3421402208,1082520231,3463918190,2785509508,435703966,3908032597,1641649973,2842273706,3305899714,1510255612,2148256476,2655287854,3276092548,4258621189,236887753,3681803219,274041037,1734335097,3815195456,3317970021,1899903192,1026095262,4050517792,356393447,2410691914,3873677099,3682840055],[3913112168,2491498743,4132185628,2489919796,1091903735,1979897079,3170134830,3567386728,3557303409,857797738,1136121015,1342202287,507115054,2535736646,337727348,3213592640,1301675037,2528481711,1895095763,1721773893,3216771564,62756741,2142006736,835421444,2531993523,1442658625,3659876326,2882144922,676362277,1392781812,170690266,3921047035,1759253602,3611846912,1745797284,664899054,1329594018,3901205900,3045908486,2062866102,2865634940,3543621612,3464012697,1080764994,553557557,3656615353,3996768171,991055499,499776247,1265440854,648242737,3940784050,980351604,3713745714,1749149687,3396870395,4211799374,3640570775,1161844396,3125318951,1431517754,545492359,4268468663,3499529547,1437099964,2702547544,3433638243,2581715763,2787789398,1060185593,1593081372,2418618748,4260947970,69676912,2159744348,86519011,2512459080,3838209314,1220612927,3339683548,133810670,1090789135,1078426020,1569222167,845107691,3583754449,4072456591,1091646820,628848692,1613405280,3757631651,526609435,236106946,48312990,2942717905,3402727701,1797494240,859738849,992217954,4005476642,2243076622,3870952857,3732016268,765654824,3490871365,2511836413,1685915746,3888969200,1414112111,2273134842,3281911079,4080962846,172450625,2569994100,980381355,4109958455,2819808352,2716589560,2568741196,3681446669,3329971472,1835478071,660984891,3704678404,4045999559,3422617507,3040415634,1762651403,1719377915,3470491036,2693910283,3642056355,3138596744,1364962596,2073328063,1983633131,926494387,3423689081,2150032023,4096667949,1749200295,3328846651,309677260,2016342300,1779581495,3079819751,111262694,1274766160,443224088,298511866,1025883608,3806446537,1145181785,168956806,3641502830,3584813610,1689216846,3666258015,3200248200,1692713982,2646376535,4042768518,1618508792,1610833997,3523052358,4130873264,2001055236,3610705100,2202168115,4028541809,2961195399,1006657119,2006996926,3186142756,1430667929,3210227297,1314452623,4074634658,4101304120,2273951170,1399257539,3367210612,3027628629,1190975929,2062231137,2333990788,2221543033,2438960610,1181637006,548689776,2362791313,3372408396,3104550113,3145860560,296247880,1970579870,3078560182,3769228297,1714227617,3291629107,3898220290,166772364,1251581989,493813264,448347421,195405023,2709975567,677966185,3703036547,1463355134,2715995803,1338867538,1343315457,2802222074,2684532164,233230375,2599980071,2000651841,3277868038,1638401717,4028070440,3237316320,6314154,819756386,300326615,590932579,1405279636,3267499572,3150704214,2428286686,3959192993,3461946742,1862657033,1266418056,963775037,2089974820,2263052895,1917689273,448879540,3550394620,3981727096,150775221,3627908307,1303187396,508620638,2975983352,2726630617,1817252668,1876281319,1457606340,908771278,3720792119,3617206836,2455994898,1729034894,1080033504],[976866871,3556439503,2881648439,1522871579,1555064734,1336096578,3548522304,2579274686,3574697629,3205460757,3593280638,3338716283,3079412587,564236357,2993598910,1781952180,1464380207,3163844217,3332601554,1699332808,1393555694,1183702653,3581086237,1288719814,691649499,2847557200,2895455976,3193889540,2717570544,1781354906,1676643554,2592534050,3230253752,1126444790,2770207658,2633158820,2210423226,2615765581,2414155088,3127139286,673620729,2805611233,1269405062,4015350505,3341807571,4149409754,1057255273,2012875353,2162469141,2276492801,2601117357,993977747,3918593370,2654263191,753973209,36408145,2530585658,25011837,3520020182,2088578344,530523599,2918365339,1524020338,1518925132,3760827505,3759777254,1202760957,3985898139,3906192525,674977740,4174734889,2031300136,2019492241,3983892565,4153806404,3822280332,352677332,2297720250,60907813,90501309,3286998549,1016092578,2535922412,2839152426,457141659,509813237,4120667899,652014361,1966332200,2975202805,55981186,2327461051,676427537,3255491064,2882294119,3433927263,1307055953,942726286,933058658,2468411793,3933900994,4215176142,1361170020,2001714738,2830558078,3274259782,1222529897,1679025792,2729314320,3714953764,1770335741,151462246,3013232138,1682292957,1483529935,471910574,1539241949,458788160,3436315007,1807016891,3718408830,978976581,1043663428,3165965781,1927990952,4200891579,2372276910,3208408903,3533431907,1412390302,2931980059,4132332400,1947078029,3881505623,4168226417,2941484381,1077988104,1320477388,886195818,18198404,3786409e3,2509781533,112762804,3463356488,1866414978,891333506,18488651,661792760,1628790961,3885187036,3141171499,876946877,2693282273,1372485963,791857591,2686433993,3759982718,3167212022,3472953795,2716379847,445679433,3561995674,3504004811,3574258232,54117162,3331405415,2381918588,3769707343,4154350007,1140177722,4074052095,668550556,3214352940,367459370,261225585,2610173221,4209349473,3468074219,3265815641,314222801,3066103646,3808782860,282218597,3406013506,3773591054,379116347,1285071038,846784868,2669647154,3771962079,3550491691,2305946142,453669953,1268987020,3317592352,3279303384,3744833421,2610507566,3859509063,266596637,3847019092,517658769,3462560207,3443424879,370717030,4247526661,2224018117,4143653529,4112773975,2788324899,2477274417,1456262402,2901442914,1517677493,1846949527,2295493580,3734397586,2176403920,1280348187,1908823572,3871786941,846861322,1172426758,3287448474,3383383037,1655181056,3139813346,901632758,1897031941,2986607138,3066810236,3447102507,1393639104,373351379,950779232,625454576,3124240540,4148612726,2007998917,544563296,2244738638,2330496472,2058025392,1291430526,424198748,50039436,29584100,3605783033,2429876329,2791104160,1057563949,3255363231,3075367218,3463963227,1469046755,985887462]];var ud={pbox:[],sbox:[]};function _d(kd,Rd){let Nd=Rd>>24&255,Id=Rd>>16&255,Md=Rd>>8&255,Ld=Rd&255,Pd=kd.sbox[0][Nd]+kd.sbox[1][Id];return Pd=Pd^kd.sbox[2][Md],Pd=Pd+kd.sbox[3][Ld],Pd}function yd(kd,Rd,Nd){let Id=Rd,Md=Nd,Ld;for(let Pd=0;Pd<od;++Pd)Id=Id^kd.pbox[Pd],Md=_d(kd,Id)^Md,Ld=Id,Id=Md,Md=Ld;return Ld=Id,Id=Md,Md=Ld,Md=Md^kd.pbox[od],Id=Id^kd.pbox[od+1],{left:Id,right:Md}}function gd(kd,Rd,Nd){let Id=Rd,Md=Nd,Ld;for(let Pd=od+1;Pd>1;--Pd)Id=Id^kd.pbox[Pd],Md=_d(kd,Id)^Md,Ld=Id,Id=Md,Md=Ld;return Ld=Id,Id=Md,Md=Ld,Md=Md^kd.pbox[1],Id=Id^kd.pbox[0],{left:Id,right:Md}}function Ed(kd,Rd,Nd){for(let qd=0;qd<4;qd++){kd.sbox[qd]=[];for(let Yd=0;Yd<256;Yd++)kd.sbox[qd][Yd]=cd[qd][Yd]}let Id=0;for(let qd=0;qd<od+2;qd++)kd.pbox[qd]=ld[qd]^Rd[Id],Id++,Id>=Nd&&(Id=0);let Md=0,Ld=0,Pd=0;for(let qd=0;qd<od+2;qd+=2)Pd=yd(kd,Md,Ld),Md=Pd.left,Ld=Pd.right,kd.pbox[qd]=Md,kd.pbox[qd+1]=Ld;for(let qd=0;qd<4;qd++)for(let Yd=0;Yd<256;Yd+=2)Pd=yd(kd,Md,Ld),Md=Pd.left,Ld=Pd.right,kd.sbox[qd][Yd]=Md,kd.sbox[qd][Yd+1]=Ld;return!0}var Td=sd.Blowfish=rd.extend({_doReset:function(){if(this._keyPriorReset!==this._key){var kd=this._keyPriorReset=this._key,Rd=kd.words,Nd=kd.sigBytes/4;Ed(ud,Rd,Nd)}},encryptBlock:function(kd,Rd){var Nd=yd(ud,kd[Rd],kd[Rd+1]);kd[Rd]=Nd.left,kd[Rd+1]=Nd.right},decryptBlock:function(kd,Rd){var Nd=gd(ud,kd[Rd],kd[Rd+1]);kd[Rd]=Nd.left,kd[Rd+1]=Nd.right},blockSize:64/32,keySize:128/32,ivSize:64/32});ed.Blowfish=rd._createHelper(Td)}(),Ja.Blowfish})}(blowfish)),blowfish.exports}(function(Ra,qa){(function(Ja,ed,td){Ra.exports=ed(requireCore(),requireX64Core(),requireLibTypedarrays(),requireEncUtf16(),requireEncBase64(),requireEncBase64url(),requireMd5$1(),requireSha1(),requireSha256(),requireSha224(),requireSha512(),requireSha384(),requireSha3(),requireRipemd160(),requireHmac(),requirePbkdf2(),requireEvpkdf(),requireCipherCore(),requireModeCfb(),requireModeCtr(),requireModeCtrGladman(),requireModeOfb(),requireModeEcb(),requirePadAnsix923(),requirePadIso10126(),requirePadIso97971(),requirePadZeropadding(),requirePadNopadding(),requireFormatHex(),requireAes(),requireTripledes(),requireRc4(),requireRabbit(),requireRabbitLegacy(),requireBlowfish())})(commonjsGlobal,function(Ja){return Ja})})(cryptoJs);var cryptoJsExports=cryptoJs.exports;const CryptoJS=getDefaultExportFromCjs(cryptoJsExports);var TinyOSS={},interopRequireDefault={exports:{}};(function(Ra){function qa(Ja){return Ja&&Ja.__esModule?Ja:{default:Ja}}Ra.exports=qa,Ra.exports.__esModule=!0,Ra.exports.default=Ra.exports})(interopRequireDefault);var interopRequireDefaultExports=interopRequireDefault.exports;/*
|
||
object-assign
|
||
(c) Sindre Sorhus
|
||
@license MIT
|
||
*/var objectAssign,hasRequiredObjectAssign;function requireObjectAssign(){if(hasRequiredObjectAssign)return objectAssign;hasRequiredObjectAssign=1;var Ra=Object.getOwnPropertySymbols,qa=Object.prototype.hasOwnProperty,Ja=Object.prototype.propertyIsEnumerable;function ed(rd){if(rd==null)throw new TypeError("Object.assign cannot be called with null or undefined");return Object(rd)}function td(){try{if(!Object.assign)return!1;var rd=new String("abc");if(rd[5]="de",Object.getOwnPropertyNames(rd)[0]==="5")return!1;for(var sd={},od=0;od<10;od++)sd["_"+String.fromCharCode(od)]=od;var ld=Object.getOwnPropertyNames(sd).map(function(ud){return sd[ud]});if(ld.join("")!=="0123456789")return!1;var cd={};return"abcdefghijklmnopqrst".split("").forEach(function(ud){cd[ud]=ud}),Object.keys(Object.assign({},cd)).join("")==="abcdefghijklmnopqrst"}catch{return!1}}return objectAssign=td()?Object.assign:function(rd,sd){for(var od,ld=ed(rd),cd,ud=1;ud<arguments.length;ud++){od=Object(arguments[ud]);for(var _d in od)qa.call(od,_d)&&(ld[_d]=od[_d]);if(Ra){cd=Ra(od);for(var yd=0;yd<cd.length;yd++)Ja.call(od,cd[yd])&&(ld[cd[yd]]=od[cd[yd]])}}return ld},objectAssign}var ajax={},hasRequiredAjax;function requireAjax(){return hasRequiredAjax||(hasRequiredAjax=1,function(Ra){Ra.__esModule=!0,Ra.default=qa;function qa(Ja,ed){return ed===void 0&&(ed={}),new Promise(function(td,rd){var sd=ed,od=sd.async,ld=od===void 0?!0:od,cd=sd.data,ud=cd===void 0?null:cd,_d=sd.headers,yd=_d===void 0?{}:_d,gd=sd.method,Ed=gd===void 0?"get":gd,Td=sd.timeout,kd=Td===void 0?0:Td,Rd=sd.onprogress,Nd=new XMLHttpRequest,Id;kd&&(Id=setTimeout(function(){rd(new Error("the request timeout "+kd+"ms"))},kd)),Nd.onerror=function(){rd(new Error("unknown error"))},Nd.upload&&(Nd.upload.onprogress=Rd),Nd.onreadystatechange=function(){if(Nd.readyState===4)if(kd&&clearTimeout(Id),Nd.status>=200&&Nd.status<300)td(Nd.response,Nd);else{var Md=new Error("the request is error");rd(Md)}},Nd.open(Ed,Ja,ld),Object.keys(yd).forEach(function(Md){Nd.setRequestHeader(Md,yd[Md])});try{Nd.send(ud)}catch(Md){rd(Md)}})}}(ajax)),ajax}var utils$2={},md5={exports:{}},crypt={exports:{}},hasRequiredCrypt;function requireCrypt(){return hasRequiredCrypt||(hasRequiredCrypt=1,function(){var Ra="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",qa={rotl:function(Ja,ed){return Ja<<ed|Ja>>>32-ed},rotr:function(Ja,ed){return Ja<<32-ed|Ja>>>ed},endian:function(Ja){if(Ja.constructor==Number)return qa.rotl(Ja,8)&16711935|qa.rotl(Ja,24)&4278255360;for(var ed=0;ed<Ja.length;ed++)Ja[ed]=qa.endian(Ja[ed]);return Ja},randomBytes:function(Ja){for(var ed=[];Ja>0;Ja--)ed.push(Math.floor(Math.random()*256));return ed},bytesToWords:function(Ja){for(var ed=[],td=0,rd=0;td<Ja.length;td++,rd+=8)ed[rd>>>5]|=Ja[td]<<24-rd%32;return ed},wordsToBytes:function(Ja){for(var ed=[],td=0;td<Ja.length*32;td+=8)ed.push(Ja[td>>>5]>>>24-td%32&255);return ed},bytesToHex:function(Ja){for(var ed=[],td=0;td<Ja.length;td++)ed.push((Ja[td]>>>4).toString(16)),ed.push((Ja[td]&15).toString(16));return ed.join("")},hexToBytes:function(Ja){for(var ed=[],td=0;td<Ja.length;td+=2)ed.push(parseInt(Ja.substr(td,2),16));return ed},bytesToBase64:function(Ja){for(var ed=[],td=0;td<Ja.length;td+=3)for(var rd=Ja[td]<<16|Ja[td+1]<<8|Ja[td+2],sd=0;sd<4;sd++)td*8+sd*6<=Ja.length*8?ed.push(Ra.charAt(rd>>>6*(3-sd)&63)):ed.push("=");return ed.join("")},base64ToBytes:function(Ja){Ja=Ja.replace(/[^A-Z0-9+\/]/ig,"");for(var ed=[],td=0,rd=0;td<Ja.length;rd=++td%4)rd!=0&&ed.push((Ra.indexOf(Ja.charAt(td-1))&Math.pow(2,-2*rd+8)-1)<<rd*2|Ra.indexOf(Ja.charAt(td))>>>6-rd*2);return ed}};crypt.exports=qa}()),crypt.exports}var charenc_1,hasRequiredCharenc;function requireCharenc(){if(hasRequiredCharenc)return charenc_1;hasRequiredCharenc=1;var Ra={utf8:{stringToBytes:function(qa){return Ra.bin.stringToBytes(unescape(encodeURIComponent(qa)))},bytesToString:function(qa){return decodeURIComponent(escape(Ra.bin.bytesToString(qa)))}},bin:{stringToBytes:function(qa){for(var Ja=[],ed=0;ed<qa.length;ed++)Ja.push(qa.charCodeAt(ed)&255);return Ja},bytesToString:function(qa){for(var Ja=[],ed=0;ed<qa.length;ed++)Ja.push(String.fromCharCode(qa[ed]));return Ja.join("")}}};return charenc_1=Ra,charenc_1}/*!
|
||
* Determine if an object is a Buffer
|
||
*
|
||
* @author Feross Aboukhadijeh <https://feross.org>
|
||
* @license MIT
|
||
*/var isBuffer_1,hasRequiredIsBuffer;function requireIsBuffer(){if(hasRequiredIsBuffer)return isBuffer_1;hasRequiredIsBuffer=1,isBuffer_1=function(Ja){return Ja!=null&&(Ra(Ja)||qa(Ja)||!!Ja._isBuffer)};function Ra(Ja){return!!Ja.constructor&&typeof Ja.constructor.isBuffer=="function"&&Ja.constructor.isBuffer(Ja)}function qa(Ja){return typeof Ja.readFloatLE=="function"&&typeof Ja.slice=="function"&&Ra(Ja.slice(0,0))}return isBuffer_1}var hasRequiredMd5;function requireMd5(){return hasRequiredMd5||(hasRequiredMd5=1,function(){var Ra=requireCrypt(),qa=requireCharenc().utf8,Ja=requireIsBuffer(),ed=requireCharenc().bin,td=function(rd,sd){rd.constructor==String?sd&&sd.encoding==="binary"?rd=ed.stringToBytes(rd):rd=qa.stringToBytes(rd):Ja(rd)?rd=Array.prototype.slice.call(rd,0):!Array.isArray(rd)&&rd.constructor!==Uint8Array&&(rd=rd.toString());for(var od=Ra.bytesToWords(rd),ld=rd.length*8,cd=1732584193,ud=-271733879,_d=-1732584194,yd=271733878,gd=0;gd<od.length;gd++)od[gd]=(od[gd]<<8|od[gd]>>>24)&16711935|(od[gd]<<24|od[gd]>>>8)&4278255360;od[ld>>>5]|=128<<ld%32,od[(ld+64>>>9<<4)+14]=ld;for(var Ed=td._ff,Td=td._gg,kd=td._hh,Rd=td._ii,gd=0;gd<od.length;gd+=16){var Nd=cd,Id=ud,Md=_d,Ld=yd;cd=Ed(cd,ud,_d,yd,od[gd+0],7,-680876936),yd=Ed(yd,cd,ud,_d,od[gd+1],12,-389564586),_d=Ed(_d,yd,cd,ud,od[gd+2],17,606105819),ud=Ed(ud,_d,yd,cd,od[gd+3],22,-1044525330),cd=Ed(cd,ud,_d,yd,od[gd+4],7,-176418897),yd=Ed(yd,cd,ud,_d,od[gd+5],12,1200080426),_d=Ed(_d,yd,cd,ud,od[gd+6],17,-1473231341),ud=Ed(ud,_d,yd,cd,od[gd+7],22,-45705983),cd=Ed(cd,ud,_d,yd,od[gd+8],7,1770035416),yd=Ed(yd,cd,ud,_d,od[gd+9],12,-1958414417),_d=Ed(_d,yd,cd,ud,od[gd+10],17,-42063),ud=Ed(ud,_d,yd,cd,od[gd+11],22,-1990404162),cd=Ed(cd,ud,_d,yd,od[gd+12],7,1804603682),yd=Ed(yd,cd,ud,_d,od[gd+13],12,-40341101),_d=Ed(_d,yd,cd,ud,od[gd+14],17,-1502002290),ud=Ed(ud,_d,yd,cd,od[gd+15],22,1236535329),cd=Td(cd,ud,_d,yd,od[gd+1],5,-165796510),yd=Td(yd,cd,ud,_d,od[gd+6],9,-1069501632),_d=Td(_d,yd,cd,ud,od[gd+11],14,643717713),ud=Td(ud,_d,yd,cd,od[gd+0],20,-373897302),cd=Td(cd,ud,_d,yd,od[gd+5],5,-701558691),yd=Td(yd,cd,ud,_d,od[gd+10],9,38016083),_d=Td(_d,yd,cd,ud,od[gd+15],14,-660478335),ud=Td(ud,_d,yd,cd,od[gd+4],20,-405537848),cd=Td(cd,ud,_d,yd,od[gd+9],5,568446438),yd=Td(yd,cd,ud,_d,od[gd+14],9,-1019803690),_d=Td(_d,yd,cd,ud,od[gd+3],14,-187363961),ud=Td(ud,_d,yd,cd,od[gd+8],20,1163531501),cd=Td(cd,ud,_d,yd,od[gd+13],5,-1444681467),yd=Td(yd,cd,ud,_d,od[gd+2],9,-51403784),_d=Td(_d,yd,cd,ud,od[gd+7],14,1735328473),ud=Td(ud,_d,yd,cd,od[gd+12],20,-1926607734),cd=kd(cd,ud,_d,yd,od[gd+5],4,-378558),yd=kd(yd,cd,ud,_d,od[gd+8],11,-2022574463),_d=kd(_d,yd,cd,ud,od[gd+11],16,1839030562),ud=kd(ud,_d,yd,cd,od[gd+14],23,-35309556),cd=kd(cd,ud,_d,yd,od[gd+1],4,-1530992060),yd=kd(yd,cd,ud,_d,od[gd+4],11,1272893353),_d=kd(_d,yd,cd,ud,od[gd+7],16,-155497632),ud=kd(ud,_d,yd,cd,od[gd+10],23,-1094730640),cd=kd(cd,ud,_d,yd,od[gd+13],4,681279174),yd=kd(yd,cd,ud,_d,od[gd+0],11,-358537222),_d=kd(_d,yd,cd,ud,od[gd+3],16,-722521979),ud=kd(ud,_d,yd,cd,od[gd+6],23,76029189),cd=kd(cd,ud,_d,yd,od[gd+9],4,-640364487),yd=kd(yd,cd,ud,_d,od[gd+12],11,-421815835),_d=kd(_d,yd,cd,ud,od[gd+15],16,530742520),ud=kd(ud,_d,yd,cd,od[gd+2],23,-995338651),cd=Rd(cd,ud,_d,yd,od[gd+0],6,-198630844),yd=Rd(yd,cd,ud,_d,od[gd+7],10,1126891415),_d=Rd(_d,yd,cd,ud,od[gd+14],15,-1416354905),ud=Rd(ud,_d,yd,cd,od[gd+5],21,-57434055),cd=Rd(cd,ud,_d,yd,od[gd+12],6,1700485571),yd=Rd(yd,cd,ud,_d,od[gd+3],10,-1894986606),_d=Rd(_d,yd,cd,ud,od[gd+10],15,-1051523),ud=Rd(ud,_d,yd,cd,od[gd+1],21,-2054922799),cd=Rd(cd,ud,_d,yd,od[gd+8],6,1873313359),yd=Rd(yd,cd,ud,_d,od[gd+15],10,-30611744),_d=Rd(_d,yd,cd,ud,od[gd+6],15,-1560198380),ud=Rd(ud,_d,yd,cd,od[gd+13],21,1309151649),cd=Rd(cd,ud,_d,yd,od[gd+4],6,-145523070),yd=Rd(yd,cd,ud,_d,od[gd+11],10,-1120210379),_d=Rd(_d,yd,cd,ud,od[gd+2],15,718787259),ud=Rd(ud,_d,yd,cd,od[gd+9],21,-343485551),cd=cd+Nd>>>0,ud=ud+Id>>>0,_d=_d+Md>>>0,yd=yd+Ld>>>0}return Ra.endian([cd,ud,_d,yd])};td._ff=function(rd,sd,od,ld,cd,ud,_d){var yd=rd+(sd&od|~sd&ld)+(cd>>>0)+_d;return(yd<<ud|yd>>>32-ud)+sd},td._gg=function(rd,sd,od,ld,cd,ud,_d){var yd=rd+(sd&ld|od&~ld)+(cd>>>0)+_d;return(yd<<ud|yd>>>32-ud)+sd},td._hh=function(rd,sd,od,ld,cd,ud,_d){var yd=rd+(sd^od^ld)+(cd>>>0)+_d;return(yd<<ud|yd>>>32-ud)+sd},td._ii=function(rd,sd,od,ld,cd,ud,_d){var yd=rd+(od^(sd|~ld))+(cd>>>0)+_d;return(yd<<ud|yd>>>32-ud)+sd},td._blocksize=16,td._digestsize=16,md5.exports=function(rd,sd){if(rd==null)throw new Error("Illegal argument "+rd);var od=Ra.wordsToBytes(td(rd,sd));return sd&&sd.asBytes?od:sd&&sd.asString?ed.bytesToString(od):Ra.bytesToHex(od)}}()),md5.exports}var base64Js={},hasRequiredBase64Js;function requireBase64Js(){if(hasRequiredBase64Js)return base64Js;hasRequiredBase64Js=1,base64Js.byteLength=od,base64Js.toByteArray=cd,base64Js.fromByteArray=yd;for(var Ra=[],qa=[],Ja=typeof Uint8Array<"u"?Uint8Array:Array,ed="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",td=0,rd=ed.length;td<rd;++td)Ra[td]=ed[td],qa[ed.charCodeAt(td)]=td;qa[45]=62,qa[95]=63;function sd(gd){var Ed=gd.length;if(Ed%4>0)throw new Error("Invalid string. Length must be a multiple of 4");var Td=gd.indexOf("=");Td===-1&&(Td=Ed);var kd=Td===Ed?0:4-Td%4;return[Td,kd]}function od(gd){var Ed=sd(gd),Td=Ed[0],kd=Ed[1];return(Td+kd)*3/4-kd}function ld(gd,Ed,Td){return(Ed+Td)*3/4-Td}function cd(gd){var Ed,Td=sd(gd),kd=Td[0],Rd=Td[1],Nd=new Ja(ld(gd,kd,Rd)),Id=0,Md=Rd>0?kd-4:kd,Ld;for(Ld=0;Ld<Md;Ld+=4)Ed=qa[gd.charCodeAt(Ld)]<<18|qa[gd.charCodeAt(Ld+1)]<<12|qa[gd.charCodeAt(Ld+2)]<<6|qa[gd.charCodeAt(Ld+3)],Nd[Id++]=Ed>>16&255,Nd[Id++]=Ed>>8&255,Nd[Id++]=Ed&255;return Rd===2&&(Ed=qa[gd.charCodeAt(Ld)]<<2|qa[gd.charCodeAt(Ld+1)]>>4,Nd[Id++]=Ed&255),Rd===1&&(Ed=qa[gd.charCodeAt(Ld)]<<10|qa[gd.charCodeAt(Ld+1)]<<4|qa[gd.charCodeAt(Ld+2)]>>2,Nd[Id++]=Ed>>8&255,Nd[Id++]=Ed&255),Nd}function ud(gd){return Ra[gd>>18&63]+Ra[gd>>12&63]+Ra[gd>>6&63]+Ra[gd&63]}function _d(gd,Ed,Td){for(var kd,Rd=[],Nd=Ed;Nd<Td;Nd+=3)kd=(gd[Nd]<<16&16711680)+(gd[Nd+1]<<8&65280)+(gd[Nd+2]&255),Rd.push(ud(kd));return Rd.join("")}function yd(gd){for(var Ed,Td=gd.length,kd=Td%3,Rd=[],Nd=16383,Id=0,Md=Td-kd;Id<Md;Id+=Nd)Rd.push(_d(gd,Id,Id+Nd>Md?Md:Id+Nd));return kd===1?(Ed=gd[Td-1],Rd.push(Ra[Ed>>2]+Ra[Ed<<4&63]+"==")):kd===2&&(Ed=(gd[Td-2]<<8)+gd[Td-1],Rd.push(Ra[Ed>>10]+Ra[Ed>>4&63]+Ra[Ed<<2&63]+"=")),Rd.join("")}return base64Js}var digest={exports:{}};/*! ***** BEGIN LICENSE BLOCK *****
|
||
*!
|
||
*! Copyright 2011-2012, 2014 Jean-Christophe Sirot <sirot@chelonix.com>
|
||
*!
|
||
*! This file is part of digest.js
|
||
*!
|
||
*! digest.js is free software: you can redistribute it and/or modify it under
|
||
*! the terms of the GNU General Public License as published by the Free Software
|
||
*! Foundation, either version 3 of the License, or (at your option) any later
|
||
*! version.
|
||
*!
|
||
*! digest.js is distributed in the hope that it will be useful, but
|
||
*! WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
|
||
*! or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for
|
||
*! more details.
|
||
*!
|
||
*! You should have received a copy of the GNU General Public License along with
|
||
*! digest.js. If not, see http://www.gnu.org/licenses/.
|
||
*!
|
||
*! ***** END LICENSE BLOCK ***** */var hasRequiredDigest;function requireDigest(){return hasRequiredDigest||(hasRequiredDigest=1,function(Ra,qa){(function(){ArrayBuffer.prototype.slice||(ArrayBuffer.prototype.slice=function(Ja,ed){var td,rd=new Uint8Array(this);ed===void 0&&(ed=rd.length);var sd=new ArrayBuffer(ed-Ja),od=new Uint8Array(sd);for(td=0;td<od.length;td++)od[td]=rd[td+Ja];return sd})})(),function(Ja){function ed(){}ed.prototype.processBlock=function(ud){var _d=this.current[0],yd=this.current[1],gd=this.current[2],Ed=this.current[3],Td=this.current[4],kd=[ud[0]<<24|ud[1]<<16|ud[2]<<8|ud[3],ud[4]<<24|ud[5]<<16|ud[6]<<8|ud[7],ud[8]<<24|ud[9]<<16|ud[10]<<8|ud[11],ud[12]<<24|ud[13]<<16|ud[14]<<8|ud[15],ud[16]<<24|ud[17]<<16|ud[18]<<8|ud[19],ud[20]<<24|ud[21]<<16|ud[22]<<8|ud[23],ud[24]<<24|ud[25]<<16|ud[26]<<8|ud[27],ud[28]<<24|ud[29]<<16|ud[30]<<8|ud[31],ud[32]<<24|ud[33]<<16|ud[34]<<8|ud[35],ud[36]<<24|ud[37]<<16|ud[38]<<8|ud[39],ud[40]<<24|ud[41]<<16|ud[42]<<8|ud[43],ud[44]<<24|ud[45]<<16|ud[46]<<8|ud[47],ud[48]<<24|ud[49]<<16|ud[50]<<8|ud[51],ud[52]<<24|ud[53]<<16|ud[54]<<8|ud[55],ud[56]<<24|ud[57]<<16|ud[58]<<8|ud[59],ud[60]<<24|ud[61]<<16|ud[62]<<8|ud[63]],Rd,Nd;for(Nd=16;Nd<80;Nd++)kd.push((kd[Nd-3]^kd[Nd-8]^kd[Nd-14]^kd[Nd-16])<<1|(kd[Nd-3]^kd[Nd-8]^kd[Nd-14]^kd[Nd-16])>>>31);for(Nd=0;Nd<80;Nd++)Rd=(_d<<5|_d>>>27)+Td+kd[Nd],Nd<20?Rd+=(yd&gd|~yd&Ed)+1518500249|0:Nd<40?Rd+=(yd^gd^Ed)+1859775393|0:Nd<60?Rd+=(yd&gd|yd&Ed|gd&Ed)+2400959708|0:Rd+=(yd^gd^Ed)+3395469782|0,Td=Ed,Ed=gd,gd=yd<<30|yd>>>2,yd=_d,_d=Rd;this.current[0]+=_d,this.current[1]+=yd,this.current[2]+=gd,this.current[3]+=Ed,this.current[4]+=Td,this.currentLen+=64},ed.prototype.doPadding=function(){var ud=(this.inLen+this.currentLen)*8,_d=0,yd=ud&4294967295,gd=this.inLen<=55?55-this.inLen:119-this.inLen,Ed=new Uint8Array(new ArrayBuffer(gd+1+8));return Ed[0]=128,Ed[Ed.length-1]=yd&255,Ed[Ed.length-2]=yd>>>8&255,Ed[Ed.length-3]=yd>>>16&255,Ed[Ed.length-4]=yd>>>24&255,Ed[Ed.length-5]=_d&255,Ed[Ed.length-6]=_d>>>8&255,Ed[Ed.length-7]=_d>>>16&255,Ed[Ed.length-8]=_d>>>24&255,Ed},ed.prototype.getDigest=function(){var ud=new Uint8Array(new ArrayBuffer(20));return ud[3]=this.current[0]&255,ud[2]=this.current[0]>>>8&255,ud[1]=this.current[0]>>>16&255,ud[0]=this.current[0]>>>24&255,ud[7]=this.current[1]&255,ud[6]=this.current[1]>>>8&255,ud[5]=this.current[1]>>>16&255,ud[4]=this.current[1]>>>24&255,ud[11]=this.current[2]&255,ud[10]=this.current[2]>>>8&255,ud[9]=this.current[2]>>>16&255,ud[8]=this.current[2]>>>24&255,ud[15]=this.current[3]&255,ud[14]=this.current[3]>>>8&255,ud[13]=this.current[3]>>>16&255,ud[12]=this.current[3]>>>24&255,ud[19]=this.current[4]&255,ud[18]=this.current[4]>>>8&255,ud[17]=this.current[4]>>>16&255,ud[16]=this.current[4]>>>24&255,ud.buffer},ed.prototype.reset=function(){this.currentLen=0,this.inLen=0,this.current=new Uint32Array(new ArrayBuffer(20)),this.current[0]=1732584193,this.current[1]=4023233417,this.current[2]=2562383102,this.current[3]=271733878,this.current[4]=3285377520},ed.prototype.blockLen=64,ed.prototype.digestLen=20;var td=function(ud){var _d=new ArrayBuffer(ud.length),yd=new Uint8Array(_d),gd;for(gd=0;gd<ud.length;gd++)yd[gd]=ud.charCodeAt(gd);return yd},rd=function(ud){var _d=new ArrayBuffer(1),yd=new Uint8Array(_d);return yd[0]=ud,yd},sd=function(ud){if(ud.constructor===Uint8Array)return ud;if(ud.constructor===ArrayBuffer)return new Uint8Array(ud);if(ud.constructor===String)return td(ud);if(ud.constructor===Number){if(ud>255)throw"For more than one byte, use an array buffer";if(ud<0)throw"Input value must be positive";return rd(ud)}else throw"Unsupported type"},od=function(ud){var _d=function(Ed){for(var Td=Ed.length,kd=0;Td>0;){var Rd=this.blockLen-this.inLen;Rd>Td&&(Rd=Td);var Nd=Ed.subarray(kd,kd+Rd);this.inbuf.set(Nd,this.inLen),kd+=Rd,Td-=Rd,this.inLen+=Rd,this.inLen===this.blockLen&&(this.processBlock(this.inbuf),this.inLen=0)}},yd=function(){var Ed=this.doPadding();this.update(Ed);var Td=this.getDigest();return this.reset(),Td},gd=function(){if(!ud)throw"Unsupported algorithm: "+ud.toString();ud.prototype.update=_d,ud.prototype.finalize=yd;var Ed=new ud;return Ed.inbuf=new Uint8Array(new ArrayBuffer(Ed.blockLen)),Ed.reset(),Ed}();return{update:function(Ed){gd.update(sd(Ed))},finalize:function(){return gd.finalize()},digest:function(Ed){return gd.update(sd(Ed)),gd.finalize()},reset:function(){gd.reset()},digestLength:function(){return gd.digestLen}}},ld=function(ud){var _d=!1,yd,gd,Ed,Td=function(){var Id,Md;if(!_d){if(yd===void 0)throw"MAC key is not defined";for(yd.byteLength>64?Md=new Uint8Array(ud.digest(yd)):Md=new Uint8Array(yd),gd=new Uint8Array(new ArrayBuffer(64)),Id=0;Id<Md.length;Id++)gd[Id]=54^Md[Id];for(Id=Md.length;Id<64;Id++)gd[Id]=54;for(Ed=new Uint8Array(new ArrayBuffer(64)),Id=0;Id<Md.length;Id++)Ed[Id]=92^Md[Id];for(Id=Md.length;Id<64;Id++)Ed[Id]=92;_d=!0,ud.update(gd.buffer)}},kd=function(){_d=!1,yd=void 0,gd=void 0,Ed=void 0,ud.reset()},Rd=function(){var Id=ud.finalize();return ud.reset(),ud.update(Ed.buffer),ud.update(Id),Id=ud.finalize(),kd(),Id},Nd=function(Id){yd=Id};return{setKey:function(Id){Nd(sd(Id)),Td()},update:function(Id){ud.update(Id)},finalize:function(){return Rd()},mac:function(Id){return this.update(Id),this.finalize()},reset:function(){kd()},hmacLength:function(){return ud.digestLength()}}},cd={SHA1:function(){return od(ed)},HMAC_SHA1:function(){return ld(od(ed))}};Ra.exports&&(Ra.exports=cd)}()}(digest)),digest.exports}var hasRequiredUtils;function requireUtils(){if(hasRequiredUtils)return utils$2;hasRequiredUtils=1;var Ra=interopRequireDefaultExports;utils$2.__esModule=!0,utils$2.unix=rd,utils$2.blobToBuffer=sd,utils$2.assertOptions=od,utils$2.getContentMd5=cd,utils$2.getCanonicalizedOSSHeaders=ud,utils$2.getCanonicalizedResource=_d,utils$2.getSignature=yd;var qa=Ra(requireMd5()),Ja=Ra(requireBase64Js()),ed=Ra(requireDigest());function td(gd){return gd&&Object.prototype.toString.call(gd)==="[object Date]"&&gd.toString!=="Invalid Date"}function rd(gd){var Ed;return gd&&(Ed=new Date(gd)),td(Ed)||(Ed=new Date),Math.round(Ed.getTime()/1e3)}function sd(gd){return new Promise(function(Ed,Td){var kd=new FileReader;kd.onload=function(){var Rd=new Uint8Array(kd.result);Ed(Rd)},kd.onerror=function(){Td(kd.error)},kd.readAsArrayBuffer(gd)})}function od(gd){var Ed=gd.accessKeyId,Td=gd.accessKeySecret,kd=gd.bucket,Rd=gd.endpoint;if(!Ed)throw new Error("need accessKeyId");if(!Td)throw new Error("need accessKeySecret");if(!kd&&!Rd)throw new Error("need bucket or endpoint")}function ld(gd){for(var Ed=[],Td=0;Td<gd.length;Td+=2)Ed.push(parseInt(gd[Td]+gd[Td+1],16));return Uint8Array.from(Ed)}function cd(gd){var Ed=Array.prototype.slice.call(gd,0),Td=ld((0,qa.default)(Ed));return Ja.default.fromByteArray(Td)}function ud(gd){var Ed="",Td=Object.keys(gd);return Td=Td.map(function(kd){return kd.toLowerCase()}),Td.sort(),Td.forEach(function(kd){kd.indexOf("x-oss-")===0&&(Ed+=kd+":"+gd[kd]+`
|
||
`)}),Ed}function _d(gd,Ed,Td){gd===void 0&&(gd=""),Ed===void 0&&(Ed="");var kd="";gd&&(kd+="/"+gd),Ed&&(Ed.charAt(0)!=="/"&&(kd+="/"),kd+=Ed);var Rd=""+kd,Nd="?";if(Td){var Id=function(Pd,qd){return Pd[0]>qd[0]?1:Pd[0]<qd[0]?-1:0},Md=function(Pd){Rd+=Nd+Pd,Td[Pd]&&(Rd+="="+Td[Pd]),Nd="&"};Object.keys(Td).sort(Id).forEach(Md)}return Rd}function yd(gd){gd===void 0&&(gd={});var Ed=gd,Td=Ed.type,kd=Td===void 0?"header":Td,Rd=Ed.verb,Nd=Rd===void 0?"":Rd,Id=Ed.contentMd5,Md=Id===void 0?"":Id,Ld=Ed.expires,Pd=Ld===void 0?rd()+3600:Ld,qd=Ed.bucket,Yd=Ed.objectName,Ud=Ed.accessKeySecret,Hd=Ed.headers,Vd=Hd===void 0?{}:Hd,Jd=Ed.subResource,Zd=Vd["x-oss-date"]||"",pf=Vd["Content-Type"]||"",Xd=[Nd,Md,pf];kd==="header"?Xd.push(Zd):Xd.push(Pd);var hf=ud(Vd),_f=_d(qd,Yd,Jd);Xd.push(""+hf+_f);var xf=Xd.join(`
|
||
`),Lf=new ed.default.HMAC_SHA1;Lf.setKey(Ud),Lf.update(xf);var Wf=new Uint8Array(Lf.finalize()),Yf=Ja.default.fromByteArray(Wf);return Yf}return utils$2}(function(Ra){var qa=interopRequireDefaultExports;Ra.__esModule=!0,Ra.default=void 0;var Ja=qa(requireObjectAssign()),ed=qa(requireAjax()),td=requireUtils(),rd=function(){function sd(ld){ld===void 0&&(ld={}),(0,td.assertOptions)(ld),this.opts=(0,Ja.default)({region:"oss-cn-hangzhou",internal:!1,cname:!1,secure:!1,timeout:6e4},ld);var cd=this.opts,ud=cd.bucket,_d=cd.region,yd=cd.endpoint,gd=cd.internal;if(this.host="",yd)this.host=yd;else{var Ed=ud;gd&&(Ed+="-internal"),Ed+="."+_d+".aliyuncs.com",this.host=Ed}}var od=sd.prototype;return od.put=function(cd,ud,_d){var yd=this;return _d===void 0&&(_d={}),new Promise(function(gd,Ed){(0,td.blobToBuffer)(ud).then(function(Td){var kd=yd.opts,Rd=kd.accessKeyId,Nd=kd.accessKeySecret,Id=kd.stsToken,Md=kd.bucket,Ld="PUT",Pd=(0,td.getContentMd5)(Td),qd=ud.type,Yd={"Content-Md5":Pd,"Content-Type":qd,"x-oss-date":new Date().toGMTString()};Id&&(Yd["x-oss-security-token"]=Id);var Ud=(0,td.getSignature)({verb:Ld,contentMd5:Pd,headers:Yd,bucket:Md,objectName:cd,accessKeyId:Rd,accessKeySecret:Nd});Yd.Authorization="OSS "+Rd+":"+Ud;var Hd=yd.opts.secure?"https":"http",Vd=Hd+"://"+yd.host+"/"+cd;return(0,ed.default)(Vd,{method:Ld,headers:Yd,data:ud,timeout:yd.opts.timeout,onprogress:_d.onprogress})}).then(gd).catch(Ed)})},od.putSymlink=function(cd,ud){var _d=this.opts,yd=_d.accessKeyId,gd=_d.accessKeySecret,Ed=_d.stsToken,Td=_d.bucket,kd="PUT",Rd={"x-oss-date":new Date().toGMTString(),"x-oss-symlink-target":encodeURI(ud)};Ed&&(Rd["x-oss-security-token"]=Ed);var Nd=(0,td.getSignature)({verb:kd,headers:Rd,bucket:Td,objectName:cd,accessKeyId:yd,accessKeySecret:gd,subResource:{symlink:""}});Rd.Authorization="OSS "+yd+":"+Nd;var Id=this.opts.secure?"https":"http",Md=Id+"://"+this.host+"/"+cd+"?symlink";return(0,ed.default)(Md,{method:kd,headers:Rd,timeout:this.opts.timeout})},od.signatureUrl=function(cd,ud){ud===void 0&&(ud={});var _d=ud,yd=_d.expires,gd=yd===void 0?1800:yd,Ed=_d.method,Td=_d.process,kd=_d.response,Rd=this.opts,Nd=Rd.accessKeyId,Id=Rd.accessKeySecret,Md=Rd.stsToken,Ld=Rd.bucket,Pd={},qd={};if(Td){var Yd="x-oss-process";qd[Yd]=Td}kd&&Object.keys(kd).forEach(function(pf){var Xd="response-"+pf.toLowerCase();qd[Xd]=kd[pf]}),Object.keys(ud).forEach(function(pf){var Xd=pf.toLowerCase(),hf=ud[pf];Xd.indexOf("x-oss-")===0?Pd[Xd]=hf:Xd.indexOf("content-md5")===0||Xd.indexOf("content-type")===0?Pd[pf]=hf:Xd!=="expires"&&Xd!=="response"&&Xd!=="process"&&Xd!=="method"&&(qd[Xd]=hf)});var Ud=ud["security-token"]||Md;Ud&&(qd["security-token"]=Ud);var Hd=(0,td.unix)()+gd,Vd=(0,td.getSignature)({type:"url",verb:Ed||"GET",accessKeyId:Nd,accessKeySecret:Id,bucket:Ld,objectName:cd,headers:Pd,subResource:qd,expires:Hd}),Jd=this.opts.secure?"https":"http",Zd=Jd+"://"+this.host+"/"+cd;return Zd+="?OSSAccessKeyId="+Nd,Zd+="&Expires="+Hd,Zd+="&Signature="+encodeURIComponent(Vd),Object.keys(qd).forEach(function(pf){Zd+="&"+pf+"="+encodeURIComponent(qd[pf])}),Zd},sd}();Ra.default=rd})(TinyOSS);var lib$2=TinyOSS.default;const OSS=getDefaultExportFromCjs(lib$2);var define_process_default$8={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};function assertPath(Ra){if(typeof Ra!="string")throw new TypeError("Path must be a string. Received "+JSON.stringify(Ra))}function normalizeStringPosix(Ra,qa){for(var Ja="",ed=0,td=-1,rd=0,sd,od=0;od<=Ra.length;++od){if(od<Ra.length)sd=Ra.charCodeAt(od);else{if(sd===47)break;sd=47}if(sd===47){if(!(td===od-1||rd===1))if(td!==od-1&&rd===2){if(Ja.length<2||ed!==2||Ja.charCodeAt(Ja.length-1)!==46||Ja.charCodeAt(Ja.length-2)!==46){if(Ja.length>2){var ld=Ja.lastIndexOf("/");if(ld!==Ja.length-1){ld===-1?(Ja="",ed=0):(Ja=Ja.slice(0,ld),ed=Ja.length-1-Ja.lastIndexOf("/")),td=od,rd=0;continue}}else if(Ja.length===2||Ja.length===1){Ja="",ed=0,td=od,rd=0;continue}}qa&&(Ja.length>0?Ja+="/..":Ja="..",ed=2)}else Ja.length>0?Ja+="/"+Ra.slice(td+1,od):Ja=Ra.slice(td+1,od),ed=od-td-1;td=od,rd=0}else sd===46&&rd!==-1?++rd:rd=-1}return Ja}function _format(Ra,qa){var Ja=qa.dir||qa.root,ed=qa.base||(qa.name||"")+(qa.ext||"");return Ja?Ja===qa.root?Ja+ed:Ja+Ra+ed:ed}var posix={resolve:function(){for(var qa="",Ja=!1,ed,td=arguments.length-1;td>=-1&&!Ja;td--){var rd;td>=0?rd=arguments[td]:(ed===void 0&&(ed=define_process_default$8.cwd()),rd=ed),assertPath(rd),rd.length!==0&&(qa=rd+"/"+qa,Ja=rd.charCodeAt(0)===47)}return qa=normalizeStringPosix(qa,!Ja),Ja?qa.length>0?"/"+qa:"/":qa.length>0?qa:"."},normalize:function(qa){if(assertPath(qa),qa.length===0)return".";var Ja=qa.charCodeAt(0)===47,ed=qa.charCodeAt(qa.length-1)===47;return qa=normalizeStringPosix(qa,!Ja),qa.length===0&&!Ja&&(qa="."),qa.length>0&&ed&&(qa+="/"),Ja?"/"+qa:qa},isAbsolute:function(qa){return assertPath(qa),qa.length>0&&qa.charCodeAt(0)===47},join:function(){if(arguments.length===0)return".";for(var qa,Ja=0;Ja<arguments.length;++Ja){var ed=arguments[Ja];assertPath(ed),ed.length>0&&(qa===void 0?qa=ed:qa+="/"+ed)}return qa===void 0?".":posix.normalize(qa)},relative:function(qa,Ja){if(assertPath(qa),assertPath(Ja),qa===Ja||(qa=posix.resolve(qa),Ja=posix.resolve(Ja),qa===Ja))return"";for(var ed=1;ed<qa.length&&qa.charCodeAt(ed)===47;++ed);for(var td=qa.length,rd=td-ed,sd=1;sd<Ja.length&&Ja.charCodeAt(sd)===47;++sd);for(var od=Ja.length,ld=od-sd,cd=rd<ld?rd:ld,ud=-1,_d=0;_d<=cd;++_d){if(_d===cd){if(ld>cd){if(Ja.charCodeAt(sd+_d)===47)return Ja.slice(sd+_d+1);if(_d===0)return Ja.slice(sd+_d)}else rd>cd&&(qa.charCodeAt(ed+_d)===47?ud=_d:_d===0&&(ud=0));break}var yd=qa.charCodeAt(ed+_d),gd=Ja.charCodeAt(sd+_d);if(yd!==gd)break;yd===47&&(ud=_d)}var Ed="";for(_d=ed+ud+1;_d<=td;++_d)(_d===td||qa.charCodeAt(_d)===47)&&(Ed.length===0?Ed+="..":Ed+="/..");return Ed.length>0?Ed+Ja.slice(sd+ud):(sd+=ud,Ja.charCodeAt(sd)===47&&++sd,Ja.slice(sd))},_makeLong:function(qa){return qa},dirname:function(qa){if(assertPath(qa),qa.length===0)return".";for(var Ja=qa.charCodeAt(0),ed=Ja===47,td=-1,rd=!0,sd=qa.length-1;sd>=1;--sd)if(Ja=qa.charCodeAt(sd),Ja===47){if(!rd){td=sd;break}}else rd=!1;return td===-1?ed?"/":".":ed&&td===1?"//":qa.slice(0,td)},basename:function(qa,Ja){if(Ja!==void 0&&typeof Ja!="string")throw new TypeError('"ext" argument must be a string');assertPath(qa);var ed=0,td=-1,rd=!0,sd;if(Ja!==void 0&&Ja.length>0&&Ja.length<=qa.length){if(Ja.length===qa.length&&Ja===qa)return"";var od=Ja.length-1,ld=-1;for(sd=qa.length-1;sd>=0;--sd){var cd=qa.charCodeAt(sd);if(cd===47){if(!rd){ed=sd+1;break}}else ld===-1&&(rd=!1,ld=sd+1),od>=0&&(cd===Ja.charCodeAt(od)?--od===-1&&(td=sd):(od=-1,td=ld))}return ed===td?td=ld:td===-1&&(td=qa.length),qa.slice(ed,td)}else{for(sd=qa.length-1;sd>=0;--sd)if(qa.charCodeAt(sd)===47){if(!rd){ed=sd+1;break}}else td===-1&&(rd=!1,td=sd+1);return td===-1?"":qa.slice(ed,td)}},extname:function(qa){assertPath(qa);for(var Ja=-1,ed=0,td=-1,rd=!0,sd=0,od=qa.length-1;od>=0;--od){var ld=qa.charCodeAt(od);if(ld===47){if(!rd){ed=od+1;break}continue}td===-1&&(rd=!1,td=od+1),ld===46?Ja===-1?Ja=od:sd!==1&&(sd=1):Ja!==-1&&(sd=-1)}return Ja===-1||td===-1||sd===0||sd===1&&Ja===td-1&&Ja===ed+1?"":qa.slice(Ja,td)},format:function(qa){if(qa===null||typeof qa!="object")throw new TypeError('The "pathObject" argument must be of type Object. Received type '+typeof qa);return _format("/",qa)},parse:function(qa){assertPath(qa);var Ja={root:"",dir:"",base:"",ext:"",name:""};if(qa.length===0)return Ja;var ed=qa.charCodeAt(0),td=ed===47,rd;td?(Ja.root="/",rd=1):rd=0;for(var sd=-1,od=0,ld=-1,cd=!0,ud=qa.length-1,_d=0;ud>=rd;--ud){if(ed=qa.charCodeAt(ud),ed===47){if(!cd){od=ud+1;break}continue}ld===-1&&(cd=!1,ld=ud+1),ed===46?sd===-1?sd=ud:_d!==1&&(_d=1):sd!==-1&&(_d=-1)}return sd===-1||ld===-1||_d===0||_d===1&&sd===ld-1&&sd===od+1?ld!==-1&&(od===0&&td?Ja.base=Ja.name=qa.slice(1,ld):Ja.base=Ja.name=qa.slice(od,ld)):(od===0&&td?(Ja.name=qa.slice(1,sd),Ja.base=qa.slice(1,ld)):(Ja.name=qa.slice(od,sd),Ja.base=qa.slice(od,ld)),Ja.ext=qa.slice(sd,ld)),od>0?Ja.dir=qa.slice(0,od-1):td&&(Ja.dir="/"),Ja},sep:"/",delimiter:":",win32:null,posix:null};posix.posix=posix;var pathBrowserify=posix,events={exports:{}},R=typeof Reflect=="object"?Reflect:null,ReflectApply=R&&typeof R.apply=="function"?R.apply:function(qa,Ja,ed){return Function.prototype.apply.call(qa,Ja,ed)},ReflectOwnKeys;R&&typeof R.ownKeys=="function"?ReflectOwnKeys=R.ownKeys:Object.getOwnPropertySymbols?ReflectOwnKeys=function(qa){return Object.getOwnPropertyNames(qa).concat(Object.getOwnPropertySymbols(qa))}:ReflectOwnKeys=function(qa){return Object.getOwnPropertyNames(qa)};function ProcessEmitWarning(Ra){console&&console.warn&&console.warn(Ra)}var NumberIsNaN=Number.isNaN||function(qa){return qa!==qa};function EventEmitter(){EventEmitter.init.call(this)}events.exports=EventEmitter;events.exports.once=once$3;EventEmitter.EventEmitter=EventEmitter;EventEmitter.prototype._events=void 0;EventEmitter.prototype._eventsCount=0;EventEmitter.prototype._maxListeners=void 0;var defaultMaxListeners=10;function checkListener(Ra){if(typeof Ra!="function")throw new TypeError('The "listener" argument must be of type Function. Received type '+typeof Ra)}Object.defineProperty(EventEmitter,"defaultMaxListeners",{enumerable:!0,get:function(){return defaultMaxListeners},set:function(Ra){if(typeof Ra!="number"||Ra<0||NumberIsNaN(Ra))throw new RangeError('The value of "defaultMaxListeners" is out of range. It must be a non-negative number. Received '+Ra+".");defaultMaxListeners=Ra}});EventEmitter.init=function(){(this._events===void 0||this._events===Object.getPrototypeOf(this)._events)&&(this._events=Object.create(null),this._eventsCount=0),this._maxListeners=this._maxListeners||void 0};EventEmitter.prototype.setMaxListeners=function(qa){if(typeof qa!="number"||qa<0||NumberIsNaN(qa))throw new RangeError('The value of "n" is out of range. It must be a non-negative number. Received '+qa+".");return this._maxListeners=qa,this};function _getMaxListeners(Ra){return Ra._maxListeners===void 0?EventEmitter.defaultMaxListeners:Ra._maxListeners}EventEmitter.prototype.getMaxListeners=function(){return _getMaxListeners(this)};EventEmitter.prototype.emit=function(qa){for(var Ja=[],ed=1;ed<arguments.length;ed++)Ja.push(arguments[ed]);var td=qa==="error",rd=this._events;if(rd!==void 0)td=td&&rd.error===void 0;else if(!td)return!1;if(td){var sd;if(Ja.length>0&&(sd=Ja[0]),sd instanceof Error)throw sd;var od=new Error("Unhandled error."+(sd?" ("+sd.message+")":""));throw od.context=sd,od}var ld=rd[qa];if(ld===void 0)return!1;if(typeof ld=="function")ReflectApply(ld,this,Ja);else for(var cd=ld.length,ud=arrayClone(ld,cd),ed=0;ed<cd;++ed)ReflectApply(ud[ed],this,Ja);return!0};function _addListener(Ra,qa,Ja,ed){var td,rd,sd;if(checkListener(Ja),rd=Ra._events,rd===void 0?(rd=Ra._events=Object.create(null),Ra._eventsCount=0):(rd.newListener!==void 0&&(Ra.emit("newListener",qa,Ja.listener?Ja.listener:Ja),rd=Ra._events),sd=rd[qa]),sd===void 0)sd=rd[qa]=Ja,++Ra._eventsCount;else if(typeof sd=="function"?sd=rd[qa]=ed?[Ja,sd]:[sd,Ja]:ed?sd.unshift(Ja):sd.push(Ja),td=_getMaxListeners(Ra),td>0&&sd.length>td&&!sd.warned){sd.warned=!0;var od=new Error("Possible EventEmitter memory leak detected. "+sd.length+" "+String(qa)+" listeners added. Use emitter.setMaxListeners() to increase limit");od.name="MaxListenersExceededWarning",od.emitter=Ra,od.type=qa,od.count=sd.length,ProcessEmitWarning(od)}return Ra}EventEmitter.prototype.addListener=function(qa,Ja){return _addListener(this,qa,Ja,!1)};EventEmitter.prototype.on=EventEmitter.prototype.addListener;EventEmitter.prototype.prependListener=function(qa,Ja){return _addListener(this,qa,Ja,!0)};function onceWrapper(){if(!this.fired)return this.target.removeListener(this.type,this.wrapFn),this.fired=!0,arguments.length===0?this.listener.call(this.target):this.listener.apply(this.target,arguments)}function _onceWrap(Ra,qa,Ja){var ed={fired:!1,wrapFn:void 0,target:Ra,type:qa,listener:Ja},td=onceWrapper.bind(ed);return td.listener=Ja,ed.wrapFn=td,td}EventEmitter.prototype.once=function(qa,Ja){return checkListener(Ja),this.on(qa,_onceWrap(this,qa,Ja)),this};EventEmitter.prototype.prependOnceListener=function(qa,Ja){return checkListener(Ja),this.prependListener(qa,_onceWrap(this,qa,Ja)),this};EventEmitter.prototype.removeListener=function(qa,Ja){var ed,td,rd,sd,od;if(checkListener(Ja),td=this._events,td===void 0)return this;if(ed=td[qa],ed===void 0)return this;if(ed===Ja||ed.listener===Ja)--this._eventsCount===0?this._events=Object.create(null):(delete td[qa],td.removeListener&&this.emit("removeListener",qa,ed.listener||Ja));else if(typeof ed!="function"){for(rd=-1,sd=ed.length-1;sd>=0;sd--)if(ed[sd]===Ja||ed[sd].listener===Ja){od=ed[sd].listener,rd=sd;break}if(rd<0)return this;rd===0?ed.shift():spliceOne(ed,rd),ed.length===1&&(td[qa]=ed[0]),td.removeListener!==void 0&&this.emit("removeListener",qa,od||Ja)}return this};EventEmitter.prototype.off=EventEmitter.prototype.removeListener;EventEmitter.prototype.removeAllListeners=function(qa){var Ja,ed,td;if(ed=this._events,ed===void 0)return this;if(ed.removeListener===void 0)return arguments.length===0?(this._events=Object.create(null),this._eventsCount=0):ed[qa]!==void 0&&(--this._eventsCount===0?this._events=Object.create(null):delete ed[qa]),this;if(arguments.length===0){var rd=Object.keys(ed),sd;for(td=0;td<rd.length;++td)sd=rd[td],sd!=="removeListener"&&this.removeAllListeners(sd);return this.removeAllListeners("removeListener"),this._events=Object.create(null),this._eventsCount=0,this}if(Ja=ed[qa],typeof Ja=="function")this.removeListener(qa,Ja);else if(Ja!==void 0)for(td=Ja.length-1;td>=0;td--)this.removeListener(qa,Ja[td]);return this};function _listeners(Ra,qa,Ja){var ed=Ra._events;if(ed===void 0)return[];var td=ed[qa];return td===void 0?[]:typeof td=="function"?Ja?[td.listener||td]:[td]:Ja?unwrapListeners(td):arrayClone(td,td.length)}EventEmitter.prototype.listeners=function(qa){return _listeners(this,qa,!0)};EventEmitter.prototype.rawListeners=function(qa){return _listeners(this,qa,!1)};EventEmitter.listenerCount=function(Ra,qa){return typeof Ra.listenerCount=="function"?Ra.listenerCount(qa):listenerCount.call(Ra,qa)};EventEmitter.prototype.listenerCount=listenerCount;function listenerCount(Ra){var qa=this._events;if(qa!==void 0){var Ja=qa[Ra];if(typeof Ja=="function")return 1;if(Ja!==void 0)return Ja.length}return 0}EventEmitter.prototype.eventNames=function(){return this._eventsCount>0?ReflectOwnKeys(this._events):[]};function arrayClone(Ra,qa){for(var Ja=new Array(qa),ed=0;ed<qa;++ed)Ja[ed]=Ra[ed];return Ja}function spliceOne(Ra,qa){for(;qa+1<Ra.length;qa++)Ra[qa]=Ra[qa+1];Ra.pop()}function unwrapListeners(Ra){for(var qa=new Array(Ra.length),Ja=0;Ja<qa.length;++Ja)qa[Ja]=Ra[Ja].listener||Ra[Ja];return qa}function once$3(Ra,qa){return new Promise(function(Ja,ed){function td(sd){Ra.removeListener(qa,rd),ed(sd)}function rd(){typeof Ra.removeListener=="function"&&Ra.removeListener("error",td),Ja([].slice.call(arguments))}eventTargetAgnosticAddListener(Ra,qa,rd,{once:!0}),qa!=="error"&&addErrorHandlerIfEventEmitter(Ra,td,{once:!0})})}function addErrorHandlerIfEventEmitter(Ra,qa,Ja){typeof Ra.on=="function"&&eventTargetAgnosticAddListener(Ra,"error",qa,Ja)}function eventTargetAgnosticAddListener(Ra,qa,Ja,ed){if(typeof Ra.on=="function")ed.once?Ra.once(qa,Ja):Ra.on(qa,Ja);else if(typeof Ra.addEventListener=="function")Ra.addEventListener(qa,function td(rd){ed.once&&Ra.removeEventListener(qa,td),Ja(rd)});else throw new TypeError('The "emitter" argument must be of type EventEmitter. Received type '+typeof Ra)}var eventsExports=events.exports,inherits_browser={exports:{}};typeof Object.create=="function"?inherits_browser.exports=function(qa,Ja){Ja&&(qa.super_=Ja,qa.prototype=Object.create(Ja.prototype,{constructor:{value:qa,enumerable:!1,writable:!0,configurable:!0}}))}:inherits_browser.exports=function(qa,Ja){if(Ja){qa.super_=Ja;var ed=function(){};ed.prototype=Ja.prototype,qa.prototype=new ed,qa.prototype.constructor=qa}};var inherits_browserExports=inherits_browser.exports,streamBrowser=eventsExports.EventEmitter,buffer={},ieee754={};/*! ieee754. BSD-3-Clause License. Feross Aboukhadijeh <https://feross.org/opensource> */ieee754.read=function(Ra,qa,Ja,ed,td){var rd,sd,od=td*8-ed-1,ld=(1<<od)-1,cd=ld>>1,ud=-7,_d=Ja?td-1:0,yd=Ja?-1:1,gd=Ra[qa+_d];for(_d+=yd,rd=gd&(1<<-ud)-1,gd>>=-ud,ud+=od;ud>0;rd=rd*256+Ra[qa+_d],_d+=yd,ud-=8);for(sd=rd&(1<<-ud)-1,rd>>=-ud,ud+=ed;ud>0;sd=sd*256+Ra[qa+_d],_d+=yd,ud-=8);if(rd===0)rd=1-cd;else{if(rd===ld)return sd?NaN:(gd?-1:1)*(1/0);sd=sd+Math.pow(2,ed),rd=rd-cd}return(gd?-1:1)*sd*Math.pow(2,rd-ed)};ieee754.write=function(Ra,qa,Ja,ed,td,rd){var sd,od,ld,cd=rd*8-td-1,ud=(1<<cd)-1,_d=ud>>1,yd=td===23?Math.pow(2,-24)-Math.pow(2,-77):0,gd=ed?0:rd-1,Ed=ed?1:-1,Td=qa<0||qa===0&&1/qa<0?1:0;for(qa=Math.abs(qa),isNaN(qa)||qa===1/0?(od=isNaN(qa)?1:0,sd=ud):(sd=Math.floor(Math.log(qa)/Math.LN2),qa*(ld=Math.pow(2,-sd))<1&&(sd--,ld*=2),sd+_d>=1?qa+=yd/ld:qa+=yd*Math.pow(2,1-_d),qa*ld>=2&&(sd++,ld/=2),sd+_d>=ud?(od=0,sd=ud):sd+_d>=1?(od=(qa*ld-1)*Math.pow(2,td),sd=sd+_d):(od=qa*Math.pow(2,_d-1)*Math.pow(2,td),sd=0));td>=8;Ra[Ja+gd]=od&255,gd+=Ed,od/=256,td-=8);for(sd=sd<<td|od,cd+=td;cd>0;Ra[Ja+gd]=sd&255,gd+=Ed,sd/=256,cd-=8);Ra[Ja+gd-Ed]|=Td*128};/*!
|
||
* The buffer module from node.js, for the browser.
|
||
*
|
||
* @author Feross Aboukhadijeh <https://feross.org>
|
||
* @license MIT
|
||
*/(function(Ra){var qa=requireBase64Js(),Ja=ieee754,ed=typeof Symbol=="function"&&typeof Symbol.for=="function"?Symbol.for("nodejs.util.inspect.custom"):null;Ra.Buffer=od,Ra.SlowBuffer=Nd,Ra.INSPECT_MAX_BYTES=50;var td=2147483647;Ra.kMaxLength=td,od.TYPED_ARRAY_SUPPORT=rd(),!od.TYPED_ARRAY_SUPPORT&&typeof console<"u"&&typeof console.error=="function"&&console.error("This browser lacks typed array (Uint8Array) support which is required by `buffer` v5.x. Use `buffer` v4.x if you require old browser support.");function rd(){try{var qf=new Uint8Array(1),Tf={foo:function(){return 42}};return Object.setPrototypeOf(Tf,Uint8Array.prototype),Object.setPrototypeOf(qf,Tf),qf.foo()===42}catch{return!1}}Object.defineProperty(od.prototype,"parent",{enumerable:!0,get:function(){if(od.isBuffer(this))return this.buffer}}),Object.defineProperty(od.prototype,"offset",{enumerable:!0,get:function(){if(od.isBuffer(this))return this.byteOffset}});function sd(qf){if(qf>td)throw new RangeError('The value "'+qf+'" is invalid for option "size"');var Tf=new Uint8Array(qf);return Object.setPrototypeOf(Tf,od.prototype),Tf}function od(qf,Tf,Af){if(typeof qf=="number"){if(typeof Tf=="string")throw new TypeError('The "string" argument must be of type string. Received type number');return _d(qf)}return ld(qf,Tf,Af)}od.poolSize=8192;function ld(qf,Tf,Af){if(typeof qf=="string")return yd(qf,Tf);if(ArrayBuffer.isView(qf))return Ed(qf);if(qf==null)throw new TypeError("The first argument must be one of type string, Buffer, ArrayBuffer, Array, or Array-like Object. Received type "+typeof qf);if(kf(qf,ArrayBuffer)||qf&&kf(qf.buffer,ArrayBuffer)||typeof SharedArrayBuffer<"u"&&(kf(qf,SharedArrayBuffer)||qf&&kf(qf.buffer,SharedArrayBuffer)))return Td(qf,Tf,Af);if(typeof qf=="number")throw new TypeError('The "value" argument must not be of type number. Received type number');var Pf=qf.valueOf&&qf.valueOf();if(Pf!=null&&Pf!==qf)return od.from(Pf,Tf,Af);var gh=kd(qf);if(gh)return gh;if(typeof Symbol<"u"&&Symbol.toPrimitive!=null&&typeof qf[Symbol.toPrimitive]=="function")return od.from(qf[Symbol.toPrimitive]("string"),Tf,Af);throw new TypeError("The first argument must be one of type string, Buffer, ArrayBuffer, Array, or Array-like Object. Received type "+typeof qf)}od.from=function(qf,Tf,Af){return ld(qf,Tf,Af)},Object.setPrototypeOf(od.prototype,Uint8Array.prototype),Object.setPrototypeOf(od,Uint8Array);function cd(qf){if(typeof qf!="number")throw new TypeError('"size" argument must be of type number');if(qf<0)throw new RangeError('The value "'+qf+'" is invalid for option "size"')}function ud(qf,Tf,Af){return cd(qf),qf<=0?sd(qf):Tf!==void 0?typeof Af=="string"?sd(qf).fill(Tf,Af):sd(qf).fill(Tf):sd(qf)}od.alloc=function(qf,Tf,Af){return ud(qf,Tf,Af)};function _d(qf){return cd(qf),sd(qf<0?0:Rd(qf)|0)}od.allocUnsafe=function(qf){return _d(qf)},od.allocUnsafeSlow=function(qf){return _d(qf)};function yd(qf,Tf){if((typeof Tf!="string"||Tf==="")&&(Tf="utf8"),!od.isEncoding(Tf))throw new TypeError("Unknown encoding: "+Tf);var Af=Id(qf,Tf)|0,Pf=sd(Af),gh=Pf.write(qf,Tf);return gh!==Af&&(Pf=Pf.slice(0,gh)),Pf}function gd(qf){for(var Tf=qf.length<0?0:Rd(qf.length)|0,Af=sd(Tf),Pf=0;Pf<Tf;Pf+=1)Af[Pf]=qf[Pf]&255;return Af}function Ed(qf){if(kf(qf,Uint8Array)){var Tf=new Uint8Array(qf);return Td(Tf.buffer,Tf.byteOffset,Tf.byteLength)}return gd(qf)}function Td(qf,Tf,Af){if(Tf<0||qf.byteLength<Tf)throw new RangeError('"offset" is outside of buffer bounds');if(qf.byteLength<Tf+(Af||0))throw new RangeError('"length" is outside of buffer bounds');var Pf;return Tf===void 0&&Af===void 0?Pf=new Uint8Array(qf):Af===void 0?Pf=new Uint8Array(qf,Tf):Pf=new Uint8Array(qf,Tf,Af),Object.setPrototypeOf(Pf,od.prototype),Pf}function kd(qf){if(od.isBuffer(qf)){var Tf=Rd(qf.length)|0,Af=sd(Tf);return Af.length===0||qf.copy(Af,0,0,Tf),Af}if(qf.length!==void 0)return typeof qf.length!="number"||Jf(qf.length)?sd(0):gd(qf);if(qf.type==="Buffer"&&Array.isArray(qf.data))return gd(qf.data)}function Rd(qf){if(qf>=td)throw new RangeError("Attempt to allocate Buffer larger than maximum size: 0x"+td.toString(16)+" bytes");return qf|0}function Nd(qf){return+qf!=qf&&(qf=0),od.alloc(+qf)}od.isBuffer=function(Tf){return Tf!=null&&Tf._isBuffer===!0&&Tf!==od.prototype},od.compare=function(Tf,Af){if(kf(Tf,Uint8Array)&&(Tf=od.from(Tf,Tf.offset,Tf.byteLength)),kf(Af,Uint8Array)&&(Af=od.from(Af,Af.offset,Af.byteLength)),!od.isBuffer(Tf)||!od.isBuffer(Af))throw new TypeError('The "buf1", "buf2" arguments must be one of type Buffer or Uint8Array');if(Tf===Af)return 0;for(var Pf=Tf.length,gh=Af.length,Nh=0,dh=Math.min(Pf,gh);Nh<dh;++Nh)if(Tf[Nh]!==Af[Nh]){Pf=Tf[Nh],gh=Af[Nh];break}return Pf<gh?-1:gh<Pf?1:0},od.isEncoding=function(Tf){switch(String(Tf).toLowerCase()){case"hex":case"utf8":case"utf-8":case"ascii":case"latin1":case"binary":case"base64":case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return!0;default:return!1}},od.concat=function(Tf,Af){if(!Array.isArray(Tf))throw new TypeError('"list" argument must be an Array of Buffers');if(Tf.length===0)return od.alloc(0);var Pf;if(Af===void 0)for(Af=0,Pf=0;Pf<Tf.length;++Pf)Af+=Tf[Pf].length;var gh=od.allocUnsafe(Af),Nh=0;for(Pf=0;Pf<Tf.length;++Pf){var dh=Tf[Pf];if(kf(dh,Uint8Array))Nh+dh.length>gh.length?od.from(dh).copy(gh,Nh):Uint8Array.prototype.set.call(gh,dh,Nh);else if(od.isBuffer(dh))dh.copy(gh,Nh);else throw new TypeError('"list" argument must be an Array of Buffers');Nh+=dh.length}return gh};function Id(qf,Tf){if(od.isBuffer(qf))return qf.length;if(ArrayBuffer.isView(qf)||kf(qf,ArrayBuffer))return qf.byteLength;if(typeof qf!="string")throw new TypeError('The "string" argument must be one of type string, Buffer, or ArrayBuffer. Received type '+typeof qf);var Af=qf.length,Pf=arguments.length>2&&arguments[2]===!0;if(!Pf&&Af===0)return 0;for(var gh=!1;;)switch(Tf){case"ascii":case"latin1":case"binary":return Af;case"utf8":case"utf-8":return mf(qf).length;case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return Af*2;case"hex":return Af>>>1;case"base64":return hh(qf).length;default:if(gh)return Pf?-1:mf(qf).length;Tf=(""+Tf).toLowerCase(),gh=!0}}od.byteLength=Id;function Md(qf,Tf,Af){var Pf=!1;if((Tf===void 0||Tf<0)&&(Tf=0),Tf>this.length||((Af===void 0||Af>this.length)&&(Af=this.length),Af<=0)||(Af>>>=0,Tf>>>=0,Af<=Tf))return"";for(qf||(qf="utf8");;)switch(qf){case"hex":return Lf(this,Tf,Af);case"utf8":case"utf-8":return pf(this,Tf,Af);case"ascii":return _f(this,Tf,Af);case"latin1":case"binary":return xf(this,Tf,Af);case"base64":return Zd(this,Tf,Af);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return Wf(this,Tf,Af);default:if(Pf)throw new TypeError("Unknown encoding: "+qf);qf=(qf+"").toLowerCase(),Pf=!0}}od.prototype._isBuffer=!0;function Ld(qf,Tf,Af){var Pf=qf[Tf];qf[Tf]=qf[Af],qf[Af]=Pf}od.prototype.swap16=function(){var Tf=this.length;if(Tf%2!==0)throw new RangeError("Buffer size must be a multiple of 16-bits");for(var Af=0;Af<Tf;Af+=2)Ld(this,Af,Af+1);return this},od.prototype.swap32=function(){var Tf=this.length;if(Tf%4!==0)throw new RangeError("Buffer size must be a multiple of 32-bits");for(var Af=0;Af<Tf;Af+=4)Ld(this,Af,Af+3),Ld(this,Af+1,Af+2);return this},od.prototype.swap64=function(){var Tf=this.length;if(Tf%8!==0)throw new RangeError("Buffer size must be a multiple of 64-bits");for(var Af=0;Af<Tf;Af+=8)Ld(this,Af,Af+7),Ld(this,Af+1,Af+6),Ld(this,Af+2,Af+5),Ld(this,Af+3,Af+4);return this},od.prototype.toString=function(){var Tf=this.length;return Tf===0?"":arguments.length===0?pf(this,0,Tf):Md.apply(this,arguments)},od.prototype.toLocaleString=od.prototype.toString,od.prototype.equals=function(Tf){if(!od.isBuffer(Tf))throw new TypeError("Argument must be a Buffer");return this===Tf?!0:od.compare(this,Tf)===0},od.prototype.inspect=function(){var Tf="",Af=Ra.INSPECT_MAX_BYTES;return Tf=this.toString("hex",0,Af).replace(/(.{2})/g,"$1 ").trim(),this.length>Af&&(Tf+=" ... "),"<Buffer "+Tf+">"},ed&&(od.prototype[ed]=od.prototype.inspect),od.prototype.compare=function(Tf,Af,Pf,gh,Nh){if(kf(Tf,Uint8Array)&&(Tf=od.from(Tf,Tf.offset,Tf.byteLength)),!od.isBuffer(Tf))throw new TypeError('The "target" argument must be one of type Buffer or Uint8Array. Received type '+typeof Tf);if(Af===void 0&&(Af=0),Pf===void 0&&(Pf=Tf?Tf.length:0),gh===void 0&&(gh=0),Nh===void 0&&(Nh=this.length),Af<0||Pf>Tf.length||gh<0||Nh>this.length)throw new RangeError("out of range index");if(gh>=Nh&&Af>=Pf)return 0;if(gh>=Nh)return-1;if(Af>=Pf)return 1;if(Af>>>=0,Pf>>>=0,gh>>>=0,Nh>>>=0,this===Tf)return 0;for(var dh=Nh-gh,$h=Pf-Af,Rh=Math.min(dh,$h),jh=this.slice(gh,Nh),Zh=Tf.slice(Af,Pf),Wh=0;Wh<Rh;++Wh)if(jh[Wh]!==Zh[Wh]){dh=jh[Wh],$h=Zh[Wh];break}return dh<$h?-1:$h<dh?1:0};function Pd(qf,Tf,Af,Pf,gh){if(qf.length===0)return-1;if(typeof Af=="string"?(Pf=Af,Af=0):Af>2147483647?Af=2147483647:Af<-2147483648&&(Af=-2147483648),Af=+Af,Jf(Af)&&(Af=gh?0:qf.length-1),Af<0&&(Af=qf.length+Af),Af>=qf.length){if(gh)return-1;Af=qf.length-1}else if(Af<0)if(gh)Af=0;else return-1;if(typeof Tf=="string"&&(Tf=od.from(Tf,Pf)),od.isBuffer(Tf))return Tf.length===0?-1:qd(qf,Tf,Af,Pf,gh);if(typeof Tf=="number")return Tf=Tf&255,typeof Uint8Array.prototype.indexOf=="function"?gh?Uint8Array.prototype.indexOf.call(qf,Tf,Af):Uint8Array.prototype.lastIndexOf.call(qf,Tf,Af):qd(qf,[Tf],Af,Pf,gh);throw new TypeError("val must be string, number or Buffer")}function qd(qf,Tf,Af,Pf,gh){var Nh=1,dh=qf.length,$h=Tf.length;if(Pf!==void 0&&(Pf=String(Pf).toLowerCase(),Pf==="ucs2"||Pf==="ucs-2"||Pf==="utf16le"||Pf==="utf-16le")){if(qf.length<2||Tf.length<2)return-1;Nh=2,dh/=2,$h/=2,Af/=2}function Rh(fm,ih){return Nh===1?fm[ih]:fm.readUInt16BE(ih*Nh)}var jh;if(gh){var Zh=-1;for(jh=Af;jh<dh;jh++)if(Rh(qf,jh)===Rh(Tf,Zh===-1?0:jh-Zh)){if(Zh===-1&&(Zh=jh),jh-Zh+1===$h)return Zh*Nh}else Zh!==-1&&(jh-=jh-Zh),Zh=-1}else for(Af+$h>dh&&(Af=dh-$h),jh=Af;jh>=0;jh--){for(var Wh=!0,sm=0;sm<$h;sm++)if(Rh(qf,jh+sm)!==Rh(Tf,sm)){Wh=!1;break}if(Wh)return jh}return-1}od.prototype.includes=function(Tf,Af,Pf){return this.indexOf(Tf,Af,Pf)!==-1},od.prototype.indexOf=function(Tf,Af,Pf){return Pd(this,Tf,Af,Pf,!0)},od.prototype.lastIndexOf=function(Tf,Af,Pf){return Pd(this,Tf,Af,Pf,!1)};function Yd(qf,Tf,Af,Pf){Af=Number(Af)||0;var gh=qf.length-Af;Pf?(Pf=Number(Pf),Pf>gh&&(Pf=gh)):Pf=gh;var Nh=Tf.length;Pf>Nh/2&&(Pf=Nh/2);for(var dh=0;dh<Pf;++dh){var $h=parseInt(Tf.substr(dh*2,2),16);if(Jf($h))return dh;qf[Af+dh]=$h}return dh}function Ud(qf,Tf,Af,Pf){return Vf(mf(Tf,qf.length-Af),qf,Af,Pf)}function Hd(qf,Tf,Af,Pf){return Vf($f(Tf),qf,Af,Pf)}function Vd(qf,Tf,Af,Pf){return Vf(hh(Tf),qf,Af,Pf)}function Jd(qf,Tf,Af,Pf){return Vf(zf(Tf,qf.length-Af),qf,Af,Pf)}od.prototype.write=function(Tf,Af,Pf,gh){if(Af===void 0)gh="utf8",Pf=this.length,Af=0;else if(Pf===void 0&&typeof Af=="string")gh=Af,Pf=this.length,Af=0;else if(isFinite(Af))Af=Af>>>0,isFinite(Pf)?(Pf=Pf>>>0,gh===void 0&&(gh="utf8")):(gh=Pf,Pf=void 0);else throw new Error("Buffer.write(string, encoding, offset[, length]) is no longer supported");var Nh=this.length-Af;if((Pf===void 0||Pf>Nh)&&(Pf=Nh),Tf.length>0&&(Pf<0||Af<0)||Af>this.length)throw new RangeError("Attempt to write outside buffer bounds");gh||(gh="utf8");for(var dh=!1;;)switch(gh){case"hex":return Yd(this,Tf,Af,Pf);case"utf8":case"utf-8":return Ud(this,Tf,Af,Pf);case"ascii":case"latin1":case"binary":return Hd(this,Tf,Af,Pf);case"base64":return Vd(this,Tf,Af,Pf);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return Jd(this,Tf,Af,Pf);default:if(dh)throw new TypeError("Unknown encoding: "+gh);gh=(""+gh).toLowerCase(),dh=!0}},od.prototype.toJSON=function(){return{type:"Buffer",data:Array.prototype.slice.call(this._arr||this,0)}};function Zd(qf,Tf,Af){return Tf===0&&Af===qf.length?qa.fromByteArray(qf):qa.fromByteArray(qf.slice(Tf,Af))}function pf(qf,Tf,Af){Af=Math.min(qf.length,Af);for(var Pf=[],gh=Tf;gh<Af;){var Nh=qf[gh],dh=null,$h=Nh>239?4:Nh>223?3:Nh>191?2:1;if(gh+$h<=Af){var Rh,jh,Zh,Wh;switch($h){case 1:Nh<128&&(dh=Nh);break;case 2:Rh=qf[gh+1],(Rh&192)===128&&(Wh=(Nh&31)<<6|Rh&63,Wh>127&&(dh=Wh));break;case 3:Rh=qf[gh+1],jh=qf[gh+2],(Rh&192)===128&&(jh&192)===128&&(Wh=(Nh&15)<<12|(Rh&63)<<6|jh&63,Wh>2047&&(Wh<55296||Wh>57343)&&(dh=Wh));break;case 4:Rh=qf[gh+1],jh=qf[gh+2],Zh=qf[gh+3],(Rh&192)===128&&(jh&192)===128&&(Zh&192)===128&&(Wh=(Nh&15)<<18|(Rh&63)<<12|(jh&63)<<6|Zh&63,Wh>65535&&Wh<1114112&&(dh=Wh))}}dh===null?(dh=65533,$h=1):dh>65535&&(dh-=65536,Pf.push(dh>>>10&1023|55296),dh=56320|dh&1023),Pf.push(dh),gh+=$h}return hf(Pf)}var Xd=4096;function hf(qf){var Tf=qf.length;if(Tf<=Xd)return String.fromCharCode.apply(String,qf);for(var Af="",Pf=0;Pf<Tf;)Af+=String.fromCharCode.apply(String,qf.slice(Pf,Pf+=Xd));return Af}function _f(qf,Tf,Af){var Pf="";Af=Math.min(qf.length,Af);for(var gh=Tf;gh<Af;++gh)Pf+=String.fromCharCode(qf[gh]&127);return Pf}function xf(qf,Tf,Af){var Pf="";Af=Math.min(qf.length,Af);for(var gh=Tf;gh<Af;++gh)Pf+=String.fromCharCode(qf[gh]);return Pf}function Lf(qf,Tf,Af){var Pf=qf.length;(!Tf||Tf<0)&&(Tf=0),(!Af||Af<0||Af>Pf)&&(Af=Pf);for(var gh="",Nh=Tf;Nh<Af;++Nh)gh+=Ch[qf[Nh]];return gh}function Wf(qf,Tf,Af){for(var Pf=qf.slice(Tf,Af),gh="",Nh=0;Nh<Pf.length-1;Nh+=2)gh+=String.fromCharCode(Pf[Nh]+Pf[Nh+1]*256);return gh}od.prototype.slice=function(Tf,Af){var Pf=this.length;Tf=~~Tf,Af=Af===void 0?Pf:~~Af,Tf<0?(Tf+=Pf,Tf<0&&(Tf=0)):Tf>Pf&&(Tf=Pf),Af<0?(Af+=Pf,Af<0&&(Af=0)):Af>Pf&&(Af=Pf),Af<Tf&&(Af=Tf);var gh=this.subarray(Tf,Af);return Object.setPrototypeOf(gh,od.prototype),gh};function Yf(qf,Tf,Af){if(qf%1!==0||qf<0)throw new RangeError("offset is not uint");if(qf+Tf>Af)throw new RangeError("Trying to access beyond buffer length")}od.prototype.readUintLE=od.prototype.readUIntLE=function(Tf,Af,Pf){Tf=Tf>>>0,Af=Af>>>0,Pf||Yf(Tf,Af,this.length);for(var gh=this[Tf],Nh=1,dh=0;++dh<Af&&(Nh*=256);)gh+=this[Tf+dh]*Nh;return gh},od.prototype.readUintBE=od.prototype.readUIntBE=function(Tf,Af,Pf){Tf=Tf>>>0,Af=Af>>>0,Pf||Yf(Tf,Af,this.length);for(var gh=this[Tf+--Af],Nh=1;Af>0&&(Nh*=256);)gh+=this[Tf+--Af]*Nh;return gh},od.prototype.readUint8=od.prototype.readUInt8=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,1,this.length),this[Tf]},od.prototype.readUint16LE=od.prototype.readUInt16LE=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,2,this.length),this[Tf]|this[Tf+1]<<8},od.prototype.readUint16BE=od.prototype.readUInt16BE=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,2,this.length),this[Tf]<<8|this[Tf+1]},od.prototype.readUint32LE=od.prototype.readUInt32LE=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,4,this.length),(this[Tf]|this[Tf+1]<<8|this[Tf+2]<<16)+this[Tf+3]*16777216},od.prototype.readUint32BE=od.prototype.readUInt32BE=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,4,this.length),this[Tf]*16777216+(this[Tf+1]<<16|this[Tf+2]<<8|this[Tf+3])},od.prototype.readIntLE=function(Tf,Af,Pf){Tf=Tf>>>0,Af=Af>>>0,Pf||Yf(Tf,Af,this.length);for(var gh=this[Tf],Nh=1,dh=0;++dh<Af&&(Nh*=256);)gh+=this[Tf+dh]*Nh;return Nh*=128,gh>=Nh&&(gh-=Math.pow(2,8*Af)),gh},od.prototype.readIntBE=function(Tf,Af,Pf){Tf=Tf>>>0,Af=Af>>>0,Pf||Yf(Tf,Af,this.length);for(var gh=Af,Nh=1,dh=this[Tf+--gh];gh>0&&(Nh*=256);)dh+=this[Tf+--gh]*Nh;return Nh*=128,dh>=Nh&&(dh-=Math.pow(2,8*Af)),dh},od.prototype.readInt8=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,1,this.length),this[Tf]&128?(255-this[Tf]+1)*-1:this[Tf]},od.prototype.readInt16LE=function(Tf,Af){Tf=Tf>>>0,Af||Yf(Tf,2,this.length);var Pf=this[Tf]|this[Tf+1]<<8;return Pf&32768?Pf|4294901760:Pf},od.prototype.readInt16BE=function(Tf,Af){Tf=Tf>>>0,Af||Yf(Tf,2,this.length);var Pf=this[Tf+1]|this[Tf]<<8;return Pf&32768?Pf|4294901760:Pf},od.prototype.readInt32LE=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,4,this.length),this[Tf]|this[Tf+1]<<8|this[Tf+2]<<16|this[Tf+3]<<24},od.prototype.readInt32BE=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,4,this.length),this[Tf]<<24|this[Tf+1]<<16|this[Tf+2]<<8|this[Tf+3]},od.prototype.readFloatLE=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,4,this.length),Ja.read(this,Tf,!0,23,4)},od.prototype.readFloatBE=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,4,this.length),Ja.read(this,Tf,!1,23,4)},od.prototype.readDoubleLE=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,8,this.length),Ja.read(this,Tf,!0,52,8)},od.prototype.readDoubleBE=function(Tf,Af){return Tf=Tf>>>0,Af||Yf(Tf,8,this.length),Ja.read(this,Tf,!1,52,8)};function If(qf,Tf,Af,Pf,gh,Nh){if(!od.isBuffer(qf))throw new TypeError('"buffer" argument must be a Buffer instance');if(Tf>gh||Tf<Nh)throw new RangeError('"value" argument is out of bounds');if(Af+Pf>qf.length)throw new RangeError("Index out of range")}od.prototype.writeUintLE=od.prototype.writeUIntLE=function(Tf,Af,Pf,gh){if(Tf=+Tf,Af=Af>>>0,Pf=Pf>>>0,!gh){var Nh=Math.pow(2,8*Pf)-1;If(this,Tf,Af,Pf,Nh,0)}var dh=1,$h=0;for(this[Af]=Tf&255;++$h<Pf&&(dh*=256);)this[Af+$h]=Tf/dh&255;return Af+Pf},od.prototype.writeUintBE=od.prototype.writeUIntBE=function(Tf,Af,Pf,gh){if(Tf=+Tf,Af=Af>>>0,Pf=Pf>>>0,!gh){var Nh=Math.pow(2,8*Pf)-1;If(this,Tf,Af,Pf,Nh,0)}var dh=Pf-1,$h=1;for(this[Af+dh]=Tf&255;--dh>=0&&($h*=256);)this[Af+dh]=Tf/$h&255;return Af+Pf},od.prototype.writeUint8=od.prototype.writeUInt8=function(Tf,Af,Pf){return Tf=+Tf,Af=Af>>>0,Pf||If(this,Tf,Af,1,255,0),this[Af]=Tf&255,Af+1},od.prototype.writeUint16LE=od.prototype.writeUInt16LE=function(Tf,Af,Pf){return Tf=+Tf,Af=Af>>>0,Pf||If(this,Tf,Af,2,65535,0),this[Af]=Tf&255,this[Af+1]=Tf>>>8,Af+2},od.prototype.writeUint16BE=od.prototype.writeUInt16BE=function(Tf,Af,Pf){return Tf=+Tf,Af=Af>>>0,Pf||If(this,Tf,Af,2,65535,0),this[Af]=Tf>>>8,this[Af+1]=Tf&255,Af+2},od.prototype.writeUint32LE=od.prototype.writeUInt32LE=function(Tf,Af,Pf){return Tf=+Tf,Af=Af>>>0,Pf||If(this,Tf,Af,4,4294967295,0),this[Af+3]=Tf>>>24,this[Af+2]=Tf>>>16,this[Af+1]=Tf>>>8,this[Af]=Tf&255,Af+4},od.prototype.writeUint32BE=od.prototype.writeUInt32BE=function(Tf,Af,Pf){return Tf=+Tf,Af=Af>>>0,Pf||If(this,Tf,Af,4,4294967295,0),this[Af]=Tf>>>24,this[Af+1]=Tf>>>16,this[Af+2]=Tf>>>8,this[Af+3]=Tf&255,Af+4},od.prototype.writeIntLE=function(Tf,Af,Pf,gh){if(Tf=+Tf,Af=Af>>>0,!gh){var Nh=Math.pow(2,8*Pf-1);If(this,Tf,Af,Pf,Nh-1,-Nh)}var dh=0,$h=1,Rh=0;for(this[Af]=Tf&255;++dh<Pf&&($h*=256);)Tf<0&&Rh===0&&this[Af+dh-1]!==0&&(Rh=1),this[Af+dh]=(Tf/$h>>0)-Rh&255;return Af+Pf},od.prototype.writeIntBE=function(Tf,Af,Pf,gh){if(Tf=+Tf,Af=Af>>>0,!gh){var Nh=Math.pow(2,8*Pf-1);If(this,Tf,Af,Pf,Nh-1,-Nh)}var dh=Pf-1,$h=1,Rh=0;for(this[Af+dh]=Tf&255;--dh>=0&&($h*=256);)Tf<0&&Rh===0&&this[Af+dh+1]!==0&&(Rh=1),this[Af+dh]=(Tf/$h>>0)-Rh&255;return Af+Pf},od.prototype.writeInt8=function(Tf,Af,Pf){return Tf=+Tf,Af=Af>>>0,Pf||If(this,Tf,Af,1,127,-128),Tf<0&&(Tf=255+Tf+1),this[Af]=Tf&255,Af+1},od.prototype.writeInt16LE=function(Tf,Af,Pf){return Tf=+Tf,Af=Af>>>0,Pf||If(this,Tf,Af,2,32767,-32768),this[Af]=Tf&255,this[Af+1]=Tf>>>8,Af+2},od.prototype.writeInt16BE=function(Tf,Af,Pf){return Tf=+Tf,Af=Af>>>0,Pf||If(this,Tf,Af,2,32767,-32768),this[Af]=Tf>>>8,this[Af+1]=Tf&255,Af+2},od.prototype.writeInt32LE=function(Tf,Af,Pf){return Tf=+Tf,Af=Af>>>0,Pf||If(this,Tf,Af,4,2147483647,-2147483648),this[Af]=Tf&255,this[Af+1]=Tf>>>8,this[Af+2]=Tf>>>16,this[Af+3]=Tf>>>24,Af+4},od.prototype.writeInt32BE=function(Tf,Af,Pf){return Tf=+Tf,Af=Af>>>0,Pf||If(this,Tf,Af,4,2147483647,-2147483648),Tf<0&&(Tf=4294967295+Tf+1),this[Af]=Tf>>>24,this[Af+1]=Tf>>>16,this[Af+2]=Tf>>>8,this[Af+3]=Tf&255,Af+4};function Sf(qf,Tf,Af,Pf,gh,Nh){if(Af+Pf>qf.length)throw new RangeError("Index out of range");if(Af<0)throw new RangeError("Index out of range")}function wf(qf,Tf,Af,Pf,gh){return Tf=+Tf,Af=Af>>>0,gh||Sf(qf,Tf,Af,4),Ja.write(qf,Tf,Af,Pf,23,4),Af+4}od.prototype.writeFloatLE=function(Tf,Af,Pf){return wf(this,Tf,Af,!0,Pf)},od.prototype.writeFloatBE=function(Tf,Af,Pf){return wf(this,Tf,Af,!1,Pf)};function Kf(qf,Tf,Af,Pf,gh){return Tf=+Tf,Af=Af>>>0,gh||Sf(qf,Tf,Af,8),Ja.write(qf,Tf,Af,Pf,52,8),Af+8}od.prototype.writeDoubleLE=function(Tf,Af,Pf){return Kf(this,Tf,Af,!0,Pf)},od.prototype.writeDoubleBE=function(Tf,Af,Pf){return Kf(this,Tf,Af,!1,Pf)},od.prototype.copy=function(Tf,Af,Pf,gh){if(!od.isBuffer(Tf))throw new TypeError("argument should be a Buffer");if(Pf||(Pf=0),!gh&&gh!==0&&(gh=this.length),Af>=Tf.length&&(Af=Tf.length),Af||(Af=0),gh>0&&gh<Pf&&(gh=Pf),gh===Pf||Tf.length===0||this.length===0)return 0;if(Af<0)throw new RangeError("targetStart out of bounds");if(Pf<0||Pf>=this.length)throw new RangeError("Index out of range");if(gh<0)throw new RangeError("sourceEnd out of bounds");gh>this.length&&(gh=this.length),Tf.length-Af<gh-Pf&&(gh=Tf.length-Af+Pf);var Nh=gh-Pf;return this===Tf&&typeof Uint8Array.prototype.copyWithin=="function"?this.copyWithin(Af,Pf,gh):Uint8Array.prototype.set.call(Tf,this.subarray(Pf,gh),Af),Nh},od.prototype.fill=function(Tf,Af,Pf,gh){if(typeof Tf=="string"){if(typeof Af=="string"?(gh=Af,Af=0,Pf=this.length):typeof Pf=="string"&&(gh=Pf,Pf=this.length),gh!==void 0&&typeof gh!="string")throw new TypeError("encoding must be a string");if(typeof gh=="string"&&!od.isEncoding(gh))throw new TypeError("Unknown encoding: "+gh);if(Tf.length===1){var Nh=Tf.charCodeAt(0);(gh==="utf8"&&Nh<128||gh==="latin1")&&(Tf=Nh)}}else typeof Tf=="number"?Tf=Tf&255:typeof Tf=="boolean"&&(Tf=Number(Tf));if(Af<0||this.length<Af||this.length<Pf)throw new RangeError("Out of range index");if(Pf<=Af)return this;Af=Af>>>0,Pf=Pf===void 0?this.length:Pf>>>0,Tf||(Tf=0);var dh;if(typeof Tf=="number")for(dh=Af;dh<Pf;++dh)this[dh]=Tf;else{var $h=od.isBuffer(Tf)?Tf:od.from(Tf,gh),Rh=$h.length;if(Rh===0)throw new TypeError('The value "'+Tf+'" is invalid for argument "value"');for(dh=0;dh<Pf-Af;++dh)this[dh+Af]=$h[dh%Rh]}return this};var Gf=/[^+/0-9A-Za-z-_]/g;function gf(qf){if(qf=qf.split("=")[0],qf=qf.trim().replace(Gf,""),qf.length<2)return"";for(;qf.length%4!==0;)qf=qf+"=";return qf}function mf(qf,Tf){Tf=Tf||1/0;for(var Af,Pf=qf.length,gh=null,Nh=[],dh=0;dh<Pf;++dh){if(Af=qf.charCodeAt(dh),Af>55295&&Af<57344){if(!gh){if(Af>56319){(Tf-=3)>-1&&Nh.push(239,191,189);continue}else if(dh+1===Pf){(Tf-=3)>-1&&Nh.push(239,191,189);continue}gh=Af;continue}if(Af<56320){(Tf-=3)>-1&&Nh.push(239,191,189),gh=Af;continue}Af=(gh-55296<<10|Af-56320)+65536}else gh&&(Tf-=3)>-1&&Nh.push(239,191,189);if(gh=null,Af<128){if((Tf-=1)<0)break;Nh.push(Af)}else if(Af<2048){if((Tf-=2)<0)break;Nh.push(Af>>6|192,Af&63|128)}else if(Af<65536){if((Tf-=3)<0)break;Nh.push(Af>>12|224,Af>>6&63|128,Af&63|128)}else if(Af<1114112){if((Tf-=4)<0)break;Nh.push(Af>>18|240,Af>>12&63|128,Af>>6&63|128,Af&63|128)}else throw new Error("Invalid code point")}return Nh}function $f(qf){for(var Tf=[],Af=0;Af<qf.length;++Af)Tf.push(qf.charCodeAt(Af)&255);return Tf}function zf(qf,Tf){for(var Af,Pf,gh,Nh=[],dh=0;dh<qf.length&&!((Tf-=2)<0);++dh)Af=qf.charCodeAt(dh),Pf=Af>>8,gh=Af%256,Nh.push(gh),Nh.push(Pf);return Nh}function hh(qf){return qa.toByteArray(gf(qf))}function Vf(qf,Tf,Af,Pf){for(var gh=0;gh<Pf&&!(gh+Af>=Tf.length||gh>=qf.length);++gh)Tf[gh+Af]=qf[gh];return gh}function kf(qf,Tf){return qf instanceof Tf||qf!=null&&qf.constructor!=null&&qf.constructor.name!=null&&qf.constructor.name===Tf.name}function Jf(qf){return qf!==qf}var Ch=function(){for(var qf="0123456789abcdef",Tf=new Array(256),Af=0;Af<16;++Af)for(var Pf=Af*16,gh=0;gh<16;++gh)Tf[Pf+gh]=qf[Af]+qf[gh];return Tf}()})(buffer);var util$5={},types={},shams$1=function(){if(typeof Symbol!="function"||typeof Object.getOwnPropertySymbols!="function")return!1;if(typeof Symbol.iterator=="symbol")return!0;var qa={},Ja=Symbol("test"),ed=Object(Ja);if(typeof Ja=="string"||Object.prototype.toString.call(Ja)!=="[object Symbol]"||Object.prototype.toString.call(ed)!=="[object Symbol]")return!1;var td=42;qa[Ja]=td;for(Ja in qa)return!1;if(typeof Object.keys=="function"&&Object.keys(qa).length!==0||typeof Object.getOwnPropertyNames=="function"&&Object.getOwnPropertyNames(qa).length!==0)return!1;var rd=Object.getOwnPropertySymbols(qa);if(rd.length!==1||rd[0]!==Ja||!Object.prototype.propertyIsEnumerable.call(qa,Ja))return!1;if(typeof Object.getOwnPropertyDescriptor=="function"){var sd=Object.getOwnPropertyDescriptor(qa,Ja);if(sd.value!==td||sd.enumerable!==!0)return!1}return!0},hasSymbols$2=shams$1,shams=function(){return hasSymbols$2()&&!!Symbol.toStringTag},esErrors=Error,_eval=EvalError,range$1=RangeError,ref=ReferenceError,syntax=SyntaxError,type=TypeError,uri=URIError,origSymbol=typeof Symbol<"u"&&Symbol,hasSymbolSham=shams$1,hasSymbols$1=function(){return typeof origSymbol!="function"||typeof Symbol!="function"||typeof origSymbol("foo")!="symbol"||typeof Symbol("bar")!="symbol"?!1:hasSymbolSham()},test$1={__proto__:null,foo:{}},$Object=Object,hasProto$1=function(){return{__proto__:test$1}.foo===test$1.foo&&!(test$1 instanceof $Object)},ERROR_MESSAGE="Function.prototype.bind called on incompatible ",toStr$3=Object.prototype.toString,max=Math.max,funcType="[object Function]",concatty=function(qa,Ja){for(var ed=[],td=0;td<qa.length;td+=1)ed[td]=qa[td];for(var rd=0;rd<Ja.length;rd+=1)ed[rd+qa.length]=Ja[rd];return ed},slicy=function(qa,Ja){for(var ed=[],td=Ja,rd=0;td<qa.length;td+=1,rd+=1)ed[rd]=qa[td];return ed},joiny=function(Ra,qa){for(var Ja="",ed=0;ed<Ra.length;ed+=1)Ja+=Ra[ed],ed+1<Ra.length&&(Ja+=qa);return Ja},implementation$1=function(qa){var Ja=this;if(typeof Ja!="function"||toStr$3.apply(Ja)!==funcType)throw new TypeError(ERROR_MESSAGE+Ja);for(var ed=slicy(arguments,1),td,rd=function(){if(this instanceof td){var ud=Ja.apply(this,concatty(ed,arguments));return Object(ud)===ud?ud:this}return Ja.apply(qa,concatty(ed,arguments))},sd=max(0,Ja.length-ed.length),od=[],ld=0;ld<sd;ld++)od[ld]="$"+ld;if(td=Function("binder","return function ("+joiny(od,",")+"){ return binder.apply(this,arguments); }")(rd),Ja.prototype){var cd=function(){};cd.prototype=Ja.prototype,td.prototype=new cd,cd.prototype=null}return td},implementation=implementation$1,functionBind=Function.prototype.bind||implementation,call$1=Function.prototype.call,$hasOwn=Object.prototype.hasOwnProperty,bind$2=functionBind,hasown=bind$2.call(call$1,$hasOwn),undefined$1,$Error=esErrors,$EvalError=_eval,$RangeError=range$1,$ReferenceError=ref,$SyntaxError$1=syntax,$TypeError$2=type,$URIError=uri,$Function=Function,getEvalledConstructor=function(Ra){try{return $Function('"use strict"; return ('+Ra+").constructor;")()}catch{}},$gOPD$1=Object.getOwnPropertyDescriptor;if($gOPD$1)try{$gOPD$1({},"")}catch{$gOPD$1=null}var throwTypeError=function(){throw new $TypeError$2},ThrowTypeError=$gOPD$1?function(){try{return arguments.callee,throwTypeError}catch{try{return $gOPD$1(arguments,"callee").get}catch{return throwTypeError}}}():throwTypeError,hasSymbols=hasSymbols$1(),hasProto=hasProto$1(),getProto$1=Object.getPrototypeOf||(hasProto?function(Ra){return Ra.__proto__}:null),needsEval={},TypedArray=typeof Uint8Array>"u"||!getProto$1?undefined$1:getProto$1(Uint8Array),INTRINSICS={__proto__:null,"%AggregateError%":typeof AggregateError>"u"?undefined$1:AggregateError,"%Array%":Array,"%ArrayBuffer%":typeof ArrayBuffer>"u"?undefined$1:ArrayBuffer,"%ArrayIteratorPrototype%":hasSymbols&&getProto$1?getProto$1([][Symbol.iterator]()):undefined$1,"%AsyncFromSyncIteratorPrototype%":undefined$1,"%AsyncFunction%":needsEval,"%AsyncGenerator%":needsEval,"%AsyncGeneratorFunction%":needsEval,"%AsyncIteratorPrototype%":needsEval,"%Atomics%":typeof Atomics>"u"?undefined$1:Atomics,"%BigInt%":typeof BigInt>"u"?undefined$1:BigInt,"%BigInt64Array%":typeof BigInt64Array>"u"?undefined$1:BigInt64Array,"%BigUint64Array%":typeof BigUint64Array>"u"?undefined$1:BigUint64Array,"%Boolean%":Boolean,"%DataView%":typeof DataView>"u"?undefined$1:DataView,"%Date%":Date,"%decodeURI%":decodeURI,"%decodeURIComponent%":decodeURIComponent,"%encodeURI%":encodeURI,"%encodeURIComponent%":encodeURIComponent,"%Error%":$Error,"%eval%":eval,"%EvalError%":$EvalError,"%Float32Array%":typeof Float32Array>"u"?undefined$1:Float32Array,"%Float64Array%":typeof Float64Array>"u"?undefined$1:Float64Array,"%FinalizationRegistry%":typeof FinalizationRegistry>"u"?undefined$1:FinalizationRegistry,"%Function%":$Function,"%GeneratorFunction%":needsEval,"%Int8Array%":typeof Int8Array>"u"?undefined$1:Int8Array,"%Int16Array%":typeof Int16Array>"u"?undefined$1:Int16Array,"%Int32Array%":typeof Int32Array>"u"?undefined$1:Int32Array,"%isFinite%":isFinite,"%isNaN%":isNaN,"%IteratorPrototype%":hasSymbols&&getProto$1?getProto$1(getProto$1([][Symbol.iterator]())):undefined$1,"%JSON%":typeof JSON=="object"?JSON:undefined$1,"%Map%":typeof Map>"u"?undefined$1:Map,"%MapIteratorPrototype%":typeof Map>"u"||!hasSymbols||!getProto$1?undefined$1:getProto$1(new Map()[Symbol.iterator]()),"%Math%":Math,"%Number%":Number,"%Object%":Object,"%parseFloat%":parseFloat,"%parseInt%":parseInt,"%Promise%":typeof Promise>"u"?undefined$1:Promise,"%Proxy%":typeof Proxy>"u"?undefined$1:Proxy,"%RangeError%":$RangeError,"%ReferenceError%":$ReferenceError,"%Reflect%":typeof Reflect>"u"?undefined$1:Reflect,"%RegExp%":RegExp,"%Set%":typeof Set>"u"?undefined$1:Set,"%SetIteratorPrototype%":typeof Set>"u"||!hasSymbols||!getProto$1?undefined$1:getProto$1(new Set()[Symbol.iterator]()),"%SharedArrayBuffer%":typeof SharedArrayBuffer>"u"?undefined$1:SharedArrayBuffer,"%String%":String,"%StringIteratorPrototype%":hasSymbols&&getProto$1?getProto$1(""[Symbol.iterator]()):undefined$1,"%Symbol%":hasSymbols?Symbol:undefined$1,"%SyntaxError%":$SyntaxError$1,"%ThrowTypeError%":ThrowTypeError,"%TypedArray%":TypedArray,"%TypeError%":$TypeError$2,"%Uint8Array%":typeof Uint8Array>"u"?undefined$1:Uint8Array,"%Uint8ClampedArray%":typeof Uint8ClampedArray>"u"?undefined$1:Uint8ClampedArray,"%Uint16Array%":typeof Uint16Array>"u"?undefined$1:Uint16Array,"%Uint32Array%":typeof Uint32Array>"u"?undefined$1:Uint32Array,"%URIError%":$URIError,"%WeakMap%":typeof WeakMap>"u"?undefined$1:WeakMap,"%WeakRef%":typeof WeakRef>"u"?undefined$1:WeakRef,"%WeakSet%":typeof WeakSet>"u"?undefined$1:WeakSet};if(getProto$1)try{null.error}catch(Ra){var errorProto=getProto$1(getProto$1(Ra));INTRINSICS["%Error.prototype%"]=errorProto}var doEval=function Ra(qa){var Ja;if(qa==="%AsyncFunction%")Ja=getEvalledConstructor("async function () {}");else if(qa==="%GeneratorFunction%")Ja=getEvalledConstructor("function* () {}");else if(qa==="%AsyncGeneratorFunction%")Ja=getEvalledConstructor("async function* () {}");else if(qa==="%AsyncGenerator%"){var ed=Ra("%AsyncGeneratorFunction%");ed&&(Ja=ed.prototype)}else if(qa==="%AsyncIteratorPrototype%"){var td=Ra("%AsyncGenerator%");td&&getProto$1&&(Ja=getProto$1(td.prototype))}return INTRINSICS[qa]=Ja,Ja},LEGACY_ALIASES={__proto__:null,"%ArrayBufferPrototype%":["ArrayBuffer","prototype"],"%ArrayPrototype%":["Array","prototype"],"%ArrayProto_entries%":["Array","prototype","entries"],"%ArrayProto_forEach%":["Array","prototype","forEach"],"%ArrayProto_keys%":["Array","prototype","keys"],"%ArrayProto_values%":["Array","prototype","values"],"%AsyncFunctionPrototype%":["AsyncFunction","prototype"],"%AsyncGenerator%":["AsyncGeneratorFunction","prototype"],"%AsyncGeneratorPrototype%":["AsyncGeneratorFunction","prototype","prototype"],"%BooleanPrototype%":["Boolean","prototype"],"%DataViewPrototype%":["DataView","prototype"],"%DatePrototype%":["Date","prototype"],"%ErrorPrototype%":["Error","prototype"],"%EvalErrorPrototype%":["EvalError","prototype"],"%Float32ArrayPrototype%":["Float32Array","prototype"],"%Float64ArrayPrototype%":["Float64Array","prototype"],"%FunctionPrototype%":["Function","prototype"],"%Generator%":["GeneratorFunction","prototype"],"%GeneratorPrototype%":["GeneratorFunction","prototype","prototype"],"%Int8ArrayPrototype%":["Int8Array","prototype"],"%Int16ArrayPrototype%":["Int16Array","prototype"],"%Int32ArrayPrototype%":["Int32Array","prototype"],"%JSONParse%":["JSON","parse"],"%JSONStringify%":["JSON","stringify"],"%MapPrototype%":["Map","prototype"],"%NumberPrototype%":["Number","prototype"],"%ObjectPrototype%":["Object","prototype"],"%ObjProto_toString%":["Object","prototype","toString"],"%ObjProto_valueOf%":["Object","prototype","valueOf"],"%PromisePrototype%":["Promise","prototype"],"%PromiseProto_then%":["Promise","prototype","then"],"%Promise_all%":["Promise","all"],"%Promise_reject%":["Promise","reject"],"%Promise_resolve%":["Promise","resolve"],"%RangeErrorPrototype%":["RangeError","prototype"],"%ReferenceErrorPrototype%":["ReferenceError","prototype"],"%RegExpPrototype%":["RegExp","prototype"],"%SetPrototype%":["Set","prototype"],"%SharedArrayBufferPrototype%":["SharedArrayBuffer","prototype"],"%StringPrototype%":["String","prototype"],"%SymbolPrototype%":["Symbol","prototype"],"%SyntaxErrorPrototype%":["SyntaxError","prototype"],"%TypedArrayPrototype%":["TypedArray","prototype"],"%TypeErrorPrototype%":["TypeError","prototype"],"%Uint8ArrayPrototype%":["Uint8Array","prototype"],"%Uint8ClampedArrayPrototype%":["Uint8ClampedArray","prototype"],"%Uint16ArrayPrototype%":["Uint16Array","prototype"],"%Uint32ArrayPrototype%":["Uint32Array","prototype"],"%URIErrorPrototype%":["URIError","prototype"],"%WeakMapPrototype%":["WeakMap","prototype"],"%WeakSetPrototype%":["WeakSet","prototype"]},bind$1=functionBind,hasOwn=hasown,$concat=bind$1.call(Function.call,Array.prototype.concat),$spliceApply=bind$1.call(Function.apply,Array.prototype.splice),$replace=bind$1.call(Function.call,String.prototype.replace),$strSlice=bind$1.call(Function.call,String.prototype.slice),$exec=bind$1.call(Function.call,RegExp.prototype.exec),rePropName=/[^%.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\\]|\\.)*?)\2)\]|(?=(?:\.|\[\])(?:\.|\[\]|%$))/g,reEscapeChar=/\\(\\)?/g,stringToPath=function(qa){var Ja=$strSlice(qa,0,1),ed=$strSlice(qa,-1);if(Ja==="%"&&ed!=="%")throw new $SyntaxError$1("invalid intrinsic syntax, expected closing `%`");if(ed==="%"&&Ja!=="%")throw new $SyntaxError$1("invalid intrinsic syntax, expected opening `%`");var td=[];return $replace(qa,rePropName,function(rd,sd,od,ld){td[td.length]=od?$replace(ld,reEscapeChar,"$1"):sd||rd}),td},getBaseIntrinsic=function(qa,Ja){var ed=qa,td;if(hasOwn(LEGACY_ALIASES,ed)&&(td=LEGACY_ALIASES[ed],ed="%"+td[0]+"%"),hasOwn(INTRINSICS,ed)){var rd=INTRINSICS[ed];if(rd===needsEval&&(rd=doEval(ed)),typeof rd>"u"&&!Ja)throw new $TypeError$2("intrinsic "+qa+" exists, but is not available. Please file an issue!");return{alias:td,name:ed,value:rd}}throw new $SyntaxError$1("intrinsic "+qa+" does not exist!")},getIntrinsic=function(qa,Ja){if(typeof qa!="string"||qa.length===0)throw new $TypeError$2("intrinsic name must be a non-empty string");if(arguments.length>1&&typeof Ja!="boolean")throw new $TypeError$2('"allowMissing" argument must be a boolean');if($exec(/^%?[^%]*%?$/,qa)===null)throw new $SyntaxError$1("`%` may not be present anywhere but at the beginning and end of the intrinsic name");var ed=stringToPath(qa),td=ed.length>0?ed[0]:"",rd=getBaseIntrinsic("%"+td+"%",Ja),sd=rd.name,od=rd.value,ld=!1,cd=rd.alias;cd&&(td=cd[0],$spliceApply(ed,$concat([0,1],cd)));for(var ud=1,_d=!0;ud<ed.length;ud+=1){var yd=ed[ud],gd=$strSlice(yd,0,1),Ed=$strSlice(yd,-1);if((gd==='"'||gd==="'"||gd==="`"||Ed==='"'||Ed==="'"||Ed==="`")&&gd!==Ed)throw new $SyntaxError$1("property names with quotes must have matching quotes");if((yd==="constructor"||!_d)&&(ld=!0),td+="."+yd,sd="%"+td+"%",hasOwn(INTRINSICS,sd))od=INTRINSICS[sd];else if(od!=null){if(!(yd in od)){if(!Ja)throw new $TypeError$2("base intrinsic for "+qa+" exists, but the property is not available.");return}if($gOPD$1&&ud+1>=ed.length){var Td=$gOPD$1(od,yd);_d=!!Td,_d&&"get"in Td&&!("originalValue"in Td.get)?od=Td.get:od=od[yd]}else _d=hasOwn(od,yd),od=od[yd];_d&&!ld&&(INTRINSICS[sd]=od)}}return od},callBind$2={exports:{}},esDefineProperty,hasRequiredEsDefineProperty;function requireEsDefineProperty(){if(hasRequiredEsDefineProperty)return esDefineProperty;hasRequiredEsDefineProperty=1;var Ra=getIntrinsic,qa=Ra("%Object.defineProperty%",!0)||!1;if(qa)try{qa({},"a",{value:1})}catch{qa=!1}return esDefineProperty=qa,esDefineProperty}var GetIntrinsic$2=getIntrinsic,$gOPD=GetIntrinsic$2("%Object.getOwnPropertyDescriptor%",!0);if($gOPD)try{$gOPD([],"length")}catch{$gOPD=null}var gopd$1=$gOPD,$defineProperty$1=requireEsDefineProperty(),$SyntaxError=syntax,$TypeError$1=type,gopd=gopd$1,defineDataProperty=function(qa,Ja,ed){if(!qa||typeof qa!="object"&&typeof qa!="function")throw new $TypeError$1("`obj` must be an object or a function`");if(typeof Ja!="string"&&typeof Ja!="symbol")throw new $TypeError$1("`property` must be a string or a symbol`");if(arguments.length>3&&typeof arguments[3]!="boolean"&&arguments[3]!==null)throw new $TypeError$1("`nonEnumerable`, if provided, must be a boolean or null");if(arguments.length>4&&typeof arguments[4]!="boolean"&&arguments[4]!==null)throw new $TypeError$1("`nonWritable`, if provided, must be a boolean or null");if(arguments.length>5&&typeof arguments[5]!="boolean"&&arguments[5]!==null)throw new $TypeError$1("`nonConfigurable`, if provided, must be a boolean or null");if(arguments.length>6&&typeof arguments[6]!="boolean")throw new $TypeError$1("`loose`, if provided, must be a boolean");var td=arguments.length>3?arguments[3]:null,rd=arguments.length>4?arguments[4]:null,sd=arguments.length>5?arguments[5]:null,od=arguments.length>6?arguments[6]:!1,ld=!!gopd&&gopd(qa,Ja);if($defineProperty$1)$defineProperty$1(qa,Ja,{configurable:sd===null&&ld?ld.configurable:!sd,enumerable:td===null&&ld?ld.enumerable:!td,value:ed,writable:rd===null&&ld?ld.writable:!rd});else if(od||!td&&!rd&&!sd)qa[Ja]=ed;else throw new $SyntaxError("This environment does not support defining a property as non-configurable, non-writable, or non-enumerable.")},$defineProperty=requireEsDefineProperty(),hasPropertyDescriptors=function(){return!!$defineProperty};hasPropertyDescriptors.hasArrayLengthDefineBug=function(){if(!$defineProperty)return null;try{return $defineProperty([],"length",{value:1}).length!==1}catch{return!0}};var hasPropertyDescriptors_1=hasPropertyDescriptors,GetIntrinsic$1=getIntrinsic,define=defineDataProperty,hasDescriptors=hasPropertyDescriptors_1(),gOPD$1=gopd$1,$TypeError=type,$floor=GetIntrinsic$1("%Math.floor%"),setFunctionLength=function(qa,Ja){if(typeof qa!="function")throw new $TypeError("`fn` is not a function");if(typeof Ja!="number"||Ja<0||Ja>4294967295||$floor(Ja)!==Ja)throw new $TypeError("`length` must be a positive 32-bit integer");var ed=arguments.length>2&&!!arguments[2],td=!0,rd=!0;if("length"in qa&&gOPD$1){var sd=gOPD$1(qa,"length");sd&&!sd.configurable&&(td=!1),sd&&!sd.writable&&(rd=!1)}return(td||rd||!ed)&&(hasDescriptors?define(qa,"length",Ja,!0,!0):define(qa,"length",Ja)),qa};(function(Ra){var qa=functionBind,Ja=getIntrinsic,ed=setFunctionLength,td=type,rd=Ja("%Function.prototype.apply%"),sd=Ja("%Function.prototype.call%"),od=Ja("%Reflect.apply%",!0)||qa.call(sd,rd),ld=requireEsDefineProperty(),cd=Ja("%Math.max%");Ra.exports=function(yd){if(typeof yd!="function")throw new td("a function is required");var gd=od(qa,sd,arguments);return ed(gd,1+cd(0,yd.length-(arguments.length-1)),!0)};var ud=function(){return od(qa,rd,arguments)};ld?ld(Ra.exports,"apply",{value:ud}):Ra.exports.apply=ud})(callBind$2);var callBindExports=callBind$2.exports,GetIntrinsic=getIntrinsic,callBind$1=callBindExports,$indexOf$1=callBind$1(GetIntrinsic("String.prototype.indexOf")),callBound$2=function(qa,Ja){var ed=GetIntrinsic(qa,!!Ja);return typeof ed=="function"&&$indexOf$1(qa,".prototype.")>-1?callBind$1(ed):ed},hasToStringTag$3=shams(),callBound$1=callBound$2,$toString$1=callBound$1("Object.prototype.toString"),isStandardArguments=function(qa){return hasToStringTag$3&&qa&&typeof qa=="object"&&Symbol.toStringTag in qa?!1:$toString$1(qa)==="[object Arguments]"},isLegacyArguments=function(qa){return isStandardArguments(qa)?!0:qa!==null&&typeof qa=="object"&&typeof qa.length=="number"&&qa.length>=0&&$toString$1(qa)!=="[object Array]"&&$toString$1(qa.callee)==="[object Function]"},supportsStandardArguments=function(){return isStandardArguments(arguments)}();isStandardArguments.isLegacyArguments=isLegacyArguments;var isArguments=supportsStandardArguments?isStandardArguments:isLegacyArguments,toStr$2=Object.prototype.toString,fnToStr$1=Function.prototype.toString,isFnRegex=/^\s*(?:function)?\*/,hasToStringTag$2=shams(),getProto=Object.getPrototypeOf,getGeneratorFunc=function(){if(!hasToStringTag$2)return!1;try{return Function("return function*() {}")()}catch{}},GeneratorFunction,isGeneratorFunction=function(qa){if(typeof qa!="function")return!1;if(isFnRegex.test(fnToStr$1.call(qa)))return!0;if(!hasToStringTag$2){var Ja=toStr$2.call(qa);return Ja==="[object GeneratorFunction]"}if(!getProto)return!1;if(typeof GeneratorFunction>"u"){var ed=getGeneratorFunc();GeneratorFunction=ed?getProto(ed):!1}return getProto(qa)===GeneratorFunction},fnToStr=Function.prototype.toString,reflectApply=typeof Reflect=="object"&&Reflect!==null&&Reflect.apply,badArrayLike,isCallableMarker;if(typeof reflectApply=="function"&&typeof Object.defineProperty=="function")try{badArrayLike=Object.defineProperty({},"length",{get:function(){throw isCallableMarker}}),isCallableMarker={},reflectApply(function(){throw 42},null,badArrayLike)}catch(Ra){Ra!==isCallableMarker&&(reflectApply=null)}else reflectApply=null;var constructorRegex=/^\s*class\b/,isES6ClassFn=function(qa){try{var Ja=fnToStr.call(qa);return constructorRegex.test(Ja)}catch{return!1}},tryFunctionObject=function(qa){try{return isES6ClassFn(qa)?!1:(fnToStr.call(qa),!0)}catch{return!1}},toStr$1=Object.prototype.toString,objectClass="[object Object]",fnClass="[object Function]",genClass="[object GeneratorFunction]",ddaClass="[object HTMLAllCollection]",ddaClass2="[object HTML document.all class]",ddaClass3="[object HTMLCollection]",hasToStringTag$1=typeof Symbol=="function"&&!!Symbol.toStringTag,isIE68=!(0 in[,]),isDDA=function(){return!1};if(typeof document=="object"){var all=document.all;toStr$1.call(all)===toStr$1.call(document.all)&&(isDDA=function(qa){if((isIE68||!qa)&&(typeof qa>"u"||typeof qa=="object"))try{var Ja=toStr$1.call(qa);return(Ja===ddaClass||Ja===ddaClass2||Ja===ddaClass3||Ja===objectClass)&&qa("")==null}catch{}return!1})}var isCallable$1=reflectApply?function(qa){if(isDDA(qa))return!0;if(!qa||typeof qa!="function"&&typeof qa!="object")return!1;try{reflectApply(qa,null,badArrayLike)}catch(Ja){if(Ja!==isCallableMarker)return!1}return!isES6ClassFn(qa)&&tryFunctionObject(qa)}:function(qa){if(isDDA(qa))return!0;if(!qa||typeof qa!="function"&&typeof qa!="object")return!1;if(hasToStringTag$1)return tryFunctionObject(qa);if(isES6ClassFn(qa))return!1;var Ja=toStr$1.call(qa);return Ja!==fnClass&&Ja!==genClass&&!/^\[object HTML/.test(Ja)?!1:tryFunctionObject(qa)},isCallable=isCallable$1,toStr=Object.prototype.toString,hasOwnProperty$2=Object.prototype.hasOwnProperty,forEachArray=function(qa,Ja,ed){for(var td=0,rd=qa.length;td<rd;td++)hasOwnProperty$2.call(qa,td)&&(ed==null?Ja(qa[td],td,qa):Ja.call(ed,qa[td],td,qa))},forEachString=function(qa,Ja,ed){for(var td=0,rd=qa.length;td<rd;td++)ed==null?Ja(qa.charAt(td),td,qa):Ja.call(ed,qa.charAt(td),td,qa)},forEachObject=function(qa,Ja,ed){for(var td in qa)hasOwnProperty$2.call(qa,td)&&(ed==null?Ja(qa[td],td,qa):Ja.call(ed,qa[td],td,qa))},forEach$2=function(qa,Ja,ed){if(!isCallable(Ja))throw new TypeError("iterator must be a function");var td;arguments.length>=3&&(td=ed),toStr.call(qa)==="[object Array]"?forEachArray(qa,Ja,td):typeof qa=="string"?forEachString(qa,Ja,td):forEachObject(qa,Ja,td)},forEach_1=forEach$2,possibleTypedArrayNames=["Float32Array","Float64Array","Int8Array","Int16Array","Int32Array","Uint8Array","Uint8ClampedArray","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array"],possibleNames=possibleTypedArrayNames,g$1=typeof globalThis>"u"?commonjsGlobal:globalThis,availableTypedArrays$1=function(){for(var qa=[],Ja=0;Ja<possibleNames.length;Ja++)typeof g$1[possibleNames[Ja]]=="function"&&(qa[qa.length]=possibleNames[Ja]);return qa},forEach$1=forEach_1,availableTypedArrays=availableTypedArrays$1,callBind=callBindExports,callBound=callBound$2,gOPD=gopd$1,$toString=callBound("Object.prototype.toString"),hasToStringTag=shams(),g=typeof globalThis>"u"?commonjsGlobal:globalThis,typedArrays=availableTypedArrays(),$slice=callBound("String.prototype.slice"),getPrototypeOf$1=Object.getPrototypeOf,$indexOf=callBound("Array.prototype.indexOf",!0)||function(qa,Ja){for(var ed=0;ed<qa.length;ed+=1)if(qa[ed]===Ja)return ed;return-1},cache={__proto__:null};hasToStringTag&&gOPD&&getPrototypeOf$1?forEach$1(typedArrays,function(Ra){var qa=new g[Ra];if(Symbol.toStringTag in qa){var Ja=getPrototypeOf$1(qa),ed=gOPD(Ja,Symbol.toStringTag);if(!ed){var td=getPrototypeOf$1(Ja);ed=gOPD(td,Symbol.toStringTag)}cache["$"+Ra]=callBind(ed.get)}}):forEach$1(typedArrays,function(Ra){var qa=new g[Ra],Ja=qa.slice||qa.set;Ja&&(cache["$"+Ra]=callBind(Ja))});var tryTypedArrays=function(qa){var Ja=!1;return forEach$1(cache,function(ed,td){if(!Ja)try{"$"+ed(qa)===td&&(Ja=$slice(td,1))}catch{}}),Ja},trySlices=function(qa){var Ja=!1;return forEach$1(cache,function(ed,td){if(!Ja)try{ed(qa),Ja=$slice(td,1)}catch{}}),Ja},whichTypedArray$1=function(qa){if(!qa||typeof qa!="object")return!1;if(!hasToStringTag){var Ja=$slice($toString(qa),8,-1);return $indexOf(typedArrays,Ja)>-1?Ja:Ja!=="Object"?!1:trySlices(qa)}return gOPD?tryTypedArrays(qa):null},whichTypedArray=whichTypedArray$1,isTypedArray$1=function(qa){return!!whichTypedArray(qa)};(function(Ra){var qa=isArguments,Ja=isGeneratorFunction,ed=whichTypedArray$1,td=isTypedArray$1;function rd(Pf){return Pf.call.bind(Pf)}var sd=typeof BigInt<"u",od=typeof Symbol<"u",ld=rd(Object.prototype.toString),cd=rd(Number.prototype.valueOf),ud=rd(String.prototype.valueOf),_d=rd(Boolean.prototype.valueOf);if(sd)var yd=rd(BigInt.prototype.valueOf);if(od)var gd=rd(Symbol.prototype.valueOf);function Ed(Pf,gh){if(typeof Pf!="object")return!1;try{return gh(Pf),!0}catch{return!1}}Ra.isArgumentsObject=qa,Ra.isGeneratorFunction=Ja,Ra.isTypedArray=td;function Td(Pf){return typeof Promise<"u"&&Pf instanceof Promise||Pf!==null&&typeof Pf=="object"&&typeof Pf.then=="function"&&typeof Pf.catch=="function"}Ra.isPromise=Td;function kd(Pf){return typeof ArrayBuffer<"u"&&ArrayBuffer.isView?ArrayBuffer.isView(Pf):td(Pf)||Sf(Pf)}Ra.isArrayBufferView=kd;function Rd(Pf){return ed(Pf)==="Uint8Array"}Ra.isUint8Array=Rd;function Nd(Pf){return ed(Pf)==="Uint8ClampedArray"}Ra.isUint8ClampedArray=Nd;function Id(Pf){return ed(Pf)==="Uint16Array"}Ra.isUint16Array=Id;function Md(Pf){return ed(Pf)==="Uint32Array"}Ra.isUint32Array=Md;function Ld(Pf){return ed(Pf)==="Int8Array"}Ra.isInt8Array=Ld;function Pd(Pf){return ed(Pf)==="Int16Array"}Ra.isInt16Array=Pd;function qd(Pf){return ed(Pf)==="Int32Array"}Ra.isInt32Array=qd;function Yd(Pf){return ed(Pf)==="Float32Array"}Ra.isFloat32Array=Yd;function Ud(Pf){return ed(Pf)==="Float64Array"}Ra.isFloat64Array=Ud;function Hd(Pf){return ed(Pf)==="BigInt64Array"}Ra.isBigInt64Array=Hd;function Vd(Pf){return ed(Pf)==="BigUint64Array"}Ra.isBigUint64Array=Vd;function Jd(Pf){return ld(Pf)==="[object Map]"}Jd.working=typeof Map<"u"&&Jd(new Map);function Zd(Pf){return typeof Map>"u"?!1:Jd.working?Jd(Pf):Pf instanceof Map}Ra.isMap=Zd;function pf(Pf){return ld(Pf)==="[object Set]"}pf.working=typeof Set<"u"&&pf(new Set);function Xd(Pf){return typeof Set>"u"?!1:pf.working?pf(Pf):Pf instanceof Set}Ra.isSet=Xd;function hf(Pf){return ld(Pf)==="[object WeakMap]"}hf.working=typeof WeakMap<"u"&&hf(new WeakMap);function _f(Pf){return typeof WeakMap>"u"?!1:hf.working?hf(Pf):Pf instanceof WeakMap}Ra.isWeakMap=_f;function xf(Pf){return ld(Pf)==="[object WeakSet]"}xf.working=typeof WeakSet<"u"&&xf(new WeakSet);function Lf(Pf){return xf(Pf)}Ra.isWeakSet=Lf;function Wf(Pf){return ld(Pf)==="[object ArrayBuffer]"}Wf.working=typeof ArrayBuffer<"u"&&Wf(new ArrayBuffer);function Yf(Pf){return typeof ArrayBuffer>"u"?!1:Wf.working?Wf(Pf):Pf instanceof ArrayBuffer}Ra.isArrayBuffer=Yf;function If(Pf){return ld(Pf)==="[object DataView]"}If.working=typeof ArrayBuffer<"u"&&typeof DataView<"u"&&If(new DataView(new ArrayBuffer(1),0,1));function Sf(Pf){return typeof DataView>"u"?!1:If.working?If(Pf):Pf instanceof DataView}Ra.isDataView=Sf;var wf=typeof SharedArrayBuffer<"u"?SharedArrayBuffer:void 0;function Kf(Pf){return ld(Pf)==="[object SharedArrayBuffer]"}function Gf(Pf){return typeof wf>"u"?!1:(typeof Kf.working>"u"&&(Kf.working=Kf(new wf)),Kf.working?Kf(Pf):Pf instanceof wf)}Ra.isSharedArrayBuffer=Gf;function gf(Pf){return ld(Pf)==="[object AsyncFunction]"}Ra.isAsyncFunction=gf;function mf(Pf){return ld(Pf)==="[object Map Iterator]"}Ra.isMapIterator=mf;function $f(Pf){return ld(Pf)==="[object Set Iterator]"}Ra.isSetIterator=$f;function zf(Pf){return ld(Pf)==="[object Generator]"}Ra.isGeneratorObject=zf;function hh(Pf){return ld(Pf)==="[object WebAssembly.Module]"}Ra.isWebAssemblyCompiledModule=hh;function Vf(Pf){return Ed(Pf,cd)}Ra.isNumberObject=Vf;function kf(Pf){return Ed(Pf,ud)}Ra.isStringObject=kf;function Jf(Pf){return Ed(Pf,_d)}Ra.isBooleanObject=Jf;function Ch(Pf){return sd&&Ed(Pf,yd)}Ra.isBigIntObject=Ch;function qf(Pf){return od&&Ed(Pf,gd)}Ra.isSymbolObject=qf;function Tf(Pf){return Vf(Pf)||kf(Pf)||Jf(Pf)||Ch(Pf)||qf(Pf)}Ra.isBoxedPrimitive=Tf;function Af(Pf){return typeof Uint8Array<"u"&&(Yf(Pf)||Gf(Pf))}Ra.isAnyArrayBuffer=Af,["isProxy","isExternal","isModuleNamespaceObject"].forEach(function(Pf){Object.defineProperty(Ra,Pf,{enumerable:!1,value:function(){throw new Error(Pf+" is not supported in userland")}})})})(types);var isBufferBrowser=function(qa){return qa&&typeof qa=="object"&&typeof qa.copy=="function"&&typeof qa.fill=="function"&&typeof qa.readUInt8=="function"};(function(Ra){var qa={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}},Ja={},ed=Object.getOwnPropertyDescriptors||function(Kf){for(var Gf=Object.keys(Kf),gf={},mf=0;mf<Gf.length;mf++)gf[Gf[mf]]=Object.getOwnPropertyDescriptor(Kf,Gf[mf]);return gf},td=/%[sdj%]/g;Ra.format=function(wf){if(!qd(wf)){for(var Kf=[],Gf=0;Gf<arguments.length;Gf++)Kf.push(ld(arguments[Gf]));return Kf.join(" ")}for(var Gf=1,gf=arguments,mf=gf.length,$f=String(wf).replace(td,function(hh){if(hh==="%%")return"%";if(Gf>=mf)return hh;switch(hh){case"%s":return String(gf[Gf++]);case"%d":return Number(gf[Gf++]);case"%j":try{return JSON.stringify(gf[Gf++])}catch{return"[Circular]"}default:return hh}}),zf=gf[Gf];Gf<mf;zf=gf[++Gf])Md(zf)||!Vd(zf)?$f+=" "+zf:$f+=" "+ld(zf);return $f},Ra.deprecate=function(wf,Kf){if(typeof qa<"u"&&qa.noDeprecation===!0)return wf;if(typeof qa>"u")return function(){return Ra.deprecate(wf,Kf).apply(this,arguments)};var Gf=!1;function gf(){if(!Gf){if(qa.throwDeprecation)throw new Error(Kf);qa.traceDeprecation?console.trace(Kf):console.error(Kf),Gf=!0}return wf.apply(this,arguments)}return gf};var rd={},sd=/^$/;if(Ja.NODE_DEBUG){var od=Ja.NODE_DEBUG;od=od.replace(/[|\\{}()[\]^$+?.]/g,"\\$&").replace(/\*/g,".*").replace(/,/g,"$|^").toUpperCase(),sd=new RegExp("^"+od+"$","i")}Ra.debuglog=function(wf){if(wf=wf.toUpperCase(),!rd[wf])if(sd.test(wf)){var Kf=qa.pid;rd[wf]=function(){var Gf=Ra.format.apply(Ra,arguments);console.error("%s %d: %s",wf,Kf,Gf)}}else rd[wf]=function(){};return rd[wf]};function ld(wf,Kf){var Gf={seen:[],stylize:ud};return arguments.length>=3&&(Gf.depth=arguments[2]),arguments.length>=4&&(Gf.colors=arguments[3]),Id(Kf)?Gf.showHidden=Kf:Kf&&Ra._extend(Gf,Kf),Ud(Gf.showHidden)&&(Gf.showHidden=!1),Ud(Gf.depth)&&(Gf.depth=2),Ud(Gf.colors)&&(Gf.colors=!1),Ud(Gf.customInspect)&&(Gf.customInspect=!0),Gf.colors&&(Gf.stylize=cd),yd(Gf,wf,Gf.depth)}Ra.inspect=ld,ld.colors={bold:[1,22],italic:[3,23],underline:[4,24],inverse:[7,27],white:[37,39],grey:[90,39],black:[30,39],blue:[34,39],cyan:[36,39],green:[32,39],magenta:[35,39],red:[31,39],yellow:[33,39]},ld.styles={special:"cyan",number:"yellow",boolean:"yellow",undefined:"grey",null:"bold",string:"green",date:"magenta",regexp:"red"};function cd(wf,Kf){var Gf=ld.styles[Kf];return Gf?"\x1B["+ld.colors[Gf][0]+"m"+wf+"\x1B["+ld.colors[Gf][1]+"m":wf}function ud(wf,Kf){return wf}function _d(wf){var Kf={};return wf.forEach(function(Gf,gf){Kf[Gf]=!0}),Kf}function yd(wf,Kf,Gf){if(wf.customInspect&&Kf&&pf(Kf.inspect)&&Kf.inspect!==Ra.inspect&&!(Kf.constructor&&Kf.constructor.prototype===Kf)){var gf=Kf.inspect(Gf,wf);return qd(gf)||(gf=yd(wf,gf,Gf)),gf}var mf=gd(wf,Kf);if(mf)return mf;var $f=Object.keys(Kf),zf=_d($f);if(wf.showHidden&&($f=Object.getOwnPropertyNames(Kf)),Zd(Kf)&&($f.indexOf("message")>=0||$f.indexOf("description")>=0))return Ed(Kf);if($f.length===0){if(pf(Kf)){var hh=Kf.name?": "+Kf.name:"";return wf.stylize("[Function"+hh+"]","special")}if(Hd(Kf))return wf.stylize(RegExp.prototype.toString.call(Kf),"regexp");if(Jd(Kf))return wf.stylize(Date.prototype.toString.call(Kf),"date");if(Zd(Kf))return Ed(Kf)}var Vf="",kf=!1,Jf=["{","}"];if(Nd(Kf)&&(kf=!0,Jf=["[","]"]),pf(Kf)){var Ch=Kf.name?": "+Kf.name:"";Vf=" [Function"+Ch+"]"}if(Hd(Kf)&&(Vf=" "+RegExp.prototype.toString.call(Kf)),Jd(Kf)&&(Vf=" "+Date.prototype.toUTCString.call(Kf)),Zd(Kf)&&(Vf=" "+Ed(Kf)),$f.length===0&&(!kf||Kf.length==0))return Jf[0]+Vf+Jf[1];if(Gf<0)return Hd(Kf)?wf.stylize(RegExp.prototype.toString.call(Kf),"regexp"):wf.stylize("[Object]","special");wf.seen.push(Kf);var qf;return kf?qf=Td(wf,Kf,Gf,zf,$f):qf=$f.map(function(Tf){return kd(wf,Kf,Gf,zf,Tf,kf)}),wf.seen.pop(),Rd(qf,Vf,Jf)}function gd(wf,Kf){if(Ud(Kf))return wf.stylize("undefined","undefined");if(qd(Kf)){var Gf="'"+JSON.stringify(Kf).replace(/^"|"$/g,"").replace(/'/g,"\\'").replace(/\\"/g,'"')+"'";return wf.stylize(Gf,"string")}if(Pd(Kf))return wf.stylize(""+Kf,"number");if(Id(Kf))return wf.stylize(""+Kf,"boolean");if(Md(Kf))return wf.stylize("null","null")}function Ed(wf){return"["+Error.prototype.toString.call(wf)+"]"}function Td(wf,Kf,Gf,gf,mf){for(var $f=[],zf=0,hh=Kf.length;zf<hh;++zf)Wf(Kf,String(zf))?$f.push(kd(wf,Kf,Gf,gf,String(zf),!0)):$f.push("");return mf.forEach(function(Vf){Vf.match(/^\d+$/)||$f.push(kd(wf,Kf,Gf,gf,Vf,!0))}),$f}function kd(wf,Kf,Gf,gf,mf,$f){var zf,hh,Vf;if(Vf=Object.getOwnPropertyDescriptor(Kf,mf)||{value:Kf[mf]},Vf.get?Vf.set?hh=wf.stylize("[Getter/Setter]","special"):hh=wf.stylize("[Getter]","special"):Vf.set&&(hh=wf.stylize("[Setter]","special")),Wf(gf,mf)||(zf="["+mf+"]"),hh||(wf.seen.indexOf(Vf.value)<0?(Md(Gf)?hh=yd(wf,Vf.value,null):hh=yd(wf,Vf.value,Gf-1),hh.indexOf(`
|
||
`)>-1&&($f?hh=hh.split(`
|
||
`).map(function(kf){return" "+kf}).join(`
|
||
`).slice(2):hh=`
|
||
`+hh.split(`
|
||
`).map(function(kf){return" "+kf}).join(`
|
||
`))):hh=wf.stylize("[Circular]","special")),Ud(zf)){if($f&&mf.match(/^\d+$/))return hh;zf=JSON.stringify(""+mf),zf.match(/^"([a-zA-Z_][a-zA-Z_0-9]*)"$/)?(zf=zf.slice(1,-1),zf=wf.stylize(zf,"name")):(zf=zf.replace(/'/g,"\\'").replace(/\\"/g,'"').replace(/(^"|"$)/g,"'"),zf=wf.stylize(zf,"string"))}return zf+": "+hh}function Rd(wf,Kf,Gf){var gf=wf.reduce(function(mf,$f){return $f.indexOf(`
|
||
`)>=0,mf+$f.replace(/\u001b\[\d\d?m/g,"").length+1},0);return gf>60?Gf[0]+(Kf===""?"":Kf+`
|
||
`)+" "+wf.join(`,
|
||
`)+" "+Gf[1]:Gf[0]+Kf+" "+wf.join(", ")+" "+Gf[1]}Ra.types=types;function Nd(wf){return Array.isArray(wf)}Ra.isArray=Nd;function Id(wf){return typeof wf=="boolean"}Ra.isBoolean=Id;function Md(wf){return wf===null}Ra.isNull=Md;function Ld(wf){return wf==null}Ra.isNullOrUndefined=Ld;function Pd(wf){return typeof wf=="number"}Ra.isNumber=Pd;function qd(wf){return typeof wf=="string"}Ra.isString=qd;function Yd(wf){return typeof wf=="symbol"}Ra.isSymbol=Yd;function Ud(wf){return wf===void 0}Ra.isUndefined=Ud;function Hd(wf){return Vd(wf)&&hf(wf)==="[object RegExp]"}Ra.isRegExp=Hd,Ra.types.isRegExp=Hd;function Vd(wf){return typeof wf=="object"&&wf!==null}Ra.isObject=Vd;function Jd(wf){return Vd(wf)&&hf(wf)==="[object Date]"}Ra.isDate=Jd,Ra.types.isDate=Jd;function Zd(wf){return Vd(wf)&&(hf(wf)==="[object Error]"||wf instanceof Error)}Ra.isError=Zd,Ra.types.isNativeError=Zd;function pf(wf){return typeof wf=="function"}Ra.isFunction=pf;function Xd(wf){return wf===null||typeof wf=="boolean"||typeof wf=="number"||typeof wf=="string"||typeof wf=="symbol"||typeof wf>"u"}Ra.isPrimitive=Xd,Ra.isBuffer=isBufferBrowser;function hf(wf){return Object.prototype.toString.call(wf)}function _f(wf){return wf<10?"0"+wf.toString(10):wf.toString(10)}var xf=["Jan","Feb","Mar","Apr","May","Jun","Jul","Aug","Sep","Oct","Nov","Dec"];function Lf(){var wf=new Date,Kf=[_f(wf.getHours()),_f(wf.getMinutes()),_f(wf.getSeconds())].join(":");return[wf.getDate(),xf[wf.getMonth()],Kf].join(" ")}Ra.log=function(){console.log("%s - %s",Lf(),Ra.format.apply(Ra,arguments))},Ra.inherits=inherits_browserExports,Ra._extend=function(wf,Kf){if(!Kf||!Vd(Kf))return wf;for(var Gf=Object.keys(Kf),gf=Gf.length;gf--;)wf[Gf[gf]]=Kf[Gf[gf]];return wf};function Wf(wf,Kf){return Object.prototype.hasOwnProperty.call(wf,Kf)}var Yf=typeof Symbol<"u"?Symbol("util.promisify.custom"):void 0;Ra.promisify=function(Kf){if(typeof Kf!="function")throw new TypeError('The "original" argument must be of type Function');if(Yf&&Kf[Yf]){var Gf=Kf[Yf];if(typeof Gf!="function")throw new TypeError('The "util.promisify.custom" argument must be of type Function');return Object.defineProperty(Gf,Yf,{value:Gf,enumerable:!1,writable:!1,configurable:!0}),Gf}function Gf(){for(var gf,mf,$f=new Promise(function(Vf,kf){gf=Vf,mf=kf}),zf=[],hh=0;hh<arguments.length;hh++)zf.push(arguments[hh]);zf.push(function(Vf,kf){Vf?mf(Vf):gf(kf)});try{Kf.apply(this,zf)}catch(Vf){mf(Vf)}return $f}return Object.setPrototypeOf(Gf,Object.getPrototypeOf(Kf)),Yf&&Object.defineProperty(Gf,Yf,{value:Gf,enumerable:!1,writable:!1,configurable:!0}),Object.defineProperties(Gf,ed(Kf))},Ra.promisify.custom=Yf;function If(wf,Kf){if(!wf){var Gf=new Error("Promise was rejected with a falsy value");Gf.reason=wf,wf=Gf}return Kf(wf)}function Sf(wf){if(typeof wf!="function")throw new TypeError('The "original" argument must be of type Function');function Kf(){for(var Gf=[],gf=0;gf<arguments.length;gf++)Gf.push(arguments[gf]);var mf=Gf.pop();if(typeof mf!="function")throw new TypeError("The last argument must be of type Function");var $f=this,zf=function(){return mf.apply($f,arguments)};wf.apply(this,Gf).then(function(hh){qa.nextTick(zf.bind(null,null,hh))},function(hh){qa.nextTick(If.bind(null,hh,zf))})}return Object.setPrototypeOf(Kf,Object.getPrototypeOf(wf)),Object.defineProperties(Kf,ed(wf)),Kf}Ra.callbackify=Sf})(util$5);var buffer_list,hasRequiredBuffer_list;function requireBuffer_list(){if(hasRequiredBuffer_list)return buffer_list;hasRequiredBuffer_list=1;function Ra(Ed,Td){var kd=Object.keys(Ed);if(Object.getOwnPropertySymbols){var Rd=Object.getOwnPropertySymbols(Ed);Td&&(Rd=Rd.filter(function(Nd){return Object.getOwnPropertyDescriptor(Ed,Nd).enumerable})),kd.push.apply(kd,Rd)}return kd}function qa(Ed){for(var Td=1;Td<arguments.length;Td++){var kd=arguments[Td]!=null?arguments[Td]:{};Td%2?Ra(Object(kd),!0).forEach(function(Rd){Ja(Ed,Rd,kd[Rd])}):Object.getOwnPropertyDescriptors?Object.defineProperties(Ed,Object.getOwnPropertyDescriptors(kd)):Ra(Object(kd)).forEach(function(Rd){Object.defineProperty(Ed,Rd,Object.getOwnPropertyDescriptor(kd,Rd))})}return Ed}function Ja(Ed,Td,kd){return Td=sd(Td),Td in Ed?Object.defineProperty(Ed,Td,{value:kd,enumerable:!0,configurable:!0,writable:!0}):Ed[Td]=kd,Ed}function ed(Ed,Td){if(!(Ed instanceof Td))throw new TypeError("Cannot call a class as a function")}function td(Ed,Td){for(var kd=0;kd<Td.length;kd++){var Rd=Td[kd];Rd.enumerable=Rd.enumerable||!1,Rd.configurable=!0,"value"in Rd&&(Rd.writable=!0),Object.defineProperty(Ed,sd(Rd.key),Rd)}}function rd(Ed,Td,kd){return Td&&td(Ed.prototype,Td),Object.defineProperty(Ed,"prototype",{writable:!1}),Ed}function sd(Ed){var Td=od(Ed,"string");return typeof Td=="symbol"?Td:String(Td)}function od(Ed,Td){if(typeof Ed!="object"||Ed===null)return Ed;var kd=Ed[Symbol.toPrimitive];if(kd!==void 0){var Rd=kd.call(Ed,Td||"default");if(typeof Rd!="object")return Rd;throw new TypeError("@@toPrimitive must return a primitive value.")}return(Td==="string"?String:Number)(Ed)}var ld=buffer,cd=ld.Buffer,ud=util$5,_d=ud.inspect,yd=_d&&_d.custom||"inspect";function gd(Ed,Td,kd){cd.prototype.copy.call(Ed,Td,kd)}return buffer_list=function(){function Ed(){ed(this,Ed),this.head=null,this.tail=null,this.length=0}return rd(Ed,[{key:"push",value:function(kd){var Rd={data:kd,next:null};this.length>0?this.tail.next=Rd:this.head=Rd,this.tail=Rd,++this.length}},{key:"unshift",value:function(kd){var Rd={data:kd,next:this.head};this.length===0&&(this.tail=Rd),this.head=Rd,++this.length}},{key:"shift",value:function(){if(this.length!==0){var kd=this.head.data;return this.length===1?this.head=this.tail=null:this.head=this.head.next,--this.length,kd}}},{key:"clear",value:function(){this.head=this.tail=null,this.length=0}},{key:"join",value:function(kd){if(this.length===0)return"";for(var Rd=this.head,Nd=""+Rd.data;Rd=Rd.next;)Nd+=kd+Rd.data;return Nd}},{key:"concat",value:function(kd){if(this.length===0)return cd.alloc(0);for(var Rd=cd.allocUnsafe(kd>>>0),Nd=this.head,Id=0;Nd;)gd(Nd.data,Rd,Id),Id+=Nd.data.length,Nd=Nd.next;return Rd}},{key:"consume",value:function(kd,Rd){var Nd;return kd<this.head.data.length?(Nd=this.head.data.slice(0,kd),this.head.data=this.head.data.slice(kd)):kd===this.head.data.length?Nd=this.shift():Nd=Rd?this._getString(kd):this._getBuffer(kd),Nd}},{key:"first",value:function(){return this.head.data}},{key:"_getString",value:function(kd){var Rd=this.head,Nd=1,Id=Rd.data;for(kd-=Id.length;Rd=Rd.next;){var Md=Rd.data,Ld=kd>Md.length?Md.length:kd;if(Ld===Md.length?Id+=Md:Id+=Md.slice(0,kd),kd-=Ld,kd===0){Ld===Md.length?(++Nd,Rd.next?this.head=Rd.next:this.head=this.tail=null):(this.head=Rd,Rd.data=Md.slice(Ld));break}++Nd}return this.length-=Nd,Id}},{key:"_getBuffer",value:function(kd){var Rd=cd.allocUnsafe(kd),Nd=this.head,Id=1;for(Nd.data.copy(Rd),kd-=Nd.data.length;Nd=Nd.next;){var Md=Nd.data,Ld=kd>Md.length?Md.length:kd;if(Md.copy(Rd,Rd.length-kd,0,Ld),kd-=Ld,kd===0){Ld===Md.length?(++Id,Nd.next?this.head=Nd.next:this.head=this.tail=null):(this.head=Nd,Nd.data=Md.slice(Ld));break}++Id}return this.length-=Id,Rd}},{key:yd,value:function(kd,Rd){return _d(this,qa(qa({},Rd),{},{depth:0,customInspect:!1}))}}]),Ed}(),buffer_list}var define_process_default$7={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};function destroy(Ra,qa){var Ja=this,ed=this._readableState&&this._readableState.destroyed,td=this._writableState&&this._writableState.destroyed;return ed||td?(qa?qa(Ra):Ra&&(this._writableState?this._writableState.errorEmitted||(this._writableState.errorEmitted=!0,define_process_default$7.nextTick(emitErrorNT,this,Ra)):define_process_default$7.nextTick(emitErrorNT,this,Ra)),this):(this._readableState&&(this._readableState.destroyed=!0),this._writableState&&(this._writableState.destroyed=!0),this._destroy(Ra||null,function(rd){!qa&&rd?Ja._writableState?Ja._writableState.errorEmitted?define_process_default$7.nextTick(emitCloseNT,Ja):(Ja._writableState.errorEmitted=!0,define_process_default$7.nextTick(emitErrorAndCloseNT,Ja,rd)):define_process_default$7.nextTick(emitErrorAndCloseNT,Ja,rd):qa?(define_process_default$7.nextTick(emitCloseNT,Ja),qa(rd)):define_process_default$7.nextTick(emitCloseNT,Ja)}),this)}function emitErrorAndCloseNT(Ra,qa){emitErrorNT(Ra,qa),emitCloseNT(Ra)}function emitCloseNT(Ra){Ra._writableState&&!Ra._writableState.emitClose||Ra._readableState&&!Ra._readableState.emitClose||Ra.emit("close")}function undestroy(){this._readableState&&(this._readableState.destroyed=!1,this._readableState.reading=!1,this._readableState.ended=!1,this._readableState.endEmitted=!1),this._writableState&&(this._writableState.destroyed=!1,this._writableState.ended=!1,this._writableState.ending=!1,this._writableState.finalCalled=!1,this._writableState.prefinished=!1,this._writableState.finished=!1,this._writableState.errorEmitted=!1)}function emitErrorNT(Ra,qa){Ra.emit("error",qa)}function errorOrDestroy(Ra,qa){var Ja=Ra._readableState,ed=Ra._writableState;Ja&&Ja.autoDestroy||ed&&ed.autoDestroy?Ra.destroy(qa):Ra.emit("error",qa)}var destroy_1={destroy,undestroy,errorOrDestroy},errorsBrowser={};function _inheritsLoose(Ra,qa){Ra.prototype=Object.create(qa.prototype),Ra.prototype.constructor=Ra,Ra.__proto__=qa}var codes={};function createErrorType(Ra,qa,Ja){Ja||(Ja=Error);function ed(rd,sd,od){return typeof qa=="string"?qa:qa(rd,sd,od)}var td=function(rd){_inheritsLoose(sd,rd);function sd(od,ld,cd){return rd.call(this,ed(od,ld,cd))||this}return sd}(Ja);td.prototype.name=Ja.name,td.prototype.code=Ra,codes[Ra]=td}function oneOf(Ra,qa){if(Array.isArray(Ra)){var Ja=Ra.length;return Ra=Ra.map(function(ed){return String(ed)}),Ja>2?"one of ".concat(qa," ").concat(Ra.slice(0,Ja-1).join(", "),", or ")+Ra[Ja-1]:Ja===2?"one of ".concat(qa," ").concat(Ra[0]," or ").concat(Ra[1]):"of ".concat(qa," ").concat(Ra[0])}else return"of ".concat(qa," ").concat(String(Ra))}function startsWith(Ra,qa,Ja){return Ra.substr(0,qa.length)===qa}function endsWith$1(Ra,qa,Ja){return(Ja===void 0||Ja>Ra.length)&&(Ja=Ra.length),Ra.substring(Ja-qa.length,Ja)===qa}function includes(Ra,qa,Ja){return typeof Ja!="number"&&(Ja=0),Ja+qa.length>Ra.length?!1:Ra.indexOf(qa,Ja)!==-1}createErrorType("ERR_INVALID_OPT_VALUE",function(Ra,qa){return'The value "'+qa+'" is invalid for option "'+Ra+'"'},TypeError);createErrorType("ERR_INVALID_ARG_TYPE",function(Ra,qa,Ja){var ed;typeof qa=="string"&&startsWith(qa,"not ")?(ed="must not be",qa=qa.replace(/^not /,"")):ed="must be";var td;if(endsWith$1(Ra," argument"))td="The ".concat(Ra," ").concat(ed," ").concat(oneOf(qa,"type"));else{var rd=includes(Ra,".")?"property":"argument";td='The "'.concat(Ra,'" ').concat(rd," ").concat(ed," ").concat(oneOf(qa,"type"))}return td+=". Received type ".concat(typeof Ja),td},TypeError);createErrorType("ERR_STREAM_PUSH_AFTER_EOF","stream.push() after EOF");createErrorType("ERR_METHOD_NOT_IMPLEMENTED",function(Ra){return"The "+Ra+" method is not implemented"});createErrorType("ERR_STREAM_PREMATURE_CLOSE","Premature close");createErrorType("ERR_STREAM_DESTROYED",function(Ra){return"Cannot call "+Ra+" after a stream was destroyed"});createErrorType("ERR_MULTIPLE_CALLBACK","Callback called multiple times");createErrorType("ERR_STREAM_CANNOT_PIPE","Cannot pipe, not readable");createErrorType("ERR_STREAM_WRITE_AFTER_END","write after end");createErrorType("ERR_STREAM_NULL_VALUES","May not write null values to stream",TypeError);createErrorType("ERR_UNKNOWN_ENCODING",function(Ra){return"Unknown encoding: "+Ra},TypeError);createErrorType("ERR_STREAM_UNSHIFT_AFTER_END_EVENT","stream.unshift() after end event");errorsBrowser.codes=codes;var ERR_INVALID_OPT_VALUE=errorsBrowser.codes.ERR_INVALID_OPT_VALUE;function highWaterMarkFrom(Ra,qa,Ja){return Ra.highWaterMark!=null?Ra.highWaterMark:qa?Ra[Ja]:null}function getHighWaterMark(Ra,qa,Ja,ed){var td=highWaterMarkFrom(qa,ed,Ja);if(td!=null){if(!(isFinite(td)&&Math.floor(td)===td)||td<0){var rd=ed?Ja:"highWaterMark";throw new ERR_INVALID_OPT_VALUE(rd,td)}return Math.floor(td)}return Ra.objectMode?16:16*1024}var state={getHighWaterMark},browser=deprecate;function deprecate(Ra,qa){if(config("noDeprecation"))return Ra;var Ja=!1;function ed(){if(!Ja){if(config("throwDeprecation"))throw new Error(qa);config("traceDeprecation")?console.trace(qa):console.warn(qa),Ja=!0}return Ra.apply(this,arguments)}return ed}function config(Ra){try{if(!commonjsGlobal.localStorage)return!1}catch{return!1}var qa=commonjsGlobal.localStorage[Ra];return qa==null?!1:String(qa).toLowerCase()==="true"}var _stream_writable,hasRequired_stream_writable;function require_stream_writable(){if(hasRequired_stream_writable)return _stream_writable;hasRequired_stream_writable=1;var Ra={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};_stream_writable=Ud;function qa(gf){var mf=this;this.next=null,this.entry=null,this.finish=function(){Gf(mf,gf)}}var Ja;Ud.WritableState=qd;var ed={deprecate:browser},td=streamBrowser,rd=buffer.Buffer,sd=(typeof commonjsGlobal<"u"?commonjsGlobal:typeof window<"u"?window:typeof self<"u"?self:{}).Uint8Array||function(){};function od(gf){return rd.from(gf)}function ld(gf){return rd.isBuffer(gf)||gf instanceof sd}var cd=destroy_1,ud=state,_d=ud.getHighWaterMark,yd=errorsBrowser.codes,gd=yd.ERR_INVALID_ARG_TYPE,Ed=yd.ERR_METHOD_NOT_IMPLEMENTED,Td=yd.ERR_MULTIPLE_CALLBACK,kd=yd.ERR_STREAM_CANNOT_PIPE,Rd=yd.ERR_STREAM_DESTROYED,Nd=yd.ERR_STREAM_NULL_VALUES,Id=yd.ERR_STREAM_WRITE_AFTER_END,Md=yd.ERR_UNKNOWN_ENCODING,Ld=cd.errorOrDestroy;inherits_browserExports(Ud,td);function Pd(){}function qd(gf,mf,$f){Ja=Ja||require_stream_duplex(),gf=gf||{},typeof $f!="boolean"&&($f=mf instanceof Ja),this.objectMode=!!gf.objectMode,$f&&(this.objectMode=this.objectMode||!!gf.writableObjectMode),this.highWaterMark=_d(this,gf,"writableHighWaterMark",$f),this.finalCalled=!1,this.needDrain=!1,this.ending=!1,this.ended=!1,this.finished=!1,this.destroyed=!1;var zf=gf.decodeStrings===!1;this.decodeStrings=!zf,this.defaultEncoding=gf.defaultEncoding||"utf8",this.length=0,this.writing=!1,this.corked=0,this.sync=!0,this.bufferProcessing=!1,this.onwrite=function(hh){_f(mf,hh)},this.writecb=null,this.writelen=0,this.bufferedRequest=null,this.lastBufferedRequest=null,this.pendingcb=0,this.prefinished=!1,this.errorEmitted=!1,this.emitClose=gf.emitClose!==!1,this.autoDestroy=!!gf.autoDestroy,this.bufferedRequestCount=0,this.corkedRequestsFree=new qa(this)}qd.prototype.getBuffer=function(){for(var mf=this.bufferedRequest,$f=[];mf;)$f.push(mf),mf=mf.next;return $f},function(){try{Object.defineProperty(qd.prototype,"buffer",{get:ed.deprecate(function(){return this.getBuffer()},"_writableState.buffer is deprecated. Use _writableState.getBuffer instead.","DEP0003")})}catch{}}();var Yd;typeof Symbol=="function"&&Symbol.hasInstance&&typeof Function.prototype[Symbol.hasInstance]=="function"?(Yd=Function.prototype[Symbol.hasInstance],Object.defineProperty(Ud,Symbol.hasInstance,{value:function(mf){return Yd.call(this,mf)?!0:this!==Ud?!1:mf&&mf._writableState instanceof qd}})):Yd=function(mf){return mf instanceof this};function Ud(gf){Ja=Ja||require_stream_duplex();var mf=this instanceof Ja;if(!mf&&!Yd.call(Ud,this))return new Ud(gf);this._writableState=new qd(gf,this,mf),this.writable=!0,gf&&(typeof gf.write=="function"&&(this._write=gf.write),typeof gf.writev=="function"&&(this._writev=gf.writev),typeof gf.destroy=="function"&&(this._destroy=gf.destroy),typeof gf.final=="function"&&(this._final=gf.final)),td.call(this)}Ud.prototype.pipe=function(){Ld(this,new kd)};function Hd(gf,mf){var $f=new Id;Ld(gf,$f),Ra.nextTick(mf,$f)}function Vd(gf,mf,$f,zf){var hh;return $f===null?hh=new Nd:typeof $f!="string"&&!mf.objectMode&&(hh=new gd("chunk",["string","Buffer"],$f)),hh?(Ld(gf,hh),Ra.nextTick(zf,hh),!1):!0}Ud.prototype.write=function(gf,mf,$f){var zf=this._writableState,hh=!1,Vf=!zf.objectMode&&ld(gf);return Vf&&!rd.isBuffer(gf)&&(gf=od(gf)),typeof mf=="function"&&($f=mf,mf=null),Vf?mf="buffer":mf||(mf=zf.defaultEncoding),typeof $f!="function"&&($f=Pd),zf.ending?Hd(this,$f):(Vf||Vd(this,zf,gf,$f))&&(zf.pendingcb++,hh=Zd(this,zf,Vf,gf,mf,$f)),hh},Ud.prototype.cork=function(){this._writableState.corked++},Ud.prototype.uncork=function(){var gf=this._writableState;gf.corked&&(gf.corked--,!gf.writing&&!gf.corked&&!gf.bufferProcessing&&gf.bufferedRequest&&Wf(this,gf))},Ud.prototype.setDefaultEncoding=function(mf){if(typeof mf=="string"&&(mf=mf.toLowerCase()),!(["hex","utf8","utf-8","ascii","binary","base64","ucs2","ucs-2","utf16le","utf-16le","raw"].indexOf((mf+"").toLowerCase())>-1))throw new Md(mf);return this._writableState.defaultEncoding=mf,this},Object.defineProperty(Ud.prototype,"writableBuffer",{enumerable:!1,get:function(){return this._writableState&&this._writableState.getBuffer()}});function Jd(gf,mf,$f){return!gf.objectMode&&gf.decodeStrings!==!1&&typeof mf=="string"&&(mf=rd.from(mf,$f)),mf}Object.defineProperty(Ud.prototype,"writableHighWaterMark",{enumerable:!1,get:function(){return this._writableState.highWaterMark}});function Zd(gf,mf,$f,zf,hh,Vf){if(!$f){var kf=Jd(mf,zf,hh);zf!==kf&&($f=!0,hh="buffer",zf=kf)}var Jf=mf.objectMode?1:zf.length;mf.length+=Jf;var Ch=mf.length<mf.highWaterMark;if(Ch||(mf.needDrain=!0),mf.writing||mf.corked){var qf=mf.lastBufferedRequest;mf.lastBufferedRequest={chunk:zf,encoding:hh,isBuf:$f,callback:Vf,next:null},qf?qf.next=mf.lastBufferedRequest:mf.bufferedRequest=mf.lastBufferedRequest,mf.bufferedRequestCount+=1}else pf(gf,mf,!1,Jf,zf,hh,Vf);return Ch}function pf(gf,mf,$f,zf,hh,Vf,kf){mf.writelen=zf,mf.writecb=kf,mf.writing=!0,mf.sync=!0,mf.destroyed?mf.onwrite(new Rd("write")):$f?gf._writev(hh,mf.onwrite):gf._write(hh,Vf,mf.onwrite),mf.sync=!1}function Xd(gf,mf,$f,zf,hh){--mf.pendingcb,$f?(Ra.nextTick(hh,zf),Ra.nextTick(wf,gf,mf),gf._writableState.errorEmitted=!0,Ld(gf,zf)):(hh(zf),gf._writableState.errorEmitted=!0,Ld(gf,zf),wf(gf,mf))}function hf(gf){gf.writing=!1,gf.writecb=null,gf.length-=gf.writelen,gf.writelen=0}function _f(gf,mf){var $f=gf._writableState,zf=$f.sync,hh=$f.writecb;if(typeof hh!="function")throw new Td;if(hf($f),mf)Xd(gf,$f,zf,mf,hh);else{var Vf=Yf($f)||gf.destroyed;!Vf&&!$f.corked&&!$f.bufferProcessing&&$f.bufferedRequest&&Wf(gf,$f),zf?Ra.nextTick(xf,gf,$f,Vf,hh):xf(gf,$f,Vf,hh)}}function xf(gf,mf,$f,zf){$f||Lf(gf,mf),mf.pendingcb--,zf(),wf(gf,mf)}function Lf(gf,mf){mf.length===0&&mf.needDrain&&(mf.needDrain=!1,gf.emit("drain"))}function Wf(gf,mf){mf.bufferProcessing=!0;var $f=mf.bufferedRequest;if(gf._writev&&$f&&$f.next){var zf=mf.bufferedRequestCount,hh=new Array(zf),Vf=mf.corkedRequestsFree;Vf.entry=$f;for(var kf=0,Jf=!0;$f;)hh[kf]=$f,$f.isBuf||(Jf=!1),$f=$f.next,kf+=1;hh.allBuffers=Jf,pf(gf,mf,!0,mf.length,hh,"",Vf.finish),mf.pendingcb++,mf.lastBufferedRequest=null,Vf.next?(mf.corkedRequestsFree=Vf.next,Vf.next=null):mf.corkedRequestsFree=new qa(mf),mf.bufferedRequestCount=0}else{for(;$f;){var Ch=$f.chunk,qf=$f.encoding,Tf=$f.callback,Af=mf.objectMode?1:Ch.length;if(pf(gf,mf,!1,Af,Ch,qf,Tf),$f=$f.next,mf.bufferedRequestCount--,mf.writing)break}$f===null&&(mf.lastBufferedRequest=null)}mf.bufferedRequest=$f,mf.bufferProcessing=!1}Ud.prototype._write=function(gf,mf,$f){$f(new Ed("_write()"))},Ud.prototype._writev=null,Ud.prototype.end=function(gf,mf,$f){var zf=this._writableState;return typeof gf=="function"?($f=gf,gf=null,mf=null):typeof mf=="function"&&($f=mf,mf=null),gf!=null&&this.write(gf,mf),zf.corked&&(zf.corked=1,this.uncork()),zf.ending||Kf(this,zf,$f),this},Object.defineProperty(Ud.prototype,"writableLength",{enumerable:!1,get:function(){return this._writableState.length}});function Yf(gf){return gf.ending&&gf.length===0&&gf.bufferedRequest===null&&!gf.finished&&!gf.writing}function If(gf,mf){gf._final(function($f){mf.pendingcb--,$f&&Ld(gf,$f),mf.prefinished=!0,gf.emit("prefinish"),wf(gf,mf)})}function Sf(gf,mf){!mf.prefinished&&!mf.finalCalled&&(typeof gf._final=="function"&&!mf.destroyed?(mf.pendingcb++,mf.finalCalled=!0,Ra.nextTick(If,gf,mf)):(mf.prefinished=!0,gf.emit("prefinish")))}function wf(gf,mf){var $f=Yf(mf);if($f&&(Sf(gf,mf),mf.pendingcb===0&&(mf.finished=!0,gf.emit("finish"),mf.autoDestroy))){var zf=gf._readableState;(!zf||zf.autoDestroy&&zf.endEmitted)&&gf.destroy()}return $f}function Kf(gf,mf,$f){mf.ending=!0,wf(gf,mf),$f&&(mf.finished?Ra.nextTick($f):gf.once("finish",$f)),mf.ended=!0,gf.writable=!1}function Gf(gf,mf,$f){var zf=gf.entry;for(gf.entry=null;zf;){var hh=zf.callback;mf.pendingcb--,hh($f),zf=zf.next}mf.corkedRequestsFree.next=gf}return Object.defineProperty(Ud.prototype,"destroyed",{enumerable:!1,get:function(){return this._writableState===void 0?!1:this._writableState.destroyed},set:function(mf){this._writableState&&(this._writableState.destroyed=mf)}}),Ud.prototype.destroy=cd.destroy,Ud.prototype._undestroy=cd.undestroy,Ud.prototype._destroy=function(gf,mf){mf(gf)},_stream_writable}var _stream_duplex,hasRequired_stream_duplex;function require_stream_duplex(){if(hasRequired_stream_duplex)return _stream_duplex;hasRequired_stream_duplex=1;var Ra={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}},qa=Object.keys||function(ud){var _d=[];for(var yd in ud)_d.push(yd);return _d};_stream_duplex=od;var Ja=require_stream_readable(),ed=require_stream_writable();inherits_browserExports(od,Ja);for(var td=qa(ed.prototype),rd=0;rd<td.length;rd++){var sd=td[rd];od.prototype[sd]||(od.prototype[sd]=ed.prototype[sd])}function od(ud){if(!(this instanceof od))return new od(ud);Ja.call(this,ud),ed.call(this,ud),this.allowHalfOpen=!0,ud&&(ud.readable===!1&&(this.readable=!1),ud.writable===!1&&(this.writable=!1),ud.allowHalfOpen===!1&&(this.allowHalfOpen=!1,this.once("end",ld)))}Object.defineProperty(od.prototype,"writableHighWaterMark",{enumerable:!1,get:function(){return this._writableState.highWaterMark}}),Object.defineProperty(od.prototype,"writableBuffer",{enumerable:!1,get:function(){return this._writableState&&this._writableState.getBuffer()}}),Object.defineProperty(od.prototype,"writableLength",{enumerable:!1,get:function(){return this._writableState.length}});function ld(){this._writableState.ended||Ra.nextTick(cd,this)}function cd(ud){ud.end()}return Object.defineProperty(od.prototype,"destroyed",{enumerable:!1,get:function(){return this._readableState===void 0||this._writableState===void 0?!1:this._readableState.destroyed&&this._writableState.destroyed},set:function(_d){this._readableState===void 0||this._writableState===void 0||(this._readableState.destroyed=_d,this._writableState.destroyed=_d)}}),_stream_duplex}var string_decoder={},safeBuffer={exports:{}};/*! safe-buffer. MIT License. Feross Aboukhadijeh <https://feross.org/opensource> */var hasRequiredSafeBuffer;function requireSafeBuffer(){return hasRequiredSafeBuffer||(hasRequiredSafeBuffer=1,function(Ra,qa){var Ja=buffer,ed=Ja.Buffer;function td(sd,od){for(var ld in sd)od[ld]=sd[ld]}ed.from&&ed.alloc&&ed.allocUnsafe&&ed.allocUnsafeSlow?Ra.exports=Ja:(td(Ja,qa),qa.Buffer=rd);function rd(sd,od,ld){return ed(sd,od,ld)}rd.prototype=Object.create(ed.prototype),td(ed,rd),rd.from=function(sd,od,ld){if(typeof sd=="number")throw new TypeError("Argument must not be a number");return ed(sd,od,ld)},rd.alloc=function(sd,od,ld){if(typeof sd!="number")throw new TypeError("Argument must be a number");var cd=ed(sd);return od!==void 0?typeof ld=="string"?cd.fill(od,ld):cd.fill(od):cd.fill(0),cd},rd.allocUnsafe=function(sd){if(typeof sd!="number")throw new TypeError("Argument must be a number");return ed(sd)},rd.allocUnsafeSlow=function(sd){if(typeof sd!="number")throw new TypeError("Argument must be a number");return Ja.SlowBuffer(sd)}}(safeBuffer,safeBuffer.exports)),safeBuffer.exports}var hasRequiredString_decoder;function requireString_decoder(){if(hasRequiredString_decoder)return string_decoder;hasRequiredString_decoder=1;var Ra=requireSafeBuffer().Buffer,qa=Ra.isEncoding||function(Rd){switch(Rd=""+Rd,Rd&&Rd.toLowerCase()){case"hex":case"utf8":case"utf-8":case"ascii":case"binary":case"base64":case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":case"raw":return!0;default:return!1}};function Ja(Rd){if(!Rd)return"utf8";for(var Nd;;)switch(Rd){case"utf8":case"utf-8":return"utf8";case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return"utf16le";case"latin1":case"binary":return"latin1";case"base64":case"ascii":case"hex":return Rd;default:if(Nd)return;Rd=(""+Rd).toLowerCase(),Nd=!0}}function ed(Rd){var Nd=Ja(Rd);if(typeof Nd!="string"&&(Ra.isEncoding===qa||!qa(Rd)))throw new Error("Unknown encoding: "+Rd);return Nd||Rd}string_decoder.StringDecoder=td;function td(Rd){this.encoding=ed(Rd);var Nd;switch(this.encoding){case"utf16le":this.text=_d,this.end=yd,Nd=4;break;case"utf8":this.fillLast=ld,Nd=4;break;case"base64":this.text=gd,this.end=Ed,Nd=3;break;default:this.write=Td,this.end=kd;return}this.lastNeed=0,this.lastTotal=0,this.lastChar=Ra.allocUnsafe(Nd)}td.prototype.write=function(Rd){if(Rd.length===0)return"";var Nd,Id;if(this.lastNeed){if(Nd=this.fillLast(Rd),Nd===void 0)return"";Id=this.lastNeed,this.lastNeed=0}else Id=0;return Id<Rd.length?Nd?Nd+this.text(Rd,Id):this.text(Rd,Id):Nd||""},td.prototype.end=ud,td.prototype.text=cd,td.prototype.fillLast=function(Rd){if(this.lastNeed<=Rd.length)return Rd.copy(this.lastChar,this.lastTotal-this.lastNeed,0,this.lastNeed),this.lastChar.toString(this.encoding,0,this.lastTotal);Rd.copy(this.lastChar,this.lastTotal-this.lastNeed,0,Rd.length),this.lastNeed-=Rd.length};function rd(Rd){return Rd<=127?0:Rd>>5===6?2:Rd>>4===14?3:Rd>>3===30?4:Rd>>6===2?-1:-2}function sd(Rd,Nd,Id){var Md=Nd.length-1;if(Md<Id)return 0;var Ld=rd(Nd[Md]);return Ld>=0?(Ld>0&&(Rd.lastNeed=Ld-1),Ld):--Md<Id||Ld===-2?0:(Ld=rd(Nd[Md]),Ld>=0?(Ld>0&&(Rd.lastNeed=Ld-2),Ld):--Md<Id||Ld===-2?0:(Ld=rd(Nd[Md]),Ld>=0?(Ld>0&&(Ld===2?Ld=0:Rd.lastNeed=Ld-3),Ld):0))}function od(Rd,Nd,Id){if((Nd[0]&192)!==128)return Rd.lastNeed=0,"<22>";if(Rd.lastNeed>1&&Nd.length>1){if((Nd[1]&192)!==128)return Rd.lastNeed=1,"<22>";if(Rd.lastNeed>2&&Nd.length>2&&(Nd[2]&192)!==128)return Rd.lastNeed=2,"<22>"}}function ld(Rd){var Nd=this.lastTotal-this.lastNeed,Id=od(this,Rd);if(Id!==void 0)return Id;if(this.lastNeed<=Rd.length)return Rd.copy(this.lastChar,Nd,0,this.lastNeed),this.lastChar.toString(this.encoding,0,this.lastTotal);Rd.copy(this.lastChar,Nd,0,Rd.length),this.lastNeed-=Rd.length}function cd(Rd,Nd){var Id=sd(this,Rd,Nd);if(!this.lastNeed)return Rd.toString("utf8",Nd);this.lastTotal=Id;var Md=Rd.length-(Id-this.lastNeed);return Rd.copy(this.lastChar,0,Md),Rd.toString("utf8",Nd,Md)}function ud(Rd){var Nd=Rd&&Rd.length?this.write(Rd):"";return this.lastNeed?Nd+"<22>":Nd}function _d(Rd,Nd){if((Rd.length-Nd)%2===0){var Id=Rd.toString("utf16le",Nd);if(Id){var Md=Id.charCodeAt(Id.length-1);if(Md>=55296&&Md<=56319)return this.lastNeed=2,this.lastTotal=4,this.lastChar[0]=Rd[Rd.length-2],this.lastChar[1]=Rd[Rd.length-1],Id.slice(0,-1)}return Id}return this.lastNeed=1,this.lastTotal=2,this.lastChar[0]=Rd[Rd.length-1],Rd.toString("utf16le",Nd,Rd.length-1)}function yd(Rd){var Nd=Rd&&Rd.length?this.write(Rd):"";if(this.lastNeed){var Id=this.lastTotal-this.lastNeed;return Nd+this.lastChar.toString("utf16le",0,Id)}return Nd}function gd(Rd,Nd){var Id=(Rd.length-Nd)%3;return Id===0?Rd.toString("base64",Nd):(this.lastNeed=3-Id,this.lastTotal=3,Id===1?this.lastChar[0]=Rd[Rd.length-1]:(this.lastChar[0]=Rd[Rd.length-2],this.lastChar[1]=Rd[Rd.length-1]),Rd.toString("base64",Nd,Rd.length-Id))}function Ed(Rd){var Nd=Rd&&Rd.length?this.write(Rd):"";return this.lastNeed?Nd+this.lastChar.toString("base64",0,3-this.lastNeed):Nd}function Td(Rd){return Rd.toString(this.encoding)}function kd(Rd){return Rd&&Rd.length?this.write(Rd):""}return string_decoder}var ERR_STREAM_PREMATURE_CLOSE=errorsBrowser.codes.ERR_STREAM_PREMATURE_CLOSE;function once$2(Ra){var qa=!1;return function(){if(!qa){qa=!0;for(var Ja=arguments.length,ed=new Array(Ja),td=0;td<Ja;td++)ed[td]=arguments[td];Ra.apply(this,ed)}}}function noop$2(){}function isRequest$2(Ra){return Ra.setHeader&&typeof Ra.abort=="function"}function eos$1(Ra,qa,Ja){if(typeof qa=="function")return eos$1(Ra,null,qa);qa||(qa={}),Ja=once$2(Ja||noop$2);var ed=qa.readable||qa.readable!==!1&&Ra.readable,td=qa.writable||qa.writable!==!1&&Ra.writable,rd=function(){Ra.writable||od()},sd=Ra._writableState&&Ra._writableState.finished,od=function(){td=!1,sd=!0,ed||Ja.call(Ra)},ld=Ra._readableState&&Ra._readableState.endEmitted,cd=function(){ed=!1,ld=!0,td||Ja.call(Ra)},ud=function(Ed){Ja.call(Ra,Ed)},_d=function(){var Ed;if(ed&&!ld)return(!Ra._readableState||!Ra._readableState.ended)&&(Ed=new ERR_STREAM_PREMATURE_CLOSE),Ja.call(Ra,Ed);if(td&&!sd)return(!Ra._writableState||!Ra._writableState.ended)&&(Ed=new ERR_STREAM_PREMATURE_CLOSE),Ja.call(Ra,Ed)},yd=function(){Ra.req.on("finish",od)};return isRequest$2(Ra)?(Ra.on("complete",od),Ra.on("abort",_d),Ra.req?yd():Ra.on("request",yd)):td&&!Ra._writableState&&(Ra.on("end",rd),Ra.on("close",rd)),Ra.on("end",cd),Ra.on("finish",od),qa.error!==!1&&Ra.on("error",ud),Ra.on("close",_d),function(){Ra.removeListener("complete",od),Ra.removeListener("abort",_d),Ra.removeListener("request",yd),Ra.req&&Ra.req.removeListener("finish",od),Ra.removeListener("end",rd),Ra.removeListener("close",rd),Ra.removeListener("finish",od),Ra.removeListener("end",cd),Ra.removeListener("error",ud),Ra.removeListener("close",_d)}}var endOfStream=eos$1,async_iterator,hasRequiredAsync_iterator;function requireAsync_iterator(){if(hasRequiredAsync_iterator)return async_iterator;hasRequiredAsync_iterator=1;var Ra={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}},qa;function Ja(Md,Ld,Pd){return Ld=ed(Ld),Ld in Md?Object.defineProperty(Md,Ld,{value:Pd,enumerable:!0,configurable:!0,writable:!0}):Md[Ld]=Pd,Md}function ed(Md){var Ld=td(Md,"string");return typeof Ld=="symbol"?Ld:String(Ld)}function td(Md,Ld){if(typeof Md!="object"||Md===null)return Md;var Pd=Md[Symbol.toPrimitive];if(Pd!==void 0){var qd=Pd.call(Md,Ld||"default");if(typeof qd!="object")return qd;throw new TypeError("@@toPrimitive must return a primitive value.")}return(Ld==="string"?String:Number)(Md)}var rd=endOfStream,sd=Symbol("lastResolve"),od=Symbol("lastReject"),ld=Symbol("error"),cd=Symbol("ended"),ud=Symbol("lastPromise"),_d=Symbol("handlePromise"),yd=Symbol("stream");function gd(Md,Ld){return{value:Md,done:Ld}}function Ed(Md){var Ld=Md[sd];if(Ld!==null){var Pd=Md[yd].read();Pd!==null&&(Md[ud]=null,Md[sd]=null,Md[od]=null,Ld(gd(Pd,!1)))}}function Td(Md){Ra.nextTick(Ed,Md)}function kd(Md,Ld){return function(Pd,qd){Md.then(function(){if(Ld[cd]){Pd(gd(void 0,!0));return}Ld[_d](Pd,qd)},qd)}}var Rd=Object.getPrototypeOf(function(){}),Nd=Object.setPrototypeOf((qa={get stream(){return this[yd]},next:function(){var Ld=this,Pd=this[ld];if(Pd!==null)return Promise.reject(Pd);if(this[cd])return Promise.resolve(gd(void 0,!0));if(this[yd].destroyed)return new Promise(function(Hd,Vd){Ra.nextTick(function(){Ld[ld]?Vd(Ld[ld]):Hd(gd(void 0,!0))})});var qd=this[ud],Yd;if(qd)Yd=new Promise(kd(qd,this));else{var Ud=this[yd].read();if(Ud!==null)return Promise.resolve(gd(Ud,!1));Yd=new Promise(this[_d])}return this[ud]=Yd,Yd}},Ja(qa,Symbol.asyncIterator,function(){return this}),Ja(qa,"return",function(){var Ld=this;return new Promise(function(Pd,qd){Ld[yd].destroy(null,function(Yd){if(Yd){qd(Yd);return}Pd(gd(void 0,!0))})})}),qa),Rd),Id=function(Ld){var Pd,qd=Object.create(Nd,(Pd={},Ja(Pd,yd,{value:Ld,writable:!0}),Ja(Pd,sd,{value:null,writable:!0}),Ja(Pd,od,{value:null,writable:!0}),Ja(Pd,ld,{value:null,writable:!0}),Ja(Pd,cd,{value:Ld._readableState.endEmitted,writable:!0}),Ja(Pd,_d,{value:function(Ud,Hd){var Vd=qd[yd].read();Vd?(qd[ud]=null,qd[sd]=null,qd[od]=null,Ud(gd(Vd,!1))):(qd[sd]=Ud,qd[od]=Hd)},writable:!0}),Pd));return qd[ud]=null,rd(Ld,function(Yd){if(Yd&&Yd.code!=="ERR_STREAM_PREMATURE_CLOSE"){var Ud=qd[od];Ud!==null&&(qd[ud]=null,qd[sd]=null,qd[od]=null,Ud(Yd)),qd[ld]=Yd;return}var Hd=qd[sd];Hd!==null&&(qd[ud]=null,qd[sd]=null,qd[od]=null,Hd(gd(void 0,!0))),qd[cd]=!0}),Ld.on("readable",Td.bind(null,qd)),qd};return async_iterator=Id,async_iterator}var fromBrowser,hasRequiredFromBrowser;function requireFromBrowser(){return hasRequiredFromBrowser||(hasRequiredFromBrowser=1,fromBrowser=function(){throw new Error("Readable.from is not available in the browser")}),fromBrowser}var _stream_readable,hasRequired_stream_readable;function require_stream_readable(){if(hasRequired_stream_readable)return _stream_readable;hasRequired_stream_readable=1;var Ra={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};_stream_readable=Hd;var qa;Hd.ReadableState=Ud,eventsExports.EventEmitter;var Ja=function(kf,Jf){return kf.listeners(Jf).length},ed=streamBrowser,td=buffer.Buffer,rd=(typeof commonjsGlobal<"u"?commonjsGlobal:typeof window<"u"?window:typeof self<"u"?self:{}).Uint8Array||function(){};function sd(Vf){return td.from(Vf)}function od(Vf){return td.isBuffer(Vf)||Vf instanceof rd}var ld=util$5,cd;ld&&ld.debuglog?cd=ld.debuglog("stream"):cd=function(){};var ud=requireBuffer_list(),_d=destroy_1,yd=state,gd=yd.getHighWaterMark,Ed=errorsBrowser.codes,Td=Ed.ERR_INVALID_ARG_TYPE,kd=Ed.ERR_STREAM_PUSH_AFTER_EOF,Rd=Ed.ERR_METHOD_NOT_IMPLEMENTED,Nd=Ed.ERR_STREAM_UNSHIFT_AFTER_END_EVENT,Id,Md,Ld;inherits_browserExports(Hd,ed);var Pd=_d.errorOrDestroy,qd=["error","close","destroy","pause","resume"];function Yd(Vf,kf,Jf){if(typeof Vf.prependListener=="function")return Vf.prependListener(kf,Jf);!Vf._events||!Vf._events[kf]?Vf.on(kf,Jf):Array.isArray(Vf._events[kf])?Vf._events[kf].unshift(Jf):Vf._events[kf]=[Jf,Vf._events[kf]]}function Ud(Vf,kf,Jf){qa=qa||require_stream_duplex(),Vf=Vf||{},typeof Jf!="boolean"&&(Jf=kf instanceof qa),this.objectMode=!!Vf.objectMode,Jf&&(this.objectMode=this.objectMode||!!Vf.readableObjectMode),this.highWaterMark=gd(this,Vf,"readableHighWaterMark",Jf),this.buffer=new ud,this.length=0,this.pipes=null,this.pipesCount=0,this.flowing=null,this.ended=!1,this.endEmitted=!1,this.reading=!1,this.sync=!0,this.needReadable=!1,this.emittedReadable=!1,this.readableListening=!1,this.resumeScheduled=!1,this.paused=!0,this.emitClose=Vf.emitClose!==!1,this.autoDestroy=!!Vf.autoDestroy,this.destroyed=!1,this.defaultEncoding=Vf.defaultEncoding||"utf8",this.awaitDrain=0,this.readingMore=!1,this.decoder=null,this.encoding=null,Vf.encoding&&(Id||(Id=requireString_decoder().StringDecoder),this.decoder=new Id(Vf.encoding),this.encoding=Vf.encoding)}function Hd(Vf){if(qa=qa||require_stream_duplex(),!(this instanceof Hd))return new Hd(Vf);var kf=this instanceof qa;this._readableState=new Ud(Vf,this,kf),this.readable=!0,Vf&&(typeof Vf.read=="function"&&(this._read=Vf.read),typeof Vf.destroy=="function"&&(this._destroy=Vf.destroy)),ed.call(this)}Object.defineProperty(Hd.prototype,"destroyed",{enumerable:!1,get:function(){return this._readableState===void 0?!1:this._readableState.destroyed},set:function(kf){this._readableState&&(this._readableState.destroyed=kf)}}),Hd.prototype.destroy=_d.destroy,Hd.prototype._undestroy=_d.undestroy,Hd.prototype._destroy=function(Vf,kf){kf(Vf)},Hd.prototype.push=function(Vf,kf){var Jf=this._readableState,Ch;return Jf.objectMode?Ch=!0:typeof Vf=="string"&&(kf=kf||Jf.defaultEncoding,kf!==Jf.encoding&&(Vf=td.from(Vf,kf),kf=""),Ch=!0),Vd(this,Vf,kf,!1,Ch)},Hd.prototype.unshift=function(Vf){return Vd(this,Vf,null,!0,!1)};function Vd(Vf,kf,Jf,Ch,qf){cd("readableAddChunk",kf);var Tf=Vf._readableState;if(kf===null)Tf.reading=!1,_f(Vf,Tf);else{var Af;if(qf||(Af=Zd(Tf,kf)),Af)Pd(Vf,Af);else if(Tf.objectMode||kf&&kf.length>0)if(typeof kf!="string"&&!Tf.objectMode&&Object.getPrototypeOf(kf)!==td.prototype&&(kf=sd(kf)),Ch)Tf.endEmitted?Pd(Vf,new Nd):Jd(Vf,Tf,kf,!0);else if(Tf.ended)Pd(Vf,new kd);else{if(Tf.destroyed)return!1;Tf.reading=!1,Tf.decoder&&!Jf?(kf=Tf.decoder.write(kf),Tf.objectMode||kf.length!==0?Jd(Vf,Tf,kf,!1):Wf(Vf,Tf)):Jd(Vf,Tf,kf,!1)}else Ch||(Tf.reading=!1,Wf(Vf,Tf))}return!Tf.ended&&(Tf.length<Tf.highWaterMark||Tf.length===0)}function Jd(Vf,kf,Jf,Ch){kf.flowing&&kf.length===0&&!kf.sync?(kf.awaitDrain=0,Vf.emit("data",Jf)):(kf.length+=kf.objectMode?1:Jf.length,Ch?kf.buffer.unshift(Jf):kf.buffer.push(Jf),kf.needReadable&&xf(Vf)),Wf(Vf,kf)}function Zd(Vf,kf){var Jf;return!od(kf)&&typeof kf!="string"&&kf!==void 0&&!Vf.objectMode&&(Jf=new Td("chunk",["string","Buffer","Uint8Array"],kf)),Jf}Hd.prototype.isPaused=function(){return this._readableState.flowing===!1},Hd.prototype.setEncoding=function(Vf){Id||(Id=requireString_decoder().StringDecoder);var kf=new Id(Vf);this._readableState.decoder=kf,this._readableState.encoding=this._readableState.decoder.encoding;for(var Jf=this._readableState.buffer.head,Ch="";Jf!==null;)Ch+=kf.write(Jf.data),Jf=Jf.next;return this._readableState.buffer.clear(),Ch!==""&&this._readableState.buffer.push(Ch),this._readableState.length=Ch.length,this};var pf=1073741824;function Xd(Vf){return Vf>=pf?Vf=pf:(Vf--,Vf|=Vf>>>1,Vf|=Vf>>>2,Vf|=Vf>>>4,Vf|=Vf>>>8,Vf|=Vf>>>16,Vf++),Vf}function hf(Vf,kf){return Vf<=0||kf.length===0&&kf.ended?0:kf.objectMode?1:Vf!==Vf?kf.flowing&&kf.length?kf.buffer.head.data.length:kf.length:(Vf>kf.highWaterMark&&(kf.highWaterMark=Xd(Vf)),Vf<=kf.length?Vf:kf.ended?kf.length:(kf.needReadable=!0,0))}Hd.prototype.read=function(Vf){cd("read",Vf),Vf=parseInt(Vf,10);var kf=this._readableState,Jf=Vf;if(Vf!==0&&(kf.emittedReadable=!1),Vf===0&&kf.needReadable&&((kf.highWaterMark!==0?kf.length>=kf.highWaterMark:kf.length>0)||kf.ended))return cd("read: emitReadable",kf.length,kf.ended),kf.length===0&&kf.ended?$f(this):xf(this),null;if(Vf=hf(Vf,kf),Vf===0&&kf.ended)return kf.length===0&&$f(this),null;var Ch=kf.needReadable;cd("need readable",Ch),(kf.length===0||kf.length-Vf<kf.highWaterMark)&&(Ch=!0,cd("length less than watermark",Ch)),kf.ended||kf.reading?(Ch=!1,cd("reading or ended",Ch)):Ch&&(cd("do read"),kf.reading=!0,kf.sync=!0,kf.length===0&&(kf.needReadable=!0),this._read(kf.highWaterMark),kf.sync=!1,kf.reading||(Vf=hf(Jf,kf)));var qf;return Vf>0?qf=mf(Vf,kf):qf=null,qf===null?(kf.needReadable=kf.length<=kf.highWaterMark,Vf=0):(kf.length-=Vf,kf.awaitDrain=0),kf.length===0&&(kf.ended||(kf.needReadable=!0),Jf!==Vf&&kf.ended&&$f(this)),qf!==null&&this.emit("data",qf),qf};function _f(Vf,kf){if(cd("onEofChunk"),!kf.ended){if(kf.decoder){var Jf=kf.decoder.end();Jf&&Jf.length&&(kf.buffer.push(Jf),kf.length+=kf.objectMode?1:Jf.length)}kf.ended=!0,kf.sync?xf(Vf):(kf.needReadable=!1,kf.emittedReadable||(kf.emittedReadable=!0,Lf(Vf)))}}function xf(Vf){var kf=Vf._readableState;cd("emitReadable",kf.needReadable,kf.emittedReadable),kf.needReadable=!1,kf.emittedReadable||(cd("emitReadable",kf.flowing),kf.emittedReadable=!0,Ra.nextTick(Lf,Vf))}function Lf(Vf){var kf=Vf._readableState;cd("emitReadable_",kf.destroyed,kf.length,kf.ended),!kf.destroyed&&(kf.length||kf.ended)&&(Vf.emit("readable"),kf.emittedReadable=!1),kf.needReadable=!kf.flowing&&!kf.ended&&kf.length<=kf.highWaterMark,gf(Vf)}function Wf(Vf,kf){kf.readingMore||(kf.readingMore=!0,Ra.nextTick(Yf,Vf,kf))}function Yf(Vf,kf){for(;!kf.reading&&!kf.ended&&(kf.length<kf.highWaterMark||kf.flowing&&kf.length===0);){var Jf=kf.length;if(cd("maybeReadMore read 0"),Vf.read(0),Jf===kf.length)break}kf.readingMore=!1}Hd.prototype._read=function(Vf){Pd(this,new Rd("_read()"))},Hd.prototype.pipe=function(Vf,kf){var Jf=this,Ch=this._readableState;switch(Ch.pipesCount){case 0:Ch.pipes=Vf;break;case 1:Ch.pipes=[Ch.pipes,Vf];break;default:Ch.pipes.push(Vf);break}Ch.pipesCount+=1,cd("pipe count=%d opts=%j",Ch.pipesCount,kf);var qf=(!kf||kf.end!==!1)&&Vf!==Ra.stdout&&Vf!==Ra.stderr,Tf=qf?Pf:Wh;Ch.endEmitted?Ra.nextTick(Tf):Jf.once("end",Tf),Vf.on("unpipe",Af);function Af(sm,fm){cd("onunpipe"),sm===Jf&&fm&&fm.hasUnpiped===!1&&(fm.hasUnpiped=!0,dh())}function Pf(){cd("onend"),Vf.end()}var gh=If(Jf);Vf.on("drain",gh);var Nh=!1;function dh(){cd("cleanup"),Vf.removeListener("close",jh),Vf.removeListener("finish",Zh),Vf.removeListener("drain",gh),Vf.removeListener("error",Rh),Vf.removeListener("unpipe",Af),Jf.removeListener("end",Pf),Jf.removeListener("end",Wh),Jf.removeListener("data",$h),Nh=!0,Ch.awaitDrain&&(!Vf._writableState||Vf._writableState.needDrain)&&gh()}Jf.on("data",$h);function $h(sm){cd("ondata");var fm=Vf.write(sm);cd("dest.write",fm),fm===!1&&((Ch.pipesCount===1&&Ch.pipes===Vf||Ch.pipesCount>1&&hh(Ch.pipes,Vf)!==-1)&&!Nh&&(cd("false write response, pause",Ch.awaitDrain),Ch.awaitDrain++),Jf.pause())}function Rh(sm){cd("onerror",sm),Wh(),Vf.removeListener("error",Rh),Ja(Vf,"error")===0&&Pd(Vf,sm)}Yd(Vf,"error",Rh);function jh(){Vf.removeListener("finish",Zh),Wh()}Vf.once("close",jh);function Zh(){cd("onfinish"),Vf.removeListener("close",jh),Wh()}Vf.once("finish",Zh);function Wh(){cd("unpipe"),Jf.unpipe(Vf)}return Vf.emit("pipe",Jf),Ch.flowing||(cd("pipe resume"),Jf.resume()),Vf};function If(Vf){return function(){var Jf=Vf._readableState;cd("pipeOnDrain",Jf.awaitDrain),Jf.awaitDrain&&Jf.awaitDrain--,Jf.awaitDrain===0&&Ja(Vf,"data")&&(Jf.flowing=!0,gf(Vf))}}Hd.prototype.unpipe=function(Vf){var kf=this._readableState,Jf={hasUnpiped:!1};if(kf.pipesCount===0)return this;if(kf.pipesCount===1)return Vf&&Vf!==kf.pipes?this:(Vf||(Vf=kf.pipes),kf.pipes=null,kf.pipesCount=0,kf.flowing=!1,Vf&&Vf.emit("unpipe",this,Jf),this);if(!Vf){var Ch=kf.pipes,qf=kf.pipesCount;kf.pipes=null,kf.pipesCount=0,kf.flowing=!1;for(var Tf=0;Tf<qf;Tf++)Ch[Tf].emit("unpipe",this,{hasUnpiped:!1});return this}var Af=hh(kf.pipes,Vf);return Af===-1?this:(kf.pipes.splice(Af,1),kf.pipesCount-=1,kf.pipesCount===1&&(kf.pipes=kf.pipes[0]),Vf.emit("unpipe",this,Jf),this)},Hd.prototype.on=function(Vf,kf){var Jf=ed.prototype.on.call(this,Vf,kf),Ch=this._readableState;return Vf==="data"?(Ch.readableListening=this.listenerCount("readable")>0,Ch.flowing!==!1&&this.resume()):Vf==="readable"&&!Ch.endEmitted&&!Ch.readableListening&&(Ch.readableListening=Ch.needReadable=!0,Ch.flowing=!1,Ch.emittedReadable=!1,cd("on readable",Ch.length,Ch.reading),Ch.length?xf(this):Ch.reading||Ra.nextTick(wf,this)),Jf},Hd.prototype.addListener=Hd.prototype.on,Hd.prototype.removeListener=function(Vf,kf){var Jf=ed.prototype.removeListener.call(this,Vf,kf);return Vf==="readable"&&Ra.nextTick(Sf,this),Jf},Hd.prototype.removeAllListeners=function(Vf){var kf=ed.prototype.removeAllListeners.apply(this,arguments);return(Vf==="readable"||Vf===void 0)&&Ra.nextTick(Sf,this),kf};function Sf(Vf){var kf=Vf._readableState;kf.readableListening=Vf.listenerCount("readable")>0,kf.resumeScheduled&&!kf.paused?kf.flowing=!0:Vf.listenerCount("data")>0&&Vf.resume()}function wf(Vf){cd("readable nexttick read 0"),Vf.read(0)}Hd.prototype.resume=function(){var Vf=this._readableState;return Vf.flowing||(cd("resume"),Vf.flowing=!Vf.readableListening,Kf(this,Vf)),Vf.paused=!1,this};function Kf(Vf,kf){kf.resumeScheduled||(kf.resumeScheduled=!0,Ra.nextTick(Gf,Vf,kf))}function Gf(Vf,kf){cd("resume",kf.reading),kf.reading||Vf.read(0),kf.resumeScheduled=!1,Vf.emit("resume"),gf(Vf),kf.flowing&&!kf.reading&&Vf.read(0)}Hd.prototype.pause=function(){return cd("call pause flowing=%j",this._readableState.flowing),this._readableState.flowing!==!1&&(cd("pause"),this._readableState.flowing=!1,this.emit("pause")),this._readableState.paused=!0,this};function gf(Vf){var kf=Vf._readableState;for(cd("flow",kf.flowing);kf.flowing&&Vf.read()!==null;);}Hd.prototype.wrap=function(Vf){var kf=this,Jf=this._readableState,Ch=!1;Vf.on("end",function(){if(cd("wrapped end"),Jf.decoder&&!Jf.ended){var Af=Jf.decoder.end();Af&&Af.length&&kf.push(Af)}kf.push(null)}),Vf.on("data",function(Af){if(cd("wrapped data"),Jf.decoder&&(Af=Jf.decoder.write(Af)),!(Jf.objectMode&&Af==null)&&!(!Jf.objectMode&&(!Af||!Af.length))){var Pf=kf.push(Af);Pf||(Ch=!0,Vf.pause())}});for(var qf in Vf)this[qf]===void 0&&typeof Vf[qf]=="function"&&(this[qf]=function(Pf){return function(){return Vf[Pf].apply(Vf,arguments)}}(qf));for(var Tf=0;Tf<qd.length;Tf++)Vf.on(qd[Tf],this.emit.bind(this,qd[Tf]));return this._read=function(Af){cd("wrapped _read",Af),Ch&&(Ch=!1,Vf.resume())},this},typeof Symbol=="function"&&(Hd.prototype[Symbol.asyncIterator]=function(){return Md===void 0&&(Md=requireAsync_iterator()),Md(this)}),Object.defineProperty(Hd.prototype,"readableHighWaterMark",{enumerable:!1,get:function(){return this._readableState.highWaterMark}}),Object.defineProperty(Hd.prototype,"readableBuffer",{enumerable:!1,get:function(){return this._readableState&&this._readableState.buffer}}),Object.defineProperty(Hd.prototype,"readableFlowing",{enumerable:!1,get:function(){return this._readableState.flowing},set:function(kf){this._readableState&&(this._readableState.flowing=kf)}}),Hd._fromList=mf,Object.defineProperty(Hd.prototype,"readableLength",{enumerable:!1,get:function(){return this._readableState.length}});function mf(Vf,kf){if(kf.length===0)return null;var Jf;return kf.objectMode?Jf=kf.buffer.shift():!Vf||Vf>=kf.length?(kf.decoder?Jf=kf.buffer.join(""):kf.buffer.length===1?Jf=kf.buffer.first():Jf=kf.buffer.concat(kf.length),kf.buffer.clear()):Jf=kf.buffer.consume(Vf,kf.decoder),Jf}function $f(Vf){var kf=Vf._readableState;cd("endReadable",kf.endEmitted),kf.endEmitted||(kf.ended=!0,Ra.nextTick(zf,kf,Vf))}function zf(Vf,kf){if(cd("endReadableNT",Vf.endEmitted,Vf.length),!Vf.endEmitted&&Vf.length===0&&(Vf.endEmitted=!0,kf.readable=!1,kf.emit("end"),Vf.autoDestroy)){var Jf=kf._writableState;(!Jf||Jf.autoDestroy&&Jf.finished)&&kf.destroy()}}typeof Symbol=="function"&&(Hd.from=function(Vf,kf){return Ld===void 0&&(Ld=requireFromBrowser()),Ld(Hd,Vf,kf)});function hh(Vf,kf){for(var Jf=0,Ch=Vf.length;Jf<Ch;Jf++)if(Vf[Jf]===kf)return Jf;return-1}return _stream_readable}var _stream_transform=Transform$3,_require$codes$1=errorsBrowser.codes,ERR_METHOD_NOT_IMPLEMENTED=_require$codes$1.ERR_METHOD_NOT_IMPLEMENTED,ERR_MULTIPLE_CALLBACK=_require$codes$1.ERR_MULTIPLE_CALLBACK,ERR_TRANSFORM_ALREADY_TRANSFORMING=_require$codes$1.ERR_TRANSFORM_ALREADY_TRANSFORMING,ERR_TRANSFORM_WITH_LENGTH_0=_require$codes$1.ERR_TRANSFORM_WITH_LENGTH_0,Duplex=require_stream_duplex();inherits_browserExports(Transform$3,Duplex);function afterTransform(Ra,qa){var Ja=this._transformState;Ja.transforming=!1;var ed=Ja.writecb;if(ed===null)return this.emit("error",new ERR_MULTIPLE_CALLBACK);Ja.writechunk=null,Ja.writecb=null,qa!=null&&this.push(qa),ed(Ra);var td=this._readableState;td.reading=!1,(td.needReadable||td.length<td.highWaterMark)&&this._read(td.highWaterMark)}function Transform$3(Ra){if(!(this instanceof Transform$3))return new Transform$3(Ra);Duplex.call(this,Ra),this._transformState={afterTransform:afterTransform.bind(this),needTransform:!1,transforming:!1,writecb:null,writechunk:null,writeencoding:null},this._readableState.needReadable=!0,this._readableState.sync=!1,Ra&&(typeof Ra.transform=="function"&&(this._transform=Ra.transform),typeof Ra.flush=="function"&&(this._flush=Ra.flush)),this.on("prefinish",prefinish)}function prefinish(){var Ra=this;typeof this._flush=="function"&&!this._readableState.destroyed?this._flush(function(qa,Ja){done(Ra,qa,Ja)}):done(this,null,null)}Transform$3.prototype.push=function(Ra,qa){return this._transformState.needTransform=!1,Duplex.prototype.push.call(this,Ra,qa)};Transform$3.prototype._transform=function(Ra,qa,Ja){Ja(new ERR_METHOD_NOT_IMPLEMENTED("_transform()"))};Transform$3.prototype._write=function(Ra,qa,Ja){var ed=this._transformState;if(ed.writecb=Ja,ed.writechunk=Ra,ed.writeencoding=qa,!ed.transforming){var td=this._readableState;(ed.needTransform||td.needReadable||td.length<td.highWaterMark)&&this._read(td.highWaterMark)}};Transform$3.prototype._read=function(Ra){var qa=this._transformState;qa.writechunk!==null&&!qa.transforming?(qa.transforming=!0,this._transform(qa.writechunk,qa.writeencoding,qa.afterTransform)):qa.needTransform=!0};Transform$3.prototype._destroy=function(Ra,qa){Duplex.prototype._destroy.call(this,Ra,function(Ja){qa(Ja)})};function done(Ra,qa,Ja){if(qa)return Ra.emit("error",qa);if(Ja!=null&&Ra.push(Ja),Ra._writableState.length)throw new ERR_TRANSFORM_WITH_LENGTH_0;if(Ra._transformState.transforming)throw new ERR_TRANSFORM_ALREADY_TRANSFORMING;return Ra.push(null)}var _stream_passthrough=PassThrough,Transform$2=_stream_transform;inherits_browserExports(PassThrough,Transform$2);function PassThrough(Ra){if(!(this instanceof PassThrough))return new PassThrough(Ra);Transform$2.call(this,Ra)}PassThrough.prototype._transform=function(Ra,qa,Ja){Ja(null,Ra)};var eos;function once$1(Ra){var qa=!1;return function(){qa||(qa=!0,Ra.apply(void 0,arguments))}}var _require$codes=errorsBrowser.codes,ERR_MISSING_ARGS=_require$codes.ERR_MISSING_ARGS,ERR_STREAM_DESTROYED=_require$codes.ERR_STREAM_DESTROYED;function noop$1(Ra){if(Ra)throw Ra}function isRequest$1(Ra){return Ra.setHeader&&typeof Ra.abort=="function"}function destroyer(Ra,qa,Ja,ed){ed=once$1(ed);var td=!1;Ra.on("close",function(){td=!0}),eos===void 0&&(eos=endOfStream),eos(Ra,{readable:qa,writable:Ja},function(sd){if(sd)return ed(sd);td=!0,ed()});var rd=!1;return function(sd){if(!td&&!rd){if(rd=!0,isRequest$1(Ra))return Ra.abort();if(typeof Ra.destroy=="function")return Ra.destroy();ed(sd||new ERR_STREAM_DESTROYED("pipe"))}}}function call(Ra){Ra()}function pipe(Ra,qa){return Ra.pipe(qa)}function popCallback(Ra){return!Ra.length||typeof Ra[Ra.length-1]!="function"?noop$1:Ra.pop()}function pipeline$1(){for(var Ra=arguments.length,qa=new Array(Ra),Ja=0;Ja<Ra;Ja++)qa[Ja]=arguments[Ja];var ed=popCallback(qa);if(Array.isArray(qa[0])&&(qa=qa[0]),qa.length<2)throw new ERR_MISSING_ARGS("streams");var td,rd=qa.map(function(sd,od){var ld=od<qa.length-1,cd=od>0;return destroyer(sd,ld,cd,function(ud){td||(td=ud),ud&&rd.forEach(call),!ld&&(rd.forEach(call),ed(td))})});return qa.reduce(pipe)}var pipeline_1=pipeline$1,streamBrowserify=Stream$1,EE=eventsExports.EventEmitter,inherits$2=inherits_browserExports;inherits$2(Stream$1,EE);Stream$1.Readable=require_stream_readable();Stream$1.Writable=require_stream_writable();Stream$1.Duplex=require_stream_duplex();Stream$1.Transform=_stream_transform;Stream$1.PassThrough=_stream_passthrough;Stream$1.finished=endOfStream;Stream$1.pipeline=pipeline_1;Stream$1.Stream=Stream$1;function Stream$1(){EE.call(this)}Stream$1.prototype.pipe=function(Ra,qa){var Ja=this;function ed(ud){Ra.writable&&Ra.write(ud)===!1&&Ja.pause&&Ja.pause()}Ja.on("data",ed);function td(){Ja.readable&&Ja.resume&&Ja.resume()}Ra.on("drain",td),!Ra._isStdio&&(!qa||qa.end!==!1)&&(Ja.on("end",sd),Ja.on("close",od));var rd=!1;function sd(){rd||(rd=!0,Ra.end())}function od(){rd||(rd=!0,typeof Ra.destroy=="function"&&Ra.destroy())}function ld(ud){if(cd(),EE.listenerCount(this,"error")===0)throw ud}Ja.on("error",ld),Ra.on("error",ld);function cd(){Ja.removeListener("data",ed),Ra.removeListener("drain",td),Ja.removeListener("end",sd),Ja.removeListener("close",od),Ja.removeListener("error",ld),Ra.removeListener("error",ld),Ja.removeListener("end",cd),Ja.removeListener("close",cd),Ra.removeListener("close",cd)}return Ja.on("end",cd),Ja.on("close",cd),Ra.on("close",cd),Ra.emit("pipe",Ja),Ra};var define_process_default$6={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};function apply(Ra,...qa){return(...Ja)=>Ra(...qa,...Ja)}function initialParams(Ra){return function(...qa){var Ja=qa.pop();return Ra.call(this,qa,Ja)}}var hasQueueMicrotask=typeof queueMicrotask=="function"&&queueMicrotask,hasSetImmediate=typeof setImmediate=="function"&&setImmediate,hasNextTick=typeof define_process_default$6=="object"&&typeof define_process_default$6.nextTick=="function";function fallback(Ra){setTimeout(Ra,0)}function wrap(Ra){return(qa,...Ja)=>Ra(()=>qa(...Ja))}var _defer$1;hasQueueMicrotask?_defer$1=queueMicrotask:hasSetImmediate?_defer$1=setImmediate:hasNextTick?_defer$1=define_process_default$6.nextTick:_defer$1=fallback;var setImmediate$1$1=wrap(_defer$1);function asyncify(Ra){return isAsync(Ra)?function(...qa){const Ja=qa.pop(),ed=Ra.apply(this,qa);return handlePromise(ed,Ja)}:initialParams(function(qa,Ja){var ed;try{ed=Ra.apply(this,qa)}catch(td){return Ja(td)}if(ed&&typeof ed.then=="function")return handlePromise(ed,Ja);Ja(null,ed)})}function handlePromise(Ra,qa){return Ra.then(Ja=>{invokeCallback(qa,null,Ja)},Ja=>{invokeCallback(qa,Ja&&(Ja instanceof Error||Ja.message)?Ja:new Error(Ja))})}function invokeCallback(Ra,qa,Ja){try{Ra(qa,Ja)}catch(ed){setImmediate$1$1(td=>{throw td},ed)}}function isAsync(Ra){return Ra[Symbol.toStringTag]==="AsyncFunction"}function isAsyncGenerator(Ra){return Ra[Symbol.toStringTag]==="AsyncGenerator"}function isAsyncIterable(Ra){return typeof Ra[Symbol.asyncIterator]=="function"}function wrapAsync(Ra){if(typeof Ra!="function")throw new Error("expected a function");return isAsync(Ra)?asyncify(Ra):Ra}function awaitify(Ra,qa){if(qa||(qa=Ra.length),!qa)throw new Error("arity is undefined");function Ja(...ed){return typeof ed[qa-1]=="function"?Ra.apply(this,ed):new Promise((td,rd)=>{ed[qa-1]=(sd,...od)=>{if(sd)return rd(sd);td(od.length>1?od:od[0])},Ra.apply(this,ed)})}return Ja}function applyEach$1(Ra){return function(Ja,...ed){return awaitify(function(rd){var sd=this;return Ra(Ja,(od,ld)=>{wrapAsync(od).apply(sd,ed.concat(ld))},rd)})}}function _asyncMap(Ra,qa,Ja,ed){qa=qa||[];var td=[],rd=0,sd=wrapAsync(Ja);return Ra(qa,(od,ld,cd)=>{var ud=rd++;sd(od,(_d,yd)=>{td[ud]=yd,cd(_d)})},od=>{ed(od,td)})}function isArrayLike(Ra){return Ra&&typeof Ra.length=="number"&&Ra.length>=0&&Ra.length%1===0}const breakLoop={};function once(Ra){function qa(...Ja){if(Ra!==null){var ed=Ra;Ra=null,ed.apply(this,Ja)}}return Object.assign(qa,Ra),qa}function getIterator(Ra){return Ra[Symbol.iterator]&&Ra[Symbol.iterator]()}function createArrayIterator(Ra){var qa=-1,Ja=Ra.length;return function(){return++qa<Ja?{value:Ra[qa],key:qa}:null}}function createES2015Iterator(Ra){var qa=-1;return function(){var ed=Ra.next();return ed.done?null:(qa++,{value:ed.value,key:qa})}}function createObjectIterator(Ra){var qa=Ra?Object.keys(Ra):[],Ja=-1,ed=qa.length;return function td(){var rd=qa[++Ja];return rd==="__proto__"?td():Ja<ed?{value:Ra[rd],key:rd}:null}}function createIterator(Ra){if(isArrayLike(Ra))return createArrayIterator(Ra);var qa=getIterator(Ra);return qa?createES2015Iterator(qa):createObjectIterator(Ra)}function onlyOnce(Ra){return function(...qa){if(Ra===null)throw new Error("Callback was already called.");var Ja=Ra;Ra=null,Ja.apply(this,qa)}}function asyncEachOfLimit(Ra,qa,Ja,ed){let td=!1,rd=!1,sd=!1,od=0,ld=0;function cd(){od>=qa||sd||td||(sd=!0,Ra.next().then(({value:yd,done:gd})=>{if(!(rd||td)){if(sd=!1,gd){td=!0,od<=0&&ed(null);return}od++,Ja(yd,ld,ud),ld++,cd()}}).catch(_d))}function ud(yd,gd){if(od-=1,!rd){if(yd)return _d(yd);if(yd===!1){td=!0,rd=!0;return}if(gd===breakLoop||td&&od<=0)return td=!0,ed(null);cd()}}function _d(yd){rd||(sd=!1,td=!0,ed(yd))}cd()}var eachOfLimit$2=Ra=>(qa,Ja,ed)=>{if(ed=once(ed),Ra<=0)throw new RangeError("concurrency limit cannot be less than 1");if(!qa)return ed(null);if(isAsyncGenerator(qa))return asyncEachOfLimit(qa,Ra,Ja,ed);if(isAsyncIterable(qa))return asyncEachOfLimit(qa[Symbol.asyncIterator](),Ra,Ja,ed);var td=createIterator(qa),rd=!1,sd=!1,od=0,ld=!1;function cd(_d,yd){if(!sd)if(od-=1,_d)rd=!0,ed(_d);else if(_d===!1)rd=!0,sd=!0;else{if(yd===breakLoop||rd&&od<=0)return rd=!0,ed(null);ld||ud()}}function ud(){for(ld=!0;od<Ra&&!rd;){var _d=td();if(_d===null){rd=!0,od<=0&&ed(null);return}od+=1,Ja(_d.value,_d.key,onlyOnce(cd))}ld=!1}ud()};function eachOfLimit(Ra,qa,Ja,ed){return eachOfLimit$2(qa)(Ra,wrapAsync(Ja),ed)}var eachOfLimit$1=awaitify(eachOfLimit,4);function eachOfArrayLike(Ra,qa,Ja){Ja=once(Ja);var ed=0,td=0,{length:rd}=Ra,sd=!1;rd===0&&Ja(null);function od(ld,cd){ld===!1&&(sd=!0),sd!==!0&&(ld?Ja(ld):(++td===rd||cd===breakLoop)&&Ja(null))}for(;ed<rd;ed++)qa(Ra[ed],ed,onlyOnce(od))}function eachOfGeneric(Ra,qa,Ja){return eachOfLimit$1(Ra,1/0,qa,Ja)}function eachOf(Ra,qa,Ja){var ed=isArrayLike(Ra)?eachOfArrayLike:eachOfGeneric;return ed(Ra,wrapAsync(qa),Ja)}var eachOf$1=awaitify(eachOf,3);function map(Ra,qa,Ja){return _asyncMap(eachOf$1,Ra,qa,Ja)}var map$1=awaitify(map,3),applyEach=applyEach$1(map$1);function eachOfSeries(Ra,qa,Ja){return eachOfLimit$1(Ra,1,qa,Ja)}var eachOfSeries$1=awaitify(eachOfSeries,3);function mapSeries(Ra,qa,Ja){return _asyncMap(eachOfSeries$1,Ra,qa,Ja)}var mapSeries$1=awaitify(mapSeries,3),applyEachSeries=applyEach$1(mapSeries$1);const PROMISE_SYMBOL=Symbol("promiseCallback");function promiseCallback(){let Ra,qa;function Ja(ed,...td){if(ed)return qa(ed);Ra(td.length>1?td:td[0])}return Ja[PROMISE_SYMBOL]=new Promise((ed,td)=>{Ra=ed,qa=td}),Ja}function auto(Ra,qa,Ja){typeof qa!="number"&&(Ja=qa,qa=null),Ja=once(Ja||promiseCallback());var ed=Object.keys(Ra).length;if(!ed)return Ja(null);qa||(qa=ed);var td={},rd=0,sd=!1,od=!1,ld=Object.create(null),cd=[],ud=[],_d={};Object.keys(Ra).forEach(Id=>{var Md=Ra[Id];if(!Array.isArray(Md)){yd(Id,[Md]),ud.push(Id);return}var Ld=Md.slice(0,Md.length-1),Pd=Ld.length;if(Pd===0){yd(Id,Md),ud.push(Id);return}_d[Id]=Pd,Ld.forEach(qd=>{if(!Ra[qd])throw new Error("async.auto task `"+Id+"` has a non-existent dependency `"+qd+"` in "+Ld.join(", "));Ed(qd,()=>{Pd--,Pd===0&&yd(Id,Md)})})}),Rd(),gd();function yd(Id,Md){cd.push(()=>kd(Id,Md))}function gd(){if(!sd){if(cd.length===0&&rd===0)return Ja(null,td);for(;cd.length&&rd<qa;){var Id=cd.shift();Id()}}}function Ed(Id,Md){var Ld=ld[Id];Ld||(Ld=ld[Id]=[]),Ld.push(Md)}function Td(Id){var Md=ld[Id]||[];Md.forEach(Ld=>Ld()),gd()}function kd(Id,Md){if(!od){var Ld=onlyOnce((qd,...Yd)=>{if(rd--,qd===!1){sd=!0;return}if(Yd.length<2&&([Yd]=Yd),qd){var Ud={};if(Object.keys(td).forEach(Hd=>{Ud[Hd]=td[Hd]}),Ud[Id]=Yd,od=!0,ld=Object.create(null),sd)return;Ja(qd,Ud)}else td[Id]=Yd,Td(Id)});rd++;var Pd=wrapAsync(Md[Md.length-1]);Md.length>1?Pd(td,Ld):Pd(Ld)}}function Rd(){for(var Id,Md=0;ud.length;)Id=ud.pop(),Md++,Nd(Id).forEach(Ld=>{--_d[Ld]===0&&ud.push(Ld)});if(Md!==ed)throw new Error("async.auto cannot execute tasks due to a recursive dependency")}function Nd(Id){var Md=[];return Object.keys(Ra).forEach(Ld=>{const Pd=Ra[Ld];Array.isArray(Pd)&&Pd.indexOf(Id)>=0&&Md.push(Ld)}),Md}return Ja[PROMISE_SYMBOL]}var FN_ARGS=/^(?:async\s)?(?:function)?\s*(?:\w+\s*)?\(([^)]+)\)(?:\s*{)/,ARROW_FN_ARGS=/^(?:async\s)?\s*(?:\(\s*)?((?:[^)=\s]\s*)*)(?:\)\s*)?=>/,FN_ARG_SPLIT=/,/,FN_ARG=/(=.+)?(\s*)$/;function stripComments(Ra){let qa="",Ja=0,ed=Ra.indexOf("*/");for(;Ja<Ra.length;)if(Ra[Ja]==="/"&&Ra[Ja+1]==="/"){let td=Ra.indexOf(`
|
||
`,Ja);Ja=td===-1?Ra.length:td}else if(ed!==-1&&Ra[Ja]==="/"&&Ra[Ja+1]==="*"){let td=Ra.indexOf("*/",Ja);td!==-1?(Ja=td+2,ed=Ra.indexOf("*/",Ja)):(qa+=Ra[Ja],Ja++)}else qa+=Ra[Ja],Ja++;return qa}function parseParams(Ra){const qa=stripComments(Ra.toString());let Ja=qa.match(FN_ARGS);if(Ja||(Ja=qa.match(ARROW_FN_ARGS)),!Ja)throw new Error(`could not parse args in autoInject
|
||
Source:
|
||
`+qa);let[,ed]=Ja;return ed.replace(/\s/g,"").split(FN_ARG_SPLIT).map(td=>td.replace(FN_ARG,"").trim())}function autoInject(Ra,qa){var Ja={};return Object.keys(Ra).forEach(ed=>{var td=Ra[ed],rd,sd=isAsync(td),od=!sd&&td.length===1||sd&&td.length===0;if(Array.isArray(td))rd=[...td],td=rd.pop(),Ja[ed]=rd.concat(rd.length>0?ld:td);else if(od)Ja[ed]=td;else{if(rd=parseParams(td),td.length===0&&!sd&&rd.length===0)throw new Error("autoInject task functions require explicit parameters.");sd||rd.pop(),Ja[ed]=rd.concat(ld)}function ld(cd,ud){var _d=rd.map(yd=>cd[yd]);_d.push(ud),wrapAsync(td)(..._d)}}),auto(Ja,qa)}class DLL{constructor(){this.head=this.tail=null,this.length=0}removeLink(qa){return qa.prev?qa.prev.next=qa.next:this.head=qa.next,qa.next?qa.next.prev=qa.prev:this.tail=qa.prev,qa.prev=qa.next=null,this.length-=1,qa}empty(){for(;this.head;)this.shift();return this}insertAfter(qa,Ja){Ja.prev=qa,Ja.next=qa.next,qa.next?qa.next.prev=Ja:this.tail=Ja,qa.next=Ja,this.length+=1}insertBefore(qa,Ja){Ja.prev=qa.prev,Ja.next=qa,qa.prev?qa.prev.next=Ja:this.head=Ja,qa.prev=Ja,this.length+=1}unshift(qa){this.head?this.insertBefore(this.head,qa):setInitial(this,qa)}push(qa){this.tail?this.insertAfter(this.tail,qa):setInitial(this,qa)}shift(){return this.head&&this.removeLink(this.head)}pop(){return this.tail&&this.removeLink(this.tail)}toArray(){return[...this]}*[Symbol.iterator](){for(var qa=this.head;qa;)yield qa.data,qa=qa.next}remove(qa){for(var Ja=this.head;Ja;){var{next:ed}=Ja;qa(Ja)&&this.removeLink(Ja),Ja=ed}return this}}function setInitial(Ra,qa){Ra.length=1,Ra.head=Ra.tail=qa}function queue$1(Ra,qa,Ja){if(qa==null)qa=1;else if(qa===0)throw new RangeError("Concurrency must not be zero");var ed=wrapAsync(Ra),td=0,rd=[];const sd={error:[],drain:[],saturated:[],unsaturated:[],empty:[]};function od(Nd,Id){sd[Nd].push(Id)}function ld(Nd,Id){const Md=(...Ld)=>{cd(Nd,Md),Id(...Ld)};sd[Nd].push(Md)}function cd(Nd,Id){if(!Nd)return Object.keys(sd).forEach(Md=>sd[Md]=[]);if(!Id)return sd[Nd]=[];sd[Nd]=sd[Nd].filter(Md=>Md!==Id)}function ud(Nd,...Id){sd[Nd].forEach(Md=>Md(...Id))}var _d=!1;function yd(Nd,Id,Md,Ld){if(Ld!=null&&typeof Ld!="function")throw new Error("task callback must be a function");Rd.started=!0;var Pd,qd;function Yd(Hd,...Vd){if(Hd)return Md?qd(Hd):Pd();if(Vd.length<=1)return Pd(Vd[0]);Pd(Vd)}var Ud=Rd._createTaskItem(Nd,Md?Yd:Ld||Yd);if(Id?Rd._tasks.unshift(Ud):Rd._tasks.push(Ud),_d||(_d=!0,setImmediate$1$1(()=>{_d=!1,Rd.process()})),Md||!Ld)return new Promise((Hd,Vd)=>{Pd=Hd,qd=Vd})}function gd(Nd){return function(Id,...Md){td-=1;for(var Ld=0,Pd=Nd.length;Ld<Pd;Ld++){var qd=Nd[Ld],Yd=rd.indexOf(qd);Yd===0?rd.shift():Yd>0&&rd.splice(Yd,1),qd.callback(Id,...Md),Id!=null&&ud("error",Id,qd.data)}td<=Rd.concurrency-Rd.buffer&&ud("unsaturated"),Rd.idle()&&ud("drain"),Rd.process()}}function Ed(Nd){return Nd.length===0&&Rd.idle()?(setImmediate$1$1(()=>ud("drain")),!0):!1}const Td=Nd=>Id=>{if(!Id)return new Promise((Md,Ld)=>{ld(Nd,(Pd,qd)=>{if(Pd)return Ld(Pd);Md(qd)})});cd(Nd),od(Nd,Id)};var kd=!1,Rd={_tasks:new DLL,_createTaskItem(Nd,Id){return{data:Nd,callback:Id}},*[Symbol.iterator](){yield*Rd._tasks[Symbol.iterator]()},concurrency:qa,payload:Ja,buffer:qa/4,started:!1,paused:!1,push(Nd,Id){return Array.isArray(Nd)?Ed(Nd)?void 0:Nd.map(Md=>yd(Md,!1,!1,Id)):yd(Nd,!1,!1,Id)},pushAsync(Nd,Id){return Array.isArray(Nd)?Ed(Nd)?void 0:Nd.map(Md=>yd(Md,!1,!0,Id)):yd(Nd,!1,!0,Id)},kill(){cd(),Rd._tasks.empty()},unshift(Nd,Id){return Array.isArray(Nd)?Ed(Nd)?void 0:Nd.map(Md=>yd(Md,!0,!1,Id)):yd(Nd,!0,!1,Id)},unshiftAsync(Nd,Id){return Array.isArray(Nd)?Ed(Nd)?void 0:Nd.map(Md=>yd(Md,!0,!0,Id)):yd(Nd,!0,!0,Id)},remove(Nd){Rd._tasks.remove(Nd)},process(){if(!kd){for(kd=!0;!Rd.paused&&td<Rd.concurrency&&Rd._tasks.length;){var Nd=[],Id=[],Md=Rd._tasks.length;Rd.payload&&(Md=Math.min(Md,Rd.payload));for(var Ld=0;Ld<Md;Ld++){var Pd=Rd._tasks.shift();Nd.push(Pd),rd.push(Pd),Id.push(Pd.data)}td+=1,Rd._tasks.length===0&&ud("empty"),td===Rd.concurrency&&ud("saturated");var qd=onlyOnce(gd(Nd));ed(Id,qd)}kd=!1}},length(){return Rd._tasks.length},running(){return td},workersList(){return rd},idle(){return Rd._tasks.length+td===0},pause(){Rd.paused=!0},resume(){Rd.paused!==!1&&(Rd.paused=!1,setImmediate$1$1(Rd.process))}};return Object.defineProperties(Rd,{saturated:{writable:!1,value:Td("saturated")},unsaturated:{writable:!1,value:Td("unsaturated")},empty:{writable:!1,value:Td("empty")},drain:{writable:!1,value:Td("drain")},error:{writable:!1,value:Td("error")}}),Rd}function cargo$1(Ra,qa){return queue$1(Ra,1,qa)}function cargo(Ra,qa,Ja){return queue$1(Ra,qa,Ja)}function reduce(Ra,qa,Ja,ed){ed=once(ed);var td=wrapAsync(Ja);return eachOfSeries$1(Ra,(rd,sd,od)=>{td(qa,rd,(ld,cd)=>{qa=cd,od(ld)})},rd=>ed(rd,qa))}var reduce$1=awaitify(reduce,4);function seq(...Ra){var qa=Ra.map(wrapAsync);return function(...Ja){var ed=this,td=Ja[Ja.length-1];return typeof td=="function"?Ja.pop():td=promiseCallback(),reduce$1(qa,Ja,(rd,sd,od)=>{sd.apply(ed,rd.concat((ld,...cd)=>{od(ld,cd)}))},(rd,sd)=>td(rd,...sd)),td[PROMISE_SYMBOL]}}function compose(...Ra){return seq(...Ra.reverse())}function mapLimit(Ra,qa,Ja,ed){return _asyncMap(eachOfLimit$2(qa),Ra,Ja,ed)}var mapLimit$1=awaitify(mapLimit,4);function concatLimit(Ra,qa,Ja,ed){var td=wrapAsync(Ja);return mapLimit$1(Ra,qa,(rd,sd)=>{td(rd,(od,...ld)=>od?sd(od):sd(od,ld))},(rd,sd)=>{for(var od=[],ld=0;ld<sd.length;ld++)sd[ld]&&(od=od.concat(...sd[ld]));return ed(rd,od)})}var concatLimit$1=awaitify(concatLimit,4);function concat(Ra,qa,Ja){return concatLimit$1(Ra,1/0,qa,Ja)}var concat$1=awaitify(concat,3);function concatSeries(Ra,qa,Ja){return concatLimit$1(Ra,1,qa,Ja)}var concatSeries$1=awaitify(concatSeries,3);function constant$1(...Ra){return function(...qa){var Ja=qa.pop();return Ja(null,...Ra)}}function _createTester(Ra,qa){return(Ja,ed,td,rd)=>{var sd=!1,od;const ld=wrapAsync(td);Ja(ed,(cd,ud,_d)=>{ld(cd,(yd,gd)=>{if(yd||yd===!1)return _d(yd);if(Ra(gd)&&!od)return sd=!0,od=qa(!0,cd),_d(null,breakLoop);_d()})},cd=>{if(cd)return rd(cd);rd(null,sd?od:qa(!1))})}}function detect(Ra,qa,Ja){return _createTester(ed=>ed,(ed,td)=>td)(eachOf$1,Ra,qa,Ja)}var detect$1=awaitify(detect,3);function detectLimit(Ra,qa,Ja,ed){return _createTester(td=>td,(td,rd)=>rd)(eachOfLimit$2(qa),Ra,Ja,ed)}var detectLimit$1=awaitify(detectLimit,4);function detectSeries(Ra,qa,Ja){return _createTester(ed=>ed,(ed,td)=>td)(eachOfLimit$2(1),Ra,qa,Ja)}var detectSeries$1=awaitify(detectSeries,3);function consoleFunc(Ra){return(qa,...Ja)=>wrapAsync(qa)(...Ja,(ed,...td)=>{typeof console=="object"&&(ed?console.error&&console.error(ed):console[Ra]&&td.forEach(rd=>console[Ra](rd)))})}var dir=consoleFunc("dir");function doWhilst(Ra,qa,Ja){Ja=onlyOnce(Ja);var ed=wrapAsync(Ra),td=wrapAsync(qa),rd;function sd(ld,...cd){if(ld)return Ja(ld);ld!==!1&&(rd=cd,td(...cd,od))}function od(ld,cd){if(ld)return Ja(ld);if(ld!==!1){if(!cd)return Ja(null,...rd);ed(sd)}}return od(null,!0)}var doWhilst$1=awaitify(doWhilst,3);function doUntil(Ra,qa,Ja){const ed=wrapAsync(qa);return doWhilst$1(Ra,(...td)=>{const rd=td.pop();ed(...td,(sd,od)=>rd(sd,!od))},Ja)}function _withoutIndex(Ra){return(qa,Ja,ed)=>Ra(qa,ed)}function eachLimit$2(Ra,qa,Ja){return eachOf$1(Ra,_withoutIndex(wrapAsync(qa)),Ja)}var each=awaitify(eachLimit$2,3);function eachLimit(Ra,qa,Ja,ed){return eachOfLimit$2(qa)(Ra,_withoutIndex(wrapAsync(Ja)),ed)}var eachLimit$1=awaitify(eachLimit,4);function eachSeries(Ra,qa,Ja){return eachLimit$1(Ra,1,qa,Ja)}var eachSeries$1=awaitify(eachSeries,3);function ensureAsync(Ra){return isAsync(Ra)?Ra:function(...qa){var Ja=qa.pop(),ed=!0;qa.push((...td)=>{ed?setImmediate$1$1(()=>Ja(...td)):Ja(...td)}),Ra.apply(this,qa),ed=!1}}function every(Ra,qa,Ja){return _createTester(ed=>!ed,ed=>!ed)(eachOf$1,Ra,qa,Ja)}var every$1=awaitify(every,3);function everyLimit(Ra,qa,Ja,ed){return _createTester(td=>!td,td=>!td)(eachOfLimit$2(qa),Ra,Ja,ed)}var everyLimit$1=awaitify(everyLimit,4);function everySeries(Ra,qa,Ja){return _createTester(ed=>!ed,ed=>!ed)(eachOfSeries$1,Ra,qa,Ja)}var everySeries$1=awaitify(everySeries,3);function filterArray(Ra,qa,Ja,ed){var td=new Array(qa.length);Ra(qa,(rd,sd,od)=>{Ja(rd,(ld,cd)=>{td[sd]=!!cd,od(ld)})},rd=>{if(rd)return ed(rd);for(var sd=[],od=0;od<qa.length;od++)td[od]&&sd.push(qa[od]);ed(null,sd)})}function filterGeneric(Ra,qa,Ja,ed){var td=[];Ra(qa,(rd,sd,od)=>{Ja(rd,(ld,cd)=>{if(ld)return od(ld);cd&&td.push({index:sd,value:rd}),od(ld)})},rd=>{if(rd)return ed(rd);ed(null,td.sort((sd,od)=>sd.index-od.index).map(sd=>sd.value))})}function _filter(Ra,qa,Ja,ed){var td=isArrayLike(qa)?filterArray:filterGeneric;return td(Ra,qa,wrapAsync(Ja),ed)}function filter(Ra,qa,Ja){return _filter(eachOf$1,Ra,qa,Ja)}var filter$1=awaitify(filter,3);function filterLimit(Ra,qa,Ja,ed){return _filter(eachOfLimit$2(qa),Ra,Ja,ed)}var filterLimit$1=awaitify(filterLimit,4);function filterSeries(Ra,qa,Ja){return _filter(eachOfSeries$1,Ra,qa,Ja)}var filterSeries$1=awaitify(filterSeries,3);function forever(Ra,qa){var Ja=onlyOnce(qa),ed=wrapAsync(ensureAsync(Ra));function td(rd){if(rd)return Ja(rd);rd!==!1&&ed(td)}return td()}var forever$1=awaitify(forever,2);function groupByLimit(Ra,qa,Ja,ed){var td=wrapAsync(Ja);return mapLimit$1(Ra,qa,(rd,sd)=>{td(rd,(od,ld)=>od?sd(od):sd(od,{key:ld,val:rd}))},(rd,sd)=>{for(var od={},{hasOwnProperty:ld}=Object.prototype,cd=0;cd<sd.length;cd++)if(sd[cd]){var{key:ud}=sd[cd],{val:_d}=sd[cd];ld.call(od,ud)?od[ud].push(_d):od[ud]=[_d]}return ed(rd,od)})}var groupByLimit$1=awaitify(groupByLimit,4);function groupBy(Ra,qa,Ja){return groupByLimit$1(Ra,1/0,qa,Ja)}function groupBySeries(Ra,qa,Ja){return groupByLimit$1(Ra,1,qa,Ja)}var log=consoleFunc("log");function mapValuesLimit(Ra,qa,Ja,ed){ed=once(ed);var td={},rd=wrapAsync(Ja);return eachOfLimit$2(qa)(Ra,(sd,od,ld)=>{rd(sd,od,(cd,ud)=>{if(cd)return ld(cd);td[od]=ud,ld(cd)})},sd=>ed(sd,td))}var mapValuesLimit$1=awaitify(mapValuesLimit,4);function mapValues(Ra,qa,Ja){return mapValuesLimit$1(Ra,1/0,qa,Ja)}function mapValuesSeries(Ra,qa,Ja){return mapValuesLimit$1(Ra,1,qa,Ja)}function memoize(Ra,qa=Ja=>Ja){var Ja=Object.create(null),ed=Object.create(null),td=wrapAsync(Ra),rd=initialParams((sd,od)=>{var ld=qa(...sd);ld in Ja?setImmediate$1$1(()=>od(null,...Ja[ld])):ld in ed?ed[ld].push(od):(ed[ld]=[od],td(...sd,(cd,...ud)=>{cd||(Ja[ld]=ud);var _d=ed[ld];delete ed[ld];for(var yd=0,gd=_d.length;yd<gd;yd++)_d[yd](cd,...ud)}))});return rd.memo=Ja,rd.unmemoized=Ra,rd}var _defer;hasNextTick?_defer=define_process_default$6.nextTick:hasSetImmediate?_defer=setImmediate:_defer=fallback;var nextTick=wrap(_defer),_parallel=awaitify((Ra,qa,Ja)=>{var ed=isArrayLike(qa)?[]:{};Ra(qa,(td,rd,sd)=>{wrapAsync(td)((od,...ld)=>{ld.length<2&&([ld]=ld),ed[rd]=ld,sd(od)})},td=>Ja(td,ed))},3);function parallel(Ra,qa){return _parallel(eachOf$1,Ra,qa)}function parallelLimit(Ra,qa,Ja){return _parallel(eachOfLimit$2(qa),Ra,Ja)}function queue(Ra,qa){var Ja=wrapAsync(Ra);return queue$1((ed,td)=>{Ja(ed[0],td)},qa,1)}class Heap{constructor(){this.heap=[],this.pushCount=Number.MIN_SAFE_INTEGER}get length(){return this.heap.length}empty(){return this.heap=[],this}percUp(qa){let Ja;for(;qa>0&&smaller(this.heap[qa],this.heap[Ja=parent(qa)]);){let ed=this.heap[qa];this.heap[qa]=this.heap[Ja],this.heap[Ja]=ed,qa=Ja}}percDown(qa){let Ja;for(;(Ja=leftChi(qa))<this.heap.length&&(Ja+1<this.heap.length&&smaller(this.heap[Ja+1],this.heap[Ja])&&(Ja=Ja+1),!smaller(this.heap[qa],this.heap[Ja]));){let ed=this.heap[qa];this.heap[qa]=this.heap[Ja],this.heap[Ja]=ed,qa=Ja}}push(qa){qa.pushCount=++this.pushCount,this.heap.push(qa),this.percUp(this.heap.length-1)}unshift(qa){return this.heap.push(qa)}shift(){let[qa]=this.heap;return this.heap[0]=this.heap[this.heap.length-1],this.heap.pop(),this.percDown(0),qa}toArray(){return[...this]}*[Symbol.iterator](){for(let qa=0;qa<this.heap.length;qa++)yield this.heap[qa].data}remove(qa){let Ja=0;for(let ed=0;ed<this.heap.length;ed++)qa(this.heap[ed])||(this.heap[Ja]=this.heap[ed],Ja++);this.heap.splice(Ja);for(let ed=parent(this.heap.length-1);ed>=0;ed--)this.percDown(ed);return this}}function leftChi(Ra){return(Ra<<1)+1}function parent(Ra){return(Ra+1>>1)-1}function smaller(Ra,qa){return Ra.priority!==qa.priority?Ra.priority<qa.priority:Ra.pushCount<qa.pushCount}function priorityQueue(Ra,qa){var Ja=queue(Ra,qa),{push:ed,pushAsync:td}=Ja;Ja._tasks=new Heap,Ja._createTaskItem=({data:sd,priority:od},ld)=>({data:sd,priority:od,callback:ld});function rd(sd,od){return Array.isArray(sd)?sd.map(ld=>({data:ld,priority:od})):{data:sd,priority:od}}return Ja.push=function(sd,od=0,ld){return ed(rd(sd,od),ld)},Ja.pushAsync=function(sd,od=0,ld){return td(rd(sd,od),ld)},delete Ja.unshift,delete Ja.unshiftAsync,Ja}function race(Ra,qa){if(qa=once(qa),!Array.isArray(Ra))return qa(new TypeError("First argument to race must be an array of functions"));if(!Ra.length)return qa();for(var Ja=0,ed=Ra.length;Ja<ed;Ja++)wrapAsync(Ra[Ja])(qa)}var race$1=awaitify(race,2);function reduceRight(Ra,qa,Ja,ed){var td=[...Ra].reverse();return reduce$1(td,qa,Ja,ed)}function reflect(Ra){var qa=wrapAsync(Ra);return initialParams(function(ed,td){return ed.push((rd,...sd)=>{let od={};if(rd&&(od.error=rd),sd.length>0){var ld=sd;sd.length<=1&&([ld]=sd),od.value=ld}td(null,od)}),qa.apply(this,ed)})}function reflectAll(Ra){var qa;return Array.isArray(Ra)?qa=Ra.map(reflect):(qa={},Object.keys(Ra).forEach(Ja=>{qa[Ja]=reflect.call(this,Ra[Ja])})),qa}function reject$2(Ra,qa,Ja,ed){const td=wrapAsync(Ja);return _filter(Ra,qa,(rd,sd)=>{td(rd,(od,ld)=>{sd(od,!ld)})},ed)}function reject(Ra,qa,Ja){return reject$2(eachOf$1,Ra,qa,Ja)}var reject$1=awaitify(reject,3);function rejectLimit(Ra,qa,Ja,ed){return reject$2(eachOfLimit$2(qa),Ra,Ja,ed)}var rejectLimit$1=awaitify(rejectLimit,4);function rejectSeries(Ra,qa,Ja){return reject$2(eachOfSeries$1,Ra,qa,Ja)}var rejectSeries$1=awaitify(rejectSeries,3);function constant(Ra){return function(){return Ra}}const DEFAULT_TIMES=5,DEFAULT_INTERVAL=0;function retry(Ra,qa,Ja){var ed={times:DEFAULT_TIMES,intervalFunc:constant(DEFAULT_INTERVAL)};if(arguments.length<3&&typeof Ra=="function"?(Ja=qa||promiseCallback(),qa=Ra):(parseTimes(ed,Ra),Ja=Ja||promiseCallback()),typeof qa!="function")throw new Error("Invalid arguments for async.retry");var td=wrapAsync(qa),rd=1;function sd(){td((od,...ld)=>{od!==!1&&(od&&rd++<ed.times&&(typeof ed.errorFilter!="function"||ed.errorFilter(od))?setTimeout(sd,ed.intervalFunc(rd-1)):Ja(od,...ld))})}return sd(),Ja[PROMISE_SYMBOL]}function parseTimes(Ra,qa){if(typeof qa=="object")Ra.times=+qa.times||DEFAULT_TIMES,Ra.intervalFunc=typeof qa.interval=="function"?qa.interval:constant(+qa.interval||DEFAULT_INTERVAL),Ra.errorFilter=qa.errorFilter;else if(typeof qa=="number"||typeof qa=="string")Ra.times=+qa||DEFAULT_TIMES;else throw new Error("Invalid arguments for async.retry")}function retryable(Ra,qa){qa||(qa=Ra,Ra=null);let Ja=Ra&&Ra.arity||qa.length;isAsync(qa)&&(Ja+=1);var ed=wrapAsync(qa);return initialParams((td,rd)=>{(td.length<Ja-1||rd==null)&&(td.push(rd),rd=promiseCallback());function sd(od){ed(...td,od)}return Ra?retry(Ra,sd,rd):retry(sd,rd),rd[PROMISE_SYMBOL]})}function series(Ra,qa){return _parallel(eachOfSeries$1,Ra,qa)}function some(Ra,qa,Ja){return _createTester(Boolean,ed=>ed)(eachOf$1,Ra,qa,Ja)}var some$1=awaitify(some,3);function someLimit(Ra,qa,Ja,ed){return _createTester(Boolean,td=>td)(eachOfLimit$2(qa),Ra,Ja,ed)}var someLimit$1=awaitify(someLimit,4);function someSeries(Ra,qa,Ja){return _createTester(Boolean,ed=>ed)(eachOfSeries$1,Ra,qa,Ja)}var someSeries$1=awaitify(someSeries,3);function sortBy(Ra,qa,Ja){var ed=wrapAsync(qa);return map$1(Ra,(rd,sd)=>{ed(rd,(od,ld)=>{if(od)return sd(od);sd(od,{value:rd,criteria:ld})})},(rd,sd)=>{if(rd)return Ja(rd);Ja(null,sd.sort(td).map(od=>od.value))});function td(rd,sd){var od=rd.criteria,ld=sd.criteria;return od<ld?-1:od>ld?1:0}}var sortBy$1=awaitify(sortBy,3);function timeout(Ra,qa,Ja){var ed=wrapAsync(Ra);return initialParams((td,rd)=>{var sd=!1,od;function ld(){var cd=Ra.name||"anonymous",ud=new Error('Callback function "'+cd+'" timed out.');ud.code="ETIMEDOUT",Ja&&(ud.info=Ja),sd=!0,rd(ud)}td.push((...cd)=>{sd||(rd(...cd),clearTimeout(od))}),od=setTimeout(ld,qa),ed(...td)})}function range(Ra){for(var qa=Array(Ra);Ra--;)qa[Ra]=Ra;return qa}function timesLimit(Ra,qa,Ja,ed){var td=wrapAsync(Ja);return mapLimit$1(range(Ra),qa,td,ed)}function times(Ra,qa,Ja){return timesLimit(Ra,1/0,qa,Ja)}function timesSeries(Ra,qa,Ja){return timesLimit(Ra,1,qa,Ja)}function transform(Ra,qa,Ja,ed){arguments.length<=3&&typeof qa=="function"&&(ed=Ja,Ja=qa,qa=Array.isArray(Ra)?[]:{}),ed=once(ed||promiseCallback());var td=wrapAsync(Ja);return eachOf$1(Ra,(rd,sd,od)=>{td(qa,rd,sd,od)},rd=>ed(rd,qa)),ed[PROMISE_SYMBOL]}function tryEach(Ra,qa){var Ja=null,ed;return eachSeries$1(Ra,(td,rd)=>{wrapAsync(td)((sd,...od)=>{if(sd===!1)return rd(sd);od.length<2?[ed]=od:ed=od,Ja=sd,rd(sd?null:{})})},()=>qa(Ja,ed))}var tryEach$1=awaitify(tryEach);function unmemoize(Ra){return(...qa)=>(Ra.unmemoized||Ra)(...qa)}function whilst(Ra,qa,Ja){Ja=onlyOnce(Ja);var ed=wrapAsync(qa),td=wrapAsync(Ra),rd=[];function sd(ld,...cd){if(ld)return Ja(ld);rd=cd,ld!==!1&&td(od)}function od(ld,cd){if(ld)return Ja(ld);if(ld!==!1){if(!cd)return Ja(null,...rd);ed(sd)}}return td(od)}var whilst$1=awaitify(whilst,3);function until(Ra,qa,Ja){const ed=wrapAsync(Ra);return whilst$1(td=>ed((rd,sd)=>td(rd,!sd)),qa,Ja)}function waterfall(Ra,qa){if(qa=once(qa),!Array.isArray(Ra))return qa(new Error("First argument to waterfall must be an array of functions"));if(!Ra.length)return qa();var Ja=0;function ed(rd){var sd=wrapAsync(Ra[Ja++]);sd(...rd,onlyOnce(td))}function td(rd,...sd){if(rd!==!1){if(rd||Ja===Ra.length)return qa(rd,...sd);ed(sd)}}ed([])}var waterfall$1=awaitify(waterfall),index={apply,applyEach,applyEachSeries,asyncify,auto,autoInject,cargo:cargo$1,cargoQueue:cargo,compose,concat:concat$1,concatLimit:concatLimit$1,concatSeries:concatSeries$1,constant:constant$1,detect:detect$1,detectLimit:detectLimit$1,detectSeries:detectSeries$1,dir,doUntil,doWhilst:doWhilst$1,each,eachLimit:eachLimit$1,eachOf:eachOf$1,eachOfLimit:eachOfLimit$1,eachOfSeries:eachOfSeries$1,eachSeries:eachSeries$1,ensureAsync,every:every$1,everyLimit:everyLimit$1,everySeries:everySeries$1,filter:filter$1,filterLimit:filterLimit$1,filterSeries:filterSeries$1,forever:forever$1,groupBy,groupByLimit:groupByLimit$1,groupBySeries,log,map:map$1,mapLimit:mapLimit$1,mapSeries:mapSeries$1,mapValues,mapValuesLimit:mapValuesLimit$1,mapValuesSeries,memoize,nextTick,parallel,parallelLimit,priorityQueue,queue,race:race$1,reduce:reduce$1,reduceRight,reflect,reflectAll,reject:reject$1,rejectLimit:rejectLimit$1,rejectSeries:rejectSeries$1,retry,retryable,seq,series,setImmediate:setImmediate$1$1,some:some$1,someLimit:someLimit$1,someSeries:someSeries$1,sortBy:sortBy$1,timeout,times,timesLimit,timesSeries,transform,tryEach:tryEach$1,unmemoize,until,waterfall:waterfall$1,whilst:whilst$1,all:every$1,allLimit:everyLimit$1,allSeries:everySeries$1,any:some$1,anyLimit:someLimit$1,anySeries:someSeries$1,find:detect$1,findLimit:detectLimit$1,findSeries:detectSeries$1,flatMap:concat$1,flatMapLimit:concatLimit$1,flatMapSeries:concatSeries$1,forEach:each,forEachSeries:eachSeries$1,forEachLimit:eachLimit$1,forEachOf:eachOf$1,forEachOfSeries:eachOfSeries$1,forEachOfLimit:eachOfLimit$1,inject:reduce$1,foldl:reduce$1,foldr:reduceRight,select:filter$1,selectLimit:filterLimit$1,selectSeries:filterSeries$1,wrapSync:asyncify,during:whilst$1,doDuring:doWhilst$1},readableBrowser={exports:{}};(function(Ra,qa){qa=Ra.exports=require_stream_readable(),qa.Stream=qa,qa.Readable=qa,qa.Writable=require_stream_writable(),qa.Duplex=require_stream_duplex(),qa.Transform=_stream_transform,qa.PassThrough=_stream_passthrough,qa.finished=endOfStream,qa.pipeline=pipeline_1})(readableBrowser,readableBrowser.exports);var readableBrowserExports=readableBrowser.exports;const{Transform:Transform$1}=readableBrowserExports;class Block extends Transform$1{constructor(qa,Ja={}){super(Ja),typeof qa=="object"&&(Ja=qa,qa=Ja.size),this.size=qa||512;const{nopad:ed,zeroPadding:td=!0}=Ja;ed?this._zeroPadding=!1:this._zeroPadding=!!td,this._buffered=[],this._bufferedBytes=0}_transform(qa,Ja,ed){for(this._bufferedBytes+=qa.length,this._buffered.push(qa);this._bufferedBytes>=this.size;){this._bufferedBytes-=this.size;const td=[];let rd=0;for(;rd<this.size;){const sd=this._buffered.shift();if(rd+sd.length<=this.size)td.push(sd),rd+=sd.length;else{const od=this.size-rd;td.push(sd.slice(0,od)),rd+=od,this._buffered.unshift(sd.slice(od))}}this.push(Buffer$2.concat(td,this.size))}ed()}_flush(){if(this._bufferedBytes&&this._zeroPadding){const qa=Buffer$2.alloc(this.size-this._bufferedBytes);this._buffered.push(qa),this.push(Buffer$2.concat(this._buffered)),this._buffered=null}else this._bufferedBytes&&(this.push(Buffer$2.concat(this._buffered)),this._buffered=null);this.push(null)}}var blockStream2=Block;const BlockStream2=getDefaultExportFromCjs(blockStream2);var lodash={exports:{}};/**
|
||
* @license
|
||
* Lodash <https://lodash.com/>
|
||
* Copyright OpenJS Foundation and other contributors <https://openjsf.org/>
|
||
* Released under MIT license <https://lodash.com/license>
|
||
* Based on Underscore.js 1.8.3 <http://underscorejs.org/LICENSE>
|
||
* Copyright Jeremy Ashkenas, DocumentCloud and Investigative Reporters & Editors
|
||
*/lodash.exports;(function(Ra,qa){(function(){var Ja,ed="4.17.21",td=200,rd="Unsupported core-js use. Try https://npms.io/search?q=ponyfill.",sd="Expected a function",od="Invalid `variable` option passed into `_.template`",ld="__lodash_hash_undefined__",cd=500,ud="__lodash_placeholder__",_d=1,yd=2,gd=4,Ed=1,Td=2,kd=1,Rd=2,Nd=4,Id=8,Md=16,Ld=32,Pd=64,qd=128,Yd=256,Ud=512,Hd=30,Vd="...",Jd=800,Zd=16,pf=1,Xd=2,hf=3,_f=1/0,xf=9007199254740991,Lf=17976931348623157e292,Wf=NaN,Yf=4294967295,If=Yf-1,Sf=Yf>>>1,wf=[["ary",qd],["bind",kd],["bindKey",Rd],["curry",Id],["curryRight",Md],["flip",Ud],["partial",Ld],["partialRight",Pd],["rearg",Yd]],Kf="[object Arguments]",Gf="[object Array]",gf="[object AsyncFunction]",mf="[object Boolean]",$f="[object Date]",zf="[object DOMException]",hh="[object Error]",Vf="[object Function]",kf="[object GeneratorFunction]",Jf="[object Map]",Ch="[object Number]",qf="[object Null]",Tf="[object Object]",Af="[object Promise]",Pf="[object Proxy]",gh="[object RegExp]",Nh="[object Set]",dh="[object String]",$h="[object Symbol]",Rh="[object Undefined]",jh="[object WeakMap]",Zh="[object WeakSet]",Wh="[object ArrayBuffer]",sm="[object DataView]",fm="[object Float32Array]",ih="[object Float64Array]",Rf="[object Int8Array]",Df="[object Int16Array]",Zf="[object Int32Array]",bh="[object Uint8Array]",Lh="[object Uint8ClampedArray]",Hh="[object Uint16Array]",Xh="[object Uint32Array]",gm=/\b__p \+= '';/g,om=/\b(__p \+=) '' \+/g,Gm=/(__e\(.*?\)|\b__t\)) \+\n'';/g,Fm=/&(?:amp|lt|gt|quot|#39);/g,Om=/[&<>"']/g,Jm=RegExp(Fm.source),N1=RegExp(Om.source),R1=/<%-([\s\S]+?)%>/g,x1=/<%([\s\S]+?)%>/g,Xm=/<%=([\s\S]+?)%>/g,F1=/\.|\[(?:[^[\]]*|(["'])(?:(?!\1)[^\\]|\\.)*?\1)\]/,y1=/^\w*$/,V1=/[^.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\\]|\\.)*?)\2)\]|(?=(?:\.|\[\])(?:\.|\[\]|$))/g,Z1=/[\\^$.*+?()[\]{}|]/g,I1=RegExp(Z1.source),O1=/^\s+/,jm=/\s/,g1=/\{(?:\n\/\* \[wrapped with .+\] \*\/)?\n?/,l0=/\{\n\/\* \[wrapped with (.+)\] \*/,B1=/,? & /,yf=/[^\x00-\x2f\x3a-\x40\x5b-\x60\x7b-\x7f]+/g,ph=/[()=,{}\[\]\/\s]/,sh=/\\(\\)?/g,yh=/\$\{([^\\}]*(?:\\.[^\\}]*)*)\}/g,Bh=/\w*$/,Mh=/^[-+]0x[0-9a-f]+$/i,Gh=/^0b[01]+$/i,Fh=/^\[object .+?Constructor\]$/,Ah=/^0o[0-7]+$/i,cm=/^(?:0|[1-9]\d*)$/,um=/[\xc0-\xd6\xd8-\xf6\xf8-\xff\u0100-\u017f]/g,_m=/($^)/,Ff=/['\n\r\u2028\u2029\\]/g,$m="\\ud800-\\udfff",m1="\\u0300-\\u036f",f1="\\ufe20-\\ufe2f",a1="\\u20d0-\\u20ff",Dm=m1+f1+a1,v1="\\u2700-\\u27bf",u1="a-z\\xdf-\\xf6\\xf8-\\xff",o1="\\xac\\xb1\\xd7\\xf7",oh="\\x00-\\x2f\\x3a-\\x40\\x5b-\\x60\\x7b-\\xbf",Oh="\\u2000-\\u206f",mm=" \\t\\x0b\\f\\xa0\\ufeff\\n\\r\\u2028\\u2029\\u1680\\u180e\\u2000\\u2001\\u2002\\u2003\\u2004\\u2005\\u2006\\u2007\\u2008\\u2009\\u200a\\u202f\\u205f\\u3000",Lm="A-Z\\xc0-\\xd6\\xd8-\\xde",am="\\ufe0e\\ufe0f",Vm=o1+oh+Oh+mm,E1="['’]",r0="["+$m+"]",q1="["+Vm+"]",N0="["+Dm+"]",g0="\\d+",h1="["+v1+"]",U0="["+u1+"]",j1="[^"+$m+Vm+g0+v1+u1+Lm+"]",E0="\\ud83c[\\udffb-\\udfff]",d0="(?:"+N0+"|"+E0+")",e_="[^"+$m+"]",m_="(?:\\ud83c[\\udde6-\\uddff]){2}",T0="[\\ud800-\\udbff][\\udc00-\\udfff]",t_="["+Lm+"]",ag="\\u200d",G_="(?:"+U0+"|"+j1+")",s1="(?:"+t_+"|"+j1+")",__="(?:"+E1+"(?:d|ll|m|re|s|t|ve))?",b0="(?:"+E1+"(?:D|LL|M|RE|S|T|VE))?",sg=d0+"?",yg="["+am+"]?",g_="(?:"+ag+"(?:"+[e_,m_,T0].join("|")+")"+yg+sg+")*",A_="\\d*(?:1st|2nd|3rd|(?![123])\\dth)(?=\\b|[A-Z_])",p0="\\d*(?:1ST|2ND|3RD|(?![123])\\dTH)(?=\\b|[a-z_])",W0=yg+sg+g_,s_="(?:"+[h1,m_,T0].join("|")+")"+W0,r_="(?:"+[e_+N0+"?",N0,m_,T0,r0].join("|")+")",z_=RegExp(E1,"g"),gb=RegExp(N0,"g"),jg=RegExp(E0+"(?="+E0+")|"+r_+W0,"g"),bb=RegExp([t_+"?"+U0+"+"+__+"(?="+[q1,t_,"$"].join("|")+")",s1+"+"+b0+"(?="+[q1,t_+G_,"$"].join("|")+")",t_+"?"+G_+"+"+__,t_+"+"+b0,p0,A_,g0,s_].join("|"),"g"),Jb=RegExp("["+ag+$m+Dm+am+"]"),Ug=/[a-z][A-Z]|[A-Z]{2}[a-z]|[0-9][a-zA-Z]|[a-zA-Z][0-9]|[^a-zA-Z0-9 ]/,qg=["Array","Buffer","DataView","Date","Error","Float32Array","Float64Array","Function","Int8Array","Int16Array","Int32Array","Map","Math","Object","Promise","RegExp","Set","String","Symbol","TypeError","Uint8Array","Uint8ClampedArray","Uint16Array","Uint32Array","WeakMap","_","clearTimeout","isFinite","parseInt","setTimeout"],Hg=-1,zm={};zm[fm]=zm[ih]=zm[Rf]=zm[Df]=zm[Zf]=zm[bh]=zm[Lh]=zm[Hh]=zm[Xh]=!0,zm[Kf]=zm[Gf]=zm[Wh]=zm[mf]=zm[sm]=zm[$f]=zm[hh]=zm[Vf]=zm[Jf]=zm[Ch]=zm[Tf]=zm[gh]=zm[Nh]=zm[dh]=zm[jh]=!1;var Q1={};Q1[Kf]=Q1[Gf]=Q1[Wh]=Q1[sm]=Q1[mf]=Q1[$f]=Q1[fm]=Q1[ih]=Q1[Rf]=Q1[Df]=Q1[Zf]=Q1[Jf]=Q1[Ch]=Q1[Tf]=Q1[gh]=Q1[Nh]=Q1[dh]=Q1[$h]=Q1[bh]=Q1[Lh]=Q1[Hh]=Q1[Xh]=!0,Q1[hh]=Q1[Vf]=Q1[jh]=!1;var og={À:"A",Á:"A",Â:"A",Ã:"A",Ä:"A",Å:"A",à:"a",á:"a",â:"a",ã:"a",ä:"a",å:"a",Ç:"C",ç:"c",Ð:"D",ð:"d",È:"E",É:"E",Ê:"E",Ë:"E",è:"e",é:"e",ê:"e",ë:"e",Ì:"I",Í:"I",Î:"I",Ï:"I",ì:"i",í:"i",î:"i",ï:"i",Ñ:"N",ñ:"n",Ò:"O",Ó:"O",Ô:"O",Õ:"O",Ö:"O",Ø:"O",ò:"o",ó:"o",ô:"o",õ:"o",ö:"o",ø:"o",Ù:"U",Ú:"U",Û:"U",Ü:"U",ù:"u",ú:"u",û:"u",ü:"u",Ý:"Y",ý:"y",ÿ:"y",Æ:"Ae",æ:"ae",Þ:"Th",þ:"th",ß:"ss",Ā:"A",Ă:"A",Ą:"A",ā:"a",ă:"a",ą:"a",Ć:"C",Ĉ:"C",Ċ:"C",Č:"C",ć:"c",ĉ:"c",ċ:"c",č:"c",Ď:"D",Đ:"D",ď:"d",đ:"d",Ē:"E",Ĕ:"E",Ė:"E",Ę:"E",Ě:"E",ē:"e",ĕ:"e",ė:"e",ę:"e",ě:"e",Ĝ:"G",Ğ:"G",Ġ:"G",Ģ:"G",ĝ:"g",ğ:"g",ġ:"g",ģ:"g",Ĥ:"H",Ħ:"H",ĥ:"h",ħ:"h",Ĩ:"I",Ī:"I",Ĭ:"I",Į:"I",İ:"I",ĩ:"i",ī:"i",ĭ:"i",į:"i",ı:"i",Ĵ:"J",ĵ:"j",Ķ:"K",ķ:"k",ĸ:"k",Ĺ:"L",Ļ:"L",Ľ:"L",Ŀ:"L",Ł:"L",ĺ:"l",ļ:"l",ľ:"l",ŀ:"l",ł:"l",Ń:"N",Ņ:"N",Ň:"N",Ŋ:"N",ń:"n",ņ:"n",ň:"n",ŋ:"n",Ō:"O",Ŏ:"O",Ő:"O",ō:"o",ŏ:"o",ő:"o",Ŕ:"R",Ŗ:"R",Ř:"R",ŕ:"r",ŗ:"r",ř:"r",Ś:"S",Ŝ:"S",Ş:"S",Š:"S",ś:"s",ŝ:"s",ş:"s",š:"s",Ţ:"T",Ť:"T",Ŧ:"T",ţ:"t",ť:"t",ŧ:"t",Ũ:"U",Ū:"U",Ŭ:"U",Ů:"U",Ű:"U",Ų:"U",ũ:"u",ū:"u",ŭ:"u",ů:"u",ű:"u",ų:"u",Ŵ:"W",ŵ:"w",Ŷ:"Y",ŷ:"y",Ÿ:"Y",Ź:"Z",Ż:"Z",Ž:"Z",ź:"z",ż:"z",ž:"z",IJ:"IJ",ij:"ij",Œ:"Oe",œ:"oe",ʼn:"'n",ſ:"s"},Eg={"&":"&","<":"<",">":">",'"':""","'":"'"},Tg={"&":"&","<":"<",">":">",""":'"',"'":"'"},vb={"\\":"\\","'":"'","\n":"n","\r":"r","\u2028":"u2028","\u2029":"u2029"},yb=parseFloat,b_=parseInt,Eb=typeof commonjsGlobal=="object"&&commonjsGlobal&&commonjsGlobal.Object===Object&&commonjsGlobal,zg=typeof self=="object"&&self&&self.Object===Object&&self,W1=Eb||zg||Function("return this")(),Vg=qa&&!qa.nodeType&&qa,N_=Vg&&!0&&Ra&&!Ra.nodeType&&Ra,e0=N_&&N_.exports===Vg,n_=e0&&Eb.process,c0=function(){try{var Jh=N_&&N_.require&&N_.require("util").types;return Jh||n_&&n_.binding&&n_.binding("util")}catch{}}(),cg=c0&&c0.isArrayBuffer,i_=c0&&c0.isDate,V_=c0&&c0.isMap,Cf=c0&&c0.isRegExp,Mf=c0&&c0.isSet,Bf=c0&&c0.isTypedArray;function Pm(Jh,Tm,dm){switch(dm.length){case 0:return Jh.call(Tm);case 1:return Jh.call(Tm,dm[0]);case 2:return Jh.call(Tm,dm[0],dm[1]);case 3:return Jh.call(Tm,dm[0],dm[1],dm[2])}return Jh.apply(Tm,dm)}function d1(Jh,Tm,dm,i1){for(var w1=-1,H1=Jh==null?0:Jh.length;++w1<H1;){var D0=Jh[w1];Tm(i1,D0,dm(D0),Jh)}return i1}function A1(Jh,Tm){for(var dm=-1,i1=Jh==null?0:Jh.length;++dm<i1&&Tm(Jh[dm],dm,Jh)!==!1;);return Jh}function S0(Jh,Tm){for(var dm=Jh==null?0:Jh.length;dm--&&Tm(Jh[dm],dm,Jh)!==!1;);return Jh}function o_(Jh,Tm){for(var dm=-1,i1=Jh==null?0:Jh.length;++dm<i1;)if(!Tm(Jh[dm],dm,Jh))return!1;return!0}function l_(Jh,Tm){for(var dm=-1,i1=Jh==null?0:Jh.length,w1=0,H1=[];++dm<i1;){var D0=Jh[dm];Tm(D0,dm,Jh)&&(H1[w1++]=D0)}return H1}function Wg(Jh,Tm){var dm=Jh==null?0:Jh.length;return!!dm&&Gd(Jh,Tm,0)>-1}function Tb(Jh,Tm,dm){for(var i1=-1,w1=Jh==null?0:Jh.length;++i1<w1;)if(dm(Tm,Jh[i1]))return!0;return!1}function s0(Jh,Tm){for(var dm=-1,i1=Jh==null?0:Jh.length,w1=Array(i1);++dm<i1;)w1[dm]=Tm(Jh[dm],dm,Jh);return w1}function D_(Jh,Tm){for(var dm=-1,i1=Tm.length,w1=Jh.length;++dm<i1;)Jh[w1+dm]=Tm[dm];return Jh}function Zb(Jh,Tm,dm,i1){var w1=-1,H1=Jh==null?0:Jh.length;for(i1&&H1&&(dm=Jh[++w1]);++w1<H1;)dm=Tm(dm,Jh[w1],w1,Jh);return dm}function Av(Jh,Tm,dm,i1){var w1=Jh==null?0:Jh.length;for(i1&&w1&&(dm=Jh[--w1]);w1--;)dm=Tm(dm,Jh[w1],w1,Jh);return dm}function Sg(Jh,Tm){for(var dm=-1,i1=Jh==null?0:Jh.length;++dm<i1;)if(Tm(Jh[dm],dm,Jh))return!0;return!1}var v_=Th("length");function Nv(Jh){return Jh.split("")}function Ry(Jh){return Jh.match(yf)||[]}function jd(Jh,Tm,dm){var i1;return dm(Jh,function(w1,H1,D0){if(Tm(w1,H1,D0))return i1=H1,!1}),i1}function Od(Jh,Tm,dm,i1){for(var w1=Jh.length,H1=dm+(i1?1:-1);i1?H1--:++H1<w1;)if(Tm(Jh[H1],H1,Jh))return H1;return-1}function Gd(Jh,Tm,dm){return Tm===Tm?yE(Jh,Tm,dm):Od(Jh,Nf,dm)}function df(Jh,Tm,dm,i1){for(var w1=dm-1,H1=Jh.length;++w1<H1;)if(i1(Jh[w1],Tm))return w1;return-1}function Nf(Jh){return Jh!==Jh}function Xf(Jh,Tm){var dm=Jh==null?0:Jh.length;return dm?Sm(Jh,Tm)/dm:Wf}function Th(Jh){return function(Tm){return Tm==null?Ja:Tm[Jh]}}function Ih(Jh){return function(Tm){return Jh==null?Ja:Jh[Tm]}}function zh(Jh,Tm,dm,i1,w1){return w1(Jh,function(H1,D0,i0){dm=i1?(i1=!1,H1):Tm(dm,H1,D0,i0)}),dm}function Uh(Jh,Tm){var dm=Jh.length;for(Jh.sort(Tm);dm--;)Jh[dm]=Jh[dm].value;return Jh}function Sm(Jh,Tm){for(var dm,i1=-1,w1=Jh.length;++i1<w1;){var H1=Tm(Jh[i1]);H1!==Ja&&(dm=dm===Ja?H1:dm+H1)}return dm}function Wm(Jh,Tm){for(var dm=-1,i1=Array(Jh);++dm<Jh;)i1[dm]=Tm(dm);return i1}function Zm(Jh,Tm){return s0(Tm,function(dm){return[dm,Jh[dm]]})}function r1(Jh){return Jh&&Jh.slice(0,Oy(Jh)+1).replace(O1,"")}function C1(Jh){return function(Tm){return Jh(Tm)}}function f0(Jh,Tm){return s0(Tm,function(dm){return Jh[dm]})}function P1(Jh,Tm){return Jh.has(Tm)}function Y1(Jh,Tm){for(var dm=-1,i1=Jh.length;++dm<i1&&Gd(Tm,Jh[dm],0)>-1;);return dm}function O0(Jh,Tm){for(var dm=Jh.length;dm--&&Gd(Tm,Jh[dm],0)>-1;);return dm}function Yg(Jh,Tm){for(var dm=Jh.length,i1=0;dm--;)Jh[dm]===Tm&&++i1;return i1}var Sb=Ih(og),W_=Ih(Eg);function Kg(Jh){return"\\"+vb[Jh]}function Iy(Jh,Tm){return Jh==null?Ja:Jh[Tm]}function xb(Jh){return Jb.test(Jh)}function bE(Jh){return Ug.test(Jh)}function E2(Jh){for(var Tm,dm=[];!(Tm=Jh.next()).done;)dm.push(Tm.value);return dm}function Dv(Jh){var Tm=-1,dm=Array(Jh.size);return Jh.forEach(function(i1,w1){dm[++Tm]=[w1,i1]}),dm}function Rv(Jh,Tm){return function(dm){return Jh(Tm(dm))}}function c_(Jh,Tm){for(var dm=-1,i1=Jh.length,w1=0,H1=[];++dm<i1;){var D0=Jh[dm];(D0===Tm||D0===ud)&&(Jh[dm]=ud,H1[w1++]=dm)}return H1}function u_(Jh){var Tm=-1,dm=Array(Jh.size);return Jh.forEach(function(i1){dm[++Tm]=i1}),dm}function vE(Jh){var Tm=-1,dm=Array(Jh.size);return Jh.forEach(function(i1){dm[++Tm]=[i1,i1]}),dm}function yE(Jh,Tm,dm){for(var i1=dm-1,w1=Jh.length;++i1<w1;)if(Jh[i1]===Tm)return i1;return-1}function T2(Jh,Tm,dm){for(var i1=dm+1;i1--;)if(Jh[i1]===Tm)return i1;return i1}function Cb(Jh){return xb(Jh)?ev(Jh):v_(Jh)}function R_(Jh){return xb(Jh)?SE(Jh):Nv(Jh)}function Oy(Jh){for(var Tm=Jh.length;Tm--&&jm.test(Jh.charAt(Tm)););return Tm}var TE=Ih(Tg);function ev(Jh){for(var Tm=jg.lastIndex=0;jg.test(Jh);)++Tm;return Tm}function SE(Jh){return Jh.match(jg)||[]}function xE(Jh){return Jh.match(bb)||[]}var $y=function Jh(Tm){Tm=Tm==null?W1:ug.defaults(W1.Object(),Tm,ug.pick(W1,qg));var dm=Tm.Array,i1=Tm.Date,w1=Tm.Error,H1=Tm.Function,D0=Tm.Math,i0=Tm.Object,tv=Tm.RegExp,S2=Tm.String,y_=Tm.TypeError,Iv=dm.prototype,Xg=H1.prototype,I_=i0.prototype,dg=Tm["__core-js_shared__"],wb=Xg.toString,J1=I_.hasOwnProperty,CE=0,kv=function(){var Fd=/[^.]+$/.exec(dg&&dg.keys&&dg.keys.IE_PROTO||"");return Fd?"Symbol(src)_1."+Fd:""}(),Y_=I_.toString,Qg=wb.call(i0),J0=W1._,xg=tv("^"+wb.call(J1).replace(Z1,"\\$&").replace(/hasOwnProperty|(function).*?(?=\\\()| for .+?(?=\\\])/g,"$1.*?")+"$"),k_=e0?Tm.Buffer:Ja,O_=Tm.Symbol,Ov=Tm.Uint8Array,My=k_?k_.allocUnsafe:Ja,Cg=Rv(i0.getPrototypeOf,i0),Ly=i0.create,$v=I_.propertyIsEnumerable,Jg=Iv.splice,wE=O_?O_.isConcatSpreadable:Ja,Zg=O_?O_.iterator:Ja,wg=O_?O_.toStringTag:Ja,Ab=function(){try{var Fd=Mg(i0,"defineProperty");return Fd({},"",{}),Fd}catch{}}(),By=Tm.clearTimeout!==W1.clearTimeout&&Tm.clearTimeout,Nb=i1&&i1.now!==W1.Date.now&&i1.now,Mv=Tm.setTimeout!==W1.setTimeout&&Tm.setTimeout,rv=D0.ceil,eb=D0.floor,Py=i0.getOwnPropertySymbols,x2=k_?k_.isBuffer:Ja,AE=Tm.isFinite,Fy=Iv.join,jy=Rv(i0.keys,i0),u0=D0.max,R0=D0.min,C2=i1.now,Lv=Tm.parseInt,Uy=D0.random,nv=Iv.reverse,Bv=Mg(Tm,"DataView"),$_=Mg(Tm,"Map"),tb=Mg(Tm,"Promise"),E_=Mg(Tm,"Set"),pg=Mg(Tm,"WeakMap"),K_=Mg(i0,"create"),iv=pg&&new pg,rb={},NE=x0(Bv),w2=x0($_),nb=x0(tb),ib=x0(E_),A2=x0(pg),Pv=O_?O_.prototype:Ja,av=Pv?Pv.valueOf:Ja,DE=Pv?Pv.toString:Ja;function wh(Fd){if(k0(Fd)&&!k1(Fd)&&!(Fd instanceof b1)){if(Fd instanceof t0)return Fd;if(J1.call(Fd,"__wrapped__"))return K0(Fd)}return new t0(Fd)}var Db=function(){function Fd(){}return function(Kd){if(!A0(Kd))return{};if(Ly)return Ly(Kd);Fd.prototype=Kd;var bf=new Fd;return Fd.prototype=Ja,bf}}();function z0(){}function t0(Fd,Kd){this.__wrapped__=Fd,this.__actions__=[],this.__chain__=!!Kd,this.__index__=0,this.__values__=Ja}wh.templateSettings={escape:R1,evaluate:x1,interpolate:Xm,variable:"",imports:{_:wh}},wh.prototype=z0.prototype,wh.prototype.constructor=wh,t0.prototype=Db(z0.prototype),t0.prototype.constructor=t0;function b1(Fd){this.__wrapped__=Fd,this.__actions__=[],this.__dir__=1,this.__filtered__=!1,this.__iteratees__=[],this.__takeCount__=Yf,this.__views__=[]}function $0(){var Fd=new b1(this.__wrapped__);return Fd.__actions__=a_(this.__actions__),Fd.__dir__=this.__dir__,Fd.__filtered__=this.__filtered__,Fd.__iteratees__=a_(this.__iteratees__),Fd.__takeCount__=this.__takeCount__,Fd.__views__=a_(this.__views__),Fd}function sv(){if(this.__filtered__){var Fd=new b1(this);Fd.__dir__=-1,Fd.__filtered__=!0}else Fd=this.clone(),Fd.__dir__*=-1;return Fd}function N2(){var Fd=this.__wrapped__.value(),Kd=this.__dir__,bf=k1(Fd),jf=Kd<0,_h=bf?Fd.length:0,Dh=K2(0,_h,this.__views__),Vh=Dh.start,Yh=Dh.end,im=Yh-Vh,Rm=jf?Yh:Vh-1,Mm=this.__iteratees__,Um=Mm.length,t1=0,p1=R0(im,this.__takeCount__);if(!bf||!jf&&_h==im&&p1==im)return fv(Fd,this.__actions__);var T1=[];e:for(;im--&&t1<p1;){Rm+=Kd;for(var L1=-1,S1=Fd[Rm];++L1<Um;){var U1=Mm[L1],z1=U1.iteratee,q_=U1.type,h_=z1(S1);if(q_==Xd)S1=h_;else if(!h_){if(q_==pf)continue e;break e}}T1[t1++]=S1}return T1}b1.prototype=Db(z0.prototype),b1.prototype.constructor=b1;function X_(Fd){var Kd=-1,bf=Fd==null?0:Fd.length;for(this.clear();++Kd<bf;){var jf=Fd[Kd];this.set(jf[0],jf[1])}}function D2(){this.__data__=K_?K_(null):{},this.size=0}function R2(Fd){var Kd=this.has(Fd)&&delete this.__data__[Fd];return this.size-=Kd?1:0,Kd}function I2(Fd){var Kd=this.__data__;if(K_){var bf=Kd[Fd];return bf===ld?Ja:bf}return J1.call(Kd,Fd)?Kd[Fd]:Ja}function qy(Fd){var Kd=this.__data__;return K_?Kd[Fd]!==Ja:J1.call(Kd,Fd)}function RE(Fd,Kd){var bf=this.__data__;return this.size+=this.has(Fd)?0:1,bf[Fd]=K_&&Kd===Ja?ld:Kd,this}X_.prototype.clear=D2,X_.prototype.delete=R2,X_.prototype.get=I2,X_.prototype.has=qy,X_.prototype.set=RE;function M_(Fd){var Kd=-1,bf=Fd==null?0:Fd.length;for(this.clear();++Kd<bf;){var jf=Fd[Kd];this.set(jf[0],jf[1])}}function k2(){this.__data__=[],this.size=0}function Hy(Fd){var Kd=this.__data__,bf=Ib(Kd,Fd);if(bf<0)return!1;var jf=Kd.length-1;return bf==jf?Kd.pop():Jg.call(Kd,bf,1),--this.size,!0}function Gy(Fd){var Kd=this.__data__,bf=Ib(Kd,Fd);return bf<0?Ja:Kd[bf][1]}function IE(Fd){return Ib(this.__data__,Fd)>-1}function kE(Fd,Kd){var bf=this.__data__,jf=Ib(bf,Fd);return jf<0?(++this.size,bf.push([Fd,Kd])):bf[jf][1]=Kd,this}M_.prototype.clear=k2,M_.prototype.delete=Hy,M_.prototype.get=Gy,M_.prototype.has=IE,M_.prototype.set=kE;function L_(Fd){var Kd=-1,bf=Fd==null?0:Fd.length;for(this.clear();++Kd<bf;){var jf=Fd[Kd];this.set(jf[0],jf[1])}}function OE(){this.size=0,this.__data__={hash:new X_,map:new($_||M_),string:new X_}}function ov(Fd){var Kd=xv(this,Fd).delete(Fd);return this.size-=Kd?1:0,Kd}function O2(Fd){return xv(this,Fd).get(Fd)}function Fv(Fd){return xv(this,Fd).has(Fd)}function fg(Fd,Kd){var bf=xv(this,Fd),jf=bf.size;return bf.set(Fd,Kd),this.size+=bf.size==jf?0:1,this}L_.prototype.clear=OE,L_.prototype.delete=ov,L_.prototype.get=O2,L_.prototype.has=Fv,L_.prototype.set=fg;function Ag(Fd){var Kd=-1,bf=Fd==null?0:Fd.length;for(this.__data__=new L_;++Kd<bf;)this.add(Fd[Kd])}function $2(Fd){return this.__data__.set(Fd,ld),this}function $E(Fd){return this.__data__.has(Fd)}Ag.prototype.add=Ag.prototype.push=$2,Ag.prototype.has=$E;function h0(Fd){var Kd=this.__data__=new M_(Fd);this.size=Kd.size}function K1(){this.__data__=new M_,this.size=0}function B_(Fd){var Kd=this.__data__,bf=Kd.delete(Fd);return this.size=Kd.size,bf}function Ng(Fd){return this.__data__.get(Fd)}function Dg(Fd){return this.__data__.has(Fd)}function ME(Fd,Kd){var bf=this.__data__;if(bf instanceof M_){var jf=bf.__data__;if(!$_||jf.length<td-1)return jf.push([Fd,Kd]),this.size=++bf.size,this;bf=this.__data__=new L_(jf)}return bf.set(Fd,Kd),this.size=bf.size,this}h0.prototype.clear=K1,h0.prototype.delete=B_,h0.prototype.get=Ng,h0.prototype.has=Dg,h0.prototype.set=ME;function jv(Fd,Kd){var bf=k1(Fd),jf=!bf&&Cv(Fd),_h=!bf&&!jf&&Qb(Fd),Dh=!bf&&!jf&&!_h&&ay(Fd),Vh=bf||jf||_h||Dh,Yh=Vh?Wm(Fd.length,S2):[],im=Yh.length;for(var Rm in Fd)(Kd||J1.call(Fd,Rm))&&!(Vh&&(Rm=="length"||_h&&(Rm=="offset"||Rm=="parent")||Dh&&(Rm=="buffer"||Rm=="byteLength"||Rm=="byteOffset")||Wd(Rm,im)))&&Yh.push(Rm);return Yh}function zy(Fd){var Kd=Fd.length;return Kd?Fd[zv(0,Kd-1)]:Ja}function M2(Fd,Kd){return y0(a_(Fd),mg(Kd,0,Fd.length))}function Vy(Fd){return y0(a_(Fd))}function ab(Fd,Kd,bf){(bf!==Ja&&!bg(Fd[Kd],bf)||bf===Ja&&!(Kd in Fd))&&hg(Fd,Kd,bf)}function Rb(Fd,Kd,bf){var jf=Fd[Kd];(!(J1.call(Fd,Kd)&&bg(jf,bf))||bf===Ja&&!(Kd in Fd))&&hg(Fd,Kd,bf)}function Ib(Fd,Kd){for(var bf=Fd.length;bf--;)if(bg(Fd[bf][0],Kd))return bf;return-1}function Rg(Fd,Kd,bf,jf){return P_(Fd,function(_h,Dh,Vh){Kd(jf,_h,bf(_h),Vh)}),jf}function Wy(Fd,Kd){return Fd&&j_(Kd,Q0(Kd),Fd)}function LE(Fd,Kd){return Fd&&j_(Kd,C_(Kd),Fd)}function hg(Fd,Kd,bf){Kd=="__proto__"&&Ab?Ab(Fd,Kd,{configurable:!0,enumerable:!0,value:bf,writable:!0}):Fd[Kd]=bf}function kb(Fd,Kd){for(var bf=-1,jf=Kd.length,_h=dm(jf),Dh=Fd==null;++bf<jf;)_h[bf]=Dh?Ja:nT(Fd,Kd[bf]);return _h}function mg(Fd,Kd,bf){return Fd===Fd&&(bf!==Ja&&(Fd=Fd<=bf?Fd:bf),Kd!==Ja&&(Fd=Fd>=Kd?Fd:Kd)),Fd}function d_(Fd,Kd,bf,jf,_h,Dh){var Vh,Yh=Kd&_d,im=Kd&yd,Rm=Kd&gd;if(bf&&(Vh=_h?bf(Fd,jf,_h,Dh):bf(Fd)),Vh!==Ja)return Vh;if(!A0(Fd))return Fd;var Mm=k1(Fd);if(Mm){if(Vh=X2(Fd),!Yh)return a_(Fd,Vh)}else{var Um=B0(Fd),t1=Um==Vf||Um==kf;if(Qb(Fd))return iE(Fd,Yh);if(Um==Tf||Um==Kf||t1&&!_h){if(Vh=im||t1?{}:p2(Fd),!Yh)return im?z2(Fd,LE(Vh,Fd)):r2(Fd,Wy(Vh,Fd))}else{if(!Q1[Um])return _h?Fd:{};Vh=iy(Fd,Um,Yh)}}Dh||(Dh=new h0);var p1=Dh.get(Fd);if(p1)return p1;Dh.set(Fd,Vh),kT(Fd)?Fd.forEach(function(S1){Vh.add(d_(S1,Kd,bf,S1,Fd,Dh))}):RT(Fd)&&Fd.forEach(function(S1,U1){Vh.set(U1,d_(S1,Kd,bf,U1,Fd,Dh))});var T1=Rm?im?ny:ry:im?C_:Q0,L1=Mm?Ja:T1(Fd);return A1(L1||Fd,function(S1,U1){L1&&(U1=S1,S1=Fd[U1]),Rb(Vh,U1,d_(S1,Kd,bf,U1,Fd,Dh))}),Vh}function L2(Fd){var Kd=Q0(Fd);return function(bf){return Yy(bf,Fd,Kd)}}function Yy(Fd,Kd,bf){var jf=bf.length;if(Fd==null)return!jf;for(Fd=i0(Fd);jf--;){var _h=bf[jf],Dh=Kd[_h],Vh=Fd[_h];if(Vh===Ja&&!(_h in Fd)||!Dh(Vh))return!1}return!0}function BE(Fd,Kd,bf){if(typeof Fd!="function")throw new y_(sd);return c1(function(){Fd.apply(Ja,bf)},Kd)}function lv(Fd,Kd,bf,jf){var _h=-1,Dh=Wg,Vh=!0,Yh=Fd.length,im=[],Rm=Kd.length;if(!Yh)return im;bf&&(Kd=s0(Kd,C1(bf))),jf?(Dh=Tb,Vh=!1):Kd.length>=td&&(Dh=P1,Vh=!1,Kd=new Ag(Kd));e:for(;++_h<Yh;){var Mm=Fd[_h],Um=bf==null?Mm:bf(Mm);if(Mm=jf||Mm!==0?Mm:0,Vh&&Um===Um){for(var t1=Rm;t1--;)if(Kd[t1]===Um)continue e;im.push(Mm)}else Dh(Kd,Um,jf)||im.push(Mm)}return im}var P_=zb(T_),Ky=zb(qv,!0);function B2(Fd,Kd){var bf=!0;return P_(Fd,function(jf,_h,Dh){return bf=!!Kd(jf,_h,Dh),bf}),bf}function Uv(Fd,Kd,bf){for(var jf=-1,_h=Fd.length;++jf<_h;){var Dh=Fd[jf],Vh=Kd(Dh);if(Vh!=null&&(Yh===Ja?Vh===Vh&&!U_(Vh):bf(Vh,Yh)))var Yh=Vh,im=Dh}return im}function PE(Fd,Kd,bf,jf){var _h=Fd.length;for(bf=M1(bf),bf<0&&(bf=-bf>_h?0:_h+bf),jf=jf===Ja||jf>_h?_h:M1(jf),jf<0&&(jf+=_h),jf=bf>jf?0:$T(jf);bf<jf;)Fd[bf++]=Kd;return Fd}function sb(Fd,Kd){var bf=[];return P_(Fd,function(jf,_h,Dh){Kd(jf,_h,Dh)&&bf.push(jf)}),bf}function M0(Fd,Kd,bf,jf,_h){var Dh=-1,Vh=Fd.length;for(bf||(bf=Bd),_h||(_h=[]);++Dh<Vh;){var Yh=Fd[Dh];Kd>0&&bf(Yh)?Kd>1?M0(Yh,Kd-1,bf,jf,_h):D_(_h,Yh):jf||(_h[_h.length]=Yh)}return _h}var $b=n2(),Xy=n2(!0);function T_(Fd,Kd){return Fd&&$b(Fd,Kd,Q0)}function qv(Fd,Kd){return Fd&&Xy(Fd,Kd,Q0)}function Hv(Fd,Kd){return l_(Kd,function(bf){return fb(Fd[bf])})}function Ig(Fd,Kd){Kd=$g(Kd,Fd);for(var bf=0,jf=Kd.length;Fd!=null&&bf<jf;)Fd=Fd[m0(Kd[bf++])];return bf&&bf==jf?Fd:Ja}function q0(Fd,Kd,bf){var jf=Kd(Fd);return k1(Fd)?jf:D_(jf,bf(Fd))}function H0(Fd){return Fd==null?Fd===Ja?Rh:qf:wg&&wg in i0(Fd)?Y2(Fd):Nm(Fd)}function Gv(Fd,Kd){return Fd>Kd}function FE(Fd,Kd){return Fd!=null&&J1.call(Fd,Kd)}function jE(Fd,Kd){return Fd!=null&&Kd in i0(Fd)}function Mb(Fd,Kd,bf){return Fd>=R0(Kd,bf)&&Fd<u0(Kd,bf)}function Lb(Fd,Kd,bf){for(var jf=bf?Tb:Wg,_h=Fd[0].length,Dh=Fd.length,Vh=Dh,Yh=dm(Dh),im=1/0,Rm=[];Vh--;){var Mm=Fd[Vh];Vh&&Kd&&(Mm=s0(Mm,C1(Kd))),im=R0(Mm.length,im),Yh[Vh]=!bf&&(Kd||_h>=120&&Mm.length>=120)?new Ag(Vh&&Mm):Ja}Mm=Fd[0];var Um=-1,t1=Yh[0];e:for(;++Um<_h&&Rm.length<im;){var p1=Mm[Um],T1=Kd?Kd(p1):p1;if(p1=bf||p1!==0?p1:0,!(t1?P1(t1,T1):jf(Rm,T1,bf))){for(Vh=Dh;--Vh;){var L1=Yh[Vh];if(!(L1?P1(L1,T1):jf(Fd[Vh],T1,bf)))continue e}t1&&t1.push(T1),Rm.push(p1)}}return Rm}function UE(Fd,Kd,bf,jf){return T_(Fd,function(_h,Dh,Vh){Kd(jf,bf(_h),Dh,Vh)}),jf}function Bb(Fd,Kd,bf){Kd=$g(Kd,Fd),Fd=Km(Fd,Kd);var jf=Fd==null?Fd:Fd[m0(ng(Kd))];return jf==null?Ja:Pm(jf,Fd,bf)}function Qy(Fd){return k0(Fd)&&H0(Fd)==Kf}function Pb(Fd){return k0(Fd)&&H0(Fd)==Wh}function qE(Fd){return k0(Fd)&&H0(Fd)==$f}function kg(Fd,Kd,bf,jf,_h){return Fd===Kd?!0:Fd==null||Kd==null||!k0(Fd)&&!k0(Kd)?Fd!==Fd&&Kd!==Kd:HE(Fd,Kd,bf,jf,kg,_h)}function HE(Fd,Kd,bf,jf,_h,Dh){var Vh=k1(Fd),Yh=k1(Kd),im=Vh?Gf:B0(Fd),Rm=Yh?Gf:B0(Kd);im=im==Kf?Tf:im,Rm=Rm==Kf?Tf:Rm;var Mm=im==Tf,Um=Rm==Tf,t1=im==Rm;if(t1&&Qb(Fd)){if(!Qb(Kd))return!1;Vh=!0,Mm=!1}if(t1&&!Mm)return Dh||(Dh=new h0),Vh||ay(Fd)?S_(Fd,Kd,bf,jf,_h,Dh):ty(Fd,Kd,im,bf,jf,_h,Dh);if(!(bf&Ed)){var p1=Mm&&J1.call(Fd,"__wrapped__"),T1=Um&&J1.call(Kd,"__wrapped__");if(p1||T1){var L1=p1?Fd.value():Fd,S1=T1?Kd.value():Kd;return Dh||(Dh=new h0),_h(L1,S1,bf,jf,Dh)}}return t1?(Dh||(Dh=new h0),pE(Fd,Kd,bf,jf,_h,Dh)):!1}function cv(Fd){return k0(Fd)&&B0(Fd)==Jf}function Jy(Fd,Kd,bf,jf){var _h=bf.length,Dh=_h,Vh=!jf;if(Fd==null)return!Dh;for(Fd=i0(Fd);_h--;){var Yh=bf[_h];if(Vh&&Yh[2]?Yh[1]!==Fd[Yh[0]]:!(Yh[0]in Fd))return!1}for(;++_h<Dh;){Yh=bf[_h];var im=Yh[0],Rm=Fd[im],Mm=Yh[1];if(Vh&&Yh[2]){if(Rm===Ja&&!(im in Fd))return!1}else{var Um=new h0;if(jf)var t1=jf(Rm,Mm,im,Fd,Kd,Um);if(!(t1===Ja?kg(Mm,Rm,Ed|Td,jf,Um):t1))return!1}}return!0}function ob(Fd){if(!A0(Fd)||Uf(Fd))return!1;var Kd=fb(Fd)?xg:Fh;return Kd.test(x0(Fd))}function GE(Fd){return k0(Fd)&&H0(Fd)==gh}function zE(Fd){return k0(Fd)&&B0(Fd)==Nh}function VE(Fd){return k0(Fd)&&b2(Fd.length)&&!!zm[H0(Fd)]}function Fb(Fd){return typeof Fd=="function"?Fd:Fd==null?w_:typeof Fd=="object"?k1(Fd)?eE(Fd[0],Fd[1]):WE(Fd):WT(Fd)}function lb(Fd){if(!uh(Fd))return jy(Fd);var Kd=[];for(var bf in i0(Fd))J1.call(Fd,bf)&&bf!="constructor"&&Kd.push(bf);return Kd}function uv(Fd){if(!A0(Fd))return hm(Fd);var Kd=uh(Fd),bf=[];for(var jf in Fd)jf=="constructor"&&(Kd||!J1.call(Fd,jf))||bf.push(jf);return bf}function cb(Fd,Kd){return Fd<Kd}function Zy(Fd,Kd){var bf=-1,jf=x_(Fd)?dm(Fd.length):[];return P_(Fd,function(_h,Dh,Vh){jf[++bf]=Kd(_h,Dh,Vh)}),jf}function WE(Fd){var Kd=X1(Fd);return Kd.length==1&&Kd[0][2]?qh(Kd[0][0],Kd[0][1]):function(bf){return bf===Fd||Jy(bf,Fd,Kd)}}function eE(Fd,Kd){return Qd(Fd)&&kh(Kd)?qh(m0(Fd),Kd):function(bf){var jf=nT(bf,Fd);return jf===Ja&&jf===Kd?iT(bf,Fd):kg(Kd,jf,Ed|Td)}}function F_(Fd,Kd,bf,jf,_h){Fd!==Kd&&$b(Kd,function(Dh,Vh){if(_h||(_h=new h0),A0(Dh))jb(Fd,Kd,Vh,bf,F_,jf,_h);else{var Yh=jf?jf(Ym(Fd,Vh),Dh,Vh+"",Fd,Kd,_h):Ja;Yh===Ja&&(Yh=Dh),ab(Fd,Vh,Yh)}},C_)}function jb(Fd,Kd,bf,jf,_h,Dh,Vh){var Yh=Ym(Fd,bf),im=Ym(Kd,bf),Rm=Vh.get(im);if(Rm){ab(Fd,bf,Rm);return}var Mm=Dh?Dh(Yh,im,bf+"",Fd,Kd,Vh):Ja,Um=Mm===Ja;if(Um){var t1=k1(im),p1=!t1&&Qb(im),T1=!t1&&!p1&&ay(im);Mm=im,t1||p1||T1?k1(Yh)?Mm=Yh:F0(Yh)?Mm=a_(Yh):p1?(Um=!1,Mm=iE(im,!0)):T1?(Um=!1,Mm=aE(im,!0)):Mm=[]:mE(im)||Cv(im)?(Mm=Yh,Cv(Yh)?Mm=MT(Yh):(!A0(Yh)||fb(Yh))&&(Mm=p2(im))):Um=!1}Um&&(Vh.set(im,Mm),_h(Mm,im,jf,Dh,Vh),Vh.delete(im)),ab(Fd,bf,Mm)}function Ub(Fd,Kd){var bf=Fd.length;if(bf)return Kd+=Kd<0?bf:0,Wd(Kd,bf)?Fd[Kd]:Ja}function YE(Fd,Kd,bf){Kd.length?Kd=s0(Kd,function(Dh){return k1(Dh)?function(Vh){return Ig(Vh,Dh.length===1?Dh[0]:Dh)}:Dh}):Kd=[w_];var jf=-1;Kd=s0(Kd,C1(_1()));var _h=Zy(Fd,function(Dh,Vh,Yh){var im=s0(Kd,function(Rm){return Rm(Dh)});return{criteria:im,index:++jf,value:Dh}});return Uh(_h,function(Dh,Vh){return G2(Dh,Vh,bf)})}function KE(Fd,Kd){return XE(Fd,Kd,function(bf,jf){return iT(Fd,jf)})}function XE(Fd,Kd,bf){for(var jf=-1,_h=Kd.length,Dh={};++jf<_h;){var Vh=Kd[jf],Yh=Ig(Fd,Vh);bf(Yh,Vh)&&qb(Dh,$g(Vh,Fd),Yh)}return Dh}function P2(Fd){return function(Kd){return Ig(Kd,Fd)}}function tE(Fd,Kd,bf,jf){var _h=jf?df:Gd,Dh=-1,Vh=Kd.length,Yh=Fd;for(Fd===Kd&&(Kd=a_(Kd)),bf&&(Yh=s0(Fd,C1(bf)));++Dh<Vh;)for(var im=0,Rm=Kd[Dh],Mm=bf?bf(Rm):Rm;(im=_h(Yh,Mm,im,jf))>-1;)Yh!==Fd&&Jg.call(Yh,im,1),Jg.call(Fd,im,1);return Fd}function Y0(Fd,Kd){for(var bf=Fd?Kd.length:0,jf=bf-1;bf--;){var _h=Kd[bf];if(bf==jf||_h!==Dh){var Dh=_h;Wd(_h)?Jg.call(Fd,_h,1):Vv(Fd,_h)}}return Fd}function zv(Fd,Kd){return Fd+eb(Uy()*(Kd-Fd+1))}function F2(Fd,Kd,bf,jf){for(var _h=-1,Dh=u0(rv((Kd-Fd)/(bf||1)),0),Vh=dm(Dh);Dh--;)Vh[jf?Dh:++_h]=Fd,Fd+=bf;return Vh}function rE(Fd,Kd){var bf="";if(!Fd||Kd<1||Kd>xf)return bf;do Kd%2&&(bf+=Fd),Kd=eb(Kd/2),Kd&&(Fd+=Fd);while(Kd);return bf}function $1(Fd,Kd){return l1(Hm(Fd,Kd,w_),Fd+"")}function QE(Fd){return zy(sy(Fd))}function JE(Fd,Kd){var bf=sy(Fd);return y0(bf,mg(Kd,0,bf.length))}function qb(Fd,Kd,bf,jf){if(!A0(Fd))return Fd;Kd=$g(Kd,Fd);for(var _h=-1,Dh=Kd.length,Vh=Dh-1,Yh=Fd;Yh!=null&&++_h<Dh;){var im=m0(Kd[_h]),Rm=bf;if(im==="__proto__"||im==="constructor"||im==="prototype")return Fd;if(_h!=Vh){var Mm=Yh[im];Rm=jf?jf(Mm,im,Yh):Ja,Rm===Ja&&(Rm=A0(Mm)?Mm:Wd(Kd[_h+1])?[]:{})}Rb(Yh,im,Rm),Yh=Yh[im]}return Fd}var ZE=iv?function(Fd,Kd){return iv.set(Fd,Kd),Fd}:w_,j2=Ab?function(Fd,Kd){return Ab(Fd,"toString",{configurable:!0,enumerable:!1,value:sT(Kd),writable:!0})}:w_;function U2(Fd){return y0(sy(Fd))}function L0(Fd,Kd,bf){var jf=-1,_h=Fd.length;Kd<0&&(Kd=-Kd>_h?0:_h+Kd),bf=bf>_h?_h:bf,bf<0&&(bf+=_h),_h=Kd>bf?0:bf-Kd>>>0,Kd>>>=0;for(var Dh=dm(_h);++jf<_h;)Dh[jf]=Fd[jf+Kd];return Dh}function dv(Fd,Kd){var bf;return P_(Fd,function(jf,_h,Dh){return bf=Kd(jf,_h,Dh),!bf}),!!bf}function ub(Fd,Kd,bf){var jf=0,_h=Fd==null?jf:Fd.length;if(typeof Kd=="number"&&Kd===Kd&&_h<=Sf){for(;jf<_h;){var Dh=jf+_h>>>1,Vh=Fd[Dh];Vh!==null&&!U_(Vh)&&(bf?Vh<=Kd:Vh<Kd)?jf=Dh+1:_h=Dh}return _h}return db(Fd,Kd,w_,bf)}function db(Fd,Kd,bf,jf){var _h=0,Dh=Fd==null?0:Fd.length;if(Dh===0)return 0;Kd=bf(Kd);for(var Vh=Kd!==Kd,Yh=Kd===null,im=U_(Kd),Rm=Kd===Ja;_h<Dh;){var Mm=eb((_h+Dh)/2),Um=bf(Fd[Mm]),t1=Um!==Ja,p1=Um===null,T1=Um===Um,L1=U_(Um);if(Vh)var S1=jf||T1;else Rm?S1=T1&&(jf||t1):Yh?S1=T1&&t1&&(jf||!p1):im?S1=T1&&t1&&!p1&&(jf||!L1):p1||L1?S1=!1:S1=jf?Um<=Kd:Um<Kd;S1?_h=Mm+1:Dh=Mm}return R0(Dh,If)}function Q_(Fd,Kd){for(var bf=-1,jf=Fd.length,_h=0,Dh=[];++bf<jf;){var Vh=Fd[bf],Yh=Kd?Kd(Vh):Vh;if(!bf||!bg(Yh,im)){var im=Yh;Dh[_h++]=Vh===0?0:Vh}}return Dh}function e2(Fd){return typeof Fd=="number"?Fd:U_(Fd)?Wf:+Fd}function p_(Fd){if(typeof Fd=="string")return Fd;if(k1(Fd))return s0(Fd,p_)+"";if(U_(Fd))return DE?DE.call(Fd):"";var Kd=Fd+"";return Kd=="0"&&1/Fd==-_f?"-0":Kd}function f_(Fd,Kd,bf){var jf=-1,_h=Wg,Dh=Fd.length,Vh=!0,Yh=[],im=Yh;if(bf)Vh=!1,_h=Tb;else if(Dh>=td){var Rm=Kd?null:W2(Fd);if(Rm)return u_(Rm);Vh=!1,_h=P1,im=new Ag}else im=Kd?[]:Yh;e:for(;++jf<Dh;){var Mm=Fd[jf],Um=Kd?Kd(Mm):Mm;if(Mm=bf||Mm!==0?Mm:0,Vh&&Um===Um){for(var t1=im.length;t1--;)if(im[t1]===Um)continue e;Kd&&im.push(Um),Yh.push(Mm)}else _h(im,Um,bf)||(im!==Yh&&im.push(Um),Yh.push(Mm))}return Yh}function Vv(Fd,Kd){return Kd=$g(Kd,Fd),Fd=Km(Fd,Kd),Fd==null||delete Fd[m0(ng(Kd))]}function nE(Fd,Kd,bf,jf){return qb(Fd,Kd,bf(Ig(Fd,Kd)),jf)}function pv(Fd,Kd,bf,jf){for(var _h=Fd.length,Dh=jf?_h:-1;(jf?Dh--:++Dh<_h)&&Kd(Fd[Dh],Dh,Fd););return bf?L0(Fd,jf?0:Dh,jf?Dh+1:_h):L0(Fd,jf?Dh+1:0,jf?_h:Dh)}function fv(Fd,Kd){var bf=Fd;return bf instanceof b1&&(bf=bf.value()),Zb(Kd,function(jf,_h){return _h.func.apply(_h.thisArg,D_([jf],_h.args))},bf)}function Og(Fd,Kd,bf){var jf=Fd.length;if(jf<2)return jf?f_(Fd[0]):[];for(var _h=-1,Dh=dm(jf);++_h<jf;)for(var Vh=Fd[_h],Yh=-1;++Yh<jf;)Yh!=_h&&(Dh[_h]=lv(Dh[_h]||Vh,Fd[Yh],Kd,bf));return f_(M0(Dh,1),Kd,bf)}function Wv(Fd,Kd,bf){for(var jf=-1,_h=Fd.length,Dh=Kd.length,Vh={};++jf<_h;){var Yh=jf<Dh?Kd[jf]:Ja;bf(Vh,Fd[jf],Yh)}return Vh}function hv(Fd){return F0(Fd)?Fd:[]}function mv(Fd){return typeof Fd=="function"?Fd:w_}function $g(Fd,Kd){return k1(Fd)?Fd:Qd(Fd,Kd)?[Fd]:o0(a0(Fd))}var _v=$1;function _g(Fd,Kd,bf){var jf=Fd.length;return bf=bf===Ja?jf:bf,!Kd&&bf>=jf?Fd:L0(Fd,Kd,bf)}var t2=By||function(Fd){return W1.clearTimeout(Fd)};function iE(Fd,Kd){if(Kd)return Fd.slice();var bf=Fd.length,jf=My?My(bf):new Fd.constructor(bf);return Fd.copy(jf),jf}function Hb(Fd){var Kd=new Fd.constructor(Fd.byteLength);return new Ov(Kd).set(new Ov(Fd)),Kd}function q2(Fd,Kd){var bf=Kd?Hb(Fd.buffer):Fd.buffer;return new Fd.constructor(bf,Fd.byteOffset,Fd.byteLength)}function H2(Fd){var Kd=new Fd.constructor(Fd.source,Bh.exec(Fd));return Kd.lastIndex=Fd.lastIndex,Kd}function gv(Fd){return av?i0(av.call(Fd)):{}}function aE(Fd,Kd){var bf=Kd?Hb(Fd.buffer):Fd.buffer;return new Fd.constructor(bf,Fd.byteOffset,Fd.length)}function sE(Fd,Kd){if(Fd!==Kd){var bf=Fd!==Ja,jf=Fd===null,_h=Fd===Fd,Dh=U_(Fd),Vh=Kd!==Ja,Yh=Kd===null,im=Kd===Kd,Rm=U_(Kd);if(!Yh&&!Rm&&!Dh&&Fd>Kd||Dh&&Vh&&im&&!Yh&&!Rm||jf&&Vh&&im||!bf&&im||!_h)return 1;if(!jf&&!Dh&&!Rm&&Fd<Kd||Rm&&bf&&_h&&!jf&&!Dh||Yh&&bf&&_h||!Vh&&_h||!im)return-1}return 0}function G2(Fd,Kd,bf){for(var jf=-1,_h=Fd.criteria,Dh=Kd.criteria,Vh=_h.length,Yh=bf.length;++jf<Vh;){var im=sE(_h[jf],Dh[jf]);if(im){if(jf>=Yh)return im;var Rm=bf[jf];return im*(Rm=="desc"?-1:1)}}return Fd.index-Kd.index}function Yv(Fd,Kd,bf,jf){for(var _h=-1,Dh=Fd.length,Vh=bf.length,Yh=-1,im=Kd.length,Rm=u0(Dh-Vh,0),Mm=dm(im+Rm),Um=!jf;++Yh<im;)Mm[Yh]=Kd[Yh];for(;++_h<Vh;)(Um||_h<Dh)&&(Mm[bf[_h]]=Fd[_h]);for(;Rm--;)Mm[Yh++]=Fd[_h++];return Mm}function oE(Fd,Kd,bf,jf){for(var _h=-1,Dh=Fd.length,Vh=-1,Yh=bf.length,im=-1,Rm=Kd.length,Mm=u0(Dh-Yh,0),Um=dm(Mm+Rm),t1=!jf;++_h<Mm;)Um[_h]=Fd[_h];for(var p1=_h;++im<Rm;)Um[p1+im]=Kd[im];for(;++Vh<Yh;)(t1||_h<Dh)&&(Um[p1+bf[Vh]]=Fd[_h++]);return Um}function a_(Fd,Kd){var bf=-1,jf=Fd.length;for(Kd||(Kd=dm(jf));++bf<jf;)Kd[bf]=Fd[bf];return Kd}function j_(Fd,Kd,bf,jf){var _h=!bf;bf||(bf={});for(var Dh=-1,Vh=Kd.length;++Dh<Vh;){var Yh=Kd[Dh],im=jf?jf(bf[Yh],Fd[Yh],Yh,bf,Fd):Ja;im===Ja&&(im=Fd[Yh]),_h?hg(bf,Yh,im):Rb(bf,Yh,im)}return bf}function r2(Fd,Kd){return j_(Fd,Lg(Fd),Kd)}function z2(Fd,Kd){return j_(Fd,u2(Fd),Kd)}function Gb(Fd,Kd){return function(bf,jf){var _h=k1(bf)?d1:Rg,Dh=Kd?Kd():{};return _h(bf,Fd,_1(jf,2),Dh)}}function J_(Fd){return $1(function(Kd,bf){var jf=-1,_h=bf.length,Dh=_h>1?bf[_h-1]:Ja,Vh=_h>2?bf[2]:Ja;for(Dh=Fd.length>3&&typeof Dh=="function"?(_h--,Dh):Ja,Vh&&zd(bf[0],bf[1],Vh)&&(Dh=_h<3?Ja:Dh,_h=1),Kd=i0(Kd);++jf<_h;){var Yh=bf[jf];Yh&&Fd(Kd,Yh,jf,Dh)}return Kd})}function zb(Fd,Kd){return function(bf,jf){if(bf==null)return bf;if(!x_(bf))return Fd(bf,jf);for(var _h=bf.length,Dh=Kd?_h:-1,Vh=i0(bf);(Kd?Dh--:++Dh<_h)&&jf(Vh[Dh],Dh,Vh)!==!1;);return bf}}function n2(Fd){return function(Kd,bf,jf){for(var _h=-1,Dh=i0(Kd),Vh=jf(Kd),Yh=Vh.length;Yh--;){var im=Vh[Fd?Yh:++_h];if(bf(Dh[im],im,Dh)===!1)break}return Kd}}function i2(Fd,Kd,bf){var jf=Kd&kd,_h=bv(Fd);function Dh(){var Vh=this&&this!==W1&&this instanceof Dh?_h:Fd;return Vh.apply(jf?bf:this,arguments)}return Dh}function a2(Fd){return function(Kd){Kd=a0(Kd);var bf=xb(Kd)?R_(Kd):Ja,jf=bf?bf[0]:Kd.charAt(0),_h=bf?_g(bf,1).join(""):Kd.slice(1);return jf[Fd]()+_h}}function Vb(Fd){return function(Kd){return Zb(zT(HT(Kd).replace(z_,"")),Fd,"")}}function bv(Fd){return function(){var Kd=arguments;switch(Kd.length){case 0:return new Fd;case 1:return new Fd(Kd[0]);case 2:return new Fd(Kd[0],Kd[1]);case 3:return new Fd(Kd[0],Kd[1],Kd[2]);case 4:return new Fd(Kd[0],Kd[1],Kd[2],Kd[3]);case 5:return new Fd(Kd[0],Kd[1],Kd[2],Kd[3],Kd[4]);case 6:return new Fd(Kd[0],Kd[1],Kd[2],Kd[3],Kd[4],Kd[5]);case 7:return new Fd(Kd[0],Kd[1],Kd[2],Kd[3],Kd[4],Kd[5],Kd[6])}var bf=Db(Fd.prototype),jf=Fd.apply(bf,Kd);return A0(jf)?jf:bf}}function V2(Fd,Kd,bf){var jf=bv(Fd);function _h(){for(var Dh=arguments.length,Vh=dm(Dh),Yh=Dh,im=Yb(_h);Yh--;)Vh[Yh]=arguments[Yh];var Rm=Dh<3&&Vh[0]!==im&&Vh[Dh-1]!==im?[]:c_(Vh,im);if(Dh-=Rm.length,Dh<bf)return yv(Fd,Kd,Kv,_h.placeholder,Ja,Vh,Rm,Ja,Ja,bf-Dh);var Mm=this&&this!==W1&&this instanceof _h?jf:Fd;return Pm(Mm,this,Vh)}return _h}function cE(Fd){return function(Kd,bf,jf){var _h=i0(Kd);if(!x_(Kd)){var Dh=_1(bf,3);Kd=Q0(Kd),bf=function(Yh){return Dh(_h[Yh],Yh,_h)}}var Vh=Fd(Kd,bf,jf);return Vh>-1?_h[Dh?Kd[Vh]:Vh]:Ja}}function s2(Fd){return Z_(function(Kd){var bf=Kd.length,jf=bf,_h=t0.prototype.thru;for(Fd&&Kd.reverse();jf--;){var Dh=Kd[jf];if(typeof Dh!="function")throw new y_(sd);if(_h&&!Vh&&Sv(Dh)=="wrapper")var Vh=new t0([],!0)}for(jf=Vh?jf:bf;++jf<bf;){Dh=Kd[jf];var Yh=Sv(Dh),im=Yh=="wrapper"?Tv(Dh):Ja;im&&Of(im[0])&&im[1]==(qd|Id|Ld|Yd)&&!im[4].length&&im[9]==1?Vh=Vh[Sv(im[0])].apply(Vh,im[3]):Vh=Dh.length==1&&Of(Dh)?Vh[Yh]():Vh.thru(Dh)}return function(){var Rm=arguments,Mm=Rm[0];if(Vh&&Rm.length==1&&k1(Mm))return Vh.plant(Mm).value();for(var Um=0,t1=bf?Kd[Um].apply(this,Rm):Mm;++Um<bf;)t1=Kd[Um].call(this,t1);return t1}})}function Kv(Fd,Kd,bf,jf,_h,Dh,Vh,Yh,im,Rm){var Mm=Kd&qd,Um=Kd&kd,t1=Kd&Rd,p1=Kd&(Id|Md),T1=Kd&Ud,L1=t1?Ja:bv(Fd);function S1(){for(var U1=arguments.length,z1=dm(U1),q_=U1;q_--;)z1[q_]=arguments[q_];if(p1)var h_=Yb(S1),H_=Yg(z1,h_);if(jf&&(z1=Yv(z1,jf,_h,p1)),Dh&&(z1=oE(z1,Dh,Vh,p1)),U1-=H_,p1&&U1<Rm){var j0=c_(z1,h_);return yv(Fd,Kd,Kv,S1.placeholder,bf,z1,j0,Yh,im,Rm-U1)}var vg=Um?bf:this,mb=t1?vg[Fd]:Fd;return U1=z1.length,Yh?z1=Qm(z1,Yh):T1&&U1>1&&z1.reverse(),Mm&&im<U1&&(z1.length=im),this&&this!==W1&&this instanceof S1&&(mb=L1||bv(mb)),mb.apply(vg,z1)}return S1}function uE(Fd,Kd){return function(bf,jf){return UE(bf,Fd,Kd(jf),{})}}function Wb(Fd,Kd){return function(bf,jf){var _h;if(bf===Ja&&jf===Ja)return Kd;if(bf!==Ja&&(_h=bf),jf!==Ja){if(_h===Ja)return jf;typeof bf=="string"||typeof jf=="string"?(bf=p_(bf),jf=p_(jf)):(bf=e2(bf),jf=e2(jf)),_h=Fd(bf,jf)}return _h}}function Xv(Fd){return Z_(function(Kd){return Kd=s0(Kd,C1(_1())),$1(function(bf){var jf=this;return Fd(Kd,function(_h){return Pm(_h,jf,bf)})})})}function Zv(Fd,Kd){Kd=Kd===Ja?" ":p_(Kd);var bf=Kd.length;if(bf<2)return bf?rE(Kd,Fd):Kd;var jf=rE(Kd,rv(Fd/Cb(Kd)));return xb(Kd)?_g(R_(jf),0,Fd).join(""):jf.slice(0,Fd)}function o2(Fd,Kd,bf,jf){var _h=Kd&kd,Dh=bv(Fd);function Vh(){for(var Yh=-1,im=arguments.length,Rm=-1,Mm=jf.length,Um=dm(Mm+im),t1=this&&this!==W1&&this instanceof Vh?Dh:Fd;++Rm<Mm;)Um[Rm]=jf[Rm];for(;im--;)Um[Rm++]=arguments[++Yh];return Pm(t1,_h?bf:this,Um)}return Vh}function pb(Fd){return function(Kd,bf,jf){return jf&&typeof jf!="number"&&zd(Kd,bf,jf)&&(bf=jf=Ja),Kd=hb(Kd),bf===Ja?(bf=Kd,Kd=0):bf=hb(bf),jf=jf===Ja?Kd<bf?1:-1:hb(jf),F2(Kd,bf,jf,Fd)}}function vv(Fd){return function(Kd,bf){return typeof Kd=="string"&&typeof bf=="string"||(Kd=ig(Kd),bf=ig(bf)),Fd(Kd,bf)}}function yv(Fd,Kd,bf,jf,_h,Dh,Vh,Yh,im,Rm){var Mm=Kd&Id,Um=Mm?Vh:Ja,t1=Mm?Ja:Vh,p1=Mm?Dh:Ja,T1=Mm?Ja:Dh;Kd|=Mm?Ld:Pd,Kd&=~(Mm?Pd:Ld),Kd&Nd||(Kd&=~(kd|Rd));var L1=[Fd,Kd,_h,p1,Um,T1,t1,Yh,im,Rm],S1=bf.apply(Ja,L1);return Of(Fd)&&e1(S1,L1),S1.placeholder=jf,D1(S1,Fd,Kd)}function dE(Fd){var Kd=D0[Fd];return function(bf,jf){if(bf=ig(bf),jf=jf==null?0:R0(M1(jf),292),jf&&AE(bf)){var _h=(a0(bf)+"e").split("e"),Dh=Kd(_h[0]+"e"+(+_h[1]+jf));return _h=(a0(Dh)+"e").split("e"),+(_h[0]+"e"+(+_h[1]-jf))}return Kd(bf)}}var W2=E_&&1/u_(new E_([,-0]))[1]==_f?function(Fd){return new E_(Fd)}:cT;function l2(Fd){return function(Kd){var bf=B0(Kd);return bf==Jf?Dv(Kd):bf==Nh?vE(Kd):Zm(Kd,Fd(Kd))}}function G1(Fd,Kd,bf,jf,_h,Dh,Vh,Yh){var im=Kd&Rd;if(!im&&typeof Fd!="function")throw new y_(sd);var Rm=jf?jf.length:0;if(Rm||(Kd&=~(Ld|Pd),jf=_h=Ja),Vh=Vh===Ja?Vh:u0(M1(Vh),0),Yh=Yh===Ja?Yh:M1(Yh),Rm-=_h?_h.length:0,Kd&Pd){var Mm=jf,Um=_h;jf=_h=Ja}var t1=im?Ja:Tv(Fd),p1=[Fd,Kd,bf,jf,_h,Mm,Um,Dh,Vh,Yh];if(t1&&Kh(p1,t1),Fd=p1[0],Kd=p1[1],bf=p1[2],jf=p1[3],_h=p1[4],Yh=p1[9]=p1[9]===Ja?im?0:Fd.length:u0(p1[9]-Rm,0),!Yh&&Kd&(Id|Md)&&(Kd&=~(Id|Md)),!Kd||Kd==kd)var T1=i2(Fd,Kd,bf);else Kd==Id||Kd==Md?T1=V2(Fd,Kd,Yh):(Kd==Ld||Kd==(kd|Ld))&&!_h.length?T1=o2(Fd,Kd,bf,jf):T1=Kv.apply(Ja,p1);var L1=t1?ZE:e1;return D1(L1(T1,p1),Fd,Kd)}function c2(Fd,Kd,bf,jf){return Fd===Ja||bg(Fd,I_[bf])&&!J1.call(jf,bf)?Kd:Fd}function ey(Fd,Kd,bf,jf,_h,Dh){return A0(Fd)&&A0(Kd)&&(Dh.set(Kd,Fd),F_(Fd,Kd,Ja,ey,Dh),Dh.delete(Kd)),Fd}function Ev(Fd){return mE(Fd)?Ja:Fd}function S_(Fd,Kd,bf,jf,_h,Dh){var Vh=bf&Ed,Yh=Fd.length,im=Kd.length;if(Yh!=im&&!(Vh&&im>Yh))return!1;var Rm=Dh.get(Fd),Mm=Dh.get(Kd);if(Rm&&Mm)return Rm==Kd&&Mm==Fd;var Um=-1,t1=!0,p1=bf&Td?new Ag:Ja;for(Dh.set(Fd,Kd),Dh.set(Kd,Fd);++Um<Yh;){var T1=Fd[Um],L1=Kd[Um];if(jf)var S1=Vh?jf(L1,T1,Um,Kd,Fd,Dh):jf(T1,L1,Um,Fd,Kd,Dh);if(S1!==Ja){if(S1)continue;t1=!1;break}if(p1){if(!Sg(Kd,function(U1,z1){if(!P1(p1,z1)&&(T1===U1||_h(T1,U1,bf,jf,Dh)))return p1.push(z1)})){t1=!1;break}}else if(!(T1===L1||_h(T1,L1,bf,jf,Dh))){t1=!1;break}}return Dh.delete(Fd),Dh.delete(Kd),t1}function ty(Fd,Kd,bf,jf,_h,Dh,Vh){switch(bf){case sm:if(Fd.byteLength!=Kd.byteLength||Fd.byteOffset!=Kd.byteOffset)return!1;Fd=Fd.buffer,Kd=Kd.buffer;case Wh:return!(Fd.byteLength!=Kd.byteLength||!Dh(new Ov(Fd),new Ov(Kd)));case mf:case $f:case Ch:return bg(+Fd,+Kd);case hh:return Fd.name==Kd.name&&Fd.message==Kd.message;case gh:case dh:return Fd==Kd+"";case Jf:var Yh=Dv;case Nh:var im=jf&Ed;if(Yh||(Yh=u_),Fd.size!=Kd.size&&!im)return!1;var Rm=Vh.get(Fd);if(Rm)return Rm==Kd;jf|=Td,Vh.set(Fd,Kd);var Mm=S_(Yh(Fd),Yh(Kd),jf,_h,Dh,Vh);return Vh.delete(Fd),Mm;case $h:if(av)return av.call(Fd)==av.call(Kd)}return!1}function pE(Fd,Kd,bf,jf,_h,Dh){var Vh=bf&Ed,Yh=ry(Fd),im=Yh.length,Rm=ry(Kd),Mm=Rm.length;if(im!=Mm&&!Vh)return!1;for(var Um=im;Um--;){var t1=Yh[Um];if(!(Vh?t1 in Kd:J1.call(Kd,t1)))return!1}var p1=Dh.get(Fd),T1=Dh.get(Kd);if(p1&&T1)return p1==Kd&&T1==Fd;var L1=!0;Dh.set(Fd,Kd),Dh.set(Kd,Fd);for(var S1=Vh;++Um<im;){t1=Yh[Um];var U1=Fd[t1],z1=Kd[t1];if(jf)var q_=Vh?jf(z1,U1,t1,Kd,Fd,Dh):jf(U1,z1,t1,Fd,Kd,Dh);if(!(q_===Ja?U1===z1||_h(U1,z1,bf,jf,Dh):q_)){L1=!1;break}S1||(S1=t1=="constructor")}if(L1&&!S1){var h_=Fd.constructor,H_=Kd.constructor;h_!=H_&&"constructor"in Fd&&"constructor"in Kd&&!(typeof h_=="function"&&h_ instanceof h_&&typeof H_=="function"&&H_ instanceof H_)&&(L1=!1)}return Dh.delete(Fd),Dh.delete(Kd),L1}function Z_(Fd){return l1(Hm(Fd,Ja,Fg),Fd+"")}function ry(Fd){return q0(Fd,Q0,Lg)}function ny(Fd){return q0(Fd,C_,u2)}var Tv=iv?function(Fd){return iv.get(Fd)}:cT;function Sv(Fd){for(var Kd=Fd.name+"",bf=rb[Kd],jf=J1.call(rb,Kd)?bf.length:0;jf--;){var _h=bf[jf],Dh=_h.func;if(Dh==null||Dh==Fd)return _h.name}return Kd}function Yb(Fd){var Kd=J1.call(wh,"placeholder")?wh:Fd;return Kd.placeholder}function _1(){var Fd=wh.iteratee||oT;return Fd=Fd===oT?Fb:Fd,arguments.length?Fd(arguments[0],arguments[1]):Fd}function xv(Fd,Kd){var bf=Fd.__data__;return Ef(Kd)?bf[typeof Kd=="string"?"string":"hash"]:bf.map}function X1(Fd){for(var Kd=Q0(Fd),bf=Kd.length;bf--;){var jf=Kd[bf],_h=Fd[jf];Kd[bf]=[jf,_h,kh(_h)]}return Kd}function Mg(Fd,Kd){var bf=Iy(Fd,Kd);return ob(bf)?bf:Ja}function Y2(Fd){var Kd=J1.call(Fd,wg),bf=Fd[wg];try{Fd[wg]=Ja;var jf=!0}catch{}var _h=Y_.call(Fd);return jf&&(Kd?Fd[wg]=bf:delete Fd[wg]),_h}var Lg=Py?function(Fd){return Fd==null?[]:(Fd=i0(Fd),l_(Py(Fd),function(Kd){return $v.call(Fd,Kd)}))}:uT,u2=Py?function(Fd){for(var Kd=[];Fd;)D_(Kd,Lg(Fd)),Fd=Cg(Fd);return Kd}:uT,B0=H0;(Bv&&B0(new Bv(new ArrayBuffer(1)))!=sm||$_&&B0(new $_)!=Jf||tb&&B0(tb.resolve())!=Af||E_&&B0(new E_)!=Nh||pg&&B0(new pg)!=jh)&&(B0=function(Fd){var Kd=H0(Fd),bf=Kd==Tf?Fd.constructor:Ja,jf=bf?x0(bf):"";if(jf)switch(jf){case NE:return sm;case w2:return Jf;case nb:return Af;case ib:return Nh;case A2:return jh}return Kd});function K2(Fd,Kd,bf){for(var jf=-1,_h=bf.length;++jf<_h;){var Dh=bf[jf],Vh=Dh.size;switch(Dh.type){case"drop":Fd+=Vh;break;case"dropRight":Kd-=Vh;break;case"take":Kd=R0(Kd,Fd+Vh);break;case"takeRight":Fd=u0(Fd,Kd-Vh);break}}return{start:Fd,end:Kd}}function v0(Fd){var Kd=Fd.match(l0);return Kd?Kd[1].split(B1):[]}function d2(Fd,Kd,bf){Kd=$g(Kd,Fd);for(var jf=-1,_h=Kd.length,Dh=!1;++jf<_h;){var Vh=m0(Kd[jf]);if(!(Dh=Fd!=null&&bf(Fd,Vh)))break;Fd=Fd[Vh]}return Dh||++jf!=_h?Dh:(_h=Fd==null?0:Fd.length,!!_h&&b2(_h)&&Wd(Vh,_h)&&(k1(Fd)||Cv(Fd)))}function X2(Fd){var Kd=Fd.length,bf=new Fd.constructor(Kd);return Kd&&typeof Fd[0]=="string"&&J1.call(Fd,"index")&&(bf.index=Fd.index,bf.input=Fd.input),bf}function p2(Fd){return typeof Fd.constructor=="function"&&!uh(Fd)?Db(Cg(Fd)):{}}function iy(Fd,Kd,bf){var jf=Fd.constructor;switch(Kd){case Wh:return Hb(Fd);case mf:case $f:return new jf(+Fd);case sm:return q2(Fd,bf);case fm:case ih:case Rf:case Df:case Zf:case bh:case Lh:case Hh:case Xh:return aE(Fd,bf);case Jf:return new jf;case Ch:case dh:return new jf(Fd);case gh:return H2(Fd);case Nh:return new jf;case $h:return gv(Fd)}}function Ad(Fd,Kd){var bf=Kd.length;if(!bf)return Fd;var jf=bf-1;return Kd[jf]=(bf>1?"& ":"")+Kd[jf],Kd=Kd.join(bf>2?", ":" "),Fd.replace(g1,`{
|
||
/* [wrapped with `+Kd+`] */
|
||
`)}function Bd(Fd){return k1(Fd)||Cv(Fd)||!!(wE&&Fd&&Fd[wE])}function Wd(Fd,Kd){var bf=typeof Fd;return Kd=Kd??xf,!!Kd&&(bf=="number"||bf!="symbol"&&cm.test(Fd))&&Fd>-1&&Fd%1==0&&Fd<Kd}function zd(Fd,Kd,bf){if(!A0(bf))return!1;var jf=typeof Kd;return(jf=="number"?x_(bf)&&Wd(Kd,bf.length):jf=="string"&&Kd in bf)?bg(bf[Kd],Fd):!1}function Qd(Fd,Kd){if(k1(Fd))return!1;var bf=typeof Fd;return bf=="number"||bf=="symbol"||bf=="boolean"||Fd==null||U_(Fd)?!0:y1.test(Fd)||!F1.test(Fd)||Kd!=null&&Fd in i0(Kd)}function Ef(Fd){var Kd=typeof Fd;return Kd=="string"||Kd=="number"||Kd=="symbol"||Kd=="boolean"?Fd!=="__proto__":Fd===null}function Of(Fd){var Kd=Sv(Fd),bf=wh[Kd];if(typeof bf!="function"||!(Kd in b1.prototype))return!1;if(Fd===bf)return!0;var jf=Tv(bf);return!!jf&&Fd===jf[0]}function Uf(Fd){return!!kv&&kv in Fd}var Qf=dg?fb:dT;function uh(Fd){var Kd=Fd&&Fd.constructor,bf=typeof Kd=="function"&&Kd.prototype||I_;return Fd===bf}function kh(Fd){return Fd===Fd&&!A0(Fd)}function qh(Fd,Kd){return function(bf){return bf==null?!1:bf[Fd]===Kd&&(Kd!==Ja||Fd in i0(bf))}}function Qh(Fd){var Kd=_2(Fd,function(jf){return bf.size===cd&&bf.clear(),jf}),bf=Kd.cache;return Kd}function Kh(Fd,Kd){var bf=Fd[1],jf=Kd[1],_h=bf|jf,Dh=_h<(kd|Rd|qd),Vh=jf==qd&&bf==Id||jf==qd&&bf==Yd&&Fd[7].length<=Kd[8]||jf==(qd|Yd)&&Kd[7].length<=Kd[8]&&bf==Id;if(!(Dh||Vh))return Fd;jf&kd&&(Fd[2]=Kd[2],_h|=bf&kd?0:Nd);var Yh=Kd[3];if(Yh){var im=Fd[3];Fd[3]=im?Yv(im,Yh,Kd[4]):Yh,Fd[4]=im?c_(Fd[3],ud):Kd[4]}return Yh=Kd[5],Yh&&(im=Fd[5],Fd[5]=im?oE(im,Yh,Kd[6]):Yh,Fd[6]=im?c_(Fd[5],ud):Kd[6]),Yh=Kd[7],Yh&&(Fd[7]=Yh),jf&qd&&(Fd[8]=Fd[8]==null?Kd[8]:R0(Fd[8],Kd[8])),Fd[9]==null&&(Fd[9]=Kd[9]),Fd[0]=Kd[0],Fd[1]=_h,Fd}function hm(Fd){var Kd=[];if(Fd!=null)for(var bf in i0(Fd))Kd.push(bf);return Kd}function Nm(Fd){return Y_.call(Fd)}function Hm(Fd,Kd,bf){return Kd=u0(Kd===Ja?Fd.length-1:Kd,0),function(){for(var jf=arguments,_h=-1,Dh=u0(jf.length-Kd,0),Vh=dm(Dh);++_h<Dh;)Vh[_h]=jf[Kd+_h];_h=-1;for(var Yh=dm(Kd+1);++_h<Kd;)Yh[_h]=jf[_h];return Yh[Kd]=bf(Vh),Pm(Fd,this,Yh)}}function Km(Fd,Kd){return Kd.length<2?Fd:Ig(Fd,L0(Kd,0,-1))}function Qm(Fd,Kd){for(var bf=Fd.length,jf=R0(Kd.length,bf),_h=a_(Fd);jf--;){var Dh=Kd[jf];Fd[jf]=Wd(Dh,bf)?_h[Dh]:Ja}return Fd}function Ym(Fd,Kd){if(!(Kd==="constructor"&&typeof Fd[Kd]=="function")&&Kd!="__proto__")return Fd[Kd]}var e1=n0(ZE),c1=Mv||function(Fd,Kd){return W1.setTimeout(Fd,Kd)},l1=n0(j2);function D1(Fd,Kd,bf){var jf=Kd+"";return l1(Fd,Ad(jf,C0(v0(jf),bf)))}function n0(Fd){var Kd=0,bf=0;return function(){var jf=C2(),_h=Zd-(jf-bf);if(bf=jf,_h>0){if(++Kd>=Jd)return arguments[0]}else Kd=0;return Fd.apply(Ja,arguments)}}function y0(Fd,Kd){var bf=-1,jf=Fd.length,_h=jf-1;for(Kd=Kd===Ja?jf:Kd;++bf<Kd;){var Dh=zv(bf,_h),Vh=Fd[Dh];Fd[Dh]=Fd[bf],Fd[bf]=Vh}return Fd.length=Kd,Fd}var o0=Qh(function(Fd){var Kd=[];return Fd.charCodeAt(0)===46&&Kd.push(""),Fd.replace(V1,function(bf,jf,_h,Dh){Kd.push(_h?Dh.replace(sh,"$1"):jf||bf)}),Kd});function m0(Fd){if(typeof Fd=="string"||U_(Fd))return Fd;var Kd=Fd+"";return Kd=="0"&&1/Fd==-_f?"-0":Kd}function x0(Fd){if(Fd!=null){try{return wb.call(Fd)}catch{}try{return Fd+""}catch{}}return""}function C0(Fd,Kd){return A1(wf,function(bf){var jf="_."+bf[0];Kd&bf[1]&&!Wg(Fd,jf)&&Fd.push(jf)}),Fd.sort()}function K0(Fd){if(Fd instanceof b1)return Fd.clone();var Kd=new t0(Fd.__wrapped__,Fd.__chain__);return Kd.__actions__=a_(Fd.__actions__),Kd.__index__=Fd.__index__,Kd.__values__=Fd.__values__,Kd}function G0(Fd,Kd,bf){(bf?zd(Fd,Kd,bf):Kd===Ja)?Kd=1:Kd=u0(M1(Kd),0);var jf=Fd==null?0:Fd.length;if(!jf||Kd<1)return[];for(var _h=0,Dh=0,Vh=dm(rv(jf/Kd));_h<jf;)Vh[Dh++]=L0(Fd,_h,_h+=Kd);return Vh}function I0(Fd){for(var Kd=-1,bf=Fd==null?0:Fd.length,jf=0,_h=[];++Kd<bf;){var Dh=Fd[Kd];Dh&&(_h[jf++]=Dh)}return _h}function w0(){var Fd=arguments.length;if(!Fd)return[];for(var Kd=dm(Fd-1),bf=arguments[0],jf=Fd;jf--;)Kd[jf-1]=arguments[jf];return D_(k1(bf)?a_(bf):[bf],M0(Kd,1))}var _0=$1(function(Fd,Kd){return F0(Fd)?lv(Fd,M0(Kd,1,F0,!0)):[]}),Z0=$1(function(Fd,Kd){var bf=ng(Kd);return F0(bf)&&(bf=Ja),F0(Fd)?lv(Fd,M0(Kd,1,F0,!0),_1(bf,2)):[]}),Kb=$1(function(Fd,Kd){var bf=ng(Kd);return F0(bf)&&(bf=Ja),F0(Fd)?lv(Fd,M0(Kd,1,F0,!0),Ja,bf):[]});function Bg(Fd,Kd,bf){var jf=Fd==null?0:Fd.length;return jf?(Kd=bf||Kd===Ja?1:M1(Kd),L0(Fd,Kd<0?0:Kd,jf)):[]}function V0(Fd,Kd,bf){var jf=Fd==null?0:Fd.length;return jf?(Kd=bf||Kd===Ja?1:M1(Kd),Kd=jf-Kd,L0(Fd,0,Kd<0?0:Kd)):[]}function tg(Fd,Kd){return Fd&&Fd.length?pv(Fd,_1(Kd,3),!0,!0):[]}function P0(Fd,Kd){return Fd&&Fd.length?pv(Fd,_1(Kd,3),!0):[]}function fE(Fd,Kd,bf,jf){var _h=Fd==null?0:Fd.length;return _h?(bf&&typeof bf!="number"&&zd(Fd,Kd,bf)&&(bf=0,jf=_h),PE(Fd,Kd,bf,jf)):[]}function rg(Fd,Kd,bf){var jf=Fd==null?0:Fd.length;if(!jf)return-1;var _h=bf==null?0:M1(bf);return _h<0&&(_h=u0(jf+_h,0)),Od(Fd,_1(Kd,3),_h)}function Pg(Fd,Kd,bf){var jf=Fd==null?0:Fd.length;if(!jf)return-1;var _h=jf-1;return bf!==Ja&&(_h=M1(bf),_h=bf<0?u0(jf+_h,0):R0(_h,jf-1)),Od(Fd,_1(Kd,3),_h,!0)}function Fg(Fd){var Kd=Fd==null?0:Fd.length;return Kd?M0(Fd,1):[]}function X0(Fd){var Kd=Fd==null?0:Fd.length;return Kd?M0(Fd,_f):[]}function Xb(Fd,Kd){var bf=Fd==null?0:Fd.length;return bf?(Kd=Kd===Ja?1:M1(Kd),M0(Fd,Kd)):[]}function hE(Fd){for(var Kd=-1,bf=Fd==null?0:Fd.length,jf={};++Kd<bf;){var _h=Fd[Kd];jf[_h[0]]=_h[1]}return jf}function _T(Fd){return Fd&&Fd.length?Fd[0]:Ja}function iS(Fd,Kd,bf){var jf=Fd==null?0:Fd.length;if(!jf)return-1;var _h=bf==null?0:M1(bf);return _h<0&&(_h=u0(jf+_h,0)),Gd(Fd,Kd,_h)}function aS(Fd){var Kd=Fd==null?0:Fd.length;return Kd?L0(Fd,0,-1):[]}var sS=$1(function(Fd){var Kd=s0(Fd,hv);return Kd.length&&Kd[0]===Fd[0]?Lb(Kd):[]}),lS=$1(function(Fd){var Kd=ng(Fd),bf=s0(Fd,hv);return Kd===ng(bf)?Kd=Ja:bf.pop(),bf.length&&bf[0]===Fd[0]?Lb(bf,_1(Kd,2)):[]}),cS=$1(function(Fd){var Kd=ng(Fd),bf=s0(Fd,hv);return Kd=typeof Kd=="function"?Kd:Ja,Kd&&bf.pop(),bf.length&&bf[0]===Fd[0]?Lb(bf,Ja,Kd):[]});function uS(Fd,Kd){return Fd==null?"":Fy.call(Fd,Kd)}function ng(Fd){var Kd=Fd==null?0:Fd.length;return Kd?Fd[Kd-1]:Ja}function dS(Fd,Kd,bf){var jf=Fd==null?0:Fd.length;if(!jf)return-1;var _h=jf;return bf!==Ja&&(_h=M1(bf),_h=_h<0?u0(jf+_h,0):R0(_h,jf-1)),Kd===Kd?T2(Fd,Kd,_h):Od(Fd,Nf,_h,!0)}function pS(Fd,Kd){return Fd&&Fd.length?Ub(Fd,M1(Kd)):Ja}var fS=$1(gT);function gT(Fd,Kd){return Fd&&Fd.length&&Kd&&Kd.length?tE(Fd,Kd):Fd}function hS(Fd,Kd,bf){return Fd&&Fd.length&&Kd&&Kd.length?tE(Fd,Kd,_1(bf,2)):Fd}function mS(Fd,Kd,bf){return Fd&&Fd.length&&Kd&&Kd.length?tE(Fd,Kd,Ja,bf):Fd}var _S=Z_(function(Fd,Kd){var bf=Fd==null?0:Fd.length,jf=kb(Fd,Kd);return Y0(Fd,s0(Kd,function(_h){return Wd(_h,bf)?+_h:_h}).sort(sE)),jf});function gS(Fd,Kd){var bf=[];if(!(Fd&&Fd.length))return bf;var jf=-1,_h=[],Dh=Fd.length;for(Kd=_1(Kd,3);++jf<Dh;){var Vh=Fd[jf];Kd(Vh,jf,Fd)&&(bf.push(Vh),_h.push(jf))}return Y0(Fd,_h),bf}function Q2(Fd){return Fd==null?Fd:nv.call(Fd)}function bS(Fd,Kd,bf){var jf=Fd==null?0:Fd.length;return jf?(bf&&typeof bf!="number"&&zd(Fd,Kd,bf)?(Kd=0,bf=jf):(Kd=Kd==null?0:M1(Kd),bf=bf===Ja?jf:M1(bf)),L0(Fd,Kd,bf)):[]}function vS(Fd,Kd){return ub(Fd,Kd)}function yS(Fd,Kd,bf){return db(Fd,Kd,_1(bf,2))}function ES(Fd,Kd){var bf=Fd==null?0:Fd.length;if(bf){var jf=ub(Fd,Kd);if(jf<bf&&bg(Fd[jf],Kd))return jf}return-1}function TS(Fd,Kd){return ub(Fd,Kd,!0)}function xS(Fd,Kd,bf){return db(Fd,Kd,_1(bf,2),!0)}function CS(Fd,Kd){var bf=Fd==null?0:Fd.length;if(bf){var jf=ub(Fd,Kd,!0)-1;if(bg(Fd[jf],Kd))return jf}return-1}function wS(Fd){return Fd&&Fd.length?Q_(Fd):[]}function AS(Fd,Kd){return Fd&&Fd.length?Q_(Fd,_1(Kd,2)):[]}function NS(Fd){var Kd=Fd==null?0:Fd.length;return Kd?L0(Fd,1,Kd):[]}function DS(Fd,Kd,bf){return Fd&&Fd.length?(Kd=bf||Kd===Ja?1:M1(Kd),L0(Fd,0,Kd<0?0:Kd)):[]}function RS(Fd,Kd,bf){var jf=Fd==null?0:Fd.length;return jf?(Kd=bf||Kd===Ja?1:M1(Kd),Kd=jf-Kd,L0(Fd,Kd<0?0:Kd,jf)):[]}function IS(Fd,Kd){return Fd&&Fd.length?pv(Fd,_1(Kd,3),!1,!0):[]}function kS(Fd,Kd){return Fd&&Fd.length?pv(Fd,_1(Kd,3)):[]}var OS=$1(function(Fd){return f_(M0(Fd,1,F0,!0))}),$S=$1(function(Fd){var Kd=ng(Fd);return F0(Kd)&&(Kd=Ja),f_(M0(Fd,1,F0,!0),_1(Kd,2))}),MS=$1(function(Fd){var Kd=ng(Fd);return Kd=typeof Kd=="function"?Kd:Ja,f_(M0(Fd,1,F0,!0),Ja,Kd)});function LS(Fd){return Fd&&Fd.length?f_(Fd):[]}function BS(Fd,Kd){return Fd&&Fd.length?f_(Fd,_1(Kd,2)):[]}function PS(Fd,Kd){return Kd=typeof Kd=="function"?Kd:Ja,Fd&&Fd.length?f_(Fd,Ja,Kd):[]}function J2(Fd){if(!(Fd&&Fd.length))return[];var Kd=0;return Fd=l_(Fd,function(bf){if(F0(bf))return Kd=u0(bf.length,Kd),!0}),Wm(Kd,function(bf){return s0(Fd,Th(bf))})}function bT(Fd,Kd){if(!(Fd&&Fd.length))return[];var bf=J2(Fd);return Kd==null?bf:s0(bf,function(jf){return Pm(Kd,Ja,jf)})}var FS=$1(function(Fd,Kd){return F0(Fd)?lv(Fd,Kd):[]}),jS=$1(function(Fd){return Og(l_(Fd,F0))}),US=$1(function(Fd){var Kd=ng(Fd);return F0(Kd)&&(Kd=Ja),Og(l_(Fd,F0),_1(Kd,2))}),qS=$1(function(Fd){var Kd=ng(Fd);return Kd=typeof Kd=="function"?Kd:Ja,Og(l_(Fd,F0),Ja,Kd)}),HS=$1(J2);function GS(Fd,Kd){return Wv(Fd||[],Kd||[],Rb)}function zS(Fd,Kd){return Wv(Fd||[],Kd||[],qb)}var VS=$1(function(Fd){var Kd=Fd.length,bf=Kd>1?Fd[Kd-1]:Ja;return bf=typeof bf=="function"?(Fd.pop(),bf):Ja,bT(Fd,bf)});function vT(Fd){var Kd=wh(Fd);return Kd.__chain__=!0,Kd}function WS(Fd,Kd){return Kd(Fd),Fd}function f2(Fd,Kd){return Kd(Fd)}var YS=Z_(function(Fd){var Kd=Fd.length,bf=Kd?Fd[0]:0,jf=this.__wrapped__,_h=function(Dh){return kb(Dh,Fd)};return Kd>1||this.__actions__.length||!(jf instanceof b1)||!Wd(bf)?this.thru(_h):(jf=jf.slice(bf,+bf+(Kd?1:0)),jf.__actions__.push({func:f2,args:[_h],thisArg:Ja}),new t0(jf,this.__chain__).thru(function(Dh){return Kd&&!Dh.length&&Dh.push(Ja),Dh}))});function KS(){return vT(this)}function XS(){return new t0(this.value(),this.__chain__)}function QS(){this.__values__===Ja&&(this.__values__=OT(this.value()));var Fd=this.__index__>=this.__values__.length,Kd=Fd?Ja:this.__values__[this.__index__++];return{done:Fd,value:Kd}}function JS(){return this}function ZS(Fd){for(var Kd,bf=this;bf instanceof z0;){var jf=K0(bf);jf.__index__=0,jf.__values__=Ja,Kd?_h.__wrapped__=jf:Kd=jf;var _h=jf;bf=bf.__wrapped__}return _h.__wrapped__=Fd,Kd}function ex(){var Fd=this.__wrapped__;if(Fd instanceof b1){var Kd=Fd;return this.__actions__.length&&(Kd=new b1(this)),Kd=Kd.reverse(),Kd.__actions__.push({func:f2,args:[Q2],thisArg:Ja}),new t0(Kd,this.__chain__)}return this.thru(Q2)}function tx(){return fv(this.__wrapped__,this.__actions__)}var nx=Gb(function(Fd,Kd,bf){J1.call(Fd,bf)?++Fd[bf]:hg(Fd,bf,1)});function ix(Fd,Kd,bf){var jf=k1(Fd)?o_:B2;return bf&&zd(Fd,Kd,bf)&&(Kd=Ja),jf(Fd,_1(Kd,3))}function ax(Fd,Kd){var bf=k1(Fd)?l_:sb;return bf(Fd,_1(Kd,3))}var sx=cE(rg),ox=cE(Pg);function lx(Fd,Kd){return M0(h2(Fd,Kd),1)}function cx(Fd,Kd){return M0(h2(Fd,Kd),_f)}function ux(Fd,Kd,bf){return bf=bf===Ja?1:M1(bf),M0(h2(Fd,Kd),bf)}function yT(Fd,Kd){var bf=k1(Fd)?A1:P_;return bf(Fd,_1(Kd,3))}function ET(Fd,Kd){var bf=k1(Fd)?S0:Ky;return bf(Fd,_1(Kd,3))}var dx=Gb(function(Fd,Kd,bf){J1.call(Fd,bf)?Fd[bf].push(Kd):hg(Fd,bf,[Kd])});function px(Fd,Kd,bf,jf){Fd=x_(Fd)?Fd:sy(Fd),bf=bf&&!jf?M1(bf):0;var _h=Fd.length;return bf<0&&(bf=u0(_h+bf,0)),v2(Fd)?bf<=_h&&Fd.indexOf(Kd,bf)>-1:!!_h&&Gd(Fd,Kd,bf)>-1}var fx=$1(function(Fd,Kd,bf){var jf=-1,_h=typeof Kd=="function",Dh=x_(Fd)?dm(Fd.length):[];return P_(Fd,function(Vh){Dh[++jf]=_h?Pm(Kd,Vh,bf):Bb(Vh,Kd,bf)}),Dh}),hx=Gb(function(Fd,Kd,bf){hg(Fd,bf,Kd)});function h2(Fd,Kd){var bf=k1(Fd)?s0:Zy;return bf(Fd,_1(Kd,3))}function mx(Fd,Kd,bf,jf){return Fd==null?[]:(k1(Kd)||(Kd=Kd==null?[]:[Kd]),bf=jf?Ja:bf,k1(bf)||(bf=bf==null?[]:[bf]),YE(Fd,Kd,bf))}var _x=Gb(function(Fd,Kd,bf){Fd[bf?0:1].push(Kd)},function(){return[[],[]]});function gx(Fd,Kd,bf){var jf=k1(Fd)?Zb:zh,_h=arguments.length<3;return jf(Fd,_1(Kd,4),bf,_h,P_)}function bx(Fd,Kd,bf){var jf=k1(Fd)?Av:zh,_h=arguments.length<3;return jf(Fd,_1(Kd,4),bf,_h,Ky)}function vx(Fd,Kd){var bf=k1(Fd)?l_:sb;return bf(Fd,g2(_1(Kd,3)))}function yx(Fd){var Kd=k1(Fd)?zy:QE;return Kd(Fd)}function Ex(Fd,Kd,bf){(bf?zd(Fd,Kd,bf):Kd===Ja)?Kd=1:Kd=M1(Kd);var jf=k1(Fd)?M2:JE;return jf(Fd,Kd)}function Tx(Fd){var Kd=k1(Fd)?Vy:U2;return Kd(Fd)}function Sx(Fd){if(Fd==null)return 0;if(x_(Fd))return v2(Fd)?Cb(Fd):Fd.length;var Kd=B0(Fd);return Kd==Jf||Kd==Nh?Fd.size:lb(Fd).length}function xx(Fd,Kd,bf){var jf=k1(Fd)?Sg:dv;return bf&&zd(Fd,Kd,bf)&&(Kd=Ja),jf(Fd,_1(Kd,3))}var Cx=$1(function(Fd,Kd){if(Fd==null)return[];var bf=Kd.length;return bf>1&&zd(Fd,Kd[0],Kd[1])?Kd=[]:bf>2&&zd(Kd[0],Kd[1],Kd[2])&&(Kd=[Kd[0]]),YE(Fd,M0(Kd,1),[])}),m2=Nb||function(){return W1.Date.now()};function wx(Fd,Kd){if(typeof Kd!="function")throw new y_(sd);return Fd=M1(Fd),function(){if(--Fd<1)return Kd.apply(this,arguments)}}function TT(Fd,Kd,bf){return Kd=bf?Ja:Kd,Kd=Fd&&Kd==null?Fd.length:Kd,G1(Fd,qd,Ja,Ja,Ja,Ja,Kd)}function ST(Fd,Kd){var bf;if(typeof Kd!="function")throw new y_(sd);return Fd=M1(Fd),function(){return--Fd>0&&(bf=Kd.apply(this,arguments)),Fd<=1&&(Kd=Ja),bf}}var Z2=$1(function(Fd,Kd,bf){var jf=kd;if(bf.length){var _h=c_(bf,Yb(Z2));jf|=Ld}return G1(Fd,jf,Kd,bf,_h)}),xT=$1(function(Fd,Kd,bf){var jf=kd|Rd;if(bf.length){var _h=c_(bf,Yb(xT));jf|=Ld}return G1(Kd,jf,Fd,bf,_h)});function CT(Fd,Kd,bf){Kd=bf?Ja:Kd;var jf=G1(Fd,Id,Ja,Ja,Ja,Ja,Ja,Kd);return jf.placeholder=CT.placeholder,jf}function wT(Fd,Kd,bf){Kd=bf?Ja:Kd;var jf=G1(Fd,Md,Ja,Ja,Ja,Ja,Ja,Kd);return jf.placeholder=wT.placeholder,jf}function AT(Fd,Kd,bf){var jf,_h,Dh,Vh,Yh,im,Rm=0,Mm=!1,Um=!1,t1=!0;if(typeof Fd!="function")throw new y_(sd);Kd=ig(Kd)||0,A0(bf)&&(Mm=!!bf.leading,Um="maxWait"in bf,Dh=Um?u0(ig(bf.maxWait)||0,Kd):Dh,t1="trailing"in bf?!!bf.trailing:t1);function p1(j0){var vg=jf,mb=_h;return jf=_h=Ja,Rm=j0,Vh=Fd.apply(mb,vg),Vh}function T1(j0){return Rm=j0,Yh=c1(U1,Kd),Mm?p1(j0):Vh}function L1(j0){var vg=j0-im,mb=j0-Rm,YT=Kd-vg;return Um?R0(YT,Dh-mb):YT}function S1(j0){var vg=j0-im,mb=j0-Rm;return im===Ja||vg>=Kd||vg<0||Um&&mb>=Dh}function U1(){var j0=m2();if(S1(j0))return z1(j0);Yh=c1(U1,L1(j0))}function z1(j0){return Yh=Ja,t1&&jf?p1(j0):(jf=_h=Ja,Vh)}function q_(){Yh!==Ja&&t2(Yh),Rm=0,jf=im=_h=Yh=Ja}function h_(){return Yh===Ja?Vh:z1(m2())}function H_(){var j0=m2(),vg=S1(j0);if(jf=arguments,_h=this,im=j0,vg){if(Yh===Ja)return T1(im);if(Um)return t2(Yh),Yh=c1(U1,Kd),p1(im)}return Yh===Ja&&(Yh=c1(U1,Kd)),Vh}return H_.cancel=q_,H_.flush=h_,H_}var Ax=$1(function(Fd,Kd){return BE(Fd,1,Kd)}),Nx=$1(function(Fd,Kd,bf){return BE(Fd,ig(Kd)||0,bf)});function Dx(Fd){return G1(Fd,Ud)}function _2(Fd,Kd){if(typeof Fd!="function"||Kd!=null&&typeof Kd!="function")throw new y_(sd);var bf=function(){var jf=arguments,_h=Kd?Kd.apply(this,jf):jf[0],Dh=bf.cache;if(Dh.has(_h))return Dh.get(_h);var Vh=Fd.apply(this,jf);return bf.cache=Dh.set(_h,Vh)||Dh,Vh};return bf.cache=new(_2.Cache||L_),bf}_2.Cache=L_;function g2(Fd){if(typeof Fd!="function")throw new y_(sd);return function(){var Kd=arguments;switch(Kd.length){case 0:return!Fd.call(this);case 1:return!Fd.call(this,Kd[0]);case 2:return!Fd.call(this,Kd[0],Kd[1]);case 3:return!Fd.call(this,Kd[0],Kd[1],Kd[2])}return!Fd.apply(this,Kd)}}function Rx(Fd){return ST(2,Fd)}var Ix=_v(function(Fd,Kd){Kd=Kd.length==1&&k1(Kd[0])?s0(Kd[0],C1(_1())):s0(M0(Kd,1),C1(_1()));var bf=Kd.length;return $1(function(jf){for(var _h=-1,Dh=R0(jf.length,bf);++_h<Dh;)jf[_h]=Kd[_h].call(this,jf[_h]);return Pm(Fd,this,jf)})}),eT=$1(function(Fd,Kd){var bf=c_(Kd,Yb(eT));return G1(Fd,Ld,Ja,Kd,bf)}),NT=$1(function(Fd,Kd){var bf=c_(Kd,Yb(NT));return G1(Fd,Pd,Ja,Kd,bf)}),kx=Z_(function(Fd,Kd){return G1(Fd,Yd,Ja,Ja,Ja,Kd)});function Ox(Fd,Kd){if(typeof Fd!="function")throw new y_(sd);return Kd=Kd===Ja?Kd:M1(Kd),$1(Fd,Kd)}function $x(Fd,Kd){if(typeof Fd!="function")throw new y_(sd);return Kd=Kd==null?0:u0(M1(Kd),0),$1(function(bf){var jf=bf[Kd],_h=_g(bf,0,Kd);return jf&&D_(_h,jf),Pm(Fd,this,_h)})}function Mx(Fd,Kd,bf){var jf=!0,_h=!0;if(typeof Fd!="function")throw new y_(sd);return A0(bf)&&(jf="leading"in bf?!!bf.leading:jf,_h="trailing"in bf?!!bf.trailing:_h),AT(Fd,Kd,{leading:jf,maxWait:Kd,trailing:_h})}function Lx(Fd){return TT(Fd,1)}function Bx(Fd,Kd){return eT(mv(Kd),Fd)}function Px(){if(!arguments.length)return[];var Fd=arguments[0];return k1(Fd)?Fd:[Fd]}function Fx(Fd){return d_(Fd,gd)}function jx(Fd,Kd){return Kd=typeof Kd=="function"?Kd:Ja,d_(Fd,gd,Kd)}function Ux(Fd){return d_(Fd,_d|gd)}function qx(Fd,Kd){return Kd=typeof Kd=="function"?Kd:Ja,d_(Fd,_d|gd,Kd)}function Hx(Fd,Kd){return Kd==null||Yy(Fd,Kd,Q0(Kd))}function bg(Fd,Kd){return Fd===Kd||Fd!==Fd&&Kd!==Kd}var Gx=vv(Gv),zx=vv(function(Fd,Kd){return Fd>=Kd}),Cv=Qy(function(){return arguments}())?Qy:function(Fd){return k0(Fd)&&J1.call(Fd,"callee")&&!$v.call(Fd,"callee")},k1=dm.isArray,Vx=cg?C1(cg):Pb;function x_(Fd){return Fd!=null&&b2(Fd.length)&&!fb(Fd)}function F0(Fd){return k0(Fd)&&x_(Fd)}function Wx(Fd){return Fd===!0||Fd===!1||k0(Fd)&&H0(Fd)==mf}var Qb=x2||dT,Yx=i_?C1(i_):qE;function Kx(Fd){return k0(Fd)&&Fd.nodeType===1&&!mE(Fd)}function Xx(Fd){if(Fd==null)return!0;if(x_(Fd)&&(k1(Fd)||typeof Fd=="string"||typeof Fd.splice=="function"||Qb(Fd)||ay(Fd)||Cv(Fd)))return!Fd.length;var Kd=B0(Fd);if(Kd==Jf||Kd==Nh)return!Fd.size;if(uh(Fd))return!lb(Fd).length;for(var bf in Fd)if(J1.call(Fd,bf))return!1;return!0}function Qx(Fd,Kd){return kg(Fd,Kd)}function Jx(Fd,Kd,bf){bf=typeof bf=="function"?bf:Ja;var jf=bf?bf(Fd,Kd):Ja;return jf===Ja?kg(Fd,Kd,Ja,bf):!!jf}function tT(Fd){if(!k0(Fd))return!1;var Kd=H0(Fd);return Kd==hh||Kd==zf||typeof Fd.message=="string"&&typeof Fd.name=="string"&&!mE(Fd)}function Zx(Fd){return typeof Fd=="number"&&AE(Fd)}function fb(Fd){if(!A0(Fd))return!1;var Kd=H0(Fd);return Kd==Vf||Kd==kf||Kd==gf||Kd==Pf}function DT(Fd){return typeof Fd=="number"&&Fd==M1(Fd)}function b2(Fd){return typeof Fd=="number"&&Fd>-1&&Fd%1==0&&Fd<=xf}function A0(Fd){var Kd=typeof Fd;return Fd!=null&&(Kd=="object"||Kd=="function")}function k0(Fd){return Fd!=null&&typeof Fd=="object"}var RT=V_?C1(V_):cv;function eC(Fd,Kd){return Fd===Kd||Jy(Fd,Kd,X1(Kd))}function tC(Fd,Kd,bf){return bf=typeof bf=="function"?bf:Ja,Jy(Fd,Kd,X1(Kd),bf)}function rC(Fd){return IT(Fd)&&Fd!=+Fd}function nC(Fd){if(Qf(Fd))throw new w1(rd);return ob(Fd)}function iC(Fd){return Fd===null}function aC(Fd){return Fd==null}function IT(Fd){return typeof Fd=="number"||k0(Fd)&&H0(Fd)==Ch}function mE(Fd){if(!k0(Fd)||H0(Fd)!=Tf)return!1;var Kd=Cg(Fd);if(Kd===null)return!0;var bf=J1.call(Kd,"constructor")&&Kd.constructor;return typeof bf=="function"&&bf instanceof bf&&wb.call(bf)==Qg}var rT=Cf?C1(Cf):GE;function sC(Fd){return DT(Fd)&&Fd>=-xf&&Fd<=xf}var kT=Mf?C1(Mf):zE;function v2(Fd){return typeof Fd=="string"||!k1(Fd)&&k0(Fd)&&H0(Fd)==dh}function U_(Fd){return typeof Fd=="symbol"||k0(Fd)&&H0(Fd)==$h}var ay=Bf?C1(Bf):VE;function oC(Fd){return Fd===Ja}function lC(Fd){return k0(Fd)&&B0(Fd)==jh}function cC(Fd){return k0(Fd)&&H0(Fd)==Zh}var uC=vv(cb),dC=vv(function(Fd,Kd){return Fd<=Kd});function OT(Fd){if(!Fd)return[];if(x_(Fd))return v2(Fd)?R_(Fd):a_(Fd);if(Zg&&Fd[Zg])return E2(Fd[Zg]());var Kd=B0(Fd),bf=Kd==Jf?Dv:Kd==Nh?u_:sy;return bf(Fd)}function hb(Fd){if(!Fd)return Fd===0?Fd:0;if(Fd=ig(Fd),Fd===_f||Fd===-_f){var Kd=Fd<0?-1:1;return Kd*Lf}return Fd===Fd?Fd:0}function M1(Fd){var Kd=hb(Fd),bf=Kd%1;return Kd===Kd?bf?Kd-bf:Kd:0}function $T(Fd){return Fd?mg(M1(Fd),0,Yf):0}function ig(Fd){if(typeof Fd=="number")return Fd;if(U_(Fd))return Wf;if(A0(Fd)){var Kd=typeof Fd.valueOf=="function"?Fd.valueOf():Fd;Fd=A0(Kd)?Kd+"":Kd}if(typeof Fd!="string")return Fd===0?Fd:+Fd;Fd=r1(Fd);var bf=Gh.test(Fd);return bf||Ah.test(Fd)?b_(Fd.slice(2),bf?2:8):Mh.test(Fd)?Wf:+Fd}function MT(Fd){return j_(Fd,C_(Fd))}function pC(Fd){return Fd?mg(M1(Fd),-xf,xf):Fd===0?Fd:0}function a0(Fd){return Fd==null?"":p_(Fd)}var hC=J_(function(Fd,Kd){if(uh(Kd)||x_(Kd)){j_(Kd,Q0(Kd),Fd);return}for(var bf in Kd)J1.call(Kd,bf)&&Rb(Fd,bf,Kd[bf])}),BT=J_(function(Fd,Kd){j_(Kd,C_(Kd),Fd)}),y2=J_(function(Fd,Kd,bf,jf){j_(Kd,C_(Kd),Fd,jf)}),mC=J_(function(Fd,Kd,bf,jf){j_(Kd,Q0(Kd),Fd,jf)}),_C=Z_(kb);function gC(Fd,Kd){var bf=Db(Fd);return Kd==null?bf:Wy(bf,Kd)}var bC=$1(function(Fd,Kd){Fd=i0(Fd);var bf=-1,jf=Kd.length,_h=jf>2?Kd[2]:Ja;for(_h&&zd(Kd[0],Kd[1],_h)&&(jf=1);++bf<jf;)for(var Dh=Kd[bf],Vh=C_(Dh),Yh=-1,im=Vh.length;++Yh<im;){var Rm=Vh[Yh],Mm=Fd[Rm];(Mm===Ja||bg(Mm,I_[Rm])&&!J1.call(Fd,Rm))&&(Fd[Rm]=Dh[Rm])}return Fd}),vC=$1(function(Fd){return Fd.push(Ja,ey),Pm(PT,Ja,Fd)});function yC(Fd,Kd){return jd(Fd,_1(Kd,3),T_)}function EC(Fd,Kd){return jd(Fd,_1(Kd,3),qv)}function TC(Fd,Kd){return Fd==null?Fd:$b(Fd,_1(Kd,3),C_)}function SC(Fd,Kd){return Fd==null?Fd:Xy(Fd,_1(Kd,3),C_)}function xC(Fd,Kd){return Fd&&T_(Fd,_1(Kd,3))}function CC(Fd,Kd){return Fd&&qv(Fd,_1(Kd,3))}function wC(Fd){return Fd==null?[]:Hv(Fd,Q0(Fd))}function AC(Fd){return Fd==null?[]:Hv(Fd,C_(Fd))}function nT(Fd,Kd,bf){var jf=Fd==null?Ja:Ig(Fd,Kd);return jf===Ja?bf:jf}function NC(Fd,Kd){return Fd!=null&&d2(Fd,Kd,FE)}function iT(Fd,Kd){return Fd!=null&&d2(Fd,Kd,jE)}var DC=uE(function(Fd,Kd,bf){Kd!=null&&typeof Kd.toString!="function"&&(Kd=Y_.call(Kd)),Fd[Kd]=bf},sT(w_)),RC=uE(function(Fd,Kd,bf){Kd!=null&&typeof Kd.toString!="function"&&(Kd=Y_.call(Kd)),J1.call(Fd,Kd)?Fd[Kd].push(bf):Fd[Kd]=[bf]},_1),IC=$1(Bb);function Q0(Fd){return x_(Fd)?jv(Fd):lb(Fd)}function C_(Fd){return x_(Fd)?jv(Fd,!0):uv(Fd)}function kC(Fd,Kd){var bf={};return Kd=_1(Kd,3),T_(Fd,function(jf,_h,Dh){hg(bf,Kd(jf,_h,Dh),jf)}),bf}function OC(Fd,Kd){var bf={};return Kd=_1(Kd,3),T_(Fd,function(jf,_h,Dh){hg(bf,_h,Kd(jf,_h,Dh))}),bf}var $C=J_(function(Fd,Kd,bf){F_(Fd,Kd,bf)}),PT=J_(function(Fd,Kd,bf,jf){F_(Fd,Kd,bf,jf)}),MC=Z_(function(Fd,Kd){var bf={};if(Fd==null)return bf;var jf=!1;Kd=s0(Kd,function(Dh){return Dh=$g(Dh,Fd),jf||(jf=Dh.length>1),Dh}),j_(Fd,ny(Fd),bf),jf&&(bf=d_(bf,_d|yd|gd,Ev));for(var _h=Kd.length;_h--;)Vv(bf,Kd[_h]);return bf});function LC(Fd,Kd){return FT(Fd,g2(_1(Kd)))}var BC=Z_(function(Fd,Kd){return Fd==null?{}:KE(Fd,Kd)});function FT(Fd,Kd){if(Fd==null)return{};var bf=s0(ny(Fd),function(jf){return[jf]});return Kd=_1(Kd),XE(Fd,bf,function(jf,_h){return Kd(jf,_h[0])})}function PC(Fd,Kd,bf){Kd=$g(Kd,Fd);var jf=-1,_h=Kd.length;for(_h||(_h=1,Fd=Ja);++jf<_h;){var Dh=Fd==null?Ja:Fd[m0(Kd[jf])];Dh===Ja&&(jf=_h,Dh=bf),Fd=fb(Dh)?Dh.call(Fd):Dh}return Fd}function FC(Fd,Kd,bf){return Fd==null?Fd:qb(Fd,Kd,bf)}function jC(Fd,Kd,bf,jf){return jf=typeof jf=="function"?jf:Ja,Fd==null?Fd:qb(Fd,Kd,bf,jf)}var jT=l2(Q0),UT=l2(C_);function UC(Fd,Kd,bf){var jf=k1(Fd),_h=jf||Qb(Fd)||ay(Fd);if(Kd=_1(Kd,4),bf==null){var Dh=Fd&&Fd.constructor;_h?bf=jf?new Dh:[]:A0(Fd)?bf=fb(Dh)?Db(Cg(Fd)):{}:bf={}}return(_h?A1:T_)(Fd,function(Vh,Yh,im){return Kd(bf,Vh,Yh,im)}),bf}function qC(Fd,Kd){return Fd==null?!0:Vv(Fd,Kd)}function HC(Fd,Kd,bf){return Fd==null?Fd:nE(Fd,Kd,mv(bf))}function GC(Fd,Kd,bf,jf){return jf=typeof jf=="function"?jf:Ja,Fd==null?Fd:nE(Fd,Kd,mv(bf),jf)}function sy(Fd){return Fd==null?[]:f0(Fd,Q0(Fd))}function zC(Fd){return Fd==null?[]:f0(Fd,C_(Fd))}function VC(Fd,Kd,bf){return bf===Ja&&(bf=Kd,Kd=Ja),bf!==Ja&&(bf=ig(bf),bf=bf===bf?bf:0),Kd!==Ja&&(Kd=ig(Kd),Kd=Kd===Kd?Kd:0),mg(ig(Fd),Kd,bf)}function WC(Fd,Kd,bf){return Kd=hb(Kd),bf===Ja?(bf=Kd,Kd=0):bf=hb(bf),Fd=ig(Fd),Mb(Fd,Kd,bf)}function YC(Fd,Kd,bf){if(bf&&typeof bf!="boolean"&&zd(Fd,Kd,bf)&&(Kd=bf=Ja),bf===Ja&&(typeof Kd=="boolean"?(bf=Kd,Kd=Ja):typeof Fd=="boolean"&&(bf=Fd,Fd=Ja)),Fd===Ja&&Kd===Ja?(Fd=0,Kd=1):(Fd=hb(Fd),Kd===Ja?(Kd=Fd,Fd=0):Kd=hb(Kd)),Fd>Kd){var jf=Fd;Fd=Kd,Kd=jf}if(bf||Fd%1||Kd%1){var _h=Uy();return R0(Fd+_h*(Kd-Fd+yb("1e-"+((_h+"").length-1))),Kd)}return zv(Fd,Kd)}var KC=Vb(function(Fd,Kd,bf){return Kd=Kd.toLowerCase(),Fd+(bf?qT(Kd):Kd)});function qT(Fd){return aT(a0(Fd).toLowerCase())}function HT(Fd){return Fd=a0(Fd),Fd&&Fd.replace(um,Sb).replace(gb,"")}function XC(Fd,Kd,bf){Fd=a0(Fd),Kd=p_(Kd);var jf=Fd.length;bf=bf===Ja?jf:mg(M1(bf),0,jf);var _h=bf;return bf-=Kd.length,bf>=0&&Fd.slice(bf,_h)==Kd}function QC(Fd){return Fd=a0(Fd),Fd&&N1.test(Fd)?Fd.replace(Om,W_):Fd}function JC(Fd){return Fd=a0(Fd),Fd&&I1.test(Fd)?Fd.replace(Z1,"\\$&"):Fd}var ZC=Vb(function(Fd,Kd,bf){return Fd+(bf?"-":"")+Kd.toLowerCase()}),e4=Vb(function(Fd,Kd,bf){return Fd+(bf?" ":"")+Kd.toLowerCase()}),t4=a2("toLowerCase");function r4(Fd,Kd,bf){Fd=a0(Fd),Kd=M1(Kd);var jf=Kd?Cb(Fd):0;if(!Kd||jf>=Kd)return Fd;var _h=(Kd-jf)/2;return Zv(eb(_h),bf)+Fd+Zv(rv(_h),bf)}function n4(Fd,Kd,bf){Fd=a0(Fd),Kd=M1(Kd);var jf=Kd?Cb(Fd):0;return Kd&&jf<Kd?Fd+Zv(Kd-jf,bf):Fd}function i4(Fd,Kd,bf){Fd=a0(Fd),Kd=M1(Kd);var jf=Kd?Cb(Fd):0;return Kd&&jf<Kd?Zv(Kd-jf,bf)+Fd:Fd}function a4(Fd,Kd,bf){return bf||Kd==null?Kd=0:Kd&&(Kd=+Kd),Lv(a0(Fd).replace(O1,""),Kd||0)}function s4(Fd,Kd,bf){return(bf?zd(Fd,Kd,bf):Kd===Ja)?Kd=1:Kd=M1(Kd),rE(a0(Fd),Kd)}function o4(){var Fd=arguments,Kd=a0(Fd[0]);return Fd.length<3?Kd:Kd.replace(Fd[1],Fd[2])}var l4=Vb(function(Fd,Kd,bf){return Fd+(bf?"_":"")+Kd.toLowerCase()});function c4(Fd,Kd,bf){return bf&&typeof bf!="number"&&zd(Fd,Kd,bf)&&(Kd=bf=Ja),bf=bf===Ja?Yf:bf>>>0,bf?(Fd=a0(Fd),Fd&&(typeof Kd=="string"||Kd!=null&&!rT(Kd))&&(Kd=p_(Kd),!Kd&&xb(Fd))?_g(R_(Fd),0,bf):Fd.split(Kd,bf)):[]}var u4=Vb(function(Fd,Kd,bf){return Fd+(bf?" ":"")+aT(Kd)});function d4(Fd,Kd,bf){return Fd=a0(Fd),bf=bf==null?0:mg(M1(bf),0,Fd.length),Kd=p_(Kd),Fd.slice(bf,bf+Kd.length)==Kd}function p4(Fd,Kd,bf){var jf=wh.templateSettings;bf&&zd(Fd,Kd,bf)&&(Kd=Ja),Fd=a0(Fd),Kd=y2({},Kd,jf,c2);var _h=y2({},Kd.imports,jf.imports,c2),Dh=Q0(_h),Vh=f0(_h,Dh),Yh,im,Rm=0,Mm=Kd.interpolate||_m,Um="__p += '",t1=tv((Kd.escape||_m).source+"|"+Mm.source+"|"+(Mm===Xm?yh:_m).source+"|"+(Kd.evaluate||_m).source+"|$","g"),p1="//# sourceURL="+(J1.call(Kd,"sourceURL")?(Kd.sourceURL+"").replace(/\s/g," "):"lodash.templateSources["+ ++Hg+"]")+`
|
||
`;Fd.replace(t1,function(S1,U1,z1,q_,h_,H_){return z1||(z1=q_),Um+=Fd.slice(Rm,H_).replace(Ff,Kg),U1&&(Yh=!0,Um+=`' +
|
||
__e(`+U1+`) +
|
||
'`),h_&&(im=!0,Um+=`';
|
||
`+h_+`;
|
||
__p += '`),z1&&(Um+=`' +
|
||
((__t = (`+z1+`)) == null ? '' : __t) +
|
||
'`),Rm=H_+S1.length,S1}),Um+=`';
|
||
`;var T1=J1.call(Kd,"variable")&&Kd.variable;if(!T1)Um=`with (obj) {
|
||
`+Um+`
|
||
}
|
||
`;else if(ph.test(T1))throw new w1(od);Um=(im?Um.replace(gm,""):Um).replace(om,"$1").replace(Gm,"$1;"),Um="function("+(T1||"obj")+`) {
|
||
`+(T1?"":`obj || (obj = {});
|
||
`)+"var __t, __p = ''"+(Yh?", __e = _.escape":"")+(im?`, __j = Array.prototype.join;
|
||
function print() { __p += __j.call(arguments, '') }
|
||
`:`;
|
||
`)+Um+`return __p
|
||
}`;var L1=VT(function(){return H1(Dh,p1+"return "+Um).apply(Ja,Vh)});if(L1.source=Um,tT(L1))throw L1;return L1}function f4(Fd){return a0(Fd).toLowerCase()}function h4(Fd){return a0(Fd).toUpperCase()}function m4(Fd,Kd,bf){if(Fd=a0(Fd),Fd&&(bf||Kd===Ja))return r1(Fd);if(!Fd||!(Kd=p_(Kd)))return Fd;var jf=R_(Fd),_h=R_(Kd),Dh=Y1(jf,_h),Vh=O0(jf,_h)+1;return _g(jf,Dh,Vh).join("")}function _4(Fd,Kd,bf){if(Fd=a0(Fd),Fd&&(bf||Kd===Ja))return Fd.slice(0,Oy(Fd)+1);if(!Fd||!(Kd=p_(Kd)))return Fd;var jf=R_(Fd),_h=O0(jf,R_(Kd))+1;return _g(jf,0,_h).join("")}function g4(Fd,Kd,bf){if(Fd=a0(Fd),Fd&&(bf||Kd===Ja))return Fd.replace(O1,"");if(!Fd||!(Kd=p_(Kd)))return Fd;var jf=R_(Fd),_h=Y1(jf,R_(Kd));return _g(jf,_h).join("")}function b4(Fd,Kd){var bf=Hd,jf=Vd;if(A0(Kd)){var _h="separator"in Kd?Kd.separator:_h;bf="length"in Kd?M1(Kd.length):bf,jf="omission"in Kd?p_(Kd.omission):jf}Fd=a0(Fd);var Dh=Fd.length;if(xb(Fd)){var Vh=R_(Fd);Dh=Vh.length}if(bf>=Dh)return Fd;var Yh=bf-Cb(jf);if(Yh<1)return jf;var im=Vh?_g(Vh,0,Yh).join(""):Fd.slice(0,Yh);if(_h===Ja)return im+jf;if(Vh&&(Yh+=im.length-Yh),rT(_h)){if(Fd.slice(Yh).search(_h)){var Rm,Mm=im;for(_h.global||(_h=tv(_h.source,a0(Bh.exec(_h))+"g")),_h.lastIndex=0;Rm=_h.exec(Mm);)var Um=Rm.index;im=im.slice(0,Um===Ja?Yh:Um)}}else if(Fd.indexOf(p_(_h),Yh)!=Yh){var t1=im.lastIndexOf(_h);t1>-1&&(im=im.slice(0,t1))}return im+jf}function y4(Fd){return Fd=a0(Fd),Fd&&Jm.test(Fd)?Fd.replace(Fm,TE):Fd}var E4=Vb(function(Fd,Kd,bf){return Fd+(bf?" ":"")+Kd.toUpperCase()}),aT=a2("toUpperCase");function zT(Fd,Kd,bf){return Fd=a0(Fd),Kd=bf?Ja:Kd,Kd===Ja?bE(Fd)?xE(Fd):Ry(Fd):Fd.match(Kd)||[]}var VT=$1(function(Fd,Kd){try{return Pm(Fd,Ja,Kd)}catch(bf){return tT(bf)?bf:new w1(bf)}}),T4=Z_(function(Fd,Kd){return A1(Kd,function(bf){bf=m0(bf),hg(Fd,bf,Z2(Fd[bf],Fd))}),Fd});function S4(Fd){var Kd=Fd==null?0:Fd.length,bf=_1();return Fd=Kd?s0(Fd,function(jf){if(typeof jf[1]!="function")throw new y_(sd);return[bf(jf[0]),jf[1]]}):[],$1(function(jf){for(var _h=-1;++_h<Kd;){var Dh=Fd[_h];if(Pm(Dh[0],this,jf))return Pm(Dh[1],this,jf)}})}function x4(Fd){return L2(d_(Fd,_d))}function sT(Fd){return function(){return Fd}}function C4(Fd,Kd){return Fd==null||Fd!==Fd?Kd:Fd}var w4=s2(),A4=s2(!0);function w_(Fd){return Fd}function oT(Fd){return Fb(typeof Fd=="function"?Fd:d_(Fd,_d))}function N4(Fd){return WE(d_(Fd,_d))}function D4(Fd,Kd){return eE(Fd,d_(Kd,_d))}var R4=$1(function(Fd,Kd){return function(bf){return Bb(bf,Fd,Kd)}}),I4=$1(function(Fd,Kd){return function(bf){return Bb(Fd,bf,Kd)}});function lT(Fd,Kd,bf){var jf=Q0(Kd),_h=Hv(Kd,jf);bf==null&&!(A0(Kd)&&(_h.length||!jf.length))&&(bf=Kd,Kd=Fd,Fd=this,_h=Hv(Kd,Q0(Kd)));var Dh=!(A0(bf)&&"chain"in bf)||!!bf.chain,Vh=fb(Fd);return A1(_h,function(Yh){var im=Kd[Yh];Fd[Yh]=im,Vh&&(Fd.prototype[Yh]=function(){var Rm=this.__chain__;if(Dh||Rm){var Mm=Fd(this.__wrapped__),Um=Mm.__actions__=a_(this.__actions__);return Um.push({func:im,args:arguments,thisArg:Fd}),Mm.__chain__=Rm,Mm}return im.apply(Fd,D_([this.value()],arguments))})}),Fd}function k4(){return W1._===this&&(W1._=J0),this}function cT(){}function O4(Fd){return Fd=M1(Fd),$1(function(Kd){return Ub(Kd,Fd)})}var $4=Xv(s0),M4=Xv(o_),L4=Xv(Sg);function WT(Fd){return Qd(Fd)?Th(m0(Fd)):P2(Fd)}function B4(Fd){return function(Kd){return Fd==null?Ja:Ig(Fd,Kd)}}var P4=pb(),F4=pb(!0);function uT(){return[]}function dT(){return!1}function j4(){return{}}function U4(){return""}function q4(){return!0}function H4(Fd,Kd){if(Fd=M1(Fd),Fd<1||Fd>xf)return[];var bf=Yf,jf=R0(Fd,Yf);Kd=_1(Kd),Fd-=Yf;for(var _h=Wm(jf,Kd);++bf<Fd;)Kd(bf);return _h}function G4(Fd){return k1(Fd)?s0(Fd,m0):U_(Fd)?[Fd]:a_(o0(a0(Fd)))}function z4(Fd){var Kd=++CE;return a0(Fd)+Kd}var V4=Wb(function(Fd,Kd){return Fd+Kd},0),W4=dE("ceil"),Y4=Wb(function(Fd,Kd){return Fd/Kd},1),K4=dE("floor");function X4(Fd){return Fd&&Fd.length?Uv(Fd,w_,Gv):Ja}function Q4(Fd,Kd){return Fd&&Fd.length?Uv(Fd,_1(Kd,2),Gv):Ja}function J4(Fd){return Xf(Fd,w_)}function Z4(Fd,Kd){return Xf(Fd,_1(Kd,2))}function ew(Fd){return Fd&&Fd.length?Uv(Fd,w_,cb):Ja}function tw(Fd,Kd){return Fd&&Fd.length?Uv(Fd,_1(Kd,2),cb):Ja}var rw=Wb(function(Fd,Kd){return Fd*Kd},1),nw=dE("round"),iw=Wb(function(Fd,Kd){return Fd-Kd},0);function aw(Fd){return Fd&&Fd.length?Sm(Fd,w_):0}function sw(Fd,Kd){return Fd&&Fd.length?Sm(Fd,_1(Kd,2)):0}return wh.after=wx,wh.ary=TT,wh.assign=hC,wh.assignIn=BT,wh.assignInWith=y2,wh.assignWith=mC,wh.at=_C,wh.before=ST,wh.bind=Z2,wh.bindAll=T4,wh.bindKey=xT,wh.castArray=Px,wh.chain=vT,wh.chunk=G0,wh.compact=I0,wh.concat=w0,wh.cond=S4,wh.conforms=x4,wh.constant=sT,wh.countBy=nx,wh.create=gC,wh.curry=CT,wh.curryRight=wT,wh.debounce=AT,wh.defaults=bC,wh.defaultsDeep=vC,wh.defer=Ax,wh.delay=Nx,wh.difference=_0,wh.differenceBy=Z0,wh.differenceWith=Kb,wh.drop=Bg,wh.dropRight=V0,wh.dropRightWhile=tg,wh.dropWhile=P0,wh.fill=fE,wh.filter=ax,wh.flatMap=lx,wh.flatMapDeep=cx,wh.flatMapDepth=ux,wh.flatten=Fg,wh.flattenDeep=X0,wh.flattenDepth=Xb,wh.flip=Dx,wh.flow=w4,wh.flowRight=A4,wh.fromPairs=hE,wh.functions=wC,wh.functionsIn=AC,wh.groupBy=dx,wh.initial=aS,wh.intersection=sS,wh.intersectionBy=lS,wh.intersectionWith=cS,wh.invert=DC,wh.invertBy=RC,wh.invokeMap=fx,wh.iteratee=oT,wh.keyBy=hx,wh.keys=Q0,wh.keysIn=C_,wh.map=h2,wh.mapKeys=kC,wh.mapValues=OC,wh.matches=N4,wh.matchesProperty=D4,wh.memoize=_2,wh.merge=$C,wh.mergeWith=PT,wh.method=R4,wh.methodOf=I4,wh.mixin=lT,wh.negate=g2,wh.nthArg=O4,wh.omit=MC,wh.omitBy=LC,wh.once=Rx,wh.orderBy=mx,wh.over=$4,wh.overArgs=Ix,wh.overEvery=M4,wh.overSome=L4,wh.partial=eT,wh.partialRight=NT,wh.partition=_x,wh.pick=BC,wh.pickBy=FT,wh.property=WT,wh.propertyOf=B4,wh.pull=fS,wh.pullAll=gT,wh.pullAllBy=hS,wh.pullAllWith=mS,wh.pullAt=_S,wh.range=P4,wh.rangeRight=F4,wh.rearg=kx,wh.reject=vx,wh.remove=gS,wh.rest=Ox,wh.reverse=Q2,wh.sampleSize=Ex,wh.set=FC,wh.setWith=jC,wh.shuffle=Tx,wh.slice=bS,wh.sortBy=Cx,wh.sortedUniq=wS,wh.sortedUniqBy=AS,wh.split=c4,wh.spread=$x,wh.tail=NS,wh.take=DS,wh.takeRight=RS,wh.takeRightWhile=IS,wh.takeWhile=kS,wh.tap=WS,wh.throttle=Mx,wh.thru=f2,wh.toArray=OT,wh.toPairs=jT,wh.toPairsIn=UT,wh.toPath=G4,wh.toPlainObject=MT,wh.transform=UC,wh.unary=Lx,wh.union=OS,wh.unionBy=$S,wh.unionWith=MS,wh.uniq=LS,wh.uniqBy=BS,wh.uniqWith=PS,wh.unset=qC,wh.unzip=J2,wh.unzipWith=bT,wh.update=HC,wh.updateWith=GC,wh.values=sy,wh.valuesIn=zC,wh.without=FS,wh.words=zT,wh.wrap=Bx,wh.xor=jS,wh.xorBy=US,wh.xorWith=qS,wh.zip=HS,wh.zipObject=GS,wh.zipObjectDeep=zS,wh.zipWith=VS,wh.entries=jT,wh.entriesIn=UT,wh.extend=BT,wh.extendWith=y2,lT(wh,wh),wh.add=V4,wh.attempt=VT,wh.camelCase=KC,wh.capitalize=qT,wh.ceil=W4,wh.clamp=VC,wh.clone=Fx,wh.cloneDeep=Ux,wh.cloneDeepWith=qx,wh.cloneWith=jx,wh.conformsTo=Hx,wh.deburr=HT,wh.defaultTo=C4,wh.divide=Y4,wh.endsWith=XC,wh.eq=bg,wh.escape=QC,wh.escapeRegExp=JC,wh.every=ix,wh.find=sx,wh.findIndex=rg,wh.findKey=yC,wh.findLast=ox,wh.findLastIndex=Pg,wh.findLastKey=EC,wh.floor=K4,wh.forEach=yT,wh.forEachRight=ET,wh.forIn=TC,wh.forInRight=SC,wh.forOwn=xC,wh.forOwnRight=CC,wh.get=nT,wh.gt=Gx,wh.gte=zx,wh.has=NC,wh.hasIn=iT,wh.head=_T,wh.identity=w_,wh.includes=px,wh.indexOf=iS,wh.inRange=WC,wh.invoke=IC,wh.isArguments=Cv,wh.isArray=k1,wh.isArrayBuffer=Vx,wh.isArrayLike=x_,wh.isArrayLikeObject=F0,wh.isBoolean=Wx,wh.isBuffer=Qb,wh.isDate=Yx,wh.isElement=Kx,wh.isEmpty=Xx,wh.isEqual=Qx,wh.isEqualWith=Jx,wh.isError=tT,wh.isFinite=Zx,wh.isFunction=fb,wh.isInteger=DT,wh.isLength=b2,wh.isMap=RT,wh.isMatch=eC,wh.isMatchWith=tC,wh.isNaN=rC,wh.isNative=nC,wh.isNil=aC,wh.isNull=iC,wh.isNumber=IT,wh.isObject=A0,wh.isObjectLike=k0,wh.isPlainObject=mE,wh.isRegExp=rT,wh.isSafeInteger=sC,wh.isSet=kT,wh.isString=v2,wh.isSymbol=U_,wh.isTypedArray=ay,wh.isUndefined=oC,wh.isWeakMap=lC,wh.isWeakSet=cC,wh.join=uS,wh.kebabCase=ZC,wh.last=ng,wh.lastIndexOf=dS,wh.lowerCase=e4,wh.lowerFirst=t4,wh.lt=uC,wh.lte=dC,wh.max=X4,wh.maxBy=Q4,wh.mean=J4,wh.meanBy=Z4,wh.min=ew,wh.minBy=tw,wh.stubArray=uT,wh.stubFalse=dT,wh.stubObject=j4,wh.stubString=U4,wh.stubTrue=q4,wh.multiply=rw,wh.nth=pS,wh.noConflict=k4,wh.noop=cT,wh.now=m2,wh.pad=r4,wh.padEnd=n4,wh.padStart=i4,wh.parseInt=a4,wh.random=YC,wh.reduce=gx,wh.reduceRight=bx,wh.repeat=s4,wh.replace=o4,wh.result=PC,wh.round=nw,wh.runInContext=Jh,wh.sample=yx,wh.size=Sx,wh.snakeCase=l4,wh.some=xx,wh.sortedIndex=vS,wh.sortedIndexBy=yS,wh.sortedIndexOf=ES,wh.sortedLastIndex=TS,wh.sortedLastIndexBy=xS,wh.sortedLastIndexOf=CS,wh.startCase=u4,wh.startsWith=d4,wh.subtract=iw,wh.sum=aw,wh.sumBy=sw,wh.template=p4,wh.times=H4,wh.toFinite=hb,wh.toInteger=M1,wh.toLength=$T,wh.toLower=f4,wh.toNumber=ig,wh.toSafeInteger=pC,wh.toString=a0,wh.toUpper=h4,wh.trim=m4,wh.trimEnd=_4,wh.trimStart=g4,wh.truncate=b4,wh.unescape=y4,wh.uniqueId=z4,wh.upperCase=E4,wh.upperFirst=aT,wh.each=yT,wh.eachRight=ET,wh.first=_T,lT(wh,function(){var Fd={};return T_(wh,function(Kd,bf){J1.call(wh.prototype,bf)||(Fd[bf]=Kd)}),Fd}(),{chain:!1}),wh.VERSION=ed,A1(["bind","bindKey","curry","curryRight","partial","partialRight"],function(Fd){wh[Fd].placeholder=wh}),A1(["drop","take"],function(Fd,Kd){b1.prototype[Fd]=function(bf){bf=bf===Ja?1:u0(M1(bf),0);var jf=this.__filtered__&&!Kd?new b1(this):this.clone();return jf.__filtered__?jf.__takeCount__=R0(bf,jf.__takeCount__):jf.__views__.push({size:R0(bf,Yf),type:Fd+(jf.__dir__<0?"Right":"")}),jf},b1.prototype[Fd+"Right"]=function(bf){return this.reverse()[Fd](bf).reverse()}}),A1(["filter","map","takeWhile"],function(Fd,Kd){var bf=Kd+1,jf=bf==pf||bf==hf;b1.prototype[Fd]=function(_h){var Dh=this.clone();return Dh.__iteratees__.push({iteratee:_1(_h,3),type:bf}),Dh.__filtered__=Dh.__filtered__||jf,Dh}}),A1(["head","last"],function(Fd,Kd){var bf="take"+(Kd?"Right":"");b1.prototype[Fd]=function(){return this[bf](1).value()[0]}}),A1(["initial","tail"],function(Fd,Kd){var bf="drop"+(Kd?"":"Right");b1.prototype[Fd]=function(){return this.__filtered__?new b1(this):this[bf](1)}}),b1.prototype.compact=function(){return this.filter(w_)},b1.prototype.find=function(Fd){return this.filter(Fd).head()},b1.prototype.findLast=function(Fd){return this.reverse().find(Fd)},b1.prototype.invokeMap=$1(function(Fd,Kd){return typeof Fd=="function"?new b1(this):this.map(function(bf){return Bb(bf,Fd,Kd)})}),b1.prototype.reject=function(Fd){return this.filter(g2(_1(Fd)))},b1.prototype.slice=function(Fd,Kd){Fd=M1(Fd);var bf=this;return bf.__filtered__&&(Fd>0||Kd<0)?new b1(bf):(Fd<0?bf=bf.takeRight(-Fd):Fd&&(bf=bf.drop(Fd)),Kd!==Ja&&(Kd=M1(Kd),bf=Kd<0?bf.dropRight(-Kd):bf.take(Kd-Fd)),bf)},b1.prototype.takeRightWhile=function(Fd){return this.reverse().takeWhile(Fd).reverse()},b1.prototype.toArray=function(){return this.take(Yf)},T_(b1.prototype,function(Fd,Kd){var bf=/^(?:filter|find|map|reject)|While$/.test(Kd),jf=/^(?:head|last)$/.test(Kd),_h=wh[jf?"take"+(Kd=="last"?"Right":""):Kd],Dh=jf||/^find/.test(Kd);_h&&(wh.prototype[Kd]=function(){var Vh=this.__wrapped__,Yh=jf?[1]:arguments,im=Vh instanceof b1,Rm=Yh[0],Mm=im||k1(Vh),Um=function(U1){var z1=_h.apply(wh,D_([U1],Yh));return jf&&t1?z1[0]:z1};Mm&&bf&&typeof Rm=="function"&&Rm.length!=1&&(im=Mm=!1);var t1=this.__chain__,p1=!!this.__actions__.length,T1=Dh&&!t1,L1=im&&!p1;if(!Dh&&Mm){Vh=L1?Vh:new b1(this);var S1=Fd.apply(Vh,Yh);return S1.__actions__.push({func:f2,args:[Um],thisArg:Ja}),new t0(S1,t1)}return T1&&L1?Fd.apply(this,Yh):(S1=this.thru(Um),T1?jf?S1.value()[0]:S1.value():S1)})}),A1(["pop","push","shift","sort","splice","unshift"],function(Fd){var Kd=Iv[Fd],bf=/^(?:push|sort|unshift)$/.test(Fd)?"tap":"thru",jf=/^(?:pop|shift)$/.test(Fd);wh.prototype[Fd]=function(){var _h=arguments;if(jf&&!this.__chain__){var Dh=this.value();return Kd.apply(k1(Dh)?Dh:[],_h)}return this[bf](function(Vh){return Kd.apply(k1(Vh)?Vh:[],_h)})}}),T_(b1.prototype,function(Fd,Kd){var bf=wh[Kd];if(bf){var jf=bf.name+"";J1.call(rb,jf)||(rb[jf]=[]),rb[jf].push({name:Kd,func:bf})}}),rb[Kv(Ja,Rd).name]=[{name:"wrapper",func:Ja}],b1.prototype.clone=$0,b1.prototype.reverse=sv,b1.prototype.value=N2,wh.prototype.at=YS,wh.prototype.chain=KS,wh.prototype.commit=XS,wh.prototype.next=QS,wh.prototype.plant=ZS,wh.prototype.reverse=ex,wh.prototype.toJSON=wh.prototype.valueOf=wh.prototype.value=tx,wh.prototype.first=wh.prototype.head,Zg&&(wh.prototype[Zg]=JS),wh},ug=$y();N_?((N_.exports=ug)._=ug,Vg._=ug):W1._=ug}).call(commonjsGlobal)})(lodash,lodash.exports);var lodashExports=lodash.exports;const _=getDefaultExportFromCjs(lodashExports);var queryString={},strictUriEncode=Ra=>encodeURIComponent(Ra).replace(/[!'()*]/g,qa=>`%${qa.charCodeAt(0).toString(16).toUpperCase()}`),token="%[a-f0-9]{2}",singleMatcher=new RegExp("("+token+")|([^%]+?)","gi"),multiMatcher=new RegExp("("+token+")+","gi");function decodeComponents(Ra,qa){try{return[decodeURIComponent(Ra.join(""))]}catch{}if(Ra.length===1)return Ra;qa=qa||1;var Ja=Ra.slice(0,qa),ed=Ra.slice(qa);return Array.prototype.concat.call([],decodeComponents(Ja),decodeComponents(ed))}function decode(Ra){try{return decodeURIComponent(Ra)}catch{for(var qa=Ra.match(singleMatcher)||[],Ja=1;Ja<qa.length;Ja++)Ra=decodeComponents(qa,Ja).join(""),qa=Ra.match(singleMatcher)||[];return Ra}}function customDecodeURIComponent(Ra){for(var qa={"%FE%FF":"<22><>","%FF%FE":"<22><>"},Ja=multiMatcher.exec(Ra);Ja;){try{qa[Ja[0]]=decodeURIComponent(Ja[0])}catch{var ed=decode(Ja[0]);ed!==Ja[0]&&(qa[Ja[0]]=ed)}Ja=multiMatcher.exec(Ra)}qa["%C2"]="<22>";for(var td=Object.keys(qa),rd=0;rd<td.length;rd++){var sd=td[rd];Ra=Ra.replace(new RegExp(sd,"g"),qa[sd])}return Ra}var decodeUriComponent=function(Ra){if(typeof Ra!="string")throw new TypeError("Expected `encodedURI` to be of type `string`, got `"+typeof Ra+"`");try{return Ra=Ra.replace(/\+/g," "),decodeURIComponent(Ra)}catch{return customDecodeURIComponent(Ra)}},splitOnFirst=(Ra,qa)=>{if(!(typeof Ra=="string"&&typeof qa=="string"))throw new TypeError("Expected the arguments to be of type `string`");if(qa==="")return[Ra];const Ja=Ra.indexOf(qa);return Ja===-1?[Ra]:[Ra.slice(0,Ja),Ra.slice(Ja+qa.length)]},filterObj=function(Ra,qa){for(var Ja={},ed=Object.keys(Ra),td=Array.isArray(qa),rd=0;rd<ed.length;rd++){var sd=ed[rd],od=Ra[sd];(td?qa.indexOf(sd)!==-1:qa(sd,od,Ra))&&(Ja[sd]=od)}return Ja};(function(Ra){const qa=strictUriEncode,Ja=decodeUriComponent,ed=splitOnFirst,td=filterObj,rd=Nd=>Nd==null,sd=Symbol("encodeFragmentIdentifier");function od(Nd){switch(Nd.arrayFormat){case"index":return Id=>(Md,Ld)=>{const Pd=Md.length;return Ld===void 0||Nd.skipNull&&Ld===null||Nd.skipEmptyString&&Ld===""?Md:Ld===null?[...Md,[ud(Id,Nd),"[",Pd,"]"].join("")]:[...Md,[ud(Id,Nd),"[",ud(Pd,Nd),"]=",ud(Ld,Nd)].join("")]};case"bracket":return Id=>(Md,Ld)=>Ld===void 0||Nd.skipNull&&Ld===null||Nd.skipEmptyString&&Ld===""?Md:Ld===null?[...Md,[ud(Id,Nd),"[]"].join("")]:[...Md,[ud(Id,Nd),"[]=",ud(Ld,Nd)].join("")];case"colon-list-separator":return Id=>(Md,Ld)=>Ld===void 0||Nd.skipNull&&Ld===null||Nd.skipEmptyString&&Ld===""?Md:Ld===null?[...Md,[ud(Id,Nd),":list="].join("")]:[...Md,[ud(Id,Nd),":list=",ud(Ld,Nd)].join("")];case"comma":case"separator":case"bracket-separator":{const Id=Nd.arrayFormat==="bracket-separator"?"[]=":"=";return Md=>(Ld,Pd)=>Pd===void 0||Nd.skipNull&&Pd===null||Nd.skipEmptyString&&Pd===""?Ld:(Pd=Pd===null?"":Pd,Ld.length===0?[[ud(Md,Nd),Id,ud(Pd,Nd)].join("")]:[[Ld,ud(Pd,Nd)].join(Nd.arrayFormatSeparator)])}default:return Id=>(Md,Ld)=>Ld===void 0||Nd.skipNull&&Ld===null||Nd.skipEmptyString&&Ld===""?Md:Ld===null?[...Md,ud(Id,Nd)]:[...Md,[ud(Id,Nd),"=",ud(Ld,Nd)].join("")]}}function ld(Nd){let Id;switch(Nd.arrayFormat){case"index":return(Md,Ld,Pd)=>{if(Id=/\[(\d*)\]$/.exec(Md),Md=Md.replace(/\[\d*\]$/,""),!Id){Pd[Md]=Ld;return}Pd[Md]===void 0&&(Pd[Md]={}),Pd[Md][Id[1]]=Ld};case"bracket":return(Md,Ld,Pd)=>{if(Id=/(\[\])$/.exec(Md),Md=Md.replace(/\[\]$/,""),!Id){Pd[Md]=Ld;return}if(Pd[Md]===void 0){Pd[Md]=[Ld];return}Pd[Md]=[].concat(Pd[Md],Ld)};case"colon-list-separator":return(Md,Ld,Pd)=>{if(Id=/(:list)$/.exec(Md),Md=Md.replace(/:list$/,""),!Id){Pd[Md]=Ld;return}if(Pd[Md]===void 0){Pd[Md]=[Ld];return}Pd[Md]=[].concat(Pd[Md],Ld)};case"comma":case"separator":return(Md,Ld,Pd)=>{const qd=typeof Ld=="string"&&Ld.includes(Nd.arrayFormatSeparator),Yd=typeof Ld=="string"&&!qd&&_d(Ld,Nd).includes(Nd.arrayFormatSeparator);Ld=Yd?_d(Ld,Nd):Ld;const Ud=qd||Yd?Ld.split(Nd.arrayFormatSeparator).map(Hd=>_d(Hd,Nd)):Ld===null?Ld:_d(Ld,Nd);Pd[Md]=Ud};case"bracket-separator":return(Md,Ld,Pd)=>{const qd=/(\[\])$/.test(Md);if(Md=Md.replace(/\[\]$/,""),!qd){Pd[Md]=Ld&&_d(Ld,Nd);return}const Yd=Ld===null?[]:Ld.split(Nd.arrayFormatSeparator).map(Ud=>_d(Ud,Nd));if(Pd[Md]===void 0){Pd[Md]=Yd;return}Pd[Md]=[].concat(Pd[Md],Yd)};default:return(Md,Ld,Pd)=>{if(Pd[Md]===void 0){Pd[Md]=Ld;return}Pd[Md]=[].concat(Pd[Md],Ld)}}}function cd(Nd){if(typeof Nd!="string"||Nd.length!==1)throw new TypeError("arrayFormatSeparator must be single character string")}function ud(Nd,Id){return Id.encode?Id.strict?qa(Nd):encodeURIComponent(Nd):Nd}function _d(Nd,Id){return Id.decode?Ja(Nd):Nd}function yd(Nd){return Array.isArray(Nd)?Nd.sort():typeof Nd=="object"?yd(Object.keys(Nd)).sort((Id,Md)=>Number(Id)-Number(Md)).map(Id=>Nd[Id]):Nd}function gd(Nd){const Id=Nd.indexOf("#");return Id!==-1&&(Nd=Nd.slice(0,Id)),Nd}function Ed(Nd){let Id="";const Md=Nd.indexOf("#");return Md!==-1&&(Id=Nd.slice(Md)),Id}function Td(Nd){Nd=gd(Nd);const Id=Nd.indexOf("?");return Id===-1?"":Nd.slice(Id+1)}function kd(Nd,Id){return Id.parseNumbers&&!Number.isNaN(Number(Nd))&&typeof Nd=="string"&&Nd.trim()!==""?Nd=Number(Nd):Id.parseBooleans&&Nd!==null&&(Nd.toLowerCase()==="true"||Nd.toLowerCase()==="false")&&(Nd=Nd.toLowerCase()==="true"),Nd}function Rd(Nd,Id){Id=Object.assign({decode:!0,sort:!0,arrayFormat:"none",arrayFormatSeparator:",",parseNumbers:!1,parseBooleans:!1},Id),cd(Id.arrayFormatSeparator);const Md=ld(Id),Ld=Object.create(null);if(typeof Nd!="string"||(Nd=Nd.trim().replace(/^[?#&]/,""),!Nd))return Ld;for(const Pd of Nd.split("&")){if(Pd==="")continue;let[qd,Yd]=ed(Id.decode?Pd.replace(/\+/g," "):Pd,"=");Yd=Yd===void 0?null:["comma","separator","bracket-separator"].includes(Id.arrayFormat)?Yd:_d(Yd,Id),Md(_d(qd,Id),Yd,Ld)}for(const Pd of Object.keys(Ld)){const qd=Ld[Pd];if(typeof qd=="object"&&qd!==null)for(const Yd of Object.keys(qd))qd[Yd]=kd(qd[Yd],Id);else Ld[Pd]=kd(qd,Id)}return Id.sort===!1?Ld:(Id.sort===!0?Object.keys(Ld).sort():Object.keys(Ld).sort(Id.sort)).reduce((Pd,qd)=>{const Yd=Ld[qd];return Yd&&typeof Yd=="object"&&!Array.isArray(Yd)?Pd[qd]=yd(Yd):Pd[qd]=Yd,Pd},Object.create(null))}Ra.extract=Td,Ra.parse=Rd,Ra.stringify=(Nd,Id)=>{if(!Nd)return"";Id=Object.assign({encode:!0,strict:!0,arrayFormat:"none",arrayFormatSeparator:","},Id),cd(Id.arrayFormatSeparator);const Md=Yd=>Id.skipNull&&rd(Nd[Yd])||Id.skipEmptyString&&Nd[Yd]==="",Ld=od(Id),Pd={};for(const Yd of Object.keys(Nd))Md(Yd)||(Pd[Yd]=Nd[Yd]);const qd=Object.keys(Pd);return Id.sort!==!1&&qd.sort(Id.sort),qd.map(Yd=>{const Ud=Nd[Yd];return Ud===void 0?"":Ud===null?ud(Yd,Id):Array.isArray(Ud)?Ud.length===0&&Id.arrayFormat==="bracket-separator"?ud(Yd,Id)+"[]":Ud.reduce(Ld(Yd),[]).join("&"):ud(Yd,Id)+"="+ud(Ud,Id)}).filter(Yd=>Yd.length>0).join("&")},Ra.parseUrl=(Nd,Id)=>{Id=Object.assign({decode:!0},Id);const[Md,Ld]=ed(Nd,"#");return Object.assign({url:Md.split("?")[0]||"",query:Rd(Td(Nd),Id)},Id&&Id.parseFragmentIdentifier&&Ld?{fragmentIdentifier:_d(Ld,Id)}:{})},Ra.stringifyUrl=(Nd,Id)=>{Id=Object.assign({encode:!0,strict:!0,[sd]:!0},Id);const Md=gd(Nd.url).split("?")[0]||"",Ld=Ra.extract(Nd.url),Pd=Ra.parse(Ld,{sort:!1}),qd=Object.assign(Pd,Nd.query);let Yd=Ra.stringify(qd,Id);Yd&&(Yd=`?${Yd}`);let Ud=Ed(Nd.url);return Nd.fragmentIdentifier&&(Ud=`#${Id[sd]?ud(Nd.fragmentIdentifier,Id):Nd.fragmentIdentifier}`),`${Md}${Yd}${Ud}`},Ra.pick=(Nd,Id,Md)=>{Md=Object.assign({parseFragmentIdentifier:!0,[sd]:!1},Md);const{url:Ld,query:Pd,fragmentIdentifier:qd}=Ra.parseUrl(Nd,Md);return Ra.stringifyUrl({url:Ld,query:td(Pd,Id),fragmentIdentifier:qd},Md)},Ra.exclude=(Nd,Id,Md)=>{const Ld=Array.isArray(Id)?Pd=>!Id.includes(Pd):(Pd,qd)=>!Id(Pd,qd);return Ra.pick(Nd,Ld,Md)}})(queryString);const Encoder=TextEncoder;var xml$2={exports:{}},XML_CHARACTER_MAP={"&":"&",'"':""","'":"'","<":"<",">":">"};function escapeForXML$1(Ra){return Ra&&Ra.replace?Ra.replace(/([&"<>'])/g,function(qa,Ja){return XML_CHARACTER_MAP[Ja]}):Ra}var escapeForXML_1=escapeForXML$1,define_process_default$5={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}},escapeForXML=escapeForXML_1,Stream=streamBrowserify.Stream,DEFAULT_INDENT=" ";function xml$1(Ra,qa){typeof qa!="object"&&(qa={indent:qa});var Ja=qa.stream?new Stream:null,ed="",td=!1,rd=qa.indent?qa.indent===!0?DEFAULT_INDENT:qa.indent:"",sd=!0;function od(yd){sd?define_process_default$5.nextTick(yd):yd()}function ld(yd,gd){if(gd!==void 0&&(ed+=gd),yd&&!td&&(Ja=Ja||new Stream,td=!0),yd&&td){var Ed=ed;od(function(){Ja.emit("data",Ed)}),ed=""}}function cd(yd,gd){format(ld,resolve(yd,rd,rd?1:0),gd)}function ud(){if(Ja){var yd=ed;od(function(){Ja.emit("data",yd),Ja.emit("end"),Ja.readable=!1,Ja.emit("close")})}}function _d(yd){var gd=yd.encoding||"UTF-8",Ed={version:"1.0",encoding:gd};yd.standalone&&(Ed.standalone=yd.standalone),cd({"?xml":{_attr:Ed}}),ed=ed.replace("/>","?>")}return od(function(){sd=!1}),qa.declaration&&_d(qa.declaration),Ra&&Ra.forEach?Ra.forEach(function(yd,gd){var Ed;gd+1===Ra.length&&(Ed=ud),cd(yd,Ed)}):cd(Ra,ud),Ja?(Ja.readable=!0,Ja):ed}function element(){var Ra=Array.prototype.slice.call(arguments),qa={_elem:resolve(Ra)};return qa.push=function(Ja){if(!this.append)throw new Error("not assigned to a parent!");var ed=this,td=this._elem.indent;format(this.append,resolve(Ja,td,this._elem.icount+(td?1:0)),function(){ed.append(!0)})},qa.close=function(Ja){Ja!==void 0&&this.push(Ja),this.end&&this.end()},qa}function create_indent(Ra,qa){return new Array(qa||0).join(Ra||"")}function resolve(Ra,qa,Ja){Ja=Ja||0;var ed=create_indent(qa,Ja),td,rd=Ra,sd=!1;if(typeof Ra=="object"){var od=Object.keys(Ra);if(td=od[0],rd=Ra[td],rd&&rd._elem)return rd._elem.name=td,rd._elem.icount=Ja,rd._elem.indent=qa,rd._elem.indents=ed,rd._elem.interrupt=rd,rd._elem}var ld=[],cd=[],ud;function _d(yd){var gd=Object.keys(yd);gd.forEach(function(Ed){ld.push(attribute(Ed,yd[Ed]))})}switch(typeof rd){case"object":if(rd===null)break;rd._attr&&_d(rd._attr),rd._cdata&&cd.push(("<![CDATA["+rd._cdata).replace(/\]\]>/g,"]]]]><![CDATA[>")+"]]>"),rd.forEach&&(ud=!1,cd.push(""),rd.forEach(function(yd){if(typeof yd=="object"){var gd=Object.keys(yd)[0];gd=="_attr"?_d(yd._attr):cd.push(resolve(yd,qa,Ja+1))}else cd.pop(),ud=!0,cd.push(escapeForXML(yd))}),ud||cd.push(""));break;default:cd.push(escapeForXML(rd))}return{name:td,interrupt:sd,attributes:ld,content:cd,icount:Ja,indents:ed,indent:qa}}function format(Ra,qa,Ja){if(typeof qa!="object")return Ra(!1,qa);var ed=qa.interrupt?1:qa.content.length;function td(){for(;qa.content.length;){var sd=qa.content.shift();if(sd!==void 0){if(rd(sd))return;format(Ra,sd)}}Ra(!1,(ed>1?qa.indents:"")+(qa.name?"</"+qa.name+">":"")+(qa.indent&&!Ja?`
|
||
`:"")),Ja&&Ja()}function rd(sd){return sd.interrupt?(sd.interrupt.append=Ra,sd.interrupt.end=td,sd.interrupt=!1,Ra(!0),!0):!1}if(Ra(!1,qa.indents+(qa.name?"<"+qa.name:"")+(qa.attributes.length?" "+qa.attributes.join(" "):"")+(ed?qa.name?">":"":qa.name?"/>":"")+(qa.indent&&ed>1?`
|
||
`:"")),!ed)return Ra(!1,qa.indent?`
|
||
`:"");rd(qa)||td()}function attribute(Ra,qa){return Ra+'="'+escapeForXML(qa)+'"'}xml$2.exports=xml$1;xml$2.exports.element=xml$2.exports.Element=element;var xmlExports=xml$2.exports;const Xml=getDefaultExportFromCjs(xmlExports);var xml2js={},defaults$1={},hasRequiredDefaults;function requireDefaults(){return hasRequiredDefaults||(hasRequiredDefaults=1,(function(){defaults$1.defaults={"0.1":{explicitCharkey:!1,trim:!0,normalize:!0,normalizeTags:!1,attrkey:"@",charkey:"#",explicitArray:!1,ignoreAttrs:!1,mergeAttrs:!1,explicitRoot:!1,validator:null,xmlns:!1,explicitChildren:!1,childkey:"@@",charsAsChildren:!1,includeWhiteChars:!1,async:!1,strict:!0,attrNameProcessors:null,attrValueProcessors:null,tagNameProcessors:null,valueProcessors:null,emptyTag:""},"0.2":{explicitCharkey:!1,trim:!1,normalize:!1,normalizeTags:!1,attrkey:"$",charkey:"_",explicitArray:!0,ignoreAttrs:!1,mergeAttrs:!1,explicitRoot:!0,validator:null,xmlns:!1,explicitChildren:!1,preserveChildrenOrder:!1,childkey:"$$",charsAsChildren:!1,includeWhiteChars:!1,async:!1,strict:!0,attrNameProcessors:null,attrValueProcessors:null,tagNameProcessors:null,valueProcessors:null,rootName:"root",xmldec:{version:"1.0",encoding:"UTF-8",standalone:!0},doctype:null,renderOpts:{pretty:!0,indent:" ",newline:`
|
||
`},headless:!1,chunkSize:1e4,emptyTag:"",cdata:!1}}}).call(commonjsGlobal)),defaults$1}var builder={},lib$1={},Utility={},hasRequiredUtility;function requireUtility(){return hasRequiredUtility||(hasRequiredUtility=1,(function(){var Ra,qa,Ja,ed,td,rd,sd,od=[].slice,ld={}.hasOwnProperty;Ra=function(){var cd,ud,_d,yd,gd,Ed;if(Ed=arguments[0],gd=2<=arguments.length?od.call(arguments,1):[],td(Object.assign))Object.assign.apply(null,arguments);else for(cd=0,_d=gd.length;cd<_d;cd++)if(yd=gd[cd],yd!=null)for(ud in yd)ld.call(yd,ud)&&(Ed[ud]=yd[ud]);return Ed},td=function(cd){return!!cd&&Object.prototype.toString.call(cd)==="[object Function]"},rd=function(cd){var ud;return!!cd&&((ud=typeof cd)=="function"||ud==="object")},Ja=function(cd){return td(Array.isArray)?Array.isArray(cd):Object.prototype.toString.call(cd)==="[object Array]"},ed=function(cd){var ud;if(Ja(cd))return!cd.length;for(ud in cd)if(ld.call(cd,ud))return!1;return!0},sd=function(cd){var ud,_d;return rd(cd)&&(_d=Object.getPrototypeOf(cd))&&(ud=_d.constructor)&&typeof ud=="function"&&ud instanceof ud&&Function.prototype.toString.call(ud)===Function.prototype.toString.call(Object)},qa=function(cd){return td(cd.valueOf)?cd.valueOf():cd},Utility.assign=Ra,Utility.isFunction=td,Utility.isObject=rd,Utility.isArray=Ja,Utility.isEmpty=ed,Utility.isPlainObject=sd,Utility.getValue=qa}).call(commonjsGlobal)),Utility}var XMLDOMImplementation={exports:{}},hasRequiredXMLDOMImplementation;function requireXMLDOMImplementation(){return hasRequiredXMLDOMImplementation||(hasRequiredXMLDOMImplementation=1,(function(){XMLDOMImplementation.exports=function(){function Ra(){}return Ra.prototype.hasFeature=function(qa,Ja){return!0},Ra.prototype.createDocumentType=function(qa,Ja,ed){throw new Error("This DOM method is not implemented.")},Ra.prototype.createDocument=function(qa,Ja,ed){throw new Error("This DOM method is not implemented.")},Ra.prototype.createHTMLDocument=function(qa){throw new Error("This DOM method is not implemented.")},Ra.prototype.getFeature=function(qa,Ja){throw new Error("This DOM method is not implemented.")},Ra}()}).call(commonjsGlobal)),XMLDOMImplementation.exports}var XMLDocument={exports:{}},XMLDOMConfiguration={exports:{}},XMLDOMErrorHandler={exports:{}},hasRequiredXMLDOMErrorHandler;function requireXMLDOMErrorHandler(){return hasRequiredXMLDOMErrorHandler||(hasRequiredXMLDOMErrorHandler=1,(function(){XMLDOMErrorHandler.exports=function(){function Ra(){}return Ra.prototype.handleError=function(qa){throw new Error(qa)},Ra}()}).call(commonjsGlobal)),XMLDOMErrorHandler.exports}var XMLDOMStringList={exports:{}},hasRequiredXMLDOMStringList;function requireXMLDOMStringList(){return hasRequiredXMLDOMStringList||(hasRequiredXMLDOMStringList=1,(function(){XMLDOMStringList.exports=function(){function Ra(qa){this.arr=qa||[]}return Object.defineProperty(Ra.prototype,"length",{get:function(){return this.arr.length}}),Ra.prototype.item=function(qa){return this.arr[qa]||null},Ra.prototype.contains=function(qa){return this.arr.indexOf(qa)!==-1},Ra}()}).call(commonjsGlobal)),XMLDOMStringList.exports}var hasRequiredXMLDOMConfiguration;function requireXMLDOMConfiguration(){return hasRequiredXMLDOMConfiguration||(hasRequiredXMLDOMConfiguration=1,(function(){var Ra,qa;Ra=requireXMLDOMErrorHandler(),qa=requireXMLDOMStringList(),XMLDOMConfiguration.exports=function(){function Ja(){this.defaultParams={"canonical-form":!1,"cdata-sections":!1,comments:!1,"datatype-normalization":!1,"element-content-whitespace":!0,entities:!0,"error-handler":new Ra,infoset:!0,"validate-if-schema":!1,namespaces:!0,"namespace-declarations":!0,"normalize-characters":!1,"schema-location":"","schema-type":"","split-cdata-sections":!0,validate:!1,"well-formed":!0},this.params=Object.create(this.defaultParams)}return Object.defineProperty(Ja.prototype,"parameterNames",{get:function(){return new qa(Object.keys(this.defaultParams))}}),Ja.prototype.getParameter=function(ed){return this.params.hasOwnProperty(ed)?this.params[ed]:null},Ja.prototype.canSetParameter=function(ed,td){return!0},Ja.prototype.setParameter=function(ed,td){return td!=null?this.params[ed]=td:delete this.params[ed]},Ja}()}).call(commonjsGlobal)),XMLDOMConfiguration.exports}var XMLNode={exports:{}},XMLElement={exports:{}},NodeType={exports:{}},hasRequiredNodeType;function requireNodeType(){return hasRequiredNodeType||(hasRequiredNodeType=1,(function(){NodeType.exports={Element:1,Attribute:2,Text:3,CData:4,EntityReference:5,EntityDeclaration:6,ProcessingInstruction:7,Comment:8,Document:9,DocType:10,DocumentFragment:11,NotationDeclaration:12,Declaration:201,Raw:202,AttributeDeclaration:203,ElementDeclaration:204,Dummy:205}}).call(commonjsGlobal)),NodeType.exports}var XMLAttribute={exports:{}},hasRequiredXMLAttribute;function requireXMLAttribute(){return hasRequiredXMLAttribute||(hasRequiredXMLAttribute=1,(function(){var Ra;Ra=requireNodeType(),requireXMLNode(),XMLAttribute.exports=function(){function qa(Ja,ed,td){if(this.parent=Ja,this.parent&&(this.options=this.parent.options,this.stringify=this.parent.stringify),ed==null)throw new Error("Missing attribute name. "+this.debugInfo(ed));this.name=this.stringify.name(ed),this.value=this.stringify.attValue(td),this.type=Ra.Attribute,this.isId=!1,this.schemaTypeInfo=null}return Object.defineProperty(qa.prototype,"nodeType",{get:function(){return this.type}}),Object.defineProperty(qa.prototype,"ownerElement",{get:function(){return this.parent}}),Object.defineProperty(qa.prototype,"textContent",{get:function(){return this.value},set:function(Ja){return this.value=Ja||""}}),Object.defineProperty(qa.prototype,"namespaceURI",{get:function(){return""}}),Object.defineProperty(qa.prototype,"prefix",{get:function(){return""}}),Object.defineProperty(qa.prototype,"localName",{get:function(){return this.name}}),Object.defineProperty(qa.prototype,"specified",{get:function(){return!0}}),qa.prototype.clone=function(){return Object.create(this)},qa.prototype.toString=function(Ja){return this.options.writer.attribute(this,this.options.writer.filterOptions(Ja))},qa.prototype.debugInfo=function(Ja){return Ja=Ja||this.name,Ja==null?"parent: <"+this.parent.name+">":"attribute: {"+Ja+"}, parent: <"+this.parent.name+">"},qa.prototype.isEqualNode=function(Ja){return!(Ja.namespaceURI!==this.namespaceURI||Ja.prefix!==this.prefix||Ja.localName!==this.localName||Ja.value!==this.value)},qa}()}).call(commonjsGlobal)),XMLAttribute.exports}var XMLNamedNodeMap={exports:{}},hasRequiredXMLNamedNodeMap;function requireXMLNamedNodeMap(){return hasRequiredXMLNamedNodeMap||(hasRequiredXMLNamedNodeMap=1,(function(){XMLNamedNodeMap.exports=function(){function Ra(qa){this.nodes=qa}return Object.defineProperty(Ra.prototype,"length",{get:function(){return Object.keys(this.nodes).length||0}}),Ra.prototype.clone=function(){return this.nodes=null},Ra.prototype.getNamedItem=function(qa){return this.nodes[qa]},Ra.prototype.setNamedItem=function(qa){var Ja;return Ja=this.nodes[qa.nodeName],this.nodes[qa.nodeName]=qa,Ja||null},Ra.prototype.removeNamedItem=function(qa){var Ja;return Ja=this.nodes[qa],delete this.nodes[qa],Ja||null},Ra.prototype.item=function(qa){return this.nodes[Object.keys(this.nodes)[qa]]||null},Ra.prototype.getNamedItemNS=function(qa,Ja){throw new Error("This DOM method is not implemented.")},Ra.prototype.setNamedItemNS=function(qa){throw new Error("This DOM method is not implemented.")},Ra.prototype.removeNamedItemNS=function(qa,Ja){throw new Error("This DOM method is not implemented.")},Ra}()}).call(commonjsGlobal)),XMLNamedNodeMap.exports}var hasRequiredXMLElement;function requireXMLElement(){return hasRequiredXMLElement||(hasRequiredXMLElement=1,(function(){var Ra,qa,Ja,ed,td,rd,sd,od,ld=function(ud,_d){for(var yd in _d)cd.call(_d,yd)&&(ud[yd]=_d[yd]);function gd(){this.constructor=ud}return gd.prototype=_d.prototype,ud.prototype=new gd,ud.__super__=_d.prototype,ud},cd={}.hasOwnProperty;od=requireUtility(),sd=od.isObject,rd=od.isFunction,td=od.getValue,ed=requireXMLNode(),Ra=requireNodeType(),qa=requireXMLAttribute(),Ja=requireXMLNamedNodeMap(),XMLElement.exports=function(ud){ld(_d,ud);function _d(yd,gd,Ed){var Td,kd,Rd,Nd;if(_d.__super__.constructor.call(this,yd),gd==null)throw new Error("Missing element name. "+this.debugInfo());if(this.name=this.stringify.name(gd),this.type=Ra.Element,this.attribs={},this.schemaTypeInfo=null,Ed!=null&&this.attribute(Ed),yd.type===Ra.Document&&(this.isRoot=!0,this.documentObject=yd,yd.rootObject=this,yd.children)){for(Nd=yd.children,kd=0,Rd=Nd.length;kd<Rd;kd++)if(Td=Nd[kd],Td.type===Ra.DocType){Td.name=this.name;break}}}return Object.defineProperty(_d.prototype,"tagName",{get:function(){return this.name}}),Object.defineProperty(_d.prototype,"namespaceURI",{get:function(){return""}}),Object.defineProperty(_d.prototype,"prefix",{get:function(){return""}}),Object.defineProperty(_d.prototype,"localName",{get:function(){return this.name}}),Object.defineProperty(_d.prototype,"id",{get:function(){throw new Error("This DOM method is not implemented."+this.debugInfo())}}),Object.defineProperty(_d.prototype,"className",{get:function(){throw new Error("This DOM method is not implemented."+this.debugInfo())}}),Object.defineProperty(_d.prototype,"classList",{get:function(){throw new Error("This DOM method is not implemented."+this.debugInfo())}}),Object.defineProperty(_d.prototype,"attributes",{get:function(){return(!this.attributeMap||!this.attributeMap.nodes)&&(this.attributeMap=new Ja(this.attribs)),this.attributeMap}}),_d.prototype.clone=function(){var yd,gd,Ed,Td;Ed=Object.create(this),Ed.isRoot&&(Ed.documentObject=null),Ed.attribs={},Td=this.attribs;for(gd in Td)cd.call(Td,gd)&&(yd=Td[gd],Ed.attribs[gd]=yd.clone());return Ed.children=[],this.children.forEach(function(kd){var Rd;return Rd=kd.clone(),Rd.parent=Ed,Ed.children.push(Rd)}),Ed},_d.prototype.attribute=function(yd,gd){var Ed,Td;if(yd!=null&&(yd=td(yd)),sd(yd))for(Ed in yd)cd.call(yd,Ed)&&(Td=yd[Ed],this.attribute(Ed,Td));else rd(gd)&&(gd=gd.apply()),this.options.keepNullAttributes&&gd==null?this.attribs[yd]=new qa(this,yd,""):gd!=null&&(this.attribs[yd]=new qa(this,yd,gd));return this},_d.prototype.removeAttribute=function(yd){var gd,Ed,Td;if(yd==null)throw new Error("Missing attribute name. "+this.debugInfo());if(yd=td(yd),Array.isArray(yd))for(Ed=0,Td=yd.length;Ed<Td;Ed++)gd=yd[Ed],delete this.attribs[gd];else delete this.attribs[yd];return this},_d.prototype.toString=function(yd){return this.options.writer.element(this,this.options.writer.filterOptions(yd))},_d.prototype.att=function(yd,gd){return this.attribute(yd,gd)},_d.prototype.a=function(yd,gd){return this.attribute(yd,gd)},_d.prototype.getAttribute=function(yd){return this.attribs.hasOwnProperty(yd)?this.attribs[yd].value:null},_d.prototype.setAttribute=function(yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.getAttributeNode=function(yd){return this.attribs.hasOwnProperty(yd)?this.attribs[yd]:null},_d.prototype.setAttributeNode=function(yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.removeAttributeNode=function(yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.getElementsByTagName=function(yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.getAttributeNS=function(yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.setAttributeNS=function(yd,gd,Ed){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.removeAttributeNS=function(yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.getAttributeNodeNS=function(yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.setAttributeNodeNS=function(yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.getElementsByTagNameNS=function(yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.hasAttribute=function(yd){return this.attribs.hasOwnProperty(yd)},_d.prototype.hasAttributeNS=function(yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.setIdAttribute=function(yd,gd){return this.attribs.hasOwnProperty(yd)?this.attribs[yd].isId:gd},_d.prototype.setIdAttributeNS=function(yd,gd,Ed){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.setIdAttributeNode=function(yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.getElementsByTagName=function(yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.getElementsByTagNameNS=function(yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.getElementsByClassName=function(yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},_d.prototype.isEqualNode=function(yd){var gd,Ed,Td;if(!_d.__super__.isEqualNode.apply(this,arguments).isEqualNode(yd)||yd.namespaceURI!==this.namespaceURI||yd.prefix!==this.prefix||yd.localName!==this.localName||yd.attribs.length!==this.attribs.length)return!1;for(gd=Ed=0,Td=this.attribs.length-1;0<=Td?Ed<=Td:Ed>=Td;gd=0<=Td?++Ed:--Ed)if(!this.attribs[gd].isEqualNode(yd.attribs[gd]))return!1;return!0},_d}(ed)}).call(commonjsGlobal)),XMLElement.exports}var XMLCData={exports:{}},XMLCharacterData={exports:{}},hasRequiredXMLCharacterData;function requireXMLCharacterData(){return hasRequiredXMLCharacterData||(hasRequiredXMLCharacterData=1,(function(){var Ra,qa=function(ed,td){for(var rd in td)Ja.call(td,rd)&&(ed[rd]=td[rd]);function sd(){this.constructor=ed}return sd.prototype=td.prototype,ed.prototype=new sd,ed.__super__=td.prototype,ed},Ja={}.hasOwnProperty;Ra=requireXMLNode(),XMLCharacterData.exports=function(ed){qa(td,ed);function td(rd){td.__super__.constructor.call(this,rd),this.value=""}return Object.defineProperty(td.prototype,"data",{get:function(){return this.value},set:function(rd){return this.value=rd||""}}),Object.defineProperty(td.prototype,"length",{get:function(){return this.value.length}}),Object.defineProperty(td.prototype,"textContent",{get:function(){return this.value},set:function(rd){return this.value=rd||""}}),td.prototype.clone=function(){return Object.create(this)},td.prototype.substringData=function(rd,sd){throw new Error("This DOM method is not implemented."+this.debugInfo())},td.prototype.appendData=function(rd){throw new Error("This DOM method is not implemented."+this.debugInfo())},td.prototype.insertData=function(rd,sd){throw new Error("This DOM method is not implemented."+this.debugInfo())},td.prototype.deleteData=function(rd,sd){throw new Error("This DOM method is not implemented."+this.debugInfo())},td.prototype.replaceData=function(rd,sd,od){throw new Error("This DOM method is not implemented."+this.debugInfo())},td.prototype.isEqualNode=function(rd){return!(!td.__super__.isEqualNode.apply(this,arguments).isEqualNode(rd)||rd.data!==this.data)},td}(Ra)}).call(commonjsGlobal)),XMLCharacterData.exports}var hasRequiredXMLCData;function requireXMLCData(){return hasRequiredXMLCData||(hasRequiredXMLCData=1,(function(){var Ra,qa,Ja=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;Ra=requireNodeType(),qa=requireXMLCharacterData(),XMLCData.exports=function(td){Ja(rd,td);function rd(sd,od){if(rd.__super__.constructor.call(this,sd),od==null)throw new Error("Missing CDATA text. "+this.debugInfo());this.name="#cdata-section",this.type=Ra.CData,this.value=this.stringify.cdata(od)}return rd.prototype.clone=function(){return Object.create(this)},rd.prototype.toString=function(sd){return this.options.writer.cdata(this,this.options.writer.filterOptions(sd))},rd}(qa)}).call(commonjsGlobal)),XMLCData.exports}var XMLComment={exports:{}},hasRequiredXMLComment;function requireXMLComment(){return hasRequiredXMLComment||(hasRequiredXMLComment=1,(function(){var Ra,qa,Ja=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;Ra=requireNodeType(),qa=requireXMLCharacterData(),XMLComment.exports=function(td){Ja(rd,td);function rd(sd,od){if(rd.__super__.constructor.call(this,sd),od==null)throw new Error("Missing comment text. "+this.debugInfo());this.name="#comment",this.type=Ra.Comment,this.value=this.stringify.comment(od)}return rd.prototype.clone=function(){return Object.create(this)},rd.prototype.toString=function(sd){return this.options.writer.comment(this,this.options.writer.filterOptions(sd))},rd}(qa)}).call(commonjsGlobal)),XMLComment.exports}var XMLDeclaration={exports:{}},hasRequiredXMLDeclaration;function requireXMLDeclaration(){return hasRequiredXMLDeclaration||(hasRequiredXMLDeclaration=1,(function(){var Ra,qa,Ja,ed=function(rd,sd){for(var od in sd)td.call(sd,od)&&(rd[od]=sd[od]);function ld(){this.constructor=rd}return ld.prototype=sd.prototype,rd.prototype=new ld,rd.__super__=sd.prototype,rd},td={}.hasOwnProperty;Ja=requireUtility().isObject,qa=requireXMLNode(),Ra=requireNodeType(),XMLDeclaration.exports=function(rd){ed(sd,rd);function sd(od,ld,cd,ud){var _d;sd.__super__.constructor.call(this,od),Ja(ld)&&(_d=ld,ld=_d.version,cd=_d.encoding,ud=_d.standalone),ld||(ld="1.0"),this.type=Ra.Declaration,this.version=this.stringify.xmlVersion(ld),cd!=null&&(this.encoding=this.stringify.xmlEncoding(cd)),ud!=null&&(this.standalone=this.stringify.xmlStandalone(ud))}return sd.prototype.toString=function(od){return this.options.writer.declaration(this,this.options.writer.filterOptions(od))},sd}(qa)}).call(commonjsGlobal)),XMLDeclaration.exports}var XMLDocType={exports:{}},XMLDTDAttList={exports:{}},hasRequiredXMLDTDAttList;function requireXMLDTDAttList(){return hasRequiredXMLDTDAttList||(hasRequiredXMLDTDAttList=1,(function(){var Ra,qa,Ja=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;qa=requireXMLNode(),Ra=requireNodeType(),XMLDTDAttList.exports=function(td){Ja(rd,td);function rd(sd,od,ld,cd,ud,_d){if(rd.__super__.constructor.call(this,sd),od==null)throw new Error("Missing DTD element name. "+this.debugInfo());if(ld==null)throw new Error("Missing DTD attribute name. "+this.debugInfo(od));if(!cd)throw new Error("Missing DTD attribute type. "+this.debugInfo(od));if(!ud)throw new Error("Missing DTD attribute default. "+this.debugInfo(od));if(ud.indexOf("#")!==0&&(ud="#"+ud),!ud.match(/^(#REQUIRED|#IMPLIED|#FIXED|#DEFAULT)$/))throw new Error("Invalid default value type; expected: #REQUIRED, #IMPLIED, #FIXED or #DEFAULT. "+this.debugInfo(od));if(_d&&!ud.match(/^(#FIXED|#DEFAULT)$/))throw new Error("Default value only applies to #FIXED or #DEFAULT. "+this.debugInfo(od));this.elementName=this.stringify.name(od),this.type=Ra.AttributeDeclaration,this.attributeName=this.stringify.name(ld),this.attributeType=this.stringify.dtdAttType(cd),_d&&(this.defaultValue=this.stringify.dtdAttDefault(_d)),this.defaultValueType=ud}return rd.prototype.toString=function(sd){return this.options.writer.dtdAttList(this,this.options.writer.filterOptions(sd))},rd}(qa)}).call(commonjsGlobal)),XMLDTDAttList.exports}var XMLDTDEntity={exports:{}},hasRequiredXMLDTDEntity;function requireXMLDTDEntity(){return hasRequiredXMLDTDEntity||(hasRequiredXMLDTDEntity=1,(function(){var Ra,qa,Ja,ed=function(rd,sd){for(var od in sd)td.call(sd,od)&&(rd[od]=sd[od]);function ld(){this.constructor=rd}return ld.prototype=sd.prototype,rd.prototype=new ld,rd.__super__=sd.prototype,rd},td={}.hasOwnProperty;Ja=requireUtility().isObject,qa=requireXMLNode(),Ra=requireNodeType(),XMLDTDEntity.exports=function(rd){ed(sd,rd);function sd(od,ld,cd,ud){if(sd.__super__.constructor.call(this,od),cd==null)throw new Error("Missing DTD entity name. "+this.debugInfo(cd));if(ud==null)throw new Error("Missing DTD entity value. "+this.debugInfo(cd));if(this.pe=!!ld,this.name=this.stringify.name(cd),this.type=Ra.EntityDeclaration,!Ja(ud))this.value=this.stringify.dtdEntityValue(ud),this.internal=!0;else{if(!ud.pubID&&!ud.sysID)throw new Error("Public and/or system identifiers are required for an external entity. "+this.debugInfo(cd));if(ud.pubID&&!ud.sysID)throw new Error("System identifier is required for a public external entity. "+this.debugInfo(cd));if(this.internal=!1,ud.pubID!=null&&(this.pubID=this.stringify.dtdPubID(ud.pubID)),ud.sysID!=null&&(this.sysID=this.stringify.dtdSysID(ud.sysID)),ud.nData!=null&&(this.nData=this.stringify.dtdNData(ud.nData)),this.pe&&this.nData)throw new Error("Notation declaration is not allowed in a parameter entity. "+this.debugInfo(cd))}}return Object.defineProperty(sd.prototype,"publicId",{get:function(){return this.pubID}}),Object.defineProperty(sd.prototype,"systemId",{get:function(){return this.sysID}}),Object.defineProperty(sd.prototype,"notationName",{get:function(){return this.nData||null}}),Object.defineProperty(sd.prototype,"inputEncoding",{get:function(){return null}}),Object.defineProperty(sd.prototype,"xmlEncoding",{get:function(){return null}}),Object.defineProperty(sd.prototype,"xmlVersion",{get:function(){return null}}),sd.prototype.toString=function(od){return this.options.writer.dtdEntity(this,this.options.writer.filterOptions(od))},sd}(qa)}).call(commonjsGlobal)),XMLDTDEntity.exports}var XMLDTDElement={exports:{}},hasRequiredXMLDTDElement;function requireXMLDTDElement(){return hasRequiredXMLDTDElement||(hasRequiredXMLDTDElement=1,(function(){var Ra,qa,Ja=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;qa=requireXMLNode(),Ra=requireNodeType(),XMLDTDElement.exports=function(td){Ja(rd,td);function rd(sd,od,ld){if(rd.__super__.constructor.call(this,sd),od==null)throw new Error("Missing DTD element name. "+this.debugInfo());ld||(ld="(#PCDATA)"),Array.isArray(ld)&&(ld="("+ld.join(",")+")"),this.name=this.stringify.name(od),this.type=Ra.ElementDeclaration,this.value=this.stringify.dtdElementValue(ld)}return rd.prototype.toString=function(sd){return this.options.writer.dtdElement(this,this.options.writer.filterOptions(sd))},rd}(qa)}).call(commonjsGlobal)),XMLDTDElement.exports}var XMLDTDNotation={exports:{}},hasRequiredXMLDTDNotation;function requireXMLDTDNotation(){return hasRequiredXMLDTDNotation||(hasRequiredXMLDTDNotation=1,(function(){var Ra,qa,Ja=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;qa=requireXMLNode(),Ra=requireNodeType(),XMLDTDNotation.exports=function(td){Ja(rd,td);function rd(sd,od,ld){if(rd.__super__.constructor.call(this,sd),od==null)throw new Error("Missing DTD notation name. "+this.debugInfo(od));if(!ld.pubID&&!ld.sysID)throw new Error("Public or system identifiers are required for an external entity. "+this.debugInfo(od));this.name=this.stringify.name(od),this.type=Ra.NotationDeclaration,ld.pubID!=null&&(this.pubID=this.stringify.dtdPubID(ld.pubID)),ld.sysID!=null&&(this.sysID=this.stringify.dtdSysID(ld.sysID))}return Object.defineProperty(rd.prototype,"publicId",{get:function(){return this.pubID}}),Object.defineProperty(rd.prototype,"systemId",{get:function(){return this.sysID}}),rd.prototype.toString=function(sd){return this.options.writer.dtdNotation(this,this.options.writer.filterOptions(sd))},rd}(qa)}).call(commonjsGlobal)),XMLDTDNotation.exports}var hasRequiredXMLDocType;function requireXMLDocType(){return hasRequiredXMLDocType||(hasRequiredXMLDocType=1,(function(){var Ra,qa,Ja,ed,td,rd,sd,od,ld=function(ud,_d){for(var yd in _d)cd.call(_d,yd)&&(ud[yd]=_d[yd]);function gd(){this.constructor=ud}return gd.prototype=_d.prototype,ud.prototype=new gd,ud.__super__=_d.prototype,ud},cd={}.hasOwnProperty;od=requireUtility().isObject,sd=requireXMLNode(),Ra=requireNodeType(),qa=requireXMLDTDAttList(),ed=requireXMLDTDEntity(),Ja=requireXMLDTDElement(),td=requireXMLDTDNotation(),rd=requireXMLNamedNodeMap(),XMLDocType.exports=function(ud){ld(_d,ud);function _d(yd,gd,Ed){var Td,kd,Rd,Nd,Id,Md;if(_d.__super__.constructor.call(this,yd),this.type=Ra.DocType,yd.children){for(Nd=yd.children,kd=0,Rd=Nd.length;kd<Rd;kd++)if(Td=Nd[kd],Td.type===Ra.Element){this.name=Td.name;break}}this.documentObject=yd,od(gd)&&(Id=gd,gd=Id.pubID,Ed=Id.sysID),Ed==null&&(Md=[gd,Ed],Ed=Md[0],gd=Md[1]),gd!=null&&(this.pubID=this.stringify.dtdPubID(gd)),Ed!=null&&(this.sysID=this.stringify.dtdSysID(Ed))}return Object.defineProperty(_d.prototype,"entities",{get:function(){var yd,gd,Ed,Td,kd;for(Td={},kd=this.children,gd=0,Ed=kd.length;gd<Ed;gd++)yd=kd[gd],yd.type===Ra.EntityDeclaration&&!yd.pe&&(Td[yd.name]=yd);return new rd(Td)}}),Object.defineProperty(_d.prototype,"notations",{get:function(){var yd,gd,Ed,Td,kd;for(Td={},kd=this.children,gd=0,Ed=kd.length;gd<Ed;gd++)yd=kd[gd],yd.type===Ra.NotationDeclaration&&(Td[yd.name]=yd);return new rd(Td)}}),Object.defineProperty(_d.prototype,"publicId",{get:function(){return this.pubID}}),Object.defineProperty(_d.prototype,"systemId",{get:function(){return this.sysID}}),Object.defineProperty(_d.prototype,"internalSubset",{get:function(){throw new Error("This DOM method is not implemented."+this.debugInfo())}}),_d.prototype.element=function(yd,gd){var Ed;return Ed=new Ja(this,yd,gd),this.children.push(Ed),this},_d.prototype.attList=function(yd,gd,Ed,Td,kd){var Rd;return Rd=new qa(this,yd,gd,Ed,Td,kd),this.children.push(Rd),this},_d.prototype.entity=function(yd,gd){var Ed;return Ed=new ed(this,!1,yd,gd),this.children.push(Ed),this},_d.prototype.pEntity=function(yd,gd){var Ed;return Ed=new ed(this,!0,yd,gd),this.children.push(Ed),this},_d.prototype.notation=function(yd,gd){var Ed;return Ed=new td(this,yd,gd),this.children.push(Ed),this},_d.prototype.toString=function(yd){return this.options.writer.docType(this,this.options.writer.filterOptions(yd))},_d.prototype.ele=function(yd,gd){return this.element(yd,gd)},_d.prototype.att=function(yd,gd,Ed,Td,kd){return this.attList(yd,gd,Ed,Td,kd)},_d.prototype.ent=function(yd,gd){return this.entity(yd,gd)},_d.prototype.pent=function(yd,gd){return this.pEntity(yd,gd)},_d.prototype.not=function(yd,gd){return this.notation(yd,gd)},_d.prototype.up=function(){return this.root()||this.documentObject},_d.prototype.isEqualNode=function(yd){return!(!_d.__super__.isEqualNode.apply(this,arguments).isEqualNode(yd)||yd.name!==this.name||yd.publicId!==this.publicId||yd.systemId!==this.systemId)},_d}(sd)}).call(commonjsGlobal)),XMLDocType.exports}var XMLRaw={exports:{}},hasRequiredXMLRaw;function requireXMLRaw(){return hasRequiredXMLRaw||(hasRequiredXMLRaw=1,(function(){var Ra,qa,Ja=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;Ra=requireNodeType(),qa=requireXMLNode(),XMLRaw.exports=function(td){Ja(rd,td);function rd(sd,od){if(rd.__super__.constructor.call(this,sd),od==null)throw new Error("Missing raw text. "+this.debugInfo());this.type=Ra.Raw,this.value=this.stringify.raw(od)}return rd.prototype.clone=function(){return Object.create(this)},rd.prototype.toString=function(sd){return this.options.writer.raw(this,this.options.writer.filterOptions(sd))},rd}(qa)}).call(commonjsGlobal)),XMLRaw.exports}var XMLText={exports:{}},hasRequiredXMLText;function requireXMLText(){return hasRequiredXMLText||(hasRequiredXMLText=1,(function(){var Ra,qa,Ja=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;Ra=requireNodeType(),qa=requireXMLCharacterData(),XMLText.exports=function(td){Ja(rd,td);function rd(sd,od){if(rd.__super__.constructor.call(this,sd),od==null)throw new Error("Missing element text. "+this.debugInfo());this.name="#text",this.type=Ra.Text,this.value=this.stringify.text(od)}return Object.defineProperty(rd.prototype,"isElementContentWhitespace",{get:function(){throw new Error("This DOM method is not implemented."+this.debugInfo())}}),Object.defineProperty(rd.prototype,"wholeText",{get:function(){var sd,od,ld;for(ld="",od=this.previousSibling;od;)ld=od.data+ld,od=od.previousSibling;for(ld+=this.data,sd=this.nextSibling;sd;)ld=ld+sd.data,sd=sd.nextSibling;return ld}}),rd.prototype.clone=function(){return Object.create(this)},rd.prototype.toString=function(sd){return this.options.writer.text(this,this.options.writer.filterOptions(sd))},rd.prototype.splitText=function(sd){throw new Error("This DOM method is not implemented."+this.debugInfo())},rd.prototype.replaceWholeText=function(sd){throw new Error("This DOM method is not implemented."+this.debugInfo())},rd}(qa)}).call(commonjsGlobal)),XMLText.exports}var XMLProcessingInstruction={exports:{}},hasRequiredXMLProcessingInstruction;function requireXMLProcessingInstruction(){return hasRequiredXMLProcessingInstruction||(hasRequiredXMLProcessingInstruction=1,(function(){var Ra,qa,Ja=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;Ra=requireNodeType(),qa=requireXMLCharacterData(),XMLProcessingInstruction.exports=function(td){Ja(rd,td);function rd(sd,od,ld){if(rd.__super__.constructor.call(this,sd),od==null)throw new Error("Missing instruction target. "+this.debugInfo());this.type=Ra.ProcessingInstruction,this.target=this.stringify.insTarget(od),this.name=this.target,ld&&(this.value=this.stringify.insValue(ld))}return rd.prototype.clone=function(){return Object.create(this)},rd.prototype.toString=function(sd){return this.options.writer.processingInstruction(this,this.options.writer.filterOptions(sd))},rd.prototype.isEqualNode=function(sd){return!(!rd.__super__.isEqualNode.apply(this,arguments).isEqualNode(sd)||sd.target!==this.target)},rd}(qa)}).call(commonjsGlobal)),XMLProcessingInstruction.exports}var XMLDummy={exports:{}},hasRequiredXMLDummy;function requireXMLDummy(){return hasRequiredXMLDummy||(hasRequiredXMLDummy=1,(function(){var Ra,qa,Ja=function(td,rd){for(var sd in rd)ed.call(rd,sd)&&(td[sd]=rd[sd]);function od(){this.constructor=td}return od.prototype=rd.prototype,td.prototype=new od,td.__super__=rd.prototype,td},ed={}.hasOwnProperty;qa=requireXMLNode(),Ra=requireNodeType(),XMLDummy.exports=function(td){Ja(rd,td);function rd(sd){rd.__super__.constructor.call(this,sd),this.type=Ra.Dummy}return rd.prototype.clone=function(){return Object.create(this)},rd.prototype.toString=function(sd){return""},rd}(qa)}).call(commonjsGlobal)),XMLDummy.exports}var XMLNodeList={exports:{}},hasRequiredXMLNodeList;function requireXMLNodeList(){return hasRequiredXMLNodeList||(hasRequiredXMLNodeList=1,(function(){XMLNodeList.exports=function(){function Ra(qa){this.nodes=qa}return Object.defineProperty(Ra.prototype,"length",{get:function(){return this.nodes.length||0}}),Ra.prototype.clone=function(){return this.nodes=null},Ra.prototype.item=function(qa){return this.nodes[qa]||null},Ra}()}).call(commonjsGlobal)),XMLNodeList.exports}var DocumentPosition={exports:{}},hasRequiredDocumentPosition;function requireDocumentPosition(){return hasRequiredDocumentPosition||(hasRequiredDocumentPosition=1,(function(){DocumentPosition.exports={Disconnected:1,Preceding:2,Following:4,Contains:8,ContainedBy:16,ImplementationSpecific:32}}).call(commonjsGlobal)),DocumentPosition.exports}var hasRequiredXMLNode;function requireXMLNode(){return hasRequiredXMLNode||(hasRequiredXMLNode=1,(function(){var Ra,qa,Ja,ed,td,rd,sd,od,ld,cd,ud,_d,yd,gd,Ed,Td,kd,Rd={}.hasOwnProperty;kd=requireUtility(),Td=kd.isObject,Ed=kd.isFunction,gd=kd.isEmpty,yd=kd.getValue,od=null,Ja=null,ed=null,td=null,rd=null,ud=null,_d=null,cd=null,sd=null,qa=null,ld=null,Ra=null,XMLNode.exports=function(){function Nd(Id){this.parent=Id,this.parent&&(this.options=this.parent.options,this.stringify=this.parent.stringify),this.value=null,this.children=[],this.baseURI=null,od||(od=requireXMLElement(),Ja=requireXMLCData(),ed=requireXMLComment(),td=requireXMLDeclaration(),rd=requireXMLDocType(),ud=requireXMLRaw(),_d=requireXMLText(),cd=requireXMLProcessingInstruction(),sd=requireXMLDummy(),qa=requireNodeType(),ld=requireXMLNodeList(),requireXMLNamedNodeMap(),Ra=requireDocumentPosition())}return Object.defineProperty(Nd.prototype,"nodeName",{get:function(){return this.name}}),Object.defineProperty(Nd.prototype,"nodeType",{get:function(){return this.type}}),Object.defineProperty(Nd.prototype,"nodeValue",{get:function(){return this.value}}),Object.defineProperty(Nd.prototype,"parentNode",{get:function(){return this.parent}}),Object.defineProperty(Nd.prototype,"childNodes",{get:function(){return(!this.childNodeList||!this.childNodeList.nodes)&&(this.childNodeList=new ld(this.children)),this.childNodeList}}),Object.defineProperty(Nd.prototype,"firstChild",{get:function(){return this.children[0]||null}}),Object.defineProperty(Nd.prototype,"lastChild",{get:function(){return this.children[this.children.length-1]||null}}),Object.defineProperty(Nd.prototype,"previousSibling",{get:function(){var Id;return Id=this.parent.children.indexOf(this),this.parent.children[Id-1]||null}}),Object.defineProperty(Nd.prototype,"nextSibling",{get:function(){var Id;return Id=this.parent.children.indexOf(this),this.parent.children[Id+1]||null}}),Object.defineProperty(Nd.prototype,"ownerDocument",{get:function(){return this.document()||null}}),Object.defineProperty(Nd.prototype,"textContent",{get:function(){var Id,Md,Ld,Pd,qd;if(this.nodeType===qa.Element||this.nodeType===qa.DocumentFragment){for(qd="",Pd=this.children,Md=0,Ld=Pd.length;Md<Ld;Md++)Id=Pd[Md],Id.textContent&&(qd+=Id.textContent);return qd}else return null},set:function(Id){throw new Error("This DOM method is not implemented."+this.debugInfo())}}),Nd.prototype.setParent=function(Id){var Md,Ld,Pd,qd,Yd;for(this.parent=Id,Id&&(this.options=Id.options,this.stringify=Id.stringify),qd=this.children,Yd=[],Ld=0,Pd=qd.length;Ld<Pd;Ld++)Md=qd[Ld],Yd.push(Md.setParent(this));return Yd},Nd.prototype.element=function(Id,Md,Ld){var Pd,qd,Yd,Ud,Hd,Vd,Jd,Zd,pf,Xd,hf;if(Vd=null,Md===null&&Ld==null&&(pf=[{},null],Md=pf[0],Ld=pf[1]),Md==null&&(Md={}),Md=yd(Md),Td(Md)||(Xd=[Md,Ld],Ld=Xd[0],Md=Xd[1]),Id!=null&&(Id=yd(Id)),Array.isArray(Id))for(Yd=0,Jd=Id.length;Yd<Jd;Yd++)qd=Id[Yd],Vd=this.element(qd);else if(Ed(Id))Vd=this.element(Id.apply());else if(Td(Id)){for(Hd in Id)if(Rd.call(Id,Hd))if(hf=Id[Hd],Ed(hf)&&(hf=hf.apply()),!this.options.ignoreDecorators&&this.stringify.convertAttKey&&Hd.indexOf(this.stringify.convertAttKey)===0)Vd=this.attribute(Hd.substr(this.stringify.convertAttKey.length),hf);else if(!this.options.separateArrayItems&&Array.isArray(hf)&&gd(hf))Vd=this.dummy();else if(Td(hf)&&gd(hf))Vd=this.element(Hd);else if(!this.options.keepNullNodes&&hf==null)Vd=this.dummy();else if(!this.options.separateArrayItems&&Array.isArray(hf))for(Ud=0,Zd=hf.length;Ud<Zd;Ud++)qd=hf[Ud],Pd={},Pd[Hd]=qd,Vd=this.element(Pd);else Td(hf)?!this.options.ignoreDecorators&&this.stringify.convertTextKey&&Hd.indexOf(this.stringify.convertTextKey)===0?Vd=this.element(hf):(Vd=this.element(Hd),Vd.element(hf)):Vd=this.element(Hd,hf)}else!this.options.keepNullNodes&&Ld===null?Vd=this.dummy():!this.options.ignoreDecorators&&this.stringify.convertTextKey&&Id.indexOf(this.stringify.convertTextKey)===0?Vd=this.text(Ld):!this.options.ignoreDecorators&&this.stringify.convertCDataKey&&Id.indexOf(this.stringify.convertCDataKey)===0?Vd=this.cdata(Ld):!this.options.ignoreDecorators&&this.stringify.convertCommentKey&&Id.indexOf(this.stringify.convertCommentKey)===0?Vd=this.comment(Ld):!this.options.ignoreDecorators&&this.stringify.convertRawKey&&Id.indexOf(this.stringify.convertRawKey)===0?Vd=this.raw(Ld):!this.options.ignoreDecorators&&this.stringify.convertPIKey&&Id.indexOf(this.stringify.convertPIKey)===0?Vd=this.instruction(Id.substr(this.stringify.convertPIKey.length),Ld):Vd=this.node(Id,Md,Ld);if(Vd==null)throw new Error("Could not create any elements with: "+Id+". "+this.debugInfo());return Vd},Nd.prototype.insertBefore=function(Id,Md,Ld){var Pd,qd,Yd,Ud,Hd;if(Id!=null&&Id.type)return Yd=Id,Ud=Md,Yd.setParent(this),Ud?(qd=children.indexOf(Ud),Hd=children.splice(qd),children.push(Yd),Array.prototype.push.apply(children,Hd)):children.push(Yd),Yd;if(this.isRoot)throw new Error("Cannot insert elements at root level. "+this.debugInfo(Id));return qd=this.parent.children.indexOf(this),Hd=this.parent.children.splice(qd),Pd=this.parent.element(Id,Md,Ld),Array.prototype.push.apply(this.parent.children,Hd),Pd},Nd.prototype.insertAfter=function(Id,Md,Ld){var Pd,qd,Yd;if(this.isRoot)throw new Error("Cannot insert elements at root level. "+this.debugInfo(Id));return qd=this.parent.children.indexOf(this),Yd=this.parent.children.splice(qd+1),Pd=this.parent.element(Id,Md,Ld),Array.prototype.push.apply(this.parent.children,Yd),Pd},Nd.prototype.remove=function(){var Id;if(this.isRoot)throw new Error("Cannot remove the root element. "+this.debugInfo());return Id=this.parent.children.indexOf(this),[].splice.apply(this.parent.children,[Id,Id-Id+1].concat([])),this.parent},Nd.prototype.node=function(Id,Md,Ld){var Pd,qd;return Id!=null&&(Id=yd(Id)),Md||(Md={}),Md=yd(Md),Td(Md)||(qd=[Md,Ld],Ld=qd[0],Md=qd[1]),Pd=new od(this,Id,Md),Ld!=null&&Pd.text(Ld),this.children.push(Pd),Pd},Nd.prototype.text=function(Id){var Md;return Td(Id)&&this.element(Id),Md=new _d(this,Id),this.children.push(Md),this},Nd.prototype.cdata=function(Id){var Md;return Md=new Ja(this,Id),this.children.push(Md),this},Nd.prototype.comment=function(Id){var Md;return Md=new ed(this,Id),this.children.push(Md),this},Nd.prototype.commentBefore=function(Id){var Md,Ld;return Md=this.parent.children.indexOf(this),Ld=this.parent.children.splice(Md),this.parent.comment(Id),Array.prototype.push.apply(this.parent.children,Ld),this},Nd.prototype.commentAfter=function(Id){var Md,Ld;return Md=this.parent.children.indexOf(this),Ld=this.parent.children.splice(Md+1),this.parent.comment(Id),Array.prototype.push.apply(this.parent.children,Ld),this},Nd.prototype.raw=function(Id){var Md;return Md=new ud(this,Id),this.children.push(Md),this},Nd.prototype.dummy=function(){var Id;return Id=new sd(this),Id},Nd.prototype.instruction=function(Id,Md){var Ld,Pd,qd,Yd,Ud;if(Id!=null&&(Id=yd(Id)),Md!=null&&(Md=yd(Md)),Array.isArray(Id))for(Yd=0,Ud=Id.length;Yd<Ud;Yd++)Ld=Id[Yd],this.instruction(Ld);else if(Td(Id))for(Ld in Id)Rd.call(Id,Ld)&&(Pd=Id[Ld],this.instruction(Ld,Pd));else Ed(Md)&&(Md=Md.apply()),qd=new cd(this,Id,Md),this.children.push(qd);return this},Nd.prototype.instructionBefore=function(Id,Md){var Ld,Pd;return Ld=this.parent.children.indexOf(this),Pd=this.parent.children.splice(Ld),this.parent.instruction(Id,Md),Array.prototype.push.apply(this.parent.children,Pd),this},Nd.prototype.instructionAfter=function(Id,Md){var Ld,Pd;return Ld=this.parent.children.indexOf(this),Pd=this.parent.children.splice(Ld+1),this.parent.instruction(Id,Md),Array.prototype.push.apply(this.parent.children,Pd),this},Nd.prototype.declaration=function(Id,Md,Ld){var Pd,qd;return Pd=this.document(),qd=new td(Pd,Id,Md,Ld),Pd.children.length===0?Pd.children.unshift(qd):Pd.children[0].type===qa.Declaration?Pd.children[0]=qd:Pd.children.unshift(qd),Pd.root()||Pd},Nd.prototype.dtd=function(Id,Md){var Ld,Pd,qd,Yd,Ud,Hd,Vd,Jd,Zd,pf;for(Pd=this.document(),qd=new rd(Pd,Id,Md),Zd=Pd.children,Yd=Ud=0,Vd=Zd.length;Ud<Vd;Yd=++Ud)if(Ld=Zd[Yd],Ld.type===qa.DocType)return Pd.children[Yd]=qd,qd;for(pf=Pd.children,Yd=Hd=0,Jd=pf.length;Hd<Jd;Yd=++Hd)if(Ld=pf[Yd],Ld.isRoot)return Pd.children.splice(Yd,0,qd),qd;return Pd.children.push(qd),qd},Nd.prototype.up=function(){if(this.isRoot)throw new Error("The root node has no parent. Use doc() if you need to get the document object.");return this.parent},Nd.prototype.root=function(){var Id;for(Id=this;Id;){if(Id.type===qa.Document)return Id.rootObject;if(Id.isRoot)return Id;Id=Id.parent}},Nd.prototype.document=function(){var Id;for(Id=this;Id;){if(Id.type===qa.Document)return Id;Id=Id.parent}},Nd.prototype.end=function(Id){return this.document().end(Id)},Nd.prototype.prev=function(){var Id;if(Id=this.parent.children.indexOf(this),Id<1)throw new Error("Already at the first node. "+this.debugInfo());return this.parent.children[Id-1]},Nd.prototype.next=function(){var Id;if(Id=this.parent.children.indexOf(this),Id===-1||Id===this.parent.children.length-1)throw new Error("Already at the last node. "+this.debugInfo());return this.parent.children[Id+1]},Nd.prototype.importDocument=function(Id){var Md;return Md=Id.root().clone(),Md.parent=this,Md.isRoot=!1,this.children.push(Md),this},Nd.prototype.debugInfo=function(Id){var Md,Ld;return Id=Id||this.name,Id==null&&!((Md=this.parent)!=null&&Md.name)?"":Id==null?"parent: <"+this.parent.name+">":(Ld=this.parent)!=null&&Ld.name?"node: <"+Id+">, parent: <"+this.parent.name+">":"node: <"+Id+">"},Nd.prototype.ele=function(Id,Md,Ld){return this.element(Id,Md,Ld)},Nd.prototype.nod=function(Id,Md,Ld){return this.node(Id,Md,Ld)},Nd.prototype.txt=function(Id){return this.text(Id)},Nd.prototype.dat=function(Id){return this.cdata(Id)},Nd.prototype.com=function(Id){return this.comment(Id)},Nd.prototype.ins=function(Id,Md){return this.instruction(Id,Md)},Nd.prototype.doc=function(){return this.document()},Nd.prototype.dec=function(Id,Md,Ld){return this.declaration(Id,Md,Ld)},Nd.prototype.e=function(Id,Md,Ld){return this.element(Id,Md,Ld)},Nd.prototype.n=function(Id,Md,Ld){return this.node(Id,Md,Ld)},Nd.prototype.t=function(Id){return this.text(Id)},Nd.prototype.d=function(Id){return this.cdata(Id)},Nd.prototype.c=function(Id){return this.comment(Id)},Nd.prototype.r=function(Id){return this.raw(Id)},Nd.prototype.i=function(Id,Md){return this.instruction(Id,Md)},Nd.prototype.u=function(){return this.up()},Nd.prototype.importXMLBuilder=function(Id){return this.importDocument(Id)},Nd.prototype.replaceChild=function(Id,Md){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.removeChild=function(Id){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.appendChild=function(Id){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.hasChildNodes=function(){return this.children.length!==0},Nd.prototype.cloneNode=function(Id){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.normalize=function(){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.isSupported=function(Id,Md){return!0},Nd.prototype.hasAttributes=function(){return this.attribs.length!==0},Nd.prototype.compareDocumentPosition=function(Id){var Md,Ld;return Md=this,Md===Id?0:this.document()!==Id.document()?(Ld=Ra.Disconnected|Ra.ImplementationSpecific,Math.random()<.5?Ld|=Ra.Preceding:Ld|=Ra.Following,Ld):Md.isAncestor(Id)?Ra.Contains|Ra.Preceding:Md.isDescendant(Id)?Ra.Contains|Ra.Following:Md.isPreceding(Id)?Ra.Preceding:Ra.Following},Nd.prototype.isSameNode=function(Id){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.lookupPrefix=function(Id){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.isDefaultNamespace=function(Id){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.lookupNamespaceURI=function(Id){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.isEqualNode=function(Id){var Md,Ld,Pd;if(Id.nodeType!==this.nodeType||Id.children.length!==this.children.length)return!1;for(Md=Ld=0,Pd=this.children.length-1;0<=Pd?Ld<=Pd:Ld>=Pd;Md=0<=Pd?++Ld:--Ld)if(!this.children[Md].isEqualNode(Id.children[Md]))return!1;return!0},Nd.prototype.getFeature=function(Id,Md){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.setUserData=function(Id,Md,Ld){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.getUserData=function(Id){throw new Error("This DOM method is not implemented."+this.debugInfo())},Nd.prototype.contains=function(Id){return Id?Id===this||this.isDescendant(Id):!1},Nd.prototype.isDescendant=function(Id){var Md,Ld,Pd,qd,Yd;for(Yd=this.children,Pd=0,qd=Yd.length;Pd<qd;Pd++)if(Md=Yd[Pd],Id===Md||(Ld=Md.isDescendant(Id),Ld))return!0;return!1},Nd.prototype.isAncestor=function(Id){return Id.isDescendant(this)},Nd.prototype.isPreceding=function(Id){var Md,Ld;return Md=this.treePosition(Id),Ld=this.treePosition(this),Md===-1||Ld===-1?!1:Md<Ld},Nd.prototype.isFollowing=function(Id){var Md,Ld;return Md=this.treePosition(Id),Ld=this.treePosition(this),Md===-1||Ld===-1?!1:Md>Ld},Nd.prototype.treePosition=function(Id){var Md,Ld;return Ld=0,Md=!1,this.foreachTreeNode(this.document(),function(Pd){if(Ld++,!Md&&Pd===Id)return Md=!0}),Md?Ld:-1},Nd.prototype.foreachTreeNode=function(Id,Md){var Ld,Pd,qd,Yd,Ud;for(Id||(Id=this.document()),Yd=Id.children,Pd=0,qd=Yd.length;Pd<qd;Pd++){if(Ld=Yd[Pd],Ud=Md(Ld))return Ud;if(Ud=this.foreachTreeNode(Ld,Md),Ud)return Ud}},Nd}()}).call(commonjsGlobal)),XMLNode.exports}var XMLStringifier={exports:{}},hasRequiredXMLStringifier;function requireXMLStringifier(){return hasRequiredXMLStringifier||(hasRequiredXMLStringifier=1,(function(){var Ra=function(Ja,ed){return function(){return Ja.apply(ed,arguments)}},qa={}.hasOwnProperty;XMLStringifier.exports=function(){function Ja(ed){this.assertLegalName=Ra(this.assertLegalName,this),this.assertLegalChar=Ra(this.assertLegalChar,this);var td,rd,sd;ed||(ed={}),this.options=ed,this.options.version||(this.options.version="1.0"),rd=ed.stringify||{};for(td in rd)qa.call(rd,td)&&(sd=rd[td],this[td]=sd)}return Ja.prototype.name=function(ed){return this.options.noValidation?ed:this.assertLegalName(""+ed||"")},Ja.prototype.text=function(ed){return this.options.noValidation?ed:this.assertLegalChar(this.textEscape(""+ed||""))},Ja.prototype.cdata=function(ed){return this.options.noValidation?ed:(ed=""+ed||"",ed=ed.replace("]]>","]]]]><![CDATA[>"),this.assertLegalChar(ed))},Ja.prototype.comment=function(ed){if(this.options.noValidation)return ed;if(ed=""+ed||"",ed.match(/--/))throw new Error("Comment text cannot contain double-hypen: "+ed);return this.assertLegalChar(ed)},Ja.prototype.raw=function(ed){return this.options.noValidation?ed:""+ed||""},Ja.prototype.attValue=function(ed){return this.options.noValidation?ed:this.assertLegalChar(this.attEscape(ed=""+ed||""))},Ja.prototype.insTarget=function(ed){return this.options.noValidation?ed:this.assertLegalChar(""+ed||"")},Ja.prototype.insValue=function(ed){if(this.options.noValidation)return ed;if(ed=""+ed||"",ed.match(/\?>/))throw new Error("Invalid processing instruction value: "+ed);return this.assertLegalChar(ed)},Ja.prototype.xmlVersion=function(ed){if(this.options.noValidation)return ed;if(ed=""+ed||"",!ed.match(/1\.[0-9]+/))throw new Error("Invalid version number: "+ed);return ed},Ja.prototype.xmlEncoding=function(ed){if(this.options.noValidation)return ed;if(ed=""+ed||"",!ed.match(/^[A-Za-z](?:[A-Za-z0-9._-])*$/))throw new Error("Invalid encoding: "+ed);return this.assertLegalChar(ed)},Ja.prototype.xmlStandalone=function(ed){return this.options.noValidation?ed:ed?"yes":"no"},Ja.prototype.dtdPubID=function(ed){return this.options.noValidation?ed:this.assertLegalChar(""+ed||"")},Ja.prototype.dtdSysID=function(ed){return this.options.noValidation?ed:this.assertLegalChar(""+ed||"")},Ja.prototype.dtdElementValue=function(ed){return this.options.noValidation?ed:this.assertLegalChar(""+ed||"")},Ja.prototype.dtdAttType=function(ed){return this.options.noValidation?ed:this.assertLegalChar(""+ed||"")},Ja.prototype.dtdAttDefault=function(ed){return this.options.noValidation?ed:this.assertLegalChar(""+ed||"")},Ja.prototype.dtdEntityValue=function(ed){return this.options.noValidation?ed:this.assertLegalChar(""+ed||"")},Ja.prototype.dtdNData=function(ed){return this.options.noValidation?ed:this.assertLegalChar(""+ed||"")},Ja.prototype.convertAttKey="@",Ja.prototype.convertPIKey="?",Ja.prototype.convertTextKey="#text",Ja.prototype.convertCDataKey="#cdata",Ja.prototype.convertCommentKey="#comment",Ja.prototype.convertRawKey="#raw",Ja.prototype.assertLegalChar=function(ed){var td,rd;if(this.options.noValidation)return ed;if(td="",this.options.version==="1.0"){if(td=/[\0-\x08\x0B\f\x0E-\x1F\uFFFE\uFFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF]/,rd=ed.match(td))throw new Error("Invalid character in string: "+ed+" at index "+rd.index)}else if(this.options.version==="1.1"&&(td=/[\0\uFFFE\uFFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF]/,rd=ed.match(td)))throw new Error("Invalid character in string: "+ed+" at index "+rd.index);return ed},Ja.prototype.assertLegalName=function(ed){var td;if(this.options.noValidation)return ed;if(this.assertLegalChar(ed),td=/^([:A-Z_a-z\xC0-\xD6\xD8-\xF6\xF8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD]|[\uD800-\uDB7F][\uDC00-\uDFFF])([\x2D\.0-:A-Z_a-z\xB7\xC0-\xD6\xD8-\xF6\xF8-\u037D\u037F-\u1FFF\u200C\u200D\u203F\u2040\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD]|[\uD800-\uDB7F][\uDC00-\uDFFF])*$/,!ed.match(td))throw new Error("Invalid character in name");return ed},Ja.prototype.textEscape=function(ed){var td;return this.options.noValidation?ed:(td=this.options.noDoubleEncoding?/(?!&\S+;)&/g:/&/g,ed.replace(td,"&").replace(/</g,"<").replace(/>/g,">").replace(/\r/g,"
"))},Ja.prototype.attEscape=function(ed){var td;return this.options.noValidation?ed:(td=this.options.noDoubleEncoding?/(?!&\S+;)&/g:/&/g,ed.replace(td,"&").replace(/</g,"<").replace(/"/g,""").replace(/\t/g,"	").replace(/\n/g,"
").replace(/\r/g,"
"))},Ja}()}).call(commonjsGlobal)),XMLStringifier.exports}var XMLStringWriter={exports:{}},XMLWriterBase={exports:{}},WriterState={exports:{}},hasRequiredWriterState;function requireWriterState(){return hasRequiredWriterState||(hasRequiredWriterState=1,(function(){WriterState.exports={None:0,OpenTag:1,InsideTag:2,CloseTag:3}}).call(commonjsGlobal)),WriterState.exports}var hasRequiredXMLWriterBase;function requireXMLWriterBase(){return hasRequiredXMLWriterBase||(hasRequiredXMLWriterBase=1,(function(){var Ra,qa,Ja,ed={}.hasOwnProperty;Ja=requireUtility().assign,Ra=requireNodeType(),requireXMLDeclaration(),requireXMLDocType(),requireXMLCData(),requireXMLComment(),requireXMLElement(),requireXMLRaw(),requireXMLText(),requireXMLProcessingInstruction(),requireXMLDummy(),requireXMLDTDAttList(),requireXMLDTDElement(),requireXMLDTDEntity(),requireXMLDTDNotation(),qa=requireWriterState(),XMLWriterBase.exports=function(){function td(rd){var sd,od,ld;rd||(rd={}),this.options=rd,od=rd.writer||{};for(sd in od)ed.call(od,sd)&&(ld=od[sd],this["_"+sd]=this[sd],this[sd]=ld)}return td.prototype.filterOptions=function(rd){var sd,od,ld,cd,ud,_d,yd,gd;return rd||(rd={}),rd=Ja({},this.options,rd),sd={writer:this},sd.pretty=rd.pretty||!1,sd.allowEmpty=rd.allowEmpty||!1,sd.indent=(od=rd.indent)!=null?od:" ",sd.newline=(ld=rd.newline)!=null?ld:`
|
||
`,sd.offset=(cd=rd.offset)!=null?cd:0,sd.dontPrettyTextNodes=(ud=(_d=rd.dontPrettyTextNodes)!=null?_d:rd.dontprettytextnodes)!=null?ud:0,sd.spaceBeforeSlash=(yd=(gd=rd.spaceBeforeSlash)!=null?gd:rd.spacebeforeslash)!=null?yd:"",sd.spaceBeforeSlash===!0&&(sd.spaceBeforeSlash=" "),sd.suppressPrettyCount=0,sd.user={},sd.state=qa.None,sd},td.prototype.indent=function(rd,sd,od){var ld;return!sd.pretty||sd.suppressPrettyCount?"":sd.pretty&&(ld=(od||0)+sd.offset+1,ld>0)?new Array(ld).join(sd.indent):""},td.prototype.endline=function(rd,sd,od){return!sd.pretty||sd.suppressPrettyCount?"":sd.newline},td.prototype.attribute=function(rd,sd,od){var ld;return this.openAttribute(rd,sd,od),ld=" "+rd.name+'="'+rd.value+'"',this.closeAttribute(rd,sd,od),ld},td.prototype.cdata=function(rd,sd,od){var ld;return this.openNode(rd,sd,od),sd.state=qa.OpenTag,ld=this.indent(rd,sd,od)+"<![CDATA[",sd.state=qa.InsideTag,ld+=rd.value,sd.state=qa.CloseTag,ld+="]]>"+this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),ld},td.prototype.comment=function(rd,sd,od){var ld;return this.openNode(rd,sd,od),sd.state=qa.OpenTag,ld=this.indent(rd,sd,od)+"<!-- ",sd.state=qa.InsideTag,ld+=rd.value,sd.state=qa.CloseTag,ld+=" -->"+this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),ld},td.prototype.declaration=function(rd,sd,od){var ld;return this.openNode(rd,sd,od),sd.state=qa.OpenTag,ld=this.indent(rd,sd,od)+"<?xml",sd.state=qa.InsideTag,ld+=' version="'+rd.version+'"',rd.encoding!=null&&(ld+=' encoding="'+rd.encoding+'"'),rd.standalone!=null&&(ld+=' standalone="'+rd.standalone+'"'),sd.state=qa.CloseTag,ld+=sd.spaceBeforeSlash+"?>",ld+=this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),ld},td.prototype.docType=function(rd,sd,od){var ld,cd,ud,_d,yd;if(od||(od=0),this.openNode(rd,sd,od),sd.state=qa.OpenTag,_d=this.indent(rd,sd,od),_d+="<!DOCTYPE "+rd.root().name,rd.pubID&&rd.sysID?_d+=' PUBLIC "'+rd.pubID+'" "'+rd.sysID+'"':rd.sysID&&(_d+=' SYSTEM "'+rd.sysID+'"'),rd.children.length>0){for(_d+=" [",_d+=this.endline(rd,sd,od),sd.state=qa.InsideTag,yd=rd.children,cd=0,ud=yd.length;cd<ud;cd++)ld=yd[cd],_d+=this.writeChildNode(ld,sd,od+1);sd.state=qa.CloseTag,_d+="]"}return sd.state=qa.CloseTag,_d+=sd.spaceBeforeSlash+">",_d+=this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),_d},td.prototype.element=function(rd,sd,od){var ld,cd,ud,_d,yd,gd,Ed,Td,kd,Rd,Nd,Id,Md,Ld;od||(od=0),Rd=!1,Nd="",this.openNode(rd,sd,od),sd.state=qa.OpenTag,Nd+=this.indent(rd,sd,od)+"<"+rd.name,Id=rd.attribs;for(kd in Id)ed.call(Id,kd)&&(ld=Id[kd],Nd+=this.attribute(ld,sd,od));if(ud=rd.children.length,_d=ud===0?null:rd.children[0],ud===0||rd.children.every(function(Pd){return(Pd.type===Ra.Text||Pd.type===Ra.Raw)&&Pd.value===""}))sd.allowEmpty?(Nd+=">",sd.state=qa.CloseTag,Nd+="</"+rd.name+">"+this.endline(rd,sd,od)):(sd.state=qa.CloseTag,Nd+=sd.spaceBeforeSlash+"/>"+this.endline(rd,sd,od));else if(sd.pretty&&ud===1&&(_d.type===Ra.Text||_d.type===Ra.Raw)&&_d.value!=null)Nd+=">",sd.state=qa.InsideTag,sd.suppressPrettyCount++,Rd=!0,Nd+=this.writeChildNode(_d,sd,od+1),sd.suppressPrettyCount--,Rd=!1,sd.state=qa.CloseTag,Nd+="</"+rd.name+">"+this.endline(rd,sd,od);else{if(sd.dontPrettyTextNodes){for(Md=rd.children,yd=0,Ed=Md.length;yd<Ed;yd++)if(cd=Md[yd],(cd.type===Ra.Text||cd.type===Ra.Raw)&&cd.value!=null){sd.suppressPrettyCount++,Rd=!0;break}}for(Nd+=">"+this.endline(rd,sd,od),sd.state=qa.InsideTag,Ld=rd.children,gd=0,Td=Ld.length;gd<Td;gd++)cd=Ld[gd],Nd+=this.writeChildNode(cd,sd,od+1);sd.state=qa.CloseTag,Nd+=this.indent(rd,sd,od)+"</"+rd.name+">",Rd&&sd.suppressPrettyCount--,Nd+=this.endline(rd,sd,od),sd.state=qa.None}return this.closeNode(rd,sd,od),Nd},td.prototype.writeChildNode=function(rd,sd,od){switch(rd.type){case Ra.CData:return this.cdata(rd,sd,od);case Ra.Comment:return this.comment(rd,sd,od);case Ra.Element:return this.element(rd,sd,od);case Ra.Raw:return this.raw(rd,sd,od);case Ra.Text:return this.text(rd,sd,od);case Ra.ProcessingInstruction:return this.processingInstruction(rd,sd,od);case Ra.Dummy:return"";case Ra.Declaration:return this.declaration(rd,sd,od);case Ra.DocType:return this.docType(rd,sd,od);case Ra.AttributeDeclaration:return this.dtdAttList(rd,sd,od);case Ra.ElementDeclaration:return this.dtdElement(rd,sd,od);case Ra.EntityDeclaration:return this.dtdEntity(rd,sd,od);case Ra.NotationDeclaration:return this.dtdNotation(rd,sd,od);default:throw new Error("Unknown XML node type: "+rd.constructor.name)}},td.prototype.processingInstruction=function(rd,sd,od){var ld;return this.openNode(rd,sd,od),sd.state=qa.OpenTag,ld=this.indent(rd,sd,od)+"<?",sd.state=qa.InsideTag,ld+=rd.target,rd.value&&(ld+=" "+rd.value),sd.state=qa.CloseTag,ld+=sd.spaceBeforeSlash+"?>",ld+=this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),ld},td.prototype.raw=function(rd,sd,od){var ld;return this.openNode(rd,sd,od),sd.state=qa.OpenTag,ld=this.indent(rd,sd,od),sd.state=qa.InsideTag,ld+=rd.value,sd.state=qa.CloseTag,ld+=this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),ld},td.prototype.text=function(rd,sd,od){var ld;return this.openNode(rd,sd,od),sd.state=qa.OpenTag,ld=this.indent(rd,sd,od),sd.state=qa.InsideTag,ld+=rd.value,sd.state=qa.CloseTag,ld+=this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),ld},td.prototype.dtdAttList=function(rd,sd,od){var ld;return this.openNode(rd,sd,od),sd.state=qa.OpenTag,ld=this.indent(rd,sd,od)+"<!ATTLIST",sd.state=qa.InsideTag,ld+=" "+rd.elementName+" "+rd.attributeName+" "+rd.attributeType,rd.defaultValueType!=="#DEFAULT"&&(ld+=" "+rd.defaultValueType),rd.defaultValue&&(ld+=' "'+rd.defaultValue+'"'),sd.state=qa.CloseTag,ld+=sd.spaceBeforeSlash+">"+this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),ld},td.prototype.dtdElement=function(rd,sd,od){var ld;return this.openNode(rd,sd,od),sd.state=qa.OpenTag,ld=this.indent(rd,sd,od)+"<!ELEMENT",sd.state=qa.InsideTag,ld+=" "+rd.name+" "+rd.value,sd.state=qa.CloseTag,ld+=sd.spaceBeforeSlash+">"+this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),ld},td.prototype.dtdEntity=function(rd,sd,od){var ld;return this.openNode(rd,sd,od),sd.state=qa.OpenTag,ld=this.indent(rd,sd,od)+"<!ENTITY",sd.state=qa.InsideTag,rd.pe&&(ld+=" %"),ld+=" "+rd.name,rd.value?ld+=' "'+rd.value+'"':(rd.pubID&&rd.sysID?ld+=' PUBLIC "'+rd.pubID+'" "'+rd.sysID+'"':rd.sysID&&(ld+=' SYSTEM "'+rd.sysID+'"'),rd.nData&&(ld+=" NDATA "+rd.nData)),sd.state=qa.CloseTag,ld+=sd.spaceBeforeSlash+">"+this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),ld},td.prototype.dtdNotation=function(rd,sd,od){var ld;return this.openNode(rd,sd,od),sd.state=qa.OpenTag,ld=this.indent(rd,sd,od)+"<!NOTATION",sd.state=qa.InsideTag,ld+=" "+rd.name,rd.pubID&&rd.sysID?ld+=' PUBLIC "'+rd.pubID+'" "'+rd.sysID+'"':rd.pubID?ld+=' PUBLIC "'+rd.pubID+'"':rd.sysID&&(ld+=' SYSTEM "'+rd.sysID+'"'),sd.state=qa.CloseTag,ld+=sd.spaceBeforeSlash+">"+this.endline(rd,sd,od),sd.state=qa.None,this.closeNode(rd,sd,od),ld},td.prototype.openNode=function(rd,sd,od){},td.prototype.closeNode=function(rd,sd,od){},td.prototype.openAttribute=function(rd,sd,od){},td.prototype.closeAttribute=function(rd,sd,od){},td}()}).call(commonjsGlobal)),XMLWriterBase.exports}var hasRequiredXMLStringWriter;function requireXMLStringWriter(){return hasRequiredXMLStringWriter||(hasRequiredXMLStringWriter=1,(function(){var Ra,qa=function(ed,td){for(var rd in td)Ja.call(td,rd)&&(ed[rd]=td[rd]);function sd(){this.constructor=ed}return sd.prototype=td.prototype,ed.prototype=new sd,ed.__super__=td.prototype,ed},Ja={}.hasOwnProperty;Ra=requireXMLWriterBase(),XMLStringWriter.exports=function(ed){qa(td,ed);function td(rd){td.__super__.constructor.call(this,rd)}return td.prototype.document=function(rd,sd){var od,ld,cd,ud,_d;for(sd=this.filterOptions(sd),ud="",_d=rd.children,ld=0,cd=_d.length;ld<cd;ld++)od=_d[ld],ud+=this.writeChildNode(od,sd,0);return sd.pretty&&ud.slice(-sd.newline.length)===sd.newline&&(ud=ud.slice(0,-sd.newline.length)),ud},td}(Ra)}).call(commonjsGlobal)),XMLStringWriter.exports}var hasRequiredXMLDocument;function requireXMLDocument(){return hasRequiredXMLDocument||(hasRequiredXMLDocument=1,(function(){var Ra,qa,Ja,ed,td,rd,sd,od=function(cd,ud){for(var _d in ud)ld.call(ud,_d)&&(cd[_d]=ud[_d]);function yd(){this.constructor=cd}return yd.prototype=ud.prototype,cd.prototype=new yd,cd.__super__=ud.prototype,cd},ld={}.hasOwnProperty;sd=requireUtility().isPlainObject,Ja=requireXMLDOMImplementation(),qa=requireXMLDOMConfiguration(),ed=requireXMLNode(),Ra=requireNodeType(),rd=requireXMLStringifier(),td=requireXMLStringWriter(),XMLDocument.exports=function(cd){od(ud,cd);function ud(_d){ud.__super__.constructor.call(this,null),this.name="#document",this.type=Ra.Document,this.documentURI=null,this.domConfig=new qa,_d||(_d={}),_d.writer||(_d.writer=new td),this.options=_d,this.stringify=new rd(_d)}return Object.defineProperty(ud.prototype,"implementation",{value:new Ja}),Object.defineProperty(ud.prototype,"doctype",{get:function(){var _d,yd,gd,Ed;for(Ed=this.children,yd=0,gd=Ed.length;yd<gd;yd++)if(_d=Ed[yd],_d.type===Ra.DocType)return _d;return null}}),Object.defineProperty(ud.prototype,"documentElement",{get:function(){return this.rootObject||null}}),Object.defineProperty(ud.prototype,"inputEncoding",{get:function(){return null}}),Object.defineProperty(ud.prototype,"strictErrorChecking",{get:function(){return!1}}),Object.defineProperty(ud.prototype,"xmlEncoding",{get:function(){return this.children.length!==0&&this.children[0].type===Ra.Declaration?this.children[0].encoding:null}}),Object.defineProperty(ud.prototype,"xmlStandalone",{get:function(){return this.children.length!==0&&this.children[0].type===Ra.Declaration?this.children[0].standalone==="yes":!1}}),Object.defineProperty(ud.prototype,"xmlVersion",{get:function(){return this.children.length!==0&&this.children[0].type===Ra.Declaration?this.children[0].version:"1.0"}}),Object.defineProperty(ud.prototype,"URL",{get:function(){return this.documentURI}}),Object.defineProperty(ud.prototype,"origin",{get:function(){return null}}),Object.defineProperty(ud.prototype,"compatMode",{get:function(){return null}}),Object.defineProperty(ud.prototype,"characterSet",{get:function(){return null}}),Object.defineProperty(ud.prototype,"contentType",{get:function(){return null}}),ud.prototype.end=function(_d){var yd;return yd={},_d?sd(_d)&&(yd=_d,_d=this.options.writer):_d=this.options.writer,_d.document(this,_d.filterOptions(yd))},ud.prototype.toString=function(_d){return this.options.writer.document(this,this.options.writer.filterOptions(_d))},ud.prototype.createElement=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createDocumentFragment=function(){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createTextNode=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createComment=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createCDATASection=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createProcessingInstruction=function(_d,yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createAttribute=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createEntityReference=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.getElementsByTagName=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.importNode=function(_d,yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createElementNS=function(_d,yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createAttributeNS=function(_d,yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.getElementsByTagNameNS=function(_d,yd){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.getElementById=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.adoptNode=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.normalizeDocument=function(){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.renameNode=function(_d,yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.getElementsByClassName=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createEvent=function(_d){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createRange=function(){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createNodeIterator=function(_d,yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud.prototype.createTreeWalker=function(_d,yd,gd){throw new Error("This DOM method is not implemented."+this.debugInfo())},ud}(ed)}).call(commonjsGlobal)),XMLDocument.exports}var XMLDocumentCB={exports:{}},hasRequiredXMLDocumentCB;function requireXMLDocumentCB(){return hasRequiredXMLDocumentCB||(hasRequiredXMLDocumentCB=1,(function(){var Ra,qa,Ja,ed,td,rd,sd,od,ld,cd,ud,_d,yd,gd,Ed,Td,kd,Rd,Nd,Id,Md,Ld,Pd,qd={}.hasOwnProperty;Pd=requireUtility(),Md=Pd.isObject,Id=Pd.isFunction,Ld=Pd.isPlainObject,Nd=Pd.getValue,Ra=requireNodeType(),_d=requireXMLDocument(),yd=requireXMLElement(),ed=requireXMLCData(),td=requireXMLComment(),Ed=requireXMLRaw(),Rd=requireXMLText(),gd=requireXMLProcessingInstruction(),cd=requireXMLDeclaration(),ud=requireXMLDocType(),rd=requireXMLDTDAttList(),od=requireXMLDTDEntity(),sd=requireXMLDTDElement(),ld=requireXMLDTDNotation(),Ja=requireXMLAttribute(),kd=requireXMLStringifier(),Td=requireXMLStringWriter(),qa=requireWriterState(),XMLDocumentCB.exports=function(){function Yd(Ud,Hd,Vd){var Jd;this.name="?xml",this.type=Ra.Document,Ud||(Ud={}),Jd={},Ud.writer?Ld(Ud.writer)&&(Jd=Ud.writer,Ud.writer=new Td):Ud.writer=new Td,this.options=Ud,this.writer=Ud.writer,this.writerOptions=this.writer.filterOptions(Jd),this.stringify=new kd(Ud),this.onDataCallback=Hd||function(){},this.onEndCallback=Vd||function(){},this.currentNode=null,this.currentLevel=-1,this.openTags={},this.documentStarted=!1,this.documentCompleted=!1,this.root=null}return Yd.prototype.createChildNode=function(Ud){var Hd,Vd,Jd,Zd,pf,Xd,hf,_f;switch(Ud.type){case Ra.CData:this.cdata(Ud.value);break;case Ra.Comment:this.comment(Ud.value);break;case Ra.Element:Jd={},hf=Ud.attribs;for(Vd in hf)qd.call(hf,Vd)&&(Hd=hf[Vd],Jd[Vd]=Hd.value);this.node(Ud.name,Jd);break;case Ra.Dummy:this.dummy();break;case Ra.Raw:this.raw(Ud.value);break;case Ra.Text:this.text(Ud.value);break;case Ra.ProcessingInstruction:this.instruction(Ud.target,Ud.value);break;default:throw new Error("This XML node type is not supported in a JS object: "+Ud.constructor.name)}for(_f=Ud.children,pf=0,Xd=_f.length;pf<Xd;pf++)Zd=_f[pf],this.createChildNode(Zd),Zd.type===Ra.Element&&this.up();return this},Yd.prototype.dummy=function(){return this},Yd.prototype.node=function(Ud,Hd,Vd){var Jd;if(Ud==null)throw new Error("Missing node name.");if(this.root&&this.currentLevel===-1)throw new Error("Document can only have one root node. "+this.debugInfo(Ud));return this.openCurrent(),Ud=Nd(Ud),Hd==null&&(Hd={}),Hd=Nd(Hd),Md(Hd)||(Jd=[Hd,Vd],Vd=Jd[0],Hd=Jd[1]),this.currentNode=new yd(this,Ud,Hd),this.currentNode.children=!1,this.currentLevel++,this.openTags[this.currentLevel]=this.currentNode,Vd!=null&&this.text(Vd),this},Yd.prototype.element=function(Ud,Hd,Vd){var Jd,Zd,pf,Xd,hf,_f;if(this.currentNode&&this.currentNode.type===Ra.DocType)this.dtdElement.apply(this,arguments);else if(Array.isArray(Ud)||Md(Ud)||Id(Ud))for(Xd=this.options.noValidation,this.options.noValidation=!0,_f=new _d(this.options).element("TEMP_ROOT"),_f.element(Ud),this.options.noValidation=Xd,hf=_f.children,Zd=0,pf=hf.length;Zd<pf;Zd++)Jd=hf[Zd],this.createChildNode(Jd),Jd.type===Ra.Element&&this.up();else this.node(Ud,Hd,Vd);return this},Yd.prototype.attribute=function(Ud,Hd){var Vd,Jd;if(!this.currentNode||this.currentNode.children)throw new Error("att() can only be used immediately after an ele() call in callback mode. "+this.debugInfo(Ud));if(Ud!=null&&(Ud=Nd(Ud)),Md(Ud))for(Vd in Ud)qd.call(Ud,Vd)&&(Jd=Ud[Vd],this.attribute(Vd,Jd));else Id(Hd)&&(Hd=Hd.apply()),this.options.keepNullAttributes&&Hd==null?this.currentNode.attribs[Ud]=new Ja(this,Ud,""):Hd!=null&&(this.currentNode.attribs[Ud]=new Ja(this,Ud,Hd));return this},Yd.prototype.text=function(Ud){var Hd;return this.openCurrent(),Hd=new Rd(this,Ud),this.onData(this.writer.text(Hd,this.writerOptions,this.currentLevel+1),this.currentLevel+1),this},Yd.prototype.cdata=function(Ud){var Hd;return this.openCurrent(),Hd=new ed(this,Ud),this.onData(this.writer.cdata(Hd,this.writerOptions,this.currentLevel+1),this.currentLevel+1),this},Yd.prototype.comment=function(Ud){var Hd;return this.openCurrent(),Hd=new td(this,Ud),this.onData(this.writer.comment(Hd,this.writerOptions,this.currentLevel+1),this.currentLevel+1),this},Yd.prototype.raw=function(Ud){var Hd;return this.openCurrent(),Hd=new Ed(this,Ud),this.onData(this.writer.raw(Hd,this.writerOptions,this.currentLevel+1),this.currentLevel+1),this},Yd.prototype.instruction=function(Ud,Hd){var Vd,Jd,Zd,pf,Xd;if(this.openCurrent(),Ud!=null&&(Ud=Nd(Ud)),Hd!=null&&(Hd=Nd(Hd)),Array.isArray(Ud))for(Vd=0,pf=Ud.length;Vd<pf;Vd++)Jd=Ud[Vd],this.instruction(Jd);else if(Md(Ud))for(Jd in Ud)qd.call(Ud,Jd)&&(Zd=Ud[Jd],this.instruction(Jd,Zd));else Id(Hd)&&(Hd=Hd.apply()),Xd=new gd(this,Ud,Hd),this.onData(this.writer.processingInstruction(Xd,this.writerOptions,this.currentLevel+1),this.currentLevel+1);return this},Yd.prototype.declaration=function(Ud,Hd,Vd){var Jd;if(this.openCurrent(),this.documentStarted)throw new Error("declaration() must be the first node.");return Jd=new cd(this,Ud,Hd,Vd),this.onData(this.writer.declaration(Jd,this.writerOptions,this.currentLevel+1),this.currentLevel+1),this},Yd.prototype.doctype=function(Ud,Hd,Vd){if(this.openCurrent(),Ud==null)throw new Error("Missing root node name.");if(this.root)throw new Error("dtd() must come before the root node.");return this.currentNode=new ud(this,Hd,Vd),this.currentNode.rootNodeName=Ud,this.currentNode.children=!1,this.currentLevel++,this.openTags[this.currentLevel]=this.currentNode,this},Yd.prototype.dtdElement=function(Ud,Hd){var Vd;return this.openCurrent(),Vd=new sd(this,Ud,Hd),this.onData(this.writer.dtdElement(Vd,this.writerOptions,this.currentLevel+1),this.currentLevel+1),this},Yd.prototype.attList=function(Ud,Hd,Vd,Jd,Zd){var pf;return this.openCurrent(),pf=new rd(this,Ud,Hd,Vd,Jd,Zd),this.onData(this.writer.dtdAttList(pf,this.writerOptions,this.currentLevel+1),this.currentLevel+1),this},Yd.prototype.entity=function(Ud,Hd){var Vd;return this.openCurrent(),Vd=new od(this,!1,Ud,Hd),this.onData(this.writer.dtdEntity(Vd,this.writerOptions,this.currentLevel+1),this.currentLevel+1),this},Yd.prototype.pEntity=function(Ud,Hd){var Vd;return this.openCurrent(),Vd=new od(this,!0,Ud,Hd),this.onData(this.writer.dtdEntity(Vd,this.writerOptions,this.currentLevel+1),this.currentLevel+1),this},Yd.prototype.notation=function(Ud,Hd){var Vd;return this.openCurrent(),Vd=new ld(this,Ud,Hd),this.onData(this.writer.dtdNotation(Vd,this.writerOptions,this.currentLevel+1),this.currentLevel+1),this},Yd.prototype.up=function(){if(this.currentLevel<0)throw new Error("The document node has no parent.");return this.currentNode?(this.currentNode.children?this.closeNode(this.currentNode):this.openNode(this.currentNode),this.currentNode=null):this.closeNode(this.openTags[this.currentLevel]),delete this.openTags[this.currentLevel],this.currentLevel--,this},Yd.prototype.end=function(){for(;this.currentLevel>=0;)this.up();return this.onEnd()},Yd.prototype.openCurrent=function(){if(this.currentNode)return this.currentNode.children=!0,this.openNode(this.currentNode)},Yd.prototype.openNode=function(Ud){var Hd,Vd,Jd,Zd;if(!Ud.isOpen){if(!this.root&&this.currentLevel===0&&Ud.type===Ra.Element&&(this.root=Ud),Vd="",Ud.type===Ra.Element){this.writerOptions.state=qa.OpenTag,Vd=this.writer.indent(Ud,this.writerOptions,this.currentLevel)+"<"+Ud.name,Zd=Ud.attribs;for(Jd in Zd)qd.call(Zd,Jd)&&(Hd=Zd[Jd],Vd+=this.writer.attribute(Hd,this.writerOptions,this.currentLevel));Vd+=(Ud.children?">":"/>")+this.writer.endline(Ud,this.writerOptions,this.currentLevel),this.writerOptions.state=qa.InsideTag}else this.writerOptions.state=qa.OpenTag,Vd=this.writer.indent(Ud,this.writerOptions,this.currentLevel)+"<!DOCTYPE "+Ud.rootNodeName,Ud.pubID&&Ud.sysID?Vd+=' PUBLIC "'+Ud.pubID+'" "'+Ud.sysID+'"':Ud.sysID&&(Vd+=' SYSTEM "'+Ud.sysID+'"'),Ud.children?(Vd+=" [",this.writerOptions.state=qa.InsideTag):(this.writerOptions.state=qa.CloseTag,Vd+=">"),Vd+=this.writer.endline(Ud,this.writerOptions,this.currentLevel);return this.onData(Vd,this.currentLevel),Ud.isOpen=!0}},Yd.prototype.closeNode=function(Ud){var Hd;if(!Ud.isClosed)return Hd="",this.writerOptions.state=qa.CloseTag,Ud.type===Ra.Element?Hd=this.writer.indent(Ud,this.writerOptions,this.currentLevel)+"</"+Ud.name+">"+this.writer.endline(Ud,this.writerOptions,this.currentLevel):Hd=this.writer.indent(Ud,this.writerOptions,this.currentLevel)+"]>"+this.writer.endline(Ud,this.writerOptions,this.currentLevel),this.writerOptions.state=qa.None,this.onData(Hd,this.currentLevel),Ud.isClosed=!0},Yd.prototype.onData=function(Ud,Hd){return this.documentStarted=!0,this.onDataCallback(Ud,Hd+1)},Yd.prototype.onEnd=function(){return this.documentCompleted=!0,this.onEndCallback()},Yd.prototype.debugInfo=function(Ud){return Ud==null?"":"node: <"+Ud+">"},Yd.prototype.ele=function(){return this.element.apply(this,arguments)},Yd.prototype.nod=function(Ud,Hd,Vd){return this.node(Ud,Hd,Vd)},Yd.prototype.txt=function(Ud){return this.text(Ud)},Yd.prototype.dat=function(Ud){return this.cdata(Ud)},Yd.prototype.com=function(Ud){return this.comment(Ud)},Yd.prototype.ins=function(Ud,Hd){return this.instruction(Ud,Hd)},Yd.prototype.dec=function(Ud,Hd,Vd){return this.declaration(Ud,Hd,Vd)},Yd.prototype.dtd=function(Ud,Hd,Vd){return this.doctype(Ud,Hd,Vd)},Yd.prototype.e=function(Ud,Hd,Vd){return this.element(Ud,Hd,Vd)},Yd.prototype.n=function(Ud,Hd,Vd){return this.node(Ud,Hd,Vd)},Yd.prototype.t=function(Ud){return this.text(Ud)},Yd.prototype.d=function(Ud){return this.cdata(Ud)},Yd.prototype.c=function(Ud){return this.comment(Ud)},Yd.prototype.r=function(Ud){return this.raw(Ud)},Yd.prototype.i=function(Ud,Hd){return this.instruction(Ud,Hd)},Yd.prototype.att=function(){return this.currentNode&&this.currentNode.type===Ra.DocType?this.attList.apply(this,arguments):this.attribute.apply(this,arguments)},Yd.prototype.a=function(){return this.currentNode&&this.currentNode.type===Ra.DocType?this.attList.apply(this,arguments):this.attribute.apply(this,arguments)},Yd.prototype.ent=function(Ud,Hd){return this.entity(Ud,Hd)},Yd.prototype.pent=function(Ud,Hd){return this.pEntity(Ud,Hd)},Yd.prototype.not=function(Ud,Hd){return this.notation(Ud,Hd)},Yd}()}).call(commonjsGlobal)),XMLDocumentCB.exports}var XMLStreamWriter={exports:{}},hasRequiredXMLStreamWriter;function requireXMLStreamWriter(){return hasRequiredXMLStreamWriter||(hasRequiredXMLStreamWriter=1,(function(){var Ra,qa,Ja,ed=function(rd,sd){for(var od in sd)td.call(sd,od)&&(rd[od]=sd[od]);function ld(){this.constructor=rd}return ld.prototype=sd.prototype,rd.prototype=new ld,rd.__super__=sd.prototype,rd},td={}.hasOwnProperty;Ra=requireNodeType(),Ja=requireXMLWriterBase(),qa=requireWriterState(),XMLStreamWriter.exports=function(rd){ed(sd,rd);function sd(od,ld){this.stream=od,sd.__super__.constructor.call(this,ld)}return sd.prototype.endline=function(od,ld,cd){return od.isLastRootNode&&ld.state===qa.CloseTag?"":sd.__super__.endline.call(this,od,ld,cd)},sd.prototype.document=function(od,ld){var cd,ud,_d,yd,gd,Ed,Td,kd,Rd;for(Td=od.children,ud=_d=0,gd=Td.length;_d<gd;ud=++_d)cd=Td[ud],cd.isLastRootNode=ud===od.children.length-1;for(ld=this.filterOptions(ld),kd=od.children,Rd=[],yd=0,Ed=kd.length;yd<Ed;yd++)cd=kd[yd],Rd.push(this.writeChildNode(cd,ld,0));return Rd},sd.prototype.attribute=function(od,ld,cd){return this.stream.write(sd.__super__.attribute.call(this,od,ld,cd))},sd.prototype.cdata=function(od,ld,cd){return this.stream.write(sd.__super__.cdata.call(this,od,ld,cd))},sd.prototype.comment=function(od,ld,cd){return this.stream.write(sd.__super__.comment.call(this,od,ld,cd))},sd.prototype.declaration=function(od,ld,cd){return this.stream.write(sd.__super__.declaration.call(this,od,ld,cd))},sd.prototype.docType=function(od,ld,cd){var ud,_d,yd,gd;if(cd||(cd=0),this.openNode(od,ld,cd),ld.state=qa.OpenTag,this.stream.write(this.indent(od,ld,cd)),this.stream.write("<!DOCTYPE "+od.root().name),od.pubID&&od.sysID?this.stream.write(' PUBLIC "'+od.pubID+'" "'+od.sysID+'"'):od.sysID&&this.stream.write(' SYSTEM "'+od.sysID+'"'),od.children.length>0){for(this.stream.write(" ["),this.stream.write(this.endline(od,ld,cd)),ld.state=qa.InsideTag,gd=od.children,_d=0,yd=gd.length;_d<yd;_d++)ud=gd[_d],this.writeChildNode(ud,ld,cd+1);ld.state=qa.CloseTag,this.stream.write("]")}return ld.state=qa.CloseTag,this.stream.write(ld.spaceBeforeSlash+">"),this.stream.write(this.endline(od,ld,cd)),ld.state=qa.None,this.closeNode(od,ld,cd)},sd.prototype.element=function(od,ld,cd){var ud,_d,yd,gd,Ed,Td,kd,Rd,Nd;cd||(cd=0),this.openNode(od,ld,cd),ld.state=qa.OpenTag,this.stream.write(this.indent(od,ld,cd)+"<"+od.name),Rd=od.attribs;for(kd in Rd)td.call(Rd,kd)&&(ud=Rd[kd],this.attribute(ud,ld,cd));if(yd=od.children.length,gd=yd===0?null:od.children[0],yd===0||od.children.every(function(Id){return(Id.type===Ra.Text||Id.type===Ra.Raw)&&Id.value===""}))ld.allowEmpty?(this.stream.write(">"),ld.state=qa.CloseTag,this.stream.write("</"+od.name+">")):(ld.state=qa.CloseTag,this.stream.write(ld.spaceBeforeSlash+"/>"));else if(ld.pretty&&yd===1&&(gd.type===Ra.Text||gd.type===Ra.Raw)&&gd.value!=null)this.stream.write(">"),ld.state=qa.InsideTag,ld.suppressPrettyCount++,this.writeChildNode(gd,ld,cd+1),ld.suppressPrettyCount--,ld.state=qa.CloseTag,this.stream.write("</"+od.name+">");else{for(this.stream.write(">"+this.endline(od,ld,cd)),ld.state=qa.InsideTag,Nd=od.children,Ed=0,Td=Nd.length;Ed<Td;Ed++)_d=Nd[Ed],this.writeChildNode(_d,ld,cd+1);ld.state=qa.CloseTag,this.stream.write(this.indent(od,ld,cd)+"</"+od.name+">")}return this.stream.write(this.endline(od,ld,cd)),ld.state=qa.None,this.closeNode(od,ld,cd)},sd.prototype.processingInstruction=function(od,ld,cd){return this.stream.write(sd.__super__.processingInstruction.call(this,od,ld,cd))},sd.prototype.raw=function(od,ld,cd){return this.stream.write(sd.__super__.raw.call(this,od,ld,cd))},sd.prototype.text=function(od,ld,cd){return this.stream.write(sd.__super__.text.call(this,od,ld,cd))},sd.prototype.dtdAttList=function(od,ld,cd){return this.stream.write(sd.__super__.dtdAttList.call(this,od,ld,cd))},sd.prototype.dtdElement=function(od,ld,cd){return this.stream.write(sd.__super__.dtdElement.call(this,od,ld,cd))},sd.prototype.dtdEntity=function(od,ld,cd){return this.stream.write(sd.__super__.dtdEntity.call(this,od,ld,cd))},sd.prototype.dtdNotation=function(od,ld,cd){return this.stream.write(sd.__super__.dtdNotation.call(this,od,ld,cd))},sd}(Ja)}).call(commonjsGlobal)),XMLStreamWriter.exports}var hasRequiredLib;function requireLib(){return hasRequiredLib||(hasRequiredLib=1,(function(){var Ra,qa,Ja,ed,td,rd,sd,od,ld,cd;cd=requireUtility(),od=cd.assign,ld=cd.isFunction,Ja=requireXMLDOMImplementation(),ed=requireXMLDocument(),td=requireXMLDocumentCB(),sd=requireXMLStringWriter(),rd=requireXMLStreamWriter(),Ra=requireNodeType(),qa=requireWriterState(),lib$1.create=function(ud,_d,yd,gd){var Ed,Td;if(ud==null)throw new Error("Root element needs a name.");return gd=od({},_d,yd,gd),Ed=new ed(gd),Td=Ed.element(ud),gd.headless||(Ed.declaration(gd),(gd.pubID!=null||gd.sysID!=null)&&Ed.dtd(gd)),Td},lib$1.begin=function(ud,_d,yd){var gd;return ld(ud)&&(gd=[ud,_d],_d=gd[0],yd=gd[1],ud={}),_d?new td(ud,_d,yd):new ed(ud)},lib$1.stringWriter=function(ud){return new sd(ud)},lib$1.streamWriter=function(ud,_d){return new rd(ud,_d)},lib$1.implementation=new Ja,lib$1.nodeType=Ra,lib$1.writerState=qa}).call(commonjsGlobal)),lib$1}var hasRequiredBuilder;function requireBuilder(){return hasRequiredBuilder||(hasRequiredBuilder=1,(function(){var Ra,qa,Ja,ed,td,rd={}.hasOwnProperty;Ra=requireLib(),qa=requireDefaults().defaults,ed=function(sd){return typeof sd=="string"&&(sd.indexOf("&")>=0||sd.indexOf(">")>=0||sd.indexOf("<")>=0)},td=function(sd){return"<![CDATA["+Ja(sd)+"]]>"},Ja=function(sd){return sd.replace("]]>","]]]]><![CDATA[>")},builder.Builder=function(){function sd(od){var ld,cd,ud;this.options={},cd=qa["0.2"];for(ld in cd)rd.call(cd,ld)&&(ud=cd[ld],this.options[ld]=ud);for(ld in od)rd.call(od,ld)&&(ud=od[ld],this.options[ld]=ud)}return sd.prototype.buildObject=function(od){var ld,cd,ud,_d,yd;return ld=this.options.attrkey,cd=this.options.charkey,Object.keys(od).length===1&&this.options.rootName===qa["0.2"].rootName?(yd=Object.keys(od)[0],od=od[yd]):yd=this.options.rootName,ud=function(gd){return function(Ed,Td){var kd,Rd,Nd,Id,Md,Ld;if(typeof Td!="object")gd.options.cdata&&ed(Td)?Ed.raw(td(Td)):Ed.txt(Td);else if(Array.isArray(Td)){for(Id in Td)if(rd.call(Td,Id)){Rd=Td[Id];for(Md in Rd)Nd=Rd[Md],Ed=ud(Ed.ele(Md),Nd).up()}}else for(Md in Td)if(rd.call(Td,Md))if(Rd=Td[Md],Md===ld){if(typeof Rd=="object")for(kd in Rd)Ld=Rd[kd],Ed=Ed.att(kd,Ld)}else if(Md===cd)gd.options.cdata&&ed(Rd)?Ed=Ed.raw(td(Rd)):Ed=Ed.txt(Rd);else if(Array.isArray(Rd))for(Id in Rd)rd.call(Rd,Id)&&(Nd=Rd[Id],typeof Nd=="string"?gd.options.cdata&&ed(Nd)?Ed=Ed.ele(Md).raw(td(Nd)).up():Ed=Ed.ele(Md,Nd).up():Ed=ud(Ed.ele(Md),Nd).up());else typeof Rd=="object"?Ed=ud(Ed.ele(Md),Rd).up():typeof Rd=="string"&&gd.options.cdata&&ed(Rd)?Ed=Ed.ele(Md).raw(td(Rd)).up():(Rd==null&&(Rd=""),Ed=Ed.ele(Md,Rd.toString()).up());return Ed}}(this),_d=Ra.create(yd,this.options.xmldec,this.options.doctype,{headless:this.options.headless,allowSurrogateChars:this.options.allowSurrogateChars}),ud(_d,od).end(this.options.renderOpts)},sd}()}).call(commonjsGlobal)),builder}var parser={},sax={},hasRequiredSax;function requireSax(){return hasRequiredSax||(hasRequiredSax=1,function(Ra){(function(qa){qa.parser=function(gf,mf){return new ed(gf,mf)},qa.SAXParser=ed,qa.SAXStream=ud,qa.createStream=cd,qa.MAX_BUFFER_LENGTH=64*1024;var Ja=["comment","sgmlDecl","textNode","tagName","doctype","procInstName","procInstBody","entity","attribName","attribValue","cdata","script"];qa.EVENTS=["text","processinginstruction","sgmldeclaration","doctype","comment","opentagstart","attribute","opentag","closetag","opencdata","cdata","closecdata","error","end","ready","script","opennamespace","closenamespace"];function ed(gf,mf){if(!(this instanceof ed))return new ed(gf,mf);var $f=this;rd($f),$f.q=$f.c="",$f.bufferCheckPosition=qa.MAX_BUFFER_LENGTH,$f.opt=mf||{},$f.opt.lowercase=$f.opt.lowercase||$f.opt.lowercasetags,$f.looseCase=$f.opt.lowercase?"toLowerCase":"toUpperCase",$f.tags=[],$f.closed=$f.closedRoot=$f.sawRoot=!1,$f.tag=$f.error=null,$f.strict=!!gf,$f.noscript=!!(gf||$f.opt.noscript),$f.state=Ud.BEGIN,$f.strictEntities=$f.opt.strictEntities,$f.ENTITIES=$f.strictEntities?Object.create(qa.XML_ENTITIES):Object.create(qa.ENTITIES),$f.attribList=[],$f.opt.xmlns&&($f.ns=Object.create(Td)),$f.opt.unquotedAttributeValues===void 0&&($f.opt.unquotedAttributeValues=!gf),$f.trackPosition=$f.opt.position!==!1,$f.trackPosition&&($f.position=$f.line=$f.column=0),Vd($f,"onready")}Object.create||(Object.create=function(gf){function mf(){}mf.prototype=gf;var $f=new mf;return $f}),Object.keys||(Object.keys=function(gf){var mf=[];for(var $f in gf)gf.hasOwnProperty($f)&&mf.push($f);return mf});function td(gf){for(var mf=Math.max(qa.MAX_BUFFER_LENGTH,10),$f=0,zf=0,hh=Ja.length;zf<hh;zf++){var Vf=gf[Ja[zf]].length;if(Vf>mf)switch(Ja[zf]){case"textNode":Zd(gf);break;case"cdata":Jd(gf,"oncdata",gf.cdata),gf.cdata="";break;case"script":Jd(gf,"onscript",gf.script),gf.script="";break;default:Xd(gf,"Max buffer length exceeded: "+Ja[zf])}$f=Math.max($f,Vf)}var kf=qa.MAX_BUFFER_LENGTH-$f;gf.bufferCheckPosition=kf+gf.position}function rd(gf){for(var mf=0,$f=Ja.length;mf<$f;mf++)gf[Ja[mf]]=""}function sd(gf){Zd(gf),gf.cdata!==""&&(Jd(gf,"oncdata",gf.cdata),gf.cdata=""),gf.script!==""&&(Jd(gf,"onscript",gf.script),gf.script="")}ed.prototype={end:function(){hf(this)},write:Gf,resume:function(){return this.error=null,this},close:function(){return this.write(null)},flush:function(){sd(this)}};var od;try{od=streamBrowserify.Stream}catch{od=function(){}}od||(od=function(){});var ld=qa.EVENTS.filter(function(gf){return gf!=="error"&&gf!=="end"});function cd(gf,mf){return new ud(gf,mf)}function ud(gf,mf){if(!(this instanceof ud))return new ud(gf,mf);od.apply(this),this._parser=new ed(gf,mf),this.writable=!0,this.readable=!0;var $f=this;this._parser.onend=function(){$f.emit("end")},this._parser.onerror=function(zf){$f.emit("error",zf),$f._parser.error=null},this._decoder=null,ld.forEach(function(zf){Object.defineProperty($f,"on"+zf,{get:function(){return $f._parser["on"+zf]},set:function(hh){if(!hh)return $f.removeAllListeners(zf),$f._parser["on"+zf]=hh,hh;$f.on(zf,hh)},enumerable:!0,configurable:!1})})}ud.prototype=Object.create(od.prototype,{constructor:{value:ud}}),ud.prototype.write=function(gf){if(typeof Buffer$2=="function"&&typeof Buffer$2.isBuffer=="function"&&Buffer$2.isBuffer(gf)){if(!this._decoder){var mf=requireString_decoder().StringDecoder;this._decoder=new mf("utf8")}gf=this._decoder.write(gf)}return this._parser.write(gf.toString()),this.emit("data",gf),!0},ud.prototype.end=function(gf){return gf&&gf.length&&this.write(gf),this._parser.end(),!0},ud.prototype.on=function(gf,mf){var $f=this;return!$f._parser["on"+gf]&&ld.indexOf(gf)!==-1&&($f._parser["on"+gf]=function(){var zf=arguments.length===1?[arguments[0]]:Array.apply(null,arguments);zf.splice(0,0,gf),$f.emit.apply($f,zf)}),od.prototype.on.call($f,gf,mf)};var _d="[CDATA[",yd="DOCTYPE",gd="http://www.w3.org/XML/1998/namespace",Ed="http://www.w3.org/2000/xmlns/",Td={xml:gd,xmlns:Ed},kd=/[:_A-Za-z\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD]/,Rd=/[:_A-Za-z\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD\u00B7\u0300-\u036F\u203F-\u2040.\d-]/,Nd=/[#:_A-Za-z\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD]/,Id=/[#:_A-Za-z\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u02FF\u0370-\u037D\u037F-\u1FFF\u200C-\u200D\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD\u00B7\u0300-\u036F\u203F-\u2040.\d-]/;function Md(gf){return gf===" "||gf===`
|
||
`||gf==="\r"||gf===" "}function Ld(gf){return gf==='"'||gf==="'"}function Pd(gf){return gf===">"||Md(gf)}function qd(gf,mf){return gf.test(mf)}function Yd(gf,mf){return!qd(gf,mf)}var Ud=0;qa.STATE={BEGIN:Ud++,BEGIN_WHITESPACE:Ud++,TEXT:Ud++,TEXT_ENTITY:Ud++,OPEN_WAKA:Ud++,SGML_DECL:Ud++,SGML_DECL_QUOTED:Ud++,DOCTYPE:Ud++,DOCTYPE_QUOTED:Ud++,DOCTYPE_DTD:Ud++,DOCTYPE_DTD_QUOTED:Ud++,COMMENT_STARTING:Ud++,COMMENT:Ud++,COMMENT_ENDING:Ud++,COMMENT_ENDED:Ud++,CDATA:Ud++,CDATA_ENDING:Ud++,CDATA_ENDING_2:Ud++,PROC_INST:Ud++,PROC_INST_BODY:Ud++,PROC_INST_ENDING:Ud++,OPEN_TAG:Ud++,OPEN_TAG_SLASH:Ud++,ATTRIB:Ud++,ATTRIB_NAME:Ud++,ATTRIB_NAME_SAW_WHITE:Ud++,ATTRIB_VALUE:Ud++,ATTRIB_VALUE_QUOTED:Ud++,ATTRIB_VALUE_CLOSED:Ud++,ATTRIB_VALUE_UNQUOTED:Ud++,ATTRIB_VALUE_ENTITY_Q:Ud++,ATTRIB_VALUE_ENTITY_U:Ud++,CLOSE_TAG:Ud++,CLOSE_TAG_SAW_WHITE:Ud++,SCRIPT:Ud++,SCRIPT_ENDING:Ud++},qa.XML_ENTITIES={amp:"&",gt:">",lt:"<",quot:'"',apos:"'"},qa.ENTITIES={amp:"&",gt:">",lt:"<",quot:'"',apos:"'",AElig:198,Aacute:193,Acirc:194,Agrave:192,Aring:197,Atilde:195,Auml:196,Ccedil:199,ETH:208,Eacute:201,Ecirc:202,Egrave:200,Euml:203,Iacute:205,Icirc:206,Igrave:204,Iuml:207,Ntilde:209,Oacute:211,Ocirc:212,Ograve:210,Oslash:216,Otilde:213,Ouml:214,THORN:222,Uacute:218,Ucirc:219,Ugrave:217,Uuml:220,Yacute:221,aacute:225,acirc:226,aelig:230,agrave:224,aring:229,atilde:227,auml:228,ccedil:231,eacute:233,ecirc:234,egrave:232,eth:240,euml:235,iacute:237,icirc:238,igrave:236,iuml:239,ntilde:241,oacute:243,ocirc:244,ograve:242,oslash:248,otilde:245,ouml:246,szlig:223,thorn:254,uacute:250,ucirc:251,ugrave:249,uuml:252,yacute:253,yuml:255,copy:169,reg:174,nbsp:160,iexcl:161,cent:162,pound:163,curren:164,yen:165,brvbar:166,sect:167,uml:168,ordf:170,laquo:171,not:172,shy:173,macr:175,deg:176,plusmn:177,sup1:185,sup2:178,sup3:179,acute:180,micro:181,para:182,middot:183,cedil:184,ordm:186,raquo:187,frac14:188,frac12:189,frac34:190,iquest:191,times:215,divide:247,OElig:338,oelig:339,Scaron:352,scaron:353,Yuml:376,fnof:402,circ:710,tilde:732,Alpha:913,Beta:914,Gamma:915,Delta:916,Epsilon:917,Zeta:918,Eta:919,Theta:920,Iota:921,Kappa:922,Lambda:923,Mu:924,Nu:925,Xi:926,Omicron:927,Pi:928,Rho:929,Sigma:931,Tau:932,Upsilon:933,Phi:934,Chi:935,Psi:936,Omega:937,alpha:945,beta:946,gamma:947,delta:948,epsilon:949,zeta:950,eta:951,theta:952,iota:953,kappa:954,lambda:955,mu:956,nu:957,xi:958,omicron:959,pi:960,rho:961,sigmaf:962,sigma:963,tau:964,upsilon:965,phi:966,chi:967,psi:968,omega:969,thetasym:977,upsih:978,piv:982,ensp:8194,emsp:8195,thinsp:8201,zwnj:8204,zwj:8205,lrm:8206,rlm:8207,ndash:8211,mdash:8212,lsquo:8216,rsquo:8217,sbquo:8218,ldquo:8220,rdquo:8221,bdquo:8222,dagger:8224,Dagger:8225,bull:8226,hellip:8230,permil:8240,prime:8242,Prime:8243,lsaquo:8249,rsaquo:8250,oline:8254,frasl:8260,euro:8364,image:8465,weierp:8472,real:8476,trade:8482,alefsym:8501,larr:8592,uarr:8593,rarr:8594,darr:8595,harr:8596,crarr:8629,lArr:8656,uArr:8657,rArr:8658,dArr:8659,hArr:8660,forall:8704,part:8706,exist:8707,empty:8709,nabla:8711,isin:8712,notin:8713,ni:8715,prod:8719,sum:8721,minus:8722,lowast:8727,radic:8730,prop:8733,infin:8734,ang:8736,and:8743,or:8744,cap:8745,cup:8746,int:8747,there4:8756,sim:8764,cong:8773,asymp:8776,ne:8800,equiv:8801,le:8804,ge:8805,sub:8834,sup:8835,nsub:8836,sube:8838,supe:8839,oplus:8853,otimes:8855,perp:8869,sdot:8901,lceil:8968,rceil:8969,lfloor:8970,rfloor:8971,lang:9001,rang:9002,loz:9674,spades:9824,clubs:9827,hearts:9829,diams:9830},Object.keys(qa.ENTITIES).forEach(function(gf){var mf=qa.ENTITIES[gf],$f=typeof mf=="number"?String.fromCharCode(mf):mf;qa.ENTITIES[gf]=$f});for(var Hd in qa.STATE)qa.STATE[qa.STATE[Hd]]=Hd;Ud=qa.STATE;function Vd(gf,mf,$f){gf[mf]&&gf[mf]($f)}function Jd(gf,mf,$f){gf.textNode&&Zd(gf),Vd(gf,mf,$f)}function Zd(gf){gf.textNode=pf(gf.opt,gf.textNode),gf.textNode&&Vd(gf,"ontext",gf.textNode),gf.textNode=""}function pf(gf,mf){return gf.trim&&(mf=mf.trim()),gf.normalize&&(mf=mf.replace(/\s+/g," ")),mf}function Xd(gf,mf){return Zd(gf),gf.trackPosition&&(mf+=`
|
||
Line: `+gf.line+`
|
||
Column: `+gf.column+`
|
||
Char: `+gf.c),mf=new Error(mf),gf.error=mf,Vd(gf,"onerror",mf),gf}function hf(gf){return gf.sawRoot&&!gf.closedRoot&&_f(gf,"Unclosed root tag"),gf.state!==Ud.BEGIN&&gf.state!==Ud.BEGIN_WHITESPACE&&gf.state!==Ud.TEXT&&Xd(gf,"Unexpected end"),Zd(gf),gf.c="",gf.closed=!0,Vd(gf,"onend"),ed.call(gf,gf.strict,gf.opt),gf}function _f(gf,mf){if(typeof gf!="object"||!(gf instanceof ed))throw new Error("bad call to strictFail");gf.strict&&Xd(gf,mf)}function xf(gf){gf.strict||(gf.tagName=gf.tagName[gf.looseCase]());var mf=gf.tags[gf.tags.length-1]||gf,$f=gf.tag={name:gf.tagName,attributes:{}};gf.opt.xmlns&&($f.ns=mf.ns),gf.attribList.length=0,Jd(gf,"onopentagstart",$f)}function Lf(gf,mf){var $f=gf.indexOf(":"),zf=$f<0?["",gf]:gf.split(":"),hh=zf[0],Vf=zf[1];return mf&&gf==="xmlns"&&(hh="xmlns",Vf=""),{prefix:hh,local:Vf}}function Wf(gf){if(gf.strict||(gf.attribName=gf.attribName[gf.looseCase]()),gf.attribList.indexOf(gf.attribName)!==-1||gf.tag.attributes.hasOwnProperty(gf.attribName)){gf.attribName=gf.attribValue="";return}if(gf.opt.xmlns){var mf=Lf(gf.attribName,!0),$f=mf.prefix,zf=mf.local;if($f==="xmlns")if(zf==="xml"&&gf.attribValue!==gd)_f(gf,"xml: prefix must be bound to "+gd+`
|
||
Actual: `+gf.attribValue);else if(zf==="xmlns"&&gf.attribValue!==Ed)_f(gf,"xmlns: prefix must be bound to "+Ed+`
|
||
Actual: `+gf.attribValue);else{var hh=gf.tag,Vf=gf.tags[gf.tags.length-1]||gf;hh.ns===Vf.ns&&(hh.ns=Object.create(Vf.ns)),hh.ns[zf]=gf.attribValue}gf.attribList.push([gf.attribName,gf.attribValue])}else gf.tag.attributes[gf.attribName]=gf.attribValue,Jd(gf,"onattribute",{name:gf.attribName,value:gf.attribValue});gf.attribName=gf.attribValue=""}function Yf(gf,mf){if(gf.opt.xmlns){var $f=gf.tag,zf=Lf(gf.tagName);$f.prefix=zf.prefix,$f.local=zf.local,$f.uri=$f.ns[zf.prefix]||"",$f.prefix&&!$f.uri&&(_f(gf,"Unbound namespace prefix: "+JSON.stringify(gf.tagName)),$f.uri=zf.prefix);var hh=gf.tags[gf.tags.length-1]||gf;$f.ns&&hh.ns!==$f.ns&&Object.keys($f.ns).forEach(function(dh){Jd(gf,"onopennamespace",{prefix:dh,uri:$f.ns[dh]})});for(var Vf=0,kf=gf.attribList.length;Vf<kf;Vf++){var Jf=gf.attribList[Vf],Ch=Jf[0],qf=Jf[1],Tf=Lf(Ch,!0),Af=Tf.prefix,Pf=Tf.local,gh=Af===""?"":$f.ns[Af]||"",Nh={name:Ch,value:qf,prefix:Af,local:Pf,uri:gh};Af&&Af!=="xmlns"&&!gh&&(_f(gf,"Unbound namespace prefix: "+JSON.stringify(Af)),Nh.uri=Af),gf.tag.attributes[Ch]=Nh,Jd(gf,"onattribute",Nh)}gf.attribList.length=0}gf.tag.isSelfClosing=!!mf,gf.sawRoot=!0,gf.tags.push(gf.tag),Jd(gf,"onopentag",gf.tag),mf||(!gf.noscript&&gf.tagName.toLowerCase()==="script"?gf.state=Ud.SCRIPT:gf.state=Ud.TEXT,gf.tag=null,gf.tagName=""),gf.attribName=gf.attribValue="",gf.attribList.length=0}function If(gf){if(!gf.tagName){_f(gf,"Weird empty close tag."),gf.textNode+="</>",gf.state=Ud.TEXT;return}if(gf.script){if(gf.tagName!=="script"){gf.script+="</"+gf.tagName+">",gf.tagName="",gf.state=Ud.SCRIPT;return}Jd(gf,"onscript",gf.script),gf.script=""}var mf=gf.tags.length,$f=gf.tagName;gf.strict||($f=$f[gf.looseCase]());for(var zf=$f;mf--;){var hh=gf.tags[mf];if(hh.name!==zf)_f(gf,"Unexpected close tag");else break}if(mf<0){_f(gf,"Unmatched closing tag: "+gf.tagName),gf.textNode+="</"+gf.tagName+">",gf.state=Ud.TEXT;return}gf.tagName=$f;for(var Vf=gf.tags.length;Vf-- >mf;){var kf=gf.tag=gf.tags.pop();gf.tagName=gf.tag.name,Jd(gf,"onclosetag",gf.tagName);var Jf={};for(var Ch in kf.ns)Jf[Ch]=kf.ns[Ch];var qf=gf.tags[gf.tags.length-1]||gf;gf.opt.xmlns&&kf.ns!==qf.ns&&Object.keys(kf.ns).forEach(function(Tf){var Af=kf.ns[Tf];Jd(gf,"onclosenamespace",{prefix:Tf,uri:Af})})}mf===0&&(gf.closedRoot=!0),gf.tagName=gf.attribValue=gf.attribName="",gf.attribList.length=0,gf.state=Ud.TEXT}function Sf(gf){var mf=gf.entity,$f=mf.toLowerCase(),zf,hh="";return gf.ENTITIES[mf]?gf.ENTITIES[mf]:gf.ENTITIES[$f]?gf.ENTITIES[$f]:(mf=$f,mf.charAt(0)==="#"&&(mf.charAt(1)==="x"?(mf=mf.slice(2),zf=parseInt(mf,16),hh=zf.toString(16)):(mf=mf.slice(1),zf=parseInt(mf,10),hh=zf.toString(10))),mf=mf.replace(/^0+/,""),isNaN(zf)||hh.toLowerCase()!==mf?(_f(gf,"Invalid character entity"),"&"+gf.entity+";"):String.fromCodePoint(zf))}function wf(gf,mf){mf==="<"?(gf.state=Ud.OPEN_WAKA,gf.startTagPosition=gf.position):Md(mf)||(_f(gf,"Non-whitespace before first tag."),gf.textNode=mf,gf.state=Ud.TEXT)}function Kf(gf,mf){var $f="";return mf<gf.length&&($f=gf.charAt(mf)),$f}function Gf(gf){var mf=this;if(this.error)throw this.error;if(mf.closed)return Xd(mf,"Cannot write after close. Assign an onready handler.");if(gf===null)return hf(mf);typeof gf=="object"&&(gf=gf.toString());for(var $f=0,zf="";zf=Kf(gf,$f++),mf.c=zf,!!zf;)switch(mf.trackPosition&&(mf.position++,zf===`
|
||
`?(mf.line++,mf.column=0):mf.column++),mf.state){case Ud.BEGIN:if(mf.state=Ud.BEGIN_WHITESPACE,zf==="\uFEFF")continue;wf(mf,zf);continue;case Ud.BEGIN_WHITESPACE:wf(mf,zf);continue;case Ud.TEXT:if(mf.sawRoot&&!mf.closedRoot){for(var hh=$f-1;zf&&zf!=="<"&&zf!=="&";)zf=Kf(gf,$f++),zf&&mf.trackPosition&&(mf.position++,zf===`
|
||
`?(mf.line++,mf.column=0):mf.column++);mf.textNode+=gf.substring(hh,$f-1)}zf==="<"&&!(mf.sawRoot&&mf.closedRoot&&!mf.strict)?(mf.state=Ud.OPEN_WAKA,mf.startTagPosition=mf.position):(!Md(zf)&&(!mf.sawRoot||mf.closedRoot)&&_f(mf,"Text data outside of root node."),zf==="&"?mf.state=Ud.TEXT_ENTITY:mf.textNode+=zf);continue;case Ud.SCRIPT:zf==="<"?mf.state=Ud.SCRIPT_ENDING:mf.script+=zf;continue;case Ud.SCRIPT_ENDING:zf==="/"?mf.state=Ud.CLOSE_TAG:(mf.script+="<"+zf,mf.state=Ud.SCRIPT);continue;case Ud.OPEN_WAKA:if(zf==="!")mf.state=Ud.SGML_DECL,mf.sgmlDecl="";else if(!Md(zf))if(qd(kd,zf))mf.state=Ud.OPEN_TAG,mf.tagName=zf;else if(zf==="/")mf.state=Ud.CLOSE_TAG,mf.tagName="";else if(zf==="?")mf.state=Ud.PROC_INST,mf.procInstName=mf.procInstBody="";else{if(_f(mf,"Unencoded <"),mf.startTagPosition+1<mf.position){var Vf=mf.position-mf.startTagPosition;zf=new Array(Vf).join(" ")+zf}mf.textNode+="<"+zf,mf.state=Ud.TEXT}continue;case Ud.SGML_DECL:if(mf.sgmlDecl+zf==="--"){mf.state=Ud.COMMENT,mf.comment="",mf.sgmlDecl="";continue}mf.doctype&&mf.doctype!==!0&&mf.sgmlDecl?(mf.state=Ud.DOCTYPE_DTD,mf.doctype+="<!"+mf.sgmlDecl+zf,mf.sgmlDecl=""):(mf.sgmlDecl+zf).toUpperCase()===_d?(Jd(mf,"onopencdata"),mf.state=Ud.CDATA,mf.sgmlDecl="",mf.cdata=""):(mf.sgmlDecl+zf).toUpperCase()===yd?(mf.state=Ud.DOCTYPE,(mf.doctype||mf.sawRoot)&&_f(mf,"Inappropriately located doctype declaration"),mf.doctype="",mf.sgmlDecl=""):zf===">"?(Jd(mf,"onsgmldeclaration",mf.sgmlDecl),mf.sgmlDecl="",mf.state=Ud.TEXT):(Ld(zf)&&(mf.state=Ud.SGML_DECL_QUOTED),mf.sgmlDecl+=zf);continue;case Ud.SGML_DECL_QUOTED:zf===mf.q&&(mf.state=Ud.SGML_DECL,mf.q=""),mf.sgmlDecl+=zf;continue;case Ud.DOCTYPE:zf===">"?(mf.state=Ud.TEXT,Jd(mf,"ondoctype",mf.doctype),mf.doctype=!0):(mf.doctype+=zf,zf==="["?mf.state=Ud.DOCTYPE_DTD:Ld(zf)&&(mf.state=Ud.DOCTYPE_QUOTED,mf.q=zf));continue;case Ud.DOCTYPE_QUOTED:mf.doctype+=zf,zf===mf.q&&(mf.q="",mf.state=Ud.DOCTYPE);continue;case Ud.DOCTYPE_DTD:zf==="]"?(mf.doctype+=zf,mf.state=Ud.DOCTYPE):zf==="<"?(mf.state=Ud.OPEN_WAKA,mf.startTagPosition=mf.position):Ld(zf)?(mf.doctype+=zf,mf.state=Ud.DOCTYPE_DTD_QUOTED,mf.q=zf):mf.doctype+=zf;continue;case Ud.DOCTYPE_DTD_QUOTED:mf.doctype+=zf,zf===mf.q&&(mf.state=Ud.DOCTYPE_DTD,mf.q="");continue;case Ud.COMMENT:zf==="-"?mf.state=Ud.COMMENT_ENDING:mf.comment+=zf;continue;case Ud.COMMENT_ENDING:zf==="-"?(mf.state=Ud.COMMENT_ENDED,mf.comment=pf(mf.opt,mf.comment),mf.comment&&Jd(mf,"oncomment",mf.comment),mf.comment=""):(mf.comment+="-"+zf,mf.state=Ud.COMMENT);continue;case Ud.COMMENT_ENDED:zf!==">"?(_f(mf,"Malformed comment"),mf.comment+="--"+zf,mf.state=Ud.COMMENT):mf.doctype&&mf.doctype!==!0?mf.state=Ud.DOCTYPE_DTD:mf.state=Ud.TEXT;continue;case Ud.CDATA:zf==="]"?mf.state=Ud.CDATA_ENDING:mf.cdata+=zf;continue;case Ud.CDATA_ENDING:zf==="]"?mf.state=Ud.CDATA_ENDING_2:(mf.cdata+="]"+zf,mf.state=Ud.CDATA);continue;case Ud.CDATA_ENDING_2:zf===">"?(mf.cdata&&Jd(mf,"oncdata",mf.cdata),Jd(mf,"onclosecdata"),mf.cdata="",mf.state=Ud.TEXT):zf==="]"?mf.cdata+="]":(mf.cdata+="]]"+zf,mf.state=Ud.CDATA);continue;case Ud.PROC_INST:zf==="?"?mf.state=Ud.PROC_INST_ENDING:Md(zf)?mf.state=Ud.PROC_INST_BODY:mf.procInstName+=zf;continue;case Ud.PROC_INST_BODY:if(!mf.procInstBody&&Md(zf))continue;zf==="?"?mf.state=Ud.PROC_INST_ENDING:mf.procInstBody+=zf;continue;case Ud.PROC_INST_ENDING:zf===">"?(Jd(mf,"onprocessinginstruction",{name:mf.procInstName,body:mf.procInstBody}),mf.procInstName=mf.procInstBody="",mf.state=Ud.TEXT):(mf.procInstBody+="?"+zf,mf.state=Ud.PROC_INST_BODY);continue;case Ud.OPEN_TAG:qd(Rd,zf)?mf.tagName+=zf:(xf(mf),zf===">"?Yf(mf):zf==="/"?mf.state=Ud.OPEN_TAG_SLASH:(Md(zf)||_f(mf,"Invalid character in tag name"),mf.state=Ud.ATTRIB));continue;case Ud.OPEN_TAG_SLASH:zf===">"?(Yf(mf,!0),If(mf)):(_f(mf,"Forward-slash in opening tag not followed by >"),mf.state=Ud.ATTRIB);continue;case Ud.ATTRIB:if(Md(zf))continue;zf===">"?Yf(mf):zf==="/"?mf.state=Ud.OPEN_TAG_SLASH:qd(kd,zf)?(mf.attribName=zf,mf.attribValue="",mf.state=Ud.ATTRIB_NAME):_f(mf,"Invalid attribute name");continue;case Ud.ATTRIB_NAME:zf==="="?mf.state=Ud.ATTRIB_VALUE:zf===">"?(_f(mf,"Attribute without value"),mf.attribValue=mf.attribName,Wf(mf),Yf(mf)):Md(zf)?mf.state=Ud.ATTRIB_NAME_SAW_WHITE:qd(Rd,zf)?mf.attribName+=zf:_f(mf,"Invalid attribute name");continue;case Ud.ATTRIB_NAME_SAW_WHITE:if(zf==="=")mf.state=Ud.ATTRIB_VALUE;else{if(Md(zf))continue;_f(mf,"Attribute without value"),mf.tag.attributes[mf.attribName]="",mf.attribValue="",Jd(mf,"onattribute",{name:mf.attribName,value:""}),mf.attribName="",zf===">"?Yf(mf):qd(kd,zf)?(mf.attribName=zf,mf.state=Ud.ATTRIB_NAME):(_f(mf,"Invalid attribute name"),mf.state=Ud.ATTRIB)}continue;case Ud.ATTRIB_VALUE:if(Md(zf))continue;Ld(zf)?(mf.q=zf,mf.state=Ud.ATTRIB_VALUE_QUOTED):(mf.opt.unquotedAttributeValues||Xd(mf,"Unquoted attribute value"),mf.state=Ud.ATTRIB_VALUE_UNQUOTED,mf.attribValue=zf);continue;case Ud.ATTRIB_VALUE_QUOTED:if(zf!==mf.q){zf==="&"?mf.state=Ud.ATTRIB_VALUE_ENTITY_Q:mf.attribValue+=zf;continue}Wf(mf),mf.q="",mf.state=Ud.ATTRIB_VALUE_CLOSED;continue;case Ud.ATTRIB_VALUE_CLOSED:Md(zf)?mf.state=Ud.ATTRIB:zf===">"?Yf(mf):zf==="/"?mf.state=Ud.OPEN_TAG_SLASH:qd(kd,zf)?(_f(mf,"No whitespace between attributes"),mf.attribName=zf,mf.attribValue="",mf.state=Ud.ATTRIB_NAME):_f(mf,"Invalid attribute name");continue;case Ud.ATTRIB_VALUE_UNQUOTED:if(!Pd(zf)){zf==="&"?mf.state=Ud.ATTRIB_VALUE_ENTITY_U:mf.attribValue+=zf;continue}Wf(mf),zf===">"?Yf(mf):mf.state=Ud.ATTRIB;continue;case Ud.CLOSE_TAG:if(mf.tagName)zf===">"?If(mf):qd(Rd,zf)?mf.tagName+=zf:mf.script?(mf.script+="</"+mf.tagName,mf.tagName="",mf.state=Ud.SCRIPT):(Md(zf)||_f(mf,"Invalid tagname in closing tag"),mf.state=Ud.CLOSE_TAG_SAW_WHITE);else{if(Md(zf))continue;Yd(kd,zf)?mf.script?(mf.script+="</"+zf,mf.state=Ud.SCRIPT):_f(mf,"Invalid tagname in closing tag."):mf.tagName=zf}continue;case Ud.CLOSE_TAG_SAW_WHITE:if(Md(zf))continue;zf===">"?If(mf):_f(mf,"Invalid characters in closing tag");continue;case Ud.TEXT_ENTITY:case Ud.ATTRIB_VALUE_ENTITY_Q:case Ud.ATTRIB_VALUE_ENTITY_U:var kf,Jf;switch(mf.state){case Ud.TEXT_ENTITY:kf=Ud.TEXT,Jf="textNode";break;case Ud.ATTRIB_VALUE_ENTITY_Q:kf=Ud.ATTRIB_VALUE_QUOTED,Jf="attribValue";break;case Ud.ATTRIB_VALUE_ENTITY_U:kf=Ud.ATTRIB_VALUE_UNQUOTED,Jf="attribValue";break}if(zf===";"){var Ch=Sf(mf);mf.opt.unparsedEntities&&!Object.values(qa.XML_ENTITIES).includes(Ch)?(mf.entity="",mf.state=kf,mf.write(Ch)):(mf[Jf]+=Ch,mf.entity="",mf.state=kf)}else qd(mf.entity.length?Id:Nd,zf)?mf.entity+=zf:(_f(mf,"Invalid character in entity name"),mf[Jf]+="&"+mf.entity+zf,mf.entity="",mf.state=kf);continue;default:throw new Error(mf,"Unknown state: "+mf.state)}return mf.position>=mf.bufferCheckPosition&&td(mf),mf}/*! http://mths.be/fromcodepoint v0.1.0 by @mathias */String.fromCodePoint||function(){var gf=String.fromCharCode,mf=Math.floor,$f=function(){var zf=16384,hh=[],Vf,kf,Jf=-1,Ch=arguments.length;if(!Ch)return"";for(var qf="";++Jf<Ch;){var Tf=Number(arguments[Jf]);if(!isFinite(Tf)||Tf<0||Tf>1114111||mf(Tf)!==Tf)throw RangeError("Invalid code point: "+Tf);Tf<=65535?hh.push(Tf):(Tf-=65536,Vf=(Tf>>10)+55296,kf=Tf%1024+56320,hh.push(Vf,kf)),(Jf+1===Ch||hh.length>zf)&&(qf+=gf.apply(null,hh),hh.length=0)}return qf};Object.defineProperty?Object.defineProperty(String,"fromCodePoint",{value:$f,configurable:!0,writable:!0}):String.fromCodePoint=$f}()})(Ra)}(sax)),sax}var bom={},hasRequiredBom;function requireBom(){return hasRequiredBom||(hasRequiredBom=1,(function(){bom.stripBOM=function(Ra){return Ra[0]==="\uFEFF"?Ra.substring(1):Ra}}).call(commonjsGlobal)),bom}var processors={},hasRequiredProcessors;function requireProcessors(){return hasRequiredProcessors||(hasRequiredProcessors=1,(function(){var Ra;Ra=new RegExp(/(?!xmlns)^.*:/),processors.normalize=function(qa){return qa.toLowerCase()},processors.firstCharLowerCase=function(qa){return qa.charAt(0).toLowerCase()+qa.slice(1)},processors.stripPrefix=function(qa){return qa.replace(Ra,"")},processors.parseNumbers=function(qa){return isNaN(qa)||(qa=qa%1===0?parseInt(qa,10):parseFloat(qa)),qa},processors.parseBooleans=function(qa){return/^(?:true|false)$/i.test(qa)&&(qa=qa.toLowerCase()==="true"),qa}}).call(commonjsGlobal)),processors}var main={},setImmediate$1={},hasRequiredSetImmediate;function requireSetImmediate(){if(hasRequiredSetImmediate)return setImmediate$1;hasRequiredSetImmediate=1;var Ra={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};return function(qa,Ja){if(qa.setImmediate)return;var ed=1,td={},rd=!1,sd=qa.document,od;function ld(Id){typeof Id!="function"&&(Id=new Function(""+Id));for(var Md=new Array(arguments.length-1),Ld=0;Ld<Md.length;Ld++)Md[Ld]=arguments[Ld+1];var Pd={callback:Id,args:Md};return td[ed]=Pd,od(ed),ed++}function cd(Id){delete td[Id]}function ud(Id){var Md=Id.callback,Ld=Id.args;switch(Ld.length){case 0:Md();break;case 1:Md(Ld[0]);break;case 2:Md(Ld[0],Ld[1]);break;case 3:Md(Ld[0],Ld[1],Ld[2]);break;default:Md.apply(Ja,Ld);break}}function _d(Id){if(rd)setTimeout(_d,0,Id);else{var Md=td[Id];if(Md){rd=!0;try{ud(Md)}finally{cd(Id),rd=!1}}}}function yd(){od=function(Id){Ra.nextTick(function(){_d(Id)})}}function gd(){if(qa.postMessage&&!qa.importScripts){var Id=!0,Md=qa.onmessage;return qa.onmessage=function(){Id=!1},qa.postMessage("","*"),qa.onmessage=Md,Id}}function Ed(){var Id="setImmediate$"+Math.random()+"$",Md=function(Ld){Ld.source===qa&&typeof Ld.data=="string"&&Ld.data.indexOf(Id)===0&&_d(+Ld.data.slice(Id.length))};qa.addEventListener?qa.addEventListener("message",Md,!1):qa.attachEvent("onmessage",Md),od=function(Ld){qa.postMessage(Id+Ld,"*")}}function Td(){var Id=new MessageChannel;Id.port1.onmessage=function(Md){var Ld=Md.data;_d(Ld)},od=function(Md){Id.port2.postMessage(Md)}}function kd(){var Id=sd.documentElement;od=function(Md){var Ld=sd.createElement("script");Ld.onreadystatechange=function(){_d(Md),Ld.onreadystatechange=null,Id.removeChild(Ld),Ld=null},Id.appendChild(Ld)}}function Rd(){od=function(Id){setTimeout(_d,0,Id)}}var Nd=Object.getPrototypeOf&&Object.getPrototypeOf(qa);Nd=Nd&&Nd.setTimeout?Nd:qa,{}.toString.call(qa.process)==="[object process]"?yd():gd()?Ed():qa.MessageChannel?Td():sd&&"onreadystatechange"in sd.createElement("script")?kd():Rd(),Nd.setImmediate=ld,Nd.clearImmediate=cd}(typeof self>"u"?commonjsGlobal:self),setImmediate$1}var hasRequiredMain;function requireMain(){if(hasRequiredMain)return main;hasRequiredMain=1;var Ra=typeof commonjsGlobal<"u"&&commonjsGlobal||typeof self<"u"&&self||window,qa=Function.prototype.apply;main.setTimeout=function(){return new Ja(qa.call(setTimeout,Ra,arguments),clearTimeout)},main.setInterval=function(){return new Ja(qa.call(setInterval,Ra,arguments),clearInterval)},main.clearTimeout=main.clearInterval=function(ed){ed&&ed.close()};function Ja(ed,td){this._id=ed,this._clearFn=td}return Ja.prototype.unref=Ja.prototype.ref=function(){},Ja.prototype.close=function(){this._clearFn.call(Ra,this._id)},main.enroll=function(ed,td){clearTimeout(ed._idleTimeoutId),ed._idleTimeout=td},main.unenroll=function(ed){clearTimeout(ed._idleTimeoutId),ed._idleTimeout=-1},main._unrefActive=main.active=function(ed){clearTimeout(ed._idleTimeoutId);var td=ed._idleTimeout;td>=0&&(ed._idleTimeoutId=setTimeout(function(){ed._onTimeout&&ed._onTimeout()},td))},requireSetImmediate(),main.setImmediate=typeof self<"u"&&self.setImmediate||typeof commonjsGlobal<"u"&&commonjsGlobal.setImmediate||commonjsGlobal&&commonjsGlobal.setImmediate,main.clearImmediate=typeof self<"u"&&self.clearImmediate||typeof commonjsGlobal<"u"&&commonjsGlobal.clearImmediate||commonjsGlobal&&commonjsGlobal.clearImmediate,main}var hasRequiredParser;function requireParser(){return hasRequiredParser||(hasRequiredParser=1,function(Ra){(function(){var qa,Ja,ed,td,rd,sd,od,ld,cd=function(yd,gd){return function(){return yd.apply(gd,arguments)}},ud=function(yd,gd){for(var Ed in gd)_d.call(gd,Ed)&&(yd[Ed]=gd[Ed]);function Td(){this.constructor=yd}return Td.prototype=gd.prototype,yd.prototype=new Td,yd.__super__=gd.prototype,yd},_d={}.hasOwnProperty;od=requireSax(),ed=eventsExports,qa=requireBom(),sd=requireProcessors(),ld=requireMain().setImmediate,Ja=requireDefaults().defaults,td=function(yd){return typeof yd=="object"&&yd!=null&&Object.keys(yd).length===0},rd=function(yd,gd,Ed){var Td,kd,Rd;for(Td=0,kd=yd.length;Td<kd;Td++)Rd=yd[Td],gd=Rd(gd,Ed);return gd},Ra.Parser=function(yd){ud(gd,yd);function gd(Ed){this.parseStringPromise=cd(this.parseStringPromise,this),this.parseString=cd(this.parseString,this),this.reset=cd(this.reset,this),this.assignOrPush=cd(this.assignOrPush,this),this.processAsync=cd(this.processAsync,this);var Td,kd,Rd;if(!(this instanceof Ra.Parser))return new Ra.Parser(Ed);this.options={},kd=Ja["0.2"];for(Td in kd)_d.call(kd,Td)&&(Rd=kd[Td],this.options[Td]=Rd);for(Td in Ed)_d.call(Ed,Td)&&(Rd=Ed[Td],this.options[Td]=Rd);this.options.xmlns&&(this.options.xmlnskey=this.options.attrkey+"ns"),this.options.normalizeTags&&(this.options.tagNameProcessors||(this.options.tagNameProcessors=[]),this.options.tagNameProcessors.unshift(sd.normalize)),this.reset()}return gd.prototype.processAsync=function(){var Ed,Td;try{return this.remaining.length<=this.options.chunkSize?(Ed=this.remaining,this.remaining="",this.saxParser=this.saxParser.write(Ed),this.saxParser.close()):(Ed=this.remaining.substr(0,this.options.chunkSize),this.remaining=this.remaining.substr(this.options.chunkSize,this.remaining.length),this.saxParser=this.saxParser.write(Ed),ld(this.processAsync))}catch(kd){if(Td=kd,!this.saxParser.errThrown)return this.saxParser.errThrown=!0,this.emit(Td)}},gd.prototype.assignOrPush=function(Ed,Td,kd){return Td in Ed?(Ed[Td]instanceof Array||(Ed[Td]=[Ed[Td]]),Ed[Td].push(kd)):this.options.explicitArray?Ed[Td]=[kd]:Ed[Td]=kd},gd.prototype.reset=function(){var Ed,Td,kd,Rd;return this.removeAllListeners(),this.saxParser=od.parser(this.options.strict,{trim:!1,normalize:!1,xmlns:this.options.xmlns}),this.saxParser.errThrown=!1,this.saxParser.onerror=function(Nd){return function(Id){if(Nd.saxParser.resume(),!Nd.saxParser.errThrown)return Nd.saxParser.errThrown=!0,Nd.emit("error",Id)}}(this),this.saxParser.onend=function(Nd){return function(){if(!Nd.saxParser.ended)return Nd.saxParser.ended=!0,Nd.emit("end",Nd.resultObject)}}(this),this.saxParser.ended=!1,this.EXPLICIT_CHARKEY=this.options.explicitCharkey,this.resultObject=null,Rd=[],Ed=this.options.attrkey,Td=this.options.charkey,this.saxParser.onopentag=function(Nd){return function(Id){var Md,Ld,Pd,qd,Yd;if(Pd=Object.create(null),Pd[Td]="",!Nd.options.ignoreAttrs){Yd=Id.attributes;for(Md in Yd)_d.call(Yd,Md)&&(!(Ed in Pd)&&!Nd.options.mergeAttrs&&(Pd[Ed]=Object.create(null)),Ld=Nd.options.attrValueProcessors?rd(Nd.options.attrValueProcessors,Id.attributes[Md],Md):Id.attributes[Md],qd=Nd.options.attrNameProcessors?rd(Nd.options.attrNameProcessors,Md):Md,Nd.options.mergeAttrs?Nd.assignOrPush(Pd,qd,Ld):Pd[Ed][qd]=Ld)}return Pd["#name"]=Nd.options.tagNameProcessors?rd(Nd.options.tagNameProcessors,Id.name):Id.name,Nd.options.xmlns&&(Pd[Nd.options.xmlnskey]={uri:Id.uri,local:Id.local}),Rd.push(Pd)}}(this),this.saxParser.onclosetag=function(Nd){return function(){var Id,Md,Ld,Pd,qd,Yd,Ud,Hd,Vd,Jd;if(Yd=Rd.pop(),qd=Yd["#name"],(!Nd.options.explicitChildren||!Nd.options.preserveChildrenOrder)&&delete Yd["#name"],Yd.cdata===!0&&(Id=Yd.cdata,delete Yd.cdata),Vd=Rd[Rd.length-1],Yd[Td].match(/^\s*$/)&&!Id?(Md=Yd[Td],delete Yd[Td]):(Nd.options.trim&&(Yd[Td]=Yd[Td].trim()),Nd.options.normalize&&(Yd[Td]=Yd[Td].replace(/\s{2,}/g," ").trim()),Yd[Td]=Nd.options.valueProcessors?rd(Nd.options.valueProcessors,Yd[Td],qd):Yd[Td],Object.keys(Yd).length===1&&Td in Yd&&!Nd.EXPLICIT_CHARKEY&&(Yd=Yd[Td])),td(Yd)&&(typeof Nd.options.emptyTag=="function"?Yd=Nd.options.emptyTag():Yd=Nd.options.emptyTag!==""?Nd.options.emptyTag:Md),Nd.options.validator!=null&&(Jd="/"+function(){var Zd,pf,Xd;for(Xd=[],Zd=0,pf=Rd.length;Zd<pf;Zd++)Pd=Rd[Zd],Xd.push(Pd["#name"]);return Xd}().concat(qd).join("/"),function(){var Zd;try{return Yd=Nd.options.validator(Jd,Vd&&Vd[qd],Yd)}catch(pf){return Zd=pf,Nd.emit("error",Zd)}}()),Nd.options.explicitChildren&&!Nd.options.mergeAttrs&&typeof Yd=="object"){if(!Nd.options.preserveChildrenOrder)Pd=Object.create(null),Nd.options.attrkey in Yd&&(Pd[Nd.options.attrkey]=Yd[Nd.options.attrkey],delete Yd[Nd.options.attrkey]),!Nd.options.charsAsChildren&&Nd.options.charkey in Yd&&(Pd[Nd.options.charkey]=Yd[Nd.options.charkey],delete Yd[Nd.options.charkey]),Object.getOwnPropertyNames(Yd).length>0&&(Pd[Nd.options.childkey]=Yd),Yd=Pd;else if(Vd){Vd[Nd.options.childkey]=Vd[Nd.options.childkey]||[],Ud=Object.create(null);for(Ld in Yd)_d.call(Yd,Ld)&&(Ud[Ld]=Yd[Ld]);Vd[Nd.options.childkey].push(Ud),delete Yd["#name"],Object.keys(Yd).length===1&&Td in Yd&&!Nd.EXPLICIT_CHARKEY&&(Yd=Yd[Td])}}return Rd.length>0?Nd.assignOrPush(Vd,qd,Yd):(Nd.options.explicitRoot&&(Hd=Yd,Yd=Object.create(null),Yd[qd]=Hd),Nd.resultObject=Yd,Nd.saxParser.ended=!0,Nd.emit("end",Nd.resultObject))}}(this),kd=function(Nd){return function(Id){var Md,Ld;if(Ld=Rd[Rd.length-1],Ld)return Ld[Td]+=Id,Nd.options.explicitChildren&&Nd.options.preserveChildrenOrder&&Nd.options.charsAsChildren&&(Nd.options.includeWhiteChars||Id.replace(/\\n/g,"").trim()!=="")&&(Ld[Nd.options.childkey]=Ld[Nd.options.childkey]||[],Md={"#name":"__text__"},Md[Td]=Id,Nd.options.normalize&&(Md[Td]=Md[Td].replace(/\s{2,}/g," ").trim()),Ld[Nd.options.childkey].push(Md)),Ld}}(this),this.saxParser.ontext=kd,this.saxParser.oncdata=function(Nd){return function(Id){var Md;if(Md=kd(Id),Md)return Md.cdata=!0}}()},gd.prototype.parseString=function(Ed,Td){var kd;Td!=null&&typeof Td=="function"&&(this.on("end",function(Rd){return this.reset(),Td(null,Rd)}),this.on("error",function(Rd){return this.reset(),Td(Rd)}));try{return Ed=Ed.toString(),Ed.trim()===""?(this.emit("end",null),!0):(Ed=qa.stripBOM(Ed),this.options.async?(this.remaining=Ed,ld(this.processAsync),this.saxParser):this.saxParser.write(Ed).close())}catch(Rd){if(kd=Rd,this.saxParser.errThrown||this.saxParser.ended){if(this.saxParser.ended)throw kd}else return this.emit("error",kd),this.saxParser.errThrown=!0}},gd.prototype.parseStringPromise=function(Ed){return new Promise(function(Td){return function(kd,Rd){return Td.parseString(Ed,function(Nd,Id){return Nd?Rd(Nd):kd(Id)})}}(this))},gd}(ed),Ra.parseString=function(yd,gd,Ed){var Td,kd,Rd;return Ed!=null?(typeof Ed=="function"&&(Td=Ed),typeof gd=="object"&&(kd=gd)):(typeof gd=="function"&&(Td=gd),kd={}),Rd=new Ra.Parser(kd),Rd.parseString(yd,Td)},Ra.parseStringPromise=function(yd,gd){var Ed,Td;return typeof gd=="object"&&(Ed=gd),Td=new Ra.Parser(Ed),Td.parseStringPromise(yd)}}).call(commonjsGlobal)}(parser)),parser}(function(){var Ra,qa,Ja,ed,td=function(sd,od){for(var ld in od)rd.call(od,ld)&&(sd[ld]=od[ld]);function cd(){this.constructor=sd}return cd.prototype=od.prototype,sd.prototype=new cd,sd.__super__=od.prototype,sd},rd={}.hasOwnProperty;qa=requireDefaults(),Ra=requireBuilder(),Ja=requireParser(),ed=requireProcessors(),xml2js.defaults=qa.defaults,xml2js.processors=ed,xml2js.ValidationError=function(sd){td(od,sd);function od(ld){this.message=ld}return od}(Error),xml2js.Builder=Ra.Builder,xml2js.Parser=Ja.Parser,xml2js.parseString=Ja.parseString,xml2js.parseStringPromise=Ja.parseStringPromise}).call(commonjsGlobal);class ExtendableError extends Error{constructor(qa,Ja){super(qa,Ja),this.name=this.constructor.name}}class AnonymousRequestError extends ExtendableError{}class InvalidArgumentError extends ExtendableError{}class InvalidEndpointError extends ExtendableError{}class InvalidBucketNameError extends ExtendableError{}class InvalidObjectNameError extends ExtendableError{}class AccessKeyRequiredError extends ExtendableError{}class SecretKeyRequiredError extends ExtendableError{}class ExpiresParamError extends ExtendableError{}class InvalidDateError extends ExtendableError{}class InvalidPrefixError extends ExtendableError{}class InvalidBucketPolicyError extends ExtendableError{}class InvalidXMLError extends ExtendableError{}class S3Error extends ExtendableError{}class IsValidBucketNameError extends ExtendableError{}var validator$3={},util$4={};(function(Ra){const qa=":A-Za-z_\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u02FF\\u0370-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD",Ja=qa+"\\-.\\d\\u00B7\\u0300-\\u036F\\u203F-\\u2040",ed="["+qa+"]["+Ja+"]*",td=new RegExp("^"+ed+"$"),rd=function(od,ld){const cd=[];let ud=ld.exec(od);for(;ud;){const _d=[];_d.startIndex=ld.lastIndex-ud[0].length;const yd=ud.length;for(let gd=0;gd<yd;gd++)_d.push(ud[gd]);cd.push(_d),ud=ld.exec(od)}return cd},sd=function(od){const ld=td.exec(od);return!(ld===null||typeof ld>"u")};Ra.isExist=function(od){return typeof od<"u"},Ra.isEmptyObject=function(od){return Object.keys(od).length===0},Ra.merge=function(od,ld,cd){if(ld){const ud=Object.keys(ld),_d=ud.length;for(let yd=0;yd<_d;yd++)cd==="strict"?od[ud[yd]]=[ld[ud[yd]]]:od[ud[yd]]=ld[ud[yd]]}},Ra.getValue=function(od){return Ra.isExist(od)?od:""},Ra.isName=sd,Ra.getAllMatches=rd,Ra.nameRegexp=ed})(util$4);const util$3=util$4,defaultOptions$2={allowBooleanAttributes:!1,unpairedTags:[]};validator$3.validate=function(Ra,qa){qa=Object.assign({},defaultOptions$2,qa);const Ja=[];let ed=!1,td=!1;Ra[0]==="\uFEFF"&&(Ra=Ra.substr(1));for(let rd=0;rd<Ra.length;rd++)if(Ra[rd]==="<"&&Ra[rd+1]==="?"){if(rd+=2,rd=readPI(Ra,rd),rd.err)return rd}else if(Ra[rd]==="<"){let sd=rd;if(rd++,Ra[rd]==="!"){rd=readCommentAndCDATA(Ra,rd);continue}else{let od=!1;Ra[rd]==="/"&&(od=!0,rd++);let ld="";for(;rd<Ra.length&&Ra[rd]!==">"&&Ra[rd]!==" "&&Ra[rd]!==" "&&Ra[rd]!==`
|
||
`&&Ra[rd]!=="\r";rd++)ld+=Ra[rd];if(ld=ld.trim(),ld[ld.length-1]==="/"&&(ld=ld.substring(0,ld.length-1),rd--),!validateTagName(ld)){let _d;return ld.trim().length===0?_d="Invalid space after '<'.":_d="Tag '"+ld+"' is an invalid name.",getErrorObject("InvalidTag",_d,getLineNumberForPosition(Ra,rd))}const cd=readAttributeStr(Ra,rd);if(cd===!1)return getErrorObject("InvalidAttr","Attributes for '"+ld+"' have open quote.",getLineNumberForPosition(Ra,rd));let ud=cd.value;if(rd=cd.index,ud[ud.length-1]==="/"){const _d=rd-ud.length;ud=ud.substring(0,ud.length-1);const yd=validateAttributeString(ud,qa);if(yd===!0)ed=!0;else return getErrorObject(yd.err.code,yd.err.msg,getLineNumberForPosition(Ra,_d+yd.err.line))}else if(od)if(cd.tagClosed){if(ud.trim().length>0)return getErrorObject("InvalidTag","Closing tag '"+ld+"' can't have attributes or invalid starting.",getLineNumberForPosition(Ra,sd));if(Ja.length===0)return getErrorObject("InvalidTag","Closing tag '"+ld+"' has not been opened.",getLineNumberForPosition(Ra,sd));{const _d=Ja.pop();if(ld!==_d.tagName){let yd=getLineNumberForPosition(Ra,_d.tagStartPos);return getErrorObject("InvalidTag","Expected closing tag '"+_d.tagName+"' (opened in line "+yd.line+", col "+yd.col+") instead of closing tag '"+ld+"'.",getLineNumberForPosition(Ra,sd))}Ja.length==0&&(td=!0)}}else return getErrorObject("InvalidTag","Closing tag '"+ld+"' doesn't have proper closing.",getLineNumberForPosition(Ra,rd));else{const _d=validateAttributeString(ud,qa);if(_d!==!0)return getErrorObject(_d.err.code,_d.err.msg,getLineNumberForPosition(Ra,rd-ud.length+_d.err.line));if(td===!0)return getErrorObject("InvalidXml","Multiple possible root nodes found.",getLineNumberForPosition(Ra,rd));qa.unpairedTags.indexOf(ld)!==-1||Ja.push({tagName:ld,tagStartPos:sd}),ed=!0}for(rd++;rd<Ra.length;rd++)if(Ra[rd]==="<")if(Ra[rd+1]==="!"){rd++,rd=readCommentAndCDATA(Ra,rd);continue}else if(Ra[rd+1]==="?"){if(rd=readPI(Ra,++rd),rd.err)return rd}else break;else if(Ra[rd]==="&"){const _d=validateAmpersand(Ra,rd);if(_d==-1)return getErrorObject("InvalidChar","char '&' is not expected.",getLineNumberForPosition(Ra,rd));rd=_d}else if(td===!0&&!isWhiteSpace(Ra[rd]))return getErrorObject("InvalidXml","Extra text at the end",getLineNumberForPosition(Ra,rd));Ra[rd]==="<"&&rd--}}else{if(isWhiteSpace(Ra[rd]))continue;return getErrorObject("InvalidChar","char '"+Ra[rd]+"' is not expected.",getLineNumberForPosition(Ra,rd))}if(ed){if(Ja.length==1)return getErrorObject("InvalidTag","Unclosed tag '"+Ja[0].tagName+"'.",getLineNumberForPosition(Ra,Ja[0].tagStartPos));if(Ja.length>0)return getErrorObject("InvalidXml","Invalid '"+JSON.stringify(Ja.map(rd=>rd.tagName),null,4).replace(/\r?\n/g,"")+"' found.",{line:1,col:1})}else return getErrorObject("InvalidXml","Start tag expected.",1);return!0};function isWhiteSpace(Ra){return Ra===" "||Ra===" "||Ra===`
|
||
`||Ra==="\r"}function readPI(Ra,qa){const Ja=qa;for(;qa<Ra.length;qa++)if(Ra[qa]=="?"||Ra[qa]==" "){const ed=Ra.substr(Ja,qa-Ja);if(qa>5&&ed==="xml")return getErrorObject("InvalidXml","XML declaration allowed only at the start of the document.",getLineNumberForPosition(Ra,qa));if(Ra[qa]=="?"&&Ra[qa+1]==">"){qa++;break}else continue}return qa}function readCommentAndCDATA(Ra,qa){if(Ra.length>qa+5&&Ra[qa+1]==="-"&&Ra[qa+2]==="-"){for(qa+=3;qa<Ra.length;qa++)if(Ra[qa]==="-"&&Ra[qa+1]==="-"&&Ra[qa+2]===">"){qa+=2;break}}else if(Ra.length>qa+8&&Ra[qa+1]==="D"&&Ra[qa+2]==="O"&&Ra[qa+3]==="C"&&Ra[qa+4]==="T"&&Ra[qa+5]==="Y"&&Ra[qa+6]==="P"&&Ra[qa+7]==="E"){let Ja=1;for(qa+=8;qa<Ra.length;qa++)if(Ra[qa]==="<")Ja++;else if(Ra[qa]===">"&&(Ja--,Ja===0))break}else if(Ra.length>qa+9&&Ra[qa+1]==="["&&Ra[qa+2]==="C"&&Ra[qa+3]==="D"&&Ra[qa+4]==="A"&&Ra[qa+5]==="T"&&Ra[qa+6]==="A"&&Ra[qa+7]==="["){for(qa+=8;qa<Ra.length;qa++)if(Ra[qa]==="]"&&Ra[qa+1]==="]"&&Ra[qa+2]===">"){qa+=2;break}}return qa}const doubleQuote='"',singleQuote="'";function readAttributeStr(Ra,qa){let Ja="",ed="",td=!1;for(;qa<Ra.length;qa++){if(Ra[qa]===doubleQuote||Ra[qa]===singleQuote)ed===""?ed=Ra[qa]:ed!==Ra[qa]||(ed="");else if(Ra[qa]===">"&&ed===""){td=!0;break}Ja+=Ra[qa]}return ed!==""?!1:{value:Ja,index:qa,tagClosed:td}}const validAttrStrRegxp=new RegExp(`(\\s*)([^\\s=]+)(\\s*=)?(\\s*(['"])(([\\s\\S])*?)\\5)?`,"g");function validateAttributeString(Ra,qa){const Ja=util$3.getAllMatches(Ra,validAttrStrRegxp),ed={};for(let td=0;td<Ja.length;td++){if(Ja[td][1].length===0)return getErrorObject("InvalidAttr","Attribute '"+Ja[td][2]+"' has no space in starting.",getPositionFromMatch(Ja[td]));if(Ja[td][3]!==void 0&&Ja[td][4]===void 0)return getErrorObject("InvalidAttr","Attribute '"+Ja[td][2]+"' is without value.",getPositionFromMatch(Ja[td]));if(Ja[td][3]===void 0&&!qa.allowBooleanAttributes)return getErrorObject("InvalidAttr","boolean attribute '"+Ja[td][2]+"' is not allowed.",getPositionFromMatch(Ja[td]));const rd=Ja[td][2];if(!validateAttrName(rd))return getErrorObject("InvalidAttr","Attribute '"+rd+"' is an invalid name.",getPositionFromMatch(Ja[td]));if(!ed.hasOwnProperty(rd))ed[rd]=1;else return getErrorObject("InvalidAttr","Attribute '"+rd+"' is repeated.",getPositionFromMatch(Ja[td]))}return!0}function validateNumberAmpersand(Ra,qa){let Ja=/\d/;for(Ra[qa]==="x"&&(qa++,Ja=/[\da-fA-F]/);qa<Ra.length;qa++){if(Ra[qa]===";")return qa;if(!Ra[qa].match(Ja))break}return-1}function validateAmpersand(Ra,qa){if(qa++,Ra[qa]===";")return-1;if(Ra[qa]==="#")return qa++,validateNumberAmpersand(Ra,qa);let Ja=0;for(;qa<Ra.length;qa++,Ja++)if(!(Ra[qa].match(/\w/)&&Ja<20)){if(Ra[qa]===";")break;return-1}return qa}function getErrorObject(Ra,qa,Ja){return{err:{code:Ra,msg:qa,line:Ja.line||Ja,col:Ja.col}}}function validateAttrName(Ra){return util$3.isName(Ra)}function validateTagName(Ra){return util$3.isName(Ra)}function getLineNumberForPosition(Ra,qa){const Ja=Ra.substring(0,qa).split(/\r?\n/);return{line:Ja.length,col:Ja[Ja.length-1].length+1}}function getPositionFromMatch(Ra){return Ra.startIndex+Ra[1].length}var OptionsBuilder={};const defaultOptions$1={preserveOrder:!1,attributeNamePrefix:"@_",attributesGroupName:!1,textNodeName:"#text",ignoreAttributes:!0,removeNSPrefix:!1,allowBooleanAttributes:!1,parseTagValue:!0,parseAttributeValue:!1,trimValues:!0,cdataPropName:!1,numberParseOptions:{hex:!0,leadingZeros:!0,eNotation:!0},tagValueProcessor:function(Ra,qa){return qa},attributeValueProcessor:function(Ra,qa){return qa},stopNodes:[],alwaysCreateTextNode:!1,isArray:()=>!1,commentPropName:!1,unpairedTags:[],processEntities:!0,htmlEntities:!1,ignoreDeclaration:!1,ignorePiTags:!1,transformTagName:!1,transformAttributeName:!1,updateTag:function(Ra,qa,Ja){return Ra}},buildOptions$1=function(Ra){return Object.assign({},defaultOptions$1,Ra)};OptionsBuilder.buildOptions=buildOptions$1;OptionsBuilder.defaultOptions=defaultOptions$1;class XmlNode{constructor(qa){this.tagname=qa,this.child=[],this[":@"]={}}add(qa,Ja){qa==="__proto__"&&(qa="#__proto__"),this.child.push({[qa]:Ja})}addChild(qa){qa.tagname==="__proto__"&&(qa.tagname="#__proto__"),qa[":@"]&&Object.keys(qa[":@"]).length>0?this.child.push({[qa.tagname]:qa.child,":@":qa[":@"]}):this.child.push({[qa.tagname]:qa.child})}}var xmlNode$1=XmlNode;const util$2=util$4;function readDocType$1(Ra,qa){const Ja={};if(Ra[qa+3]==="O"&&Ra[qa+4]==="C"&&Ra[qa+5]==="T"&&Ra[qa+6]==="Y"&&Ra[qa+7]==="P"&&Ra[qa+8]==="E"){qa=qa+9;let ed=1,td=!1,rd=!1,sd="";for(;qa<Ra.length;qa++)if(Ra[qa]==="<"&&!rd){if(td&&isEntity(Ra,qa))qa+=7,[entityName,val,qa]=readEntityExp(Ra,qa+1),val.indexOf("&")===-1&&(Ja[validateEntityName(entityName)]={regx:RegExp(`&${entityName};`,"g"),val});else if(td&&isElement(Ra,qa))qa+=8;else if(td&&isAttlist(Ra,qa))qa+=8;else if(td&&isNotation(Ra,qa))qa+=9;else if(isComment)rd=!0;else throw new Error("Invalid DOCTYPE");ed++,sd=""}else if(Ra[qa]===">"){if(rd?Ra[qa-1]==="-"&&Ra[qa-2]==="-"&&(rd=!1,ed--):ed--,ed===0)break}else Ra[qa]==="["?td=!0:sd+=Ra[qa];if(ed!==0)throw new Error("Unclosed DOCTYPE")}else throw new Error("Invalid Tag instead of DOCTYPE");return{entities:Ja,i:qa}}function readEntityExp(Ra,qa){let Ja="";for(;qa<Ra.length&&Ra[qa]!=="'"&&Ra[qa]!=='"';qa++)Ja+=Ra[qa];if(Ja=Ja.trim(),Ja.indexOf(" ")!==-1)throw new Error("External entites are not supported");const ed=Ra[qa++];let td="";for(;qa<Ra.length&&Ra[qa]!==ed;qa++)td+=Ra[qa];return[Ja,td,qa]}function isComment(Ra,qa){return Ra[qa+1]==="!"&&Ra[qa+2]==="-"&&Ra[qa+3]==="-"}function isEntity(Ra,qa){return Ra[qa+1]==="!"&&Ra[qa+2]==="E"&&Ra[qa+3]==="N"&&Ra[qa+4]==="T"&&Ra[qa+5]==="I"&&Ra[qa+6]==="T"&&Ra[qa+7]==="Y"}function isElement(Ra,qa){return Ra[qa+1]==="!"&&Ra[qa+2]==="E"&&Ra[qa+3]==="L"&&Ra[qa+4]==="E"&&Ra[qa+5]==="M"&&Ra[qa+6]==="E"&&Ra[qa+7]==="N"&&Ra[qa+8]==="T"}function isAttlist(Ra,qa){return Ra[qa+1]==="!"&&Ra[qa+2]==="A"&&Ra[qa+3]==="T"&&Ra[qa+4]==="T"&&Ra[qa+5]==="L"&&Ra[qa+6]==="I"&&Ra[qa+7]==="S"&&Ra[qa+8]==="T"}function isNotation(Ra,qa){return Ra[qa+1]==="!"&&Ra[qa+2]==="N"&&Ra[qa+3]==="O"&&Ra[qa+4]==="T"&&Ra[qa+5]==="A"&&Ra[qa+6]==="T"&&Ra[qa+7]==="I"&&Ra[qa+8]==="O"&&Ra[qa+9]==="N"}function validateEntityName(Ra){if(util$2.isName(Ra))return Ra;throw new Error(`Invalid entity name ${Ra}`)}var DocTypeReader=readDocType$1;const hexRegex=/^[-+]?0x[a-fA-F0-9]+$/,numRegex=/^([\-\+])?(0*)(\.[0-9]+([eE]\-?[0-9]+)?|[0-9]+(\.[0-9]+([eE]\-?[0-9]+)?)?)$/;!Number.parseInt&&window.parseInt&&(Number.parseInt=window.parseInt);!Number.parseFloat&&window.parseFloat&&(Number.parseFloat=window.parseFloat);const consider={hex:!0,leadingZeros:!0,decimalPoint:".",eNotation:!0};function toNumber$1(Ra,qa={}){if(qa=Object.assign({},consider,qa),!Ra||typeof Ra!="string")return Ra;let Ja=Ra.trim();if(qa.skipLike!==void 0&&qa.skipLike.test(Ja))return Ra;if(qa.hex&&hexRegex.test(Ja))return Number.parseInt(Ja,16);{const ed=numRegex.exec(Ja);if(ed){const td=ed[1],rd=ed[2];let sd=trimZeros(ed[3]);const od=ed[4]||ed[6];if(!qa.leadingZeros&&rd.length>0&&td&&Ja[2]!==".")return Ra;if(!qa.leadingZeros&&rd.length>0&&!td&&Ja[1]!==".")return Ra;{const ld=Number(Ja),cd=""+ld;return cd.search(/[eE]/)!==-1||od?qa.eNotation?ld:Ra:Ja.indexOf(".")!==-1?cd==="0"&&sd===""||cd===sd||td&&cd==="-"+sd?ld:Ra:rd?sd===cd||td+sd===cd?ld:Ra:Ja===cd||Ja===td+cd?ld:Ra}}else return Ra}}function trimZeros(Ra){return Ra&&Ra.indexOf(".")!==-1&&(Ra=Ra.replace(/0+$/,""),Ra==="."?Ra="0":Ra[0]==="."?Ra="0"+Ra:Ra[Ra.length-1]==="."&&(Ra=Ra.substr(0,Ra.length-1))),Ra}var strnum=toNumber$1;const util$1=util$4,xmlNode=xmlNode$1,readDocType=DocTypeReader,toNumber=strnum;let OrderedObjParser$1=class{constructor(qa){this.options=qa,this.currentNode=null,this.tagsNodeStack=[],this.docTypeEntities={},this.lastEntities={apos:{regex:/&(apos|#39|#x27);/g,val:"'"},gt:{regex:/&(gt|#62|#x3E);/g,val:">"},lt:{regex:/&(lt|#60|#x3C);/g,val:"<"},quot:{regex:/&(quot|#34|#x22);/g,val:'"'}},this.ampEntity={regex:/&(amp|#38|#x26);/g,val:"&"},this.htmlEntities={space:{regex:/&(nbsp|#160);/g,val:" "},cent:{regex:/&(cent|#162);/g,val:"¢"},pound:{regex:/&(pound|#163);/g,val:"£"},yen:{regex:/&(yen|#165);/g,val:"¥"},euro:{regex:/&(euro|#8364);/g,val:"€"},copyright:{regex:/&(copy|#169);/g,val:"©"},reg:{regex:/&(reg|#174);/g,val:"®"},inr:{regex:/&(inr|#8377);/g,val:"₹"},num_dec:{regex:/&#([0-9]{1,7});/g,val:(Ja,ed)=>String.fromCharCode(Number.parseInt(ed,10))},num_hex:{regex:/&#x([0-9a-fA-F]{1,6});/g,val:(Ja,ed)=>String.fromCharCode(Number.parseInt(ed,16))}},this.addExternalEntities=addExternalEntities,this.parseXml=parseXml$1,this.parseTextData=parseTextData,this.resolveNameSpace=resolveNameSpace,this.buildAttributesMap=buildAttributesMap,this.isItStopNode=isItStopNode,this.replaceEntitiesValue=replaceEntitiesValue$1,this.readStopNodeData=readStopNodeData,this.saveTextToParentTag=saveTextToParentTag,this.addChild=addChild}};function addExternalEntities(Ra){const qa=Object.keys(Ra);for(let Ja=0;Ja<qa.length;Ja++){const ed=qa[Ja];this.lastEntities[ed]={regex:new RegExp("&"+ed+";","g"),val:Ra[ed]}}}function parseTextData(Ra,qa,Ja,ed,td,rd,sd){if(Ra!==void 0&&(this.options.trimValues&&!ed&&(Ra=Ra.trim()),Ra.length>0)){sd||(Ra=this.replaceEntitiesValue(Ra));const od=this.options.tagValueProcessor(qa,Ra,Ja,td,rd);return od==null?Ra:typeof od!=typeof Ra||od!==Ra?od:this.options.trimValues?parseValue(Ra,this.options.parseTagValue,this.options.numberParseOptions):Ra.trim()===Ra?parseValue(Ra,this.options.parseTagValue,this.options.numberParseOptions):Ra}}function resolveNameSpace(Ra){if(this.options.removeNSPrefix){const qa=Ra.split(":"),Ja=Ra.charAt(0)==="/"?"/":"";if(qa[0]==="xmlns")return"";qa.length===2&&(Ra=Ja+qa[1])}return Ra}const attrsRegx=new RegExp(`([^\\s=]+)\\s*(=\\s*(['"])([\\s\\S]*?)\\3)?`,"gm");function buildAttributesMap(Ra,qa,Ja){if(!this.options.ignoreAttributes&&typeof Ra=="string"){const ed=util$1.getAllMatches(Ra,attrsRegx),td=ed.length,rd={};for(let sd=0;sd<td;sd++){const od=this.resolveNameSpace(ed[sd][1]);let ld=ed[sd][4],cd=this.options.attributeNamePrefix+od;if(od.length)if(this.options.transformAttributeName&&(cd=this.options.transformAttributeName(cd)),cd==="__proto__"&&(cd="#__proto__"),ld!==void 0){this.options.trimValues&&(ld=ld.trim()),ld=this.replaceEntitiesValue(ld);const ud=this.options.attributeValueProcessor(od,ld,qa);ud==null?rd[cd]=ld:typeof ud!=typeof ld||ud!==ld?rd[cd]=ud:rd[cd]=parseValue(ld,this.options.parseAttributeValue,this.options.numberParseOptions)}else this.options.allowBooleanAttributes&&(rd[cd]=!0)}if(!Object.keys(rd).length)return;if(this.options.attributesGroupName){const sd={};return sd[this.options.attributesGroupName]=rd,sd}return rd}}const parseXml$1=function(Ra){Ra=Ra.replace(/\r\n?/g,`
|
||
`);const qa=new xmlNode("!xml");let Ja=qa,ed="",td="";for(let rd=0;rd<Ra.length;rd++)if(Ra[rd]==="<")if(Ra[rd+1]==="/"){const od=findClosingIndex(Ra,">",rd,"Closing Tag is not closed.");let ld=Ra.substring(rd+2,od).trim();if(this.options.removeNSPrefix){const _d=ld.indexOf(":");_d!==-1&&(ld=ld.substr(_d+1))}this.options.transformTagName&&(ld=this.options.transformTagName(ld)),Ja&&(ed=this.saveTextToParentTag(ed,Ja,td));const cd=td.substring(td.lastIndexOf(".")+1);if(ld&&this.options.unpairedTags.indexOf(ld)!==-1)throw new Error(`Unpaired tag can not be used as closing tag: </${ld}>`);let ud=0;cd&&this.options.unpairedTags.indexOf(cd)!==-1?(ud=td.lastIndexOf(".",td.lastIndexOf(".")-1),this.tagsNodeStack.pop()):ud=td.lastIndexOf("."),td=td.substring(0,ud),Ja=this.tagsNodeStack.pop(),ed="",rd=od}else if(Ra[rd+1]==="?"){let od=readTagExp(Ra,rd,!1,"?>");if(!od)throw new Error("Pi Tag is not closed.");if(ed=this.saveTextToParentTag(ed,Ja,td),!(this.options.ignoreDeclaration&&od.tagName==="?xml"||this.options.ignorePiTags)){const ld=new xmlNode(od.tagName);ld.add(this.options.textNodeName,""),od.tagName!==od.tagExp&&od.attrExpPresent&&(ld[":@"]=this.buildAttributesMap(od.tagExp,td,od.tagName)),this.addChild(Ja,ld,td)}rd=od.closeIndex+1}else if(Ra.substr(rd+1,3)==="!--"){const od=findClosingIndex(Ra,"-->",rd+4,"Comment is not closed.");if(this.options.commentPropName){const ld=Ra.substring(rd+4,od-2);ed=this.saveTextToParentTag(ed,Ja,td),Ja.add(this.options.commentPropName,[{[this.options.textNodeName]:ld}])}rd=od}else if(Ra.substr(rd+1,2)==="!D"){const od=readDocType(Ra,rd);this.docTypeEntities=od.entities,rd=od.i}else if(Ra.substr(rd+1,2)==="!["){const od=findClosingIndex(Ra,"]]>",rd,"CDATA is not closed.")-2,ld=Ra.substring(rd+9,od);ed=this.saveTextToParentTag(ed,Ja,td);let cd=this.parseTextData(ld,Ja.tagname,td,!0,!1,!0,!0);cd==null&&(cd=""),this.options.cdataPropName?Ja.add(this.options.cdataPropName,[{[this.options.textNodeName]:ld}]):Ja.add(this.options.textNodeName,cd),rd=od+2}else{let od=readTagExp(Ra,rd,this.options.removeNSPrefix),ld=od.tagName;const cd=od.rawTagName;let ud=od.tagExp,_d=od.attrExpPresent,yd=od.closeIndex;this.options.transformTagName&&(ld=this.options.transformTagName(ld)),Ja&&ed&&Ja.tagname!=="!xml"&&(ed=this.saveTextToParentTag(ed,Ja,td,!1));const gd=Ja;if(gd&&this.options.unpairedTags.indexOf(gd.tagname)!==-1&&(Ja=this.tagsNodeStack.pop(),td=td.substring(0,td.lastIndexOf("."))),ld!==qa.tagname&&(td+=td?"."+ld:ld),this.isItStopNode(this.options.stopNodes,td,ld)){let Ed="";if(ud.length>0&&ud.lastIndexOf("/")===ud.length-1)ld[ld.length-1]==="/"?(ld=ld.substr(0,ld.length-1),td=td.substr(0,td.length-1),ud=ld):ud=ud.substr(0,ud.length-1),rd=od.closeIndex;else if(this.options.unpairedTags.indexOf(ld)!==-1)rd=od.closeIndex;else{const kd=this.readStopNodeData(Ra,cd,yd+1);if(!kd)throw new Error(`Unexpected end of ${cd}`);rd=kd.i,Ed=kd.tagContent}const Td=new xmlNode(ld);ld!==ud&&_d&&(Td[":@"]=this.buildAttributesMap(ud,td,ld)),Ed&&(Ed=this.parseTextData(Ed,ld,td,!0,_d,!0,!0)),td=td.substr(0,td.lastIndexOf(".")),Td.add(this.options.textNodeName,Ed),this.addChild(Ja,Td,td)}else{if(ud.length>0&&ud.lastIndexOf("/")===ud.length-1){ld[ld.length-1]==="/"?(ld=ld.substr(0,ld.length-1),td=td.substr(0,td.length-1),ud=ld):ud=ud.substr(0,ud.length-1),this.options.transformTagName&&(ld=this.options.transformTagName(ld));const Ed=new xmlNode(ld);ld!==ud&&_d&&(Ed[":@"]=this.buildAttributesMap(ud,td,ld)),this.addChild(Ja,Ed,td),td=td.substr(0,td.lastIndexOf("."))}else{const Ed=new xmlNode(ld);this.tagsNodeStack.push(Ja),ld!==ud&&_d&&(Ed[":@"]=this.buildAttributesMap(ud,td,ld)),this.addChild(Ja,Ed,td),Ja=Ed}ed="",rd=yd}}else ed+=Ra[rd];return qa.child};function addChild(Ra,qa,Ja){const ed=this.options.updateTag(qa.tagname,Ja,qa[":@"]);ed===!1||(typeof ed=="string"&&(qa.tagname=ed),Ra.addChild(qa))}const replaceEntitiesValue$1=function(Ra){if(this.options.processEntities){for(let qa in this.docTypeEntities){const Ja=this.docTypeEntities[qa];Ra=Ra.replace(Ja.regx,Ja.val)}for(let qa in this.lastEntities){const Ja=this.lastEntities[qa];Ra=Ra.replace(Ja.regex,Ja.val)}if(this.options.htmlEntities)for(let qa in this.htmlEntities){const Ja=this.htmlEntities[qa];Ra=Ra.replace(Ja.regex,Ja.val)}Ra=Ra.replace(this.ampEntity.regex,this.ampEntity.val)}return Ra};function saveTextToParentTag(Ra,qa,Ja,ed){return Ra&&(ed===void 0&&(ed=Object.keys(qa.child).length===0),Ra=this.parseTextData(Ra,qa.tagname,Ja,!1,qa[":@"]?Object.keys(qa[":@"]).length!==0:!1,ed),Ra!==void 0&&Ra!==""&&qa.add(this.options.textNodeName,Ra),Ra=""),Ra}function isItStopNode(Ra,qa,Ja){const ed="*."+Ja;for(const td in Ra){const rd=Ra[td];if(ed===rd||qa===rd)return!0}return!1}function tagExpWithClosingIndex(Ra,qa,Ja=">"){let ed,td="";for(let rd=qa;rd<Ra.length;rd++){let sd=Ra[rd];if(ed)sd===ed&&(ed="");else if(sd==='"'||sd==="'")ed=sd;else if(sd===Ja[0])if(Ja[1]){if(Ra[rd+1]===Ja[1])return{data:td,index:rd}}else return{data:td,index:rd};else sd===" "&&(sd=" ");td+=sd}}function findClosingIndex(Ra,qa,Ja,ed){const td=Ra.indexOf(qa,Ja);if(td===-1)throw new Error(ed);return td+qa.length-1}function readTagExp(Ra,qa,Ja,ed=">"){const td=tagExpWithClosingIndex(Ra,qa+1,ed);if(!td)return;let rd=td.data;const sd=td.index,od=rd.search(/\s/);let ld=rd,cd=!0;od!==-1&&(ld=rd.substring(0,od),rd=rd.substring(od+1).trimStart());const ud=ld;if(Ja){const _d=ld.indexOf(":");_d!==-1&&(ld=ld.substr(_d+1),cd=ld!==td.data.substr(_d+1))}return{tagName:ld,tagExp:rd,closeIndex:sd,attrExpPresent:cd,rawTagName:ud}}function readStopNodeData(Ra,qa,Ja){const ed=Ja;let td=1;for(;Ja<Ra.length;Ja++)if(Ra[Ja]==="<")if(Ra[Ja+1]==="/"){const rd=findClosingIndex(Ra,">",Ja,`${qa} is not closed`);if(Ra.substring(Ja+2,rd).trim()===qa&&(td--,td===0))return{tagContent:Ra.substring(ed,Ja),i:rd};Ja=rd}else if(Ra[Ja+1]==="?")Ja=findClosingIndex(Ra,"?>",Ja+1,"StopNode is not closed.");else if(Ra.substr(Ja+1,3)==="!--")Ja=findClosingIndex(Ra,"-->",Ja+3,"StopNode is not closed.");else if(Ra.substr(Ja+1,2)==="![")Ja=findClosingIndex(Ra,"]]>",Ja,"StopNode is not closed.")-2;else{const rd=readTagExp(Ra,Ja,">");rd&&((rd&&rd.tagName)===qa&&rd.tagExp[rd.tagExp.length-1]!=="/"&&td++,Ja=rd.closeIndex)}}function parseValue(Ra,qa,Ja){if(qa&&typeof Ra=="string"){const ed=Ra.trim();return ed==="true"?!0:ed==="false"?!1:toNumber(Ra,Ja)}else return util$1.isExist(Ra)?Ra:""}var OrderedObjParser_1=OrderedObjParser$1,node2json={};function prettify$1(Ra,qa){return compress(Ra,qa)}function compress(Ra,qa,Ja){let ed;const td={};for(let rd=0;rd<Ra.length;rd++){const sd=Ra[rd],od=propName$1(sd);let ld="";if(Ja===void 0?ld=od:ld=Ja+"."+od,od===qa.textNodeName)ed===void 0?ed=sd[od]:ed+=""+sd[od];else{if(od===void 0)continue;if(sd[od]){let cd=compress(sd[od],qa,ld);const ud=isLeafTag(cd,qa);sd[":@"]?assignAttributes(cd,sd[":@"],ld,qa):Object.keys(cd).length===1&&cd[qa.textNodeName]!==void 0&&!qa.alwaysCreateTextNode?cd=cd[qa.textNodeName]:Object.keys(cd).length===0&&(qa.alwaysCreateTextNode?cd[qa.textNodeName]="":cd=""),td[od]!==void 0&&td.hasOwnProperty(od)?(Array.isArray(td[od])||(td[od]=[td[od]]),td[od].push(cd)):qa.isArray(od,ld,ud)?td[od]=[cd]:td[od]=cd}}}return typeof ed=="string"?ed.length>0&&(td[qa.textNodeName]=ed):ed!==void 0&&(td[qa.textNodeName]=ed),td}function propName$1(Ra){const qa=Object.keys(Ra);for(let Ja=0;Ja<qa.length;Ja++){const ed=qa[Ja];if(ed!==":@")return ed}}function assignAttributes(Ra,qa,Ja,ed){if(qa){const td=Object.keys(qa),rd=td.length;for(let sd=0;sd<rd;sd++){const od=td[sd];ed.isArray(od,Ja+"."+od,!0,!0)?Ra[od]=[qa[od]]:Ra[od]=qa[od]}}}function isLeafTag(Ra,qa){const{textNodeName:Ja}=qa,ed=Object.keys(Ra).length;return!!(ed===0||ed===1&&(Ra[Ja]||typeof Ra[Ja]=="boolean"||Ra[Ja]===0))}node2json.prettify=prettify$1;const{buildOptions}=OptionsBuilder,OrderedObjParser=OrderedObjParser_1,{prettify}=node2json,validator$2=validator$3;let XMLParser$1=class{constructor(qa){this.externalEntities={},this.options=buildOptions(qa)}parse(qa,Ja){if(typeof qa!="string")if(qa.toString)qa=qa.toString();else throw new Error("XML data is accepted in String or Bytes[] form.");if(Ja){Ja===!0&&(Ja={});const rd=validator$2.validate(qa,Ja);if(rd!==!0)throw Error(`${rd.err.msg}:${rd.err.line}:${rd.err.col}`)}const ed=new OrderedObjParser(this.options);ed.addExternalEntities(this.externalEntities);const td=ed.parseXml(qa);return this.options.preserveOrder||td===void 0?td:prettify(td,this.options)}addEntity(qa,Ja){if(Ja.indexOf("&")!==-1)throw new Error("Entity value can't have '&'");if(qa.indexOf("&")!==-1||qa.indexOf(";")!==-1)throw new Error("An entity must be set without '&' and ';'. Eg. use '#xD' for '
'");if(Ja==="&")throw new Error("An entity with value '&' is not permitted");this.externalEntities[qa]=Ja}};var XMLParser_1=XMLParser$1;const EOL=`
|
||
`;function toXml(Ra,qa){let Ja="";return qa.format&&qa.indentBy.length>0&&(Ja=EOL),arrToStr(Ra,qa,"",Ja)}function arrToStr(Ra,qa,Ja,ed){let td="",rd=!1;for(let sd=0;sd<Ra.length;sd++){const od=Ra[sd],ld=propName(od);if(ld===void 0)continue;let cd="";if(Ja.length===0?cd=ld:cd=`${Ja}.${ld}`,ld===qa.textNodeName){let Ed=od[ld];isStopNode(cd,qa)||(Ed=qa.tagValueProcessor(ld,Ed),Ed=replaceEntitiesValue(Ed,qa)),rd&&(td+=ed),td+=Ed,rd=!1;continue}else if(ld===qa.cdataPropName){rd&&(td+=ed),td+=`<![CDATA[${od[ld][0][qa.textNodeName]}]]>`,rd=!1;continue}else if(ld===qa.commentPropName){td+=ed+`<!--${od[ld][0][qa.textNodeName]}-->`,rd=!0;continue}else if(ld[0]==="?"){const Ed=attr_to_str(od[":@"],qa),Td=ld==="?xml"?"":ed;let kd=od[ld][0][qa.textNodeName];kd=kd.length!==0?" "+kd:"",td+=Td+`<${ld}${kd}${Ed}?>`,rd=!0;continue}let ud=ed;ud!==""&&(ud+=qa.indentBy);const _d=attr_to_str(od[":@"],qa),yd=ed+`<${ld}${_d}`,gd=arrToStr(od[ld],qa,cd,ud);qa.unpairedTags.indexOf(ld)!==-1?qa.suppressUnpairedNode?td+=yd+">":td+=yd+"/>":(!gd||gd.length===0)&&qa.suppressEmptyNode?td+=yd+"/>":gd&&gd.endsWith(">")?td+=yd+`>${gd}${ed}</${ld}>`:(td+=yd+">",gd&&ed!==""&&(gd.includes("/>")||gd.includes("</"))?td+=ed+qa.indentBy+gd+ed:td+=gd,td+=`</${ld}>`),rd=!0}return td}function propName(Ra){const qa=Object.keys(Ra);for(let Ja=0;Ja<qa.length;Ja++){const ed=qa[Ja];if(Ra.hasOwnProperty(ed)&&ed!==":@")return ed}}function attr_to_str(Ra,qa){let Ja="";if(Ra&&!qa.ignoreAttributes)for(let ed in Ra){if(!Ra.hasOwnProperty(ed))continue;let td=qa.attributeValueProcessor(ed,Ra[ed]);td=replaceEntitiesValue(td,qa),td===!0&&qa.suppressBooleanAttributes?Ja+=` ${ed.substr(qa.attributeNamePrefix.length)}`:Ja+=` ${ed.substr(qa.attributeNamePrefix.length)}="${td}"`}return Ja}function isStopNode(Ra,qa){Ra=Ra.substr(0,Ra.length-qa.textNodeName.length-1);let Ja=Ra.substr(Ra.lastIndexOf(".")+1);for(let ed in qa.stopNodes)if(qa.stopNodes[ed]===Ra||qa.stopNodes[ed]==="*."+Ja)return!0;return!1}function replaceEntitiesValue(Ra,qa){if(Ra&&Ra.length>0&&qa.processEntities)for(let Ja=0;Ja<qa.entities.length;Ja++){const ed=qa.entities[Ja];Ra=Ra.replace(ed.regex,ed.val)}return Ra}var orderedJs2Xml=toXml;const buildFromOrderedJs=orderedJs2Xml,defaultOptions={attributeNamePrefix:"@_",attributesGroupName:!1,textNodeName:"#text",ignoreAttributes:!0,cdataPropName:!1,format:!1,indentBy:" ",suppressEmptyNode:!1,suppressUnpairedNode:!0,suppressBooleanAttributes:!0,tagValueProcessor:function(Ra,qa){return qa},attributeValueProcessor:function(Ra,qa){return qa},preserveOrder:!1,commentPropName:!1,unpairedTags:[],entities:[{regex:new RegExp("&","g"),val:"&"},{regex:new RegExp(">","g"),val:">"},{regex:new RegExp("<","g"),val:"<"},{regex:new RegExp("'","g"),val:"'"},{regex:new RegExp('"',"g"),val:"""}],processEntities:!0,stopNodes:[],oneListGroup:!1};function Builder(Ra){this.options=Object.assign({},defaultOptions,Ra),this.options.ignoreAttributes||this.options.attributesGroupName?this.isAttribute=function(){return!1}:(this.attrPrefixLen=this.options.attributeNamePrefix.length,this.isAttribute=isAttribute),this.processTextOrObjNode=processTextOrObjNode,this.options.format?(this.indentate=indentate,this.tagEndChar=`>
|
||
`,this.newLine=`
|
||
`):(this.indentate=function(){return""},this.tagEndChar=">",this.newLine="")}Builder.prototype.build=function(Ra){return this.options.preserveOrder?buildFromOrderedJs(Ra,this.options):(Array.isArray(Ra)&&this.options.arrayNodeName&&this.options.arrayNodeName.length>1&&(Ra={[this.options.arrayNodeName]:Ra}),this.j2x(Ra,0).val)};Builder.prototype.j2x=function(Ra,qa){let Ja="",ed="";for(let td in Ra)if(Object.prototype.hasOwnProperty.call(Ra,td))if(typeof Ra[td]>"u")this.isAttribute(td)&&(ed+="");else if(Ra[td]===null)this.isAttribute(td)?ed+="":td[0]==="?"?ed+=this.indentate(qa)+"<"+td+"?"+this.tagEndChar:ed+=this.indentate(qa)+"<"+td+"/"+this.tagEndChar;else if(Ra[td]instanceof Date)ed+=this.buildTextValNode(Ra[td],td,"",qa);else if(typeof Ra[td]!="object"){const rd=this.isAttribute(td);if(rd)Ja+=this.buildAttrPairStr(rd,""+Ra[td]);else if(td===this.options.textNodeName){let sd=this.options.tagValueProcessor(td,""+Ra[td]);ed+=this.replaceEntitiesValue(sd)}else ed+=this.buildTextValNode(Ra[td],td,"",qa)}else if(Array.isArray(Ra[td])){const rd=Ra[td].length;let sd="",od="";for(let ld=0;ld<rd;ld++){const cd=Ra[td][ld];if(!(typeof cd>"u"))if(cd===null)td[0]==="?"?ed+=this.indentate(qa)+"<"+td+"?"+this.tagEndChar:ed+=this.indentate(qa)+"<"+td+"/"+this.tagEndChar;else if(typeof cd=="object")if(this.options.oneListGroup){const ud=this.j2x(cd,qa+1);sd+=ud.val,this.options.attributesGroupName&&cd.hasOwnProperty(this.options.attributesGroupName)&&(od+=ud.attrStr)}else sd+=this.processTextOrObjNode(cd,td,qa);else if(this.options.oneListGroup){let ud=this.options.tagValueProcessor(td,cd);ud=this.replaceEntitiesValue(ud),sd+=ud}else sd+=this.buildTextValNode(cd,td,"",qa)}this.options.oneListGroup&&(sd=this.buildObjectNode(sd,td,od,qa)),ed+=sd}else if(this.options.attributesGroupName&&td===this.options.attributesGroupName){const rd=Object.keys(Ra[td]),sd=rd.length;for(let od=0;od<sd;od++)Ja+=this.buildAttrPairStr(rd[od],""+Ra[td][rd[od]])}else ed+=this.processTextOrObjNode(Ra[td],td,qa);return{attrStr:Ja,val:ed}};Builder.prototype.buildAttrPairStr=function(Ra,qa){return qa=this.options.attributeValueProcessor(Ra,""+qa),qa=this.replaceEntitiesValue(qa),this.options.suppressBooleanAttributes&&qa==="true"?" "+Ra:" "+Ra+'="'+qa+'"'};function processTextOrObjNode(Ra,qa,Ja){const ed=this.j2x(Ra,Ja+1);return Ra[this.options.textNodeName]!==void 0&&Object.keys(Ra).length===1?this.buildTextValNode(Ra[this.options.textNodeName],qa,ed.attrStr,Ja):this.buildObjectNode(ed.val,qa,ed.attrStr,Ja)}Builder.prototype.buildObjectNode=function(Ra,qa,Ja,ed){if(Ra==="")return qa[0]==="?"?this.indentate(ed)+"<"+qa+Ja+"?"+this.tagEndChar:this.indentate(ed)+"<"+qa+Ja+this.closeTag(qa)+this.tagEndChar;{let td="</"+qa+this.tagEndChar,rd="";return qa[0]==="?"&&(rd="?",td=""),(Ja||Ja==="")&&Ra.indexOf("<")===-1?this.indentate(ed)+"<"+qa+Ja+rd+">"+Ra+td:this.options.commentPropName!==!1&&qa===this.options.commentPropName&&rd.length===0?this.indentate(ed)+`<!--${Ra}-->`+this.newLine:this.indentate(ed)+"<"+qa+Ja+rd+this.tagEndChar+Ra+this.indentate(ed)+td}};Builder.prototype.closeTag=function(Ra){let qa="";return this.options.unpairedTags.indexOf(Ra)!==-1?this.options.suppressUnpairedNode||(qa="/"):this.options.suppressEmptyNode?qa="/":qa=`></${Ra}`,qa};Builder.prototype.buildTextValNode=function(Ra,qa,Ja,ed){if(this.options.cdataPropName!==!1&&qa===this.options.cdataPropName)return this.indentate(ed)+`<![CDATA[${Ra}]]>`+this.newLine;if(this.options.commentPropName!==!1&&qa===this.options.commentPropName)return this.indentate(ed)+`<!--${Ra}-->`+this.newLine;if(qa[0]==="?")return this.indentate(ed)+"<"+qa+Ja+"?"+this.tagEndChar;{let td=this.options.tagValueProcessor(qa,Ra);return td=this.replaceEntitiesValue(td),td===""?this.indentate(ed)+"<"+qa+Ja+this.closeTag(qa)+this.tagEndChar:this.indentate(ed)+"<"+qa+Ja+">"+td+"</"+qa+this.tagEndChar}};Builder.prototype.replaceEntitiesValue=function(Ra){if(Ra&&Ra.length>0&&this.options.processEntities)for(let qa=0;qa<this.options.entities.length;qa++){const Ja=this.options.entities[qa];Ra=Ra.replace(Ja.regex,Ja.val)}return Ra};function indentate(Ra){return this.options.indentBy.repeat(Ra)}function isAttribute(Ra){return Ra.startsWith(this.options.attributeNamePrefix)&&Ra!==this.options.textNodeName?Ra.substr(this.attrPrefixLen):!1}var json2xml=Builder;const validator$1=validator$3,XMLParser=XMLParser_1,XMLBuilder=json2xml;var fxp$2={XMLParser,XMLValidator:validator$1,XMLBuilder},ipaddr$1={exports:{}};(function(Ra){(function(qa){const Ja="(0?\\d+|0x[a-f0-9]+)",ed={fourOctet:new RegExp(`^${Ja}\\.${Ja}\\.${Ja}\\.${Ja}$`,"i"),threeOctet:new RegExp(`^${Ja}\\.${Ja}\\.${Ja}$`,"i"),twoOctet:new RegExp(`^${Ja}\\.${Ja}$`,"i"),longValue:new RegExp(`^${Ja}$`,"i")},td=new RegExp("^0[0-7]+$","i"),rd=new RegExp("^0x[a-f0-9]+$","i"),sd="%[0-9a-z]{1,}",od="(?:[0-9a-f]+::?)+",ld={zoneIndex:new RegExp(sd,"i"),native:new RegExp(`^(::)?(${od})?([0-9a-f]+)?(::)?(${sd})?$`,"i"),deprecatedTransitional:new RegExp(`^(?:::)(${Ja}\\.${Ja}\\.${Ja}\\.${Ja}(${sd})?)$`,"i"),transitional:new RegExp(`^((?:${od})|(?:::)(?:${od})?)${Ja}\\.${Ja}\\.${Ja}\\.${Ja}(${sd})?$`,"i")};function cd(Ed,Td){if(Ed.indexOf("::")!==Ed.lastIndexOf("::"))return null;let kd=0,Rd=-1,Nd=(Ed.match(ld.zoneIndex)||[])[0],Id,Md;for(Nd&&(Nd=Nd.substring(1),Ed=Ed.replace(/%.+$/,""));(Rd=Ed.indexOf(":",Rd+1))>=0;)kd++;if(Ed.substr(0,2)==="::"&&kd--,Ed.substr(-2,2)==="::"&&kd--,kd>Td)return null;for(Md=Td-kd,Id=":";Md--;)Id+="0:";return Ed=Ed.replace("::",Id),Ed[0]===":"&&(Ed=Ed.slice(1)),Ed[Ed.length-1]===":"&&(Ed=Ed.slice(0,-1)),Td=function(){const Ld=Ed.split(":"),Pd=[];for(let qd=0;qd<Ld.length;qd++)Pd.push(parseInt(Ld[qd],16));return Pd}(),{parts:Td,zoneId:Nd}}function ud(Ed,Td,kd,Rd){if(Ed.length!==Td.length)throw new Error("ipaddr: cannot match CIDR for objects with different lengths");let Nd=0,Id;for(;Rd>0;){if(Id=kd-Rd,Id<0&&(Id=0),Ed[Nd]>>Id!==Td[Nd]>>Id)return!1;Rd-=kd,Nd+=1}return!0}function _d(Ed){if(rd.test(Ed))return parseInt(Ed,16);if(Ed[0]==="0"&&!isNaN(parseInt(Ed[1],10))){if(td.test(Ed))return parseInt(Ed,8);throw new Error(`ipaddr: cannot parse ${Ed} as octal`)}return parseInt(Ed,10)}function yd(Ed,Td){for(;Ed.length<Td;)Ed=`0${Ed}`;return Ed}const gd={};gd.IPv4=function(){function Ed(Td){if(Td.length!==4)throw new Error("ipaddr: ipv4 octet count should be 4");let kd,Rd;for(kd=0;kd<Td.length;kd++)if(Rd=Td[kd],!(0<=Rd&&Rd<=255))throw new Error("ipaddr: ipv4 octet should fit in 8 bits");this.octets=Td}return Ed.prototype.SpecialRanges={unspecified:[[new Ed([0,0,0,0]),8]],broadcast:[[new Ed([255,255,255,255]),32]],multicast:[[new Ed([224,0,0,0]),4]],linkLocal:[[new Ed([169,254,0,0]),16]],loopback:[[new Ed([127,0,0,0]),8]],carrierGradeNat:[[new Ed([100,64,0,0]),10]],private:[[new Ed([10,0,0,0]),8],[new Ed([172,16,0,0]),12],[new Ed([192,168,0,0]),16]],reserved:[[new Ed([192,0,0,0]),24],[new Ed([192,0,2,0]),24],[new Ed([192,88,99,0]),24],[new Ed([198,18,0,0]),15],[new Ed([198,51,100,0]),24],[new Ed([203,0,113,0]),24],[new Ed([240,0,0,0]),4]],as112:[[new Ed([192,175,48,0]),24],[new Ed([192,31,196,0]),24]],amt:[[new Ed([192,52,193,0]),24]]},Ed.prototype.kind=function(){return"ipv4"},Ed.prototype.match=function(Td,kd){let Rd;if(kd===void 0&&(Rd=Td,Td=Rd[0],kd=Rd[1]),Td.kind()!=="ipv4")throw new Error("ipaddr: cannot match ipv4 address with non-ipv4 one");return ud(this.octets,Td.octets,8,kd)},Ed.prototype.prefixLengthFromSubnetMask=function(){let Td=0,kd=!1;const Rd={0:8,128:7,192:6,224:5,240:4,248:3,252:2,254:1,255:0};let Nd,Id,Md;for(Nd=3;Nd>=0;Nd-=1)if(Id=this.octets[Nd],Id in Rd){if(Md=Rd[Id],kd&&Md!==0)return null;Md!==8&&(kd=!0),Td+=Md}else return null;return 32-Td},Ed.prototype.range=function(){return gd.subnetMatch(this,this.SpecialRanges)},Ed.prototype.toByteArray=function(){return this.octets.slice(0)},Ed.prototype.toIPv4MappedAddress=function(){return gd.IPv6.parse(`::ffff:${this.toString()}`)},Ed.prototype.toNormalizedString=function(){return this.toString()},Ed.prototype.toString=function(){return this.octets.join(".")},Ed}(),gd.IPv4.broadcastAddressFromCIDR=function(Ed){try{const Td=this.parseCIDR(Ed),kd=Td[0].toByteArray(),Rd=this.subnetMaskFromPrefixLength(Td[1]).toByteArray(),Nd=[];let Id=0;for(;Id<4;)Nd.push(parseInt(kd[Id],10)|parseInt(Rd[Id],10)^255),Id++;return new this(Nd)}catch{throw new Error("ipaddr: the address does not have IPv4 CIDR format")}},gd.IPv4.isIPv4=function(Ed){return this.parser(Ed)!==null},gd.IPv4.isValid=function(Ed){try{return new this(this.parser(Ed)),!0}catch{return!1}},gd.IPv4.isValidCIDR=function(Ed){try{return this.parseCIDR(Ed),!0}catch{return!1}},gd.IPv4.isValidFourPartDecimal=function(Ed){return!!(gd.IPv4.isValid(Ed)&&Ed.match(/^(0|[1-9]\d*)(\.(0|[1-9]\d*)){3}$/))},gd.IPv4.networkAddressFromCIDR=function(Ed){let Td,kd,Rd,Nd,Id;try{for(Td=this.parseCIDR(Ed),Rd=Td[0].toByteArray(),Id=this.subnetMaskFromPrefixLength(Td[1]).toByteArray(),Nd=[],kd=0;kd<4;)Nd.push(parseInt(Rd[kd],10)&parseInt(Id[kd],10)),kd++;return new this(Nd)}catch{throw new Error("ipaddr: the address does not have IPv4 CIDR format")}},gd.IPv4.parse=function(Ed){const Td=this.parser(Ed);if(Td===null)throw new Error("ipaddr: string is not formatted like an IPv4 Address");return new this(Td)},gd.IPv4.parseCIDR=function(Ed){let Td;if(Td=Ed.match(/^(.+)\/(\d+)$/)){const kd=parseInt(Td[2]);if(kd>=0&&kd<=32){const Rd=[this.parse(Td[1]),kd];return Object.defineProperty(Rd,"toString",{value:function(){return this.join("/")}}),Rd}}throw new Error("ipaddr: string is not formatted like an IPv4 CIDR range")},gd.IPv4.parser=function(Ed){let Td,kd,Rd;if(Td=Ed.match(ed.fourOctet))return function(){const Nd=Td.slice(1,6),Id=[];for(let Md=0;Md<Nd.length;Md++)kd=Nd[Md],Id.push(_d(kd));return Id}();if(Td=Ed.match(ed.longValue)){if(Rd=_d(Td[1]),Rd>4294967295||Rd<0)throw new Error("ipaddr: address outside defined range");return function(){const Nd=[];let Id;for(Id=0;Id<=24;Id+=8)Nd.push(Rd>>Id&255);return Nd}().reverse()}else return(Td=Ed.match(ed.twoOctet))?function(){const Nd=Td.slice(1,4),Id=[];if(Rd=_d(Nd[1]),Rd>16777215||Rd<0)throw new Error("ipaddr: address outside defined range");return Id.push(_d(Nd[0])),Id.push(Rd>>16&255),Id.push(Rd>>8&255),Id.push(Rd&255),Id}():(Td=Ed.match(ed.threeOctet))?function(){const Nd=Td.slice(1,5),Id=[];if(Rd=_d(Nd[2]),Rd>65535||Rd<0)throw new Error("ipaddr: address outside defined range");return Id.push(_d(Nd[0])),Id.push(_d(Nd[1])),Id.push(Rd>>8&255),Id.push(Rd&255),Id}():null},gd.IPv4.subnetMaskFromPrefixLength=function(Ed){if(Ed=parseInt(Ed),Ed<0||Ed>32)throw new Error("ipaddr: invalid IPv4 prefix length");const Td=[0,0,0,0];let kd=0;const Rd=Math.floor(Ed/8);for(;kd<Rd;)Td[kd]=255,kd++;return Rd<4&&(Td[Rd]=Math.pow(2,Ed%8)-1<<8-Ed%8),new this(Td)},gd.IPv6=function(){function Ed(Td,kd){let Rd,Nd;if(Td.length===16)for(this.parts=[],Rd=0;Rd<=14;Rd+=2)this.parts.push(Td[Rd]<<8|Td[Rd+1]);else if(Td.length===8)this.parts=Td;else throw new Error("ipaddr: ipv6 part count should be 8 or 16");for(Rd=0;Rd<this.parts.length;Rd++)if(Nd=this.parts[Rd],!(0<=Nd&&Nd<=65535))throw new Error("ipaddr: ipv6 part should fit in 16 bits");kd&&(this.zoneId=kd)}return Ed.prototype.SpecialRanges={unspecified:[new Ed([0,0,0,0,0,0,0,0]),128],linkLocal:[new Ed([65152,0,0,0,0,0,0,0]),10],multicast:[new Ed([65280,0,0,0,0,0,0,0]),8],loopback:[new Ed([0,0,0,0,0,0,0,1]),128],uniqueLocal:[new Ed([64512,0,0,0,0,0,0,0]),7],ipv4Mapped:[new Ed([0,0,0,0,0,65535,0,0]),96],discard:[new Ed([256,0,0,0,0,0,0,0]),64],rfc6145:[new Ed([0,0,0,0,65535,0,0,0]),96],rfc6052:[new Ed([100,65435,0,0,0,0,0,0]),96],"6to4":[new Ed([8194,0,0,0,0,0,0,0]),16],teredo:[new Ed([8193,0,0,0,0,0,0,0]),32],benchmarking:[new Ed([8193,2,0,0,0,0,0,0]),48],amt:[new Ed([8193,3,0,0,0,0,0,0]),32],as112v6:[[new Ed([8193,4,274,0,0,0,0,0]),48],[new Ed([9760,79,32768,0,0,0,0,0]),48]],deprecated:[new Ed([8193,16,0,0,0,0,0,0]),28],orchid2:[new Ed([8193,32,0,0,0,0,0,0]),28],droneRemoteIdProtocolEntityTags:[new Ed([8193,48,0,0,0,0,0,0]),28],reserved:[[new Ed([8193,0,0,0,0,0,0,0]),23],[new Ed([8193,3512,0,0,0,0,0,0]),32]]},Ed.prototype.isIPv4MappedAddress=function(){return this.range()==="ipv4Mapped"},Ed.prototype.kind=function(){return"ipv6"},Ed.prototype.match=function(Td,kd){let Rd;if(kd===void 0&&(Rd=Td,Td=Rd[0],kd=Rd[1]),Td.kind()!=="ipv6")throw new Error("ipaddr: cannot match ipv6 address with non-ipv6 one");return ud(this.parts,Td.parts,16,kd)},Ed.prototype.prefixLengthFromSubnetMask=function(){let Td=0,kd=!1;const Rd={0:16,32768:15,49152:14,57344:13,61440:12,63488:11,64512:10,65024:9,65280:8,65408:7,65472:6,65504:5,65520:4,65528:3,65532:2,65534:1,65535:0};let Nd,Id;for(let Md=7;Md>=0;Md-=1)if(Nd=this.parts[Md],Nd in Rd){if(Id=Rd[Nd],kd&&Id!==0)return null;Id!==16&&(kd=!0),Td+=Id}else return null;return 128-Td},Ed.prototype.range=function(){return gd.subnetMatch(this,this.SpecialRanges)},Ed.prototype.toByteArray=function(){let Td;const kd=[],Rd=this.parts;for(let Nd=0;Nd<Rd.length;Nd++)Td=Rd[Nd],kd.push(Td>>8),kd.push(Td&255);return kd},Ed.prototype.toFixedLengthString=function(){const Td=(function(){const Rd=[];for(let Nd=0;Nd<this.parts.length;Nd++)Rd.push(yd(this.parts[Nd].toString(16),4));return Rd}).call(this).join(":");let kd="";return this.zoneId&&(kd=`%${this.zoneId}`),Td+kd},Ed.prototype.toIPv4Address=function(){if(!this.isIPv4MappedAddress())throw new Error("ipaddr: trying to convert a generic ipv6 address to ipv4");const Td=this.parts.slice(-2),kd=Td[0],Rd=Td[1];return new gd.IPv4([kd>>8,kd&255,Rd>>8,Rd&255])},Ed.prototype.toNormalizedString=function(){const Td=(function(){const Rd=[];for(let Nd=0;Nd<this.parts.length;Nd++)Rd.push(this.parts[Nd].toString(16));return Rd}).call(this).join(":");let kd="";return this.zoneId&&(kd=`%${this.zoneId}`),Td+kd},Ed.prototype.toRFC5952String=function(){const Td=/((^|:)(0(:|$)){2,})/g,kd=this.toNormalizedString();let Rd=0,Nd=-1,Id;for(;Id=Td.exec(kd);)Id[0].length>Nd&&(Rd=Id.index,Nd=Id[0].length);return Nd<0?kd:`${kd.substring(0,Rd)}::${kd.substring(Rd+Nd)}`},Ed.prototype.toString=function(){return this.toRFC5952String()},Ed}(),gd.IPv6.broadcastAddressFromCIDR=function(Ed){try{const Td=this.parseCIDR(Ed),kd=Td[0].toByteArray(),Rd=this.subnetMaskFromPrefixLength(Td[1]).toByteArray(),Nd=[];let Id=0;for(;Id<16;)Nd.push(parseInt(kd[Id],10)|parseInt(Rd[Id],10)^255),Id++;return new this(Nd)}catch(Td){throw new Error(`ipaddr: the address does not have IPv6 CIDR format (${Td})`)}},gd.IPv6.isIPv6=function(Ed){return this.parser(Ed)!==null},gd.IPv6.isValid=function(Ed){if(typeof Ed=="string"&&Ed.indexOf(":")===-1)return!1;try{const Td=this.parser(Ed);return new this(Td.parts,Td.zoneId),!0}catch{return!1}},gd.IPv6.isValidCIDR=function(Ed){if(typeof Ed=="string"&&Ed.indexOf(":")===-1)return!1;try{return this.parseCIDR(Ed),!0}catch{return!1}},gd.IPv6.networkAddressFromCIDR=function(Ed){let Td,kd,Rd,Nd,Id;try{for(Td=this.parseCIDR(Ed),Rd=Td[0].toByteArray(),Id=this.subnetMaskFromPrefixLength(Td[1]).toByteArray(),Nd=[],kd=0;kd<16;)Nd.push(parseInt(Rd[kd],10)&parseInt(Id[kd],10)),kd++;return new this(Nd)}catch(Md){throw new Error(`ipaddr: the address does not have IPv6 CIDR format (${Md})`)}},gd.IPv6.parse=function(Ed){const Td=this.parser(Ed);if(Td.parts===null)throw new Error("ipaddr: string is not formatted like an IPv6 Address");return new this(Td.parts,Td.zoneId)},gd.IPv6.parseCIDR=function(Ed){let Td,kd,Rd;if((kd=Ed.match(/^(.+)\/(\d+)$/))&&(Td=parseInt(kd[2]),Td>=0&&Td<=128))return Rd=[this.parse(kd[1]),Td],Object.defineProperty(Rd,"toString",{value:function(){return this.join("/")}}),Rd;throw new Error("ipaddr: string is not formatted like an IPv6 CIDR range")},gd.IPv6.parser=function(Ed){let Td,kd,Rd,Nd,Id,Md;if(Rd=Ed.match(ld.deprecatedTransitional))return this.parser(`::ffff:${Rd[1]}`);if(ld.native.test(Ed))return cd(Ed,8);if((Rd=Ed.match(ld.transitional))&&(Md=Rd[6]||"",Td=Rd[1],Rd[1].endsWith("::")||(Td=Td.slice(0,-1)),Td=cd(Td+Md,6),Td.parts)){for(Id=[parseInt(Rd[2]),parseInt(Rd[3]),parseInt(Rd[4]),parseInt(Rd[5])],kd=0;kd<Id.length;kd++)if(Nd=Id[kd],!(0<=Nd&&Nd<=255))return null;return Td.parts.push(Id[0]<<8|Id[1]),Td.parts.push(Id[2]<<8|Id[3]),{parts:Td.parts,zoneId:Td.zoneId}}return null},gd.IPv6.subnetMaskFromPrefixLength=function(Ed){if(Ed=parseInt(Ed),Ed<0||Ed>128)throw new Error("ipaddr: invalid IPv6 prefix length");const Td=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0];let kd=0;const Rd=Math.floor(Ed/8);for(;kd<Rd;)Td[kd]=255,kd++;return Rd<16&&(Td[Rd]=Math.pow(2,Ed%8)-1<<8-Ed%8),new this(Td)},gd.fromByteArray=function(Ed){const Td=Ed.length;if(Td===4)return new gd.IPv4(Ed);if(Td===16)return new gd.IPv6(Ed);throw new Error("ipaddr: the binary input is neither an IPv6 nor IPv4 address")},gd.isValid=function(Ed){return gd.IPv6.isValid(Ed)||gd.IPv4.isValid(Ed)},gd.isValidCIDR=function(Ed){return gd.IPv6.isValidCIDR(Ed)||gd.IPv4.isValidCIDR(Ed)},gd.parse=function(Ed){if(gd.IPv6.isValid(Ed))return gd.IPv6.parse(Ed);if(gd.IPv4.isValid(Ed))return gd.IPv4.parse(Ed);throw new Error("ipaddr: the address has neither IPv6 nor IPv4 format")},gd.parseCIDR=function(Ed){try{return gd.IPv6.parseCIDR(Ed)}catch{try{return gd.IPv4.parseCIDR(Ed)}catch{throw new Error("ipaddr: the address has neither IPv6 nor IPv4 CIDR format")}}},gd.process=function(Ed){const Td=this.parse(Ed);return Td.kind()==="ipv6"&&Td.isIPv4MappedAddress()?Td.toIPv4Address():Td},gd.subnetMatch=function(Ed,Td,kd){let Rd,Nd,Id,Md;kd==null&&(kd="unicast");for(Nd in Td)if(Object.prototype.hasOwnProperty.call(Td,Nd)){for(Id=Td[Nd],Id[0]&&!(Id[0]instanceof Array)&&(Id=[Id]),Rd=0;Rd<Id.length;Rd++)if(Md=Id[Rd],Ed.kind()===Md[0].kind()&&Ed.match.apply(Ed,Md))return Nd}return kd},Ra.exports?Ra.exports=gd:qa.ipaddr=gd})(commonjsGlobal)})(ipaddr$1);var ipaddrExports=ipaddr$1.exports;const ipaddr=getDefaultExportFromCjs(ipaddrExports);var mimeTypes$1={};const require$$0={"application/1d-interleaved-parityfec":{source:"iana"},"application/3gpdash-qoe-report+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/3gpp-ims+xml":{source:"iana",compressible:!0},"application/3gpphal+json":{source:"iana",compressible:!0},"application/3gpphalforms+json":{source:"iana",compressible:!0},"application/a2l":{source:"iana"},"application/ace+cbor":{source:"iana"},"application/activemessage":{source:"iana"},"application/activity+json":{source:"iana",compressible:!0},"application/alto-costmap+json":{source:"iana",compressible:!0},"application/alto-costmapfilter+json":{source:"iana",compressible:!0},"application/alto-directory+json":{source:"iana",compressible:!0},"application/alto-endpointcost+json":{source:"iana",compressible:!0},"application/alto-endpointcostparams+json":{source:"iana",compressible:!0},"application/alto-endpointprop+json":{source:"iana",compressible:!0},"application/alto-endpointpropparams+json":{source:"iana",compressible:!0},"application/alto-error+json":{source:"iana",compressible:!0},"application/alto-networkmap+json":{source:"iana",compressible:!0},"application/alto-networkmapfilter+json":{source:"iana",compressible:!0},"application/alto-updatestreamcontrol+json":{source:"iana",compressible:!0},"application/alto-updatestreamparams+json":{source:"iana",compressible:!0},"application/aml":{source:"iana"},"application/andrew-inset":{source:"iana",extensions:["ez"]},"application/applefile":{source:"iana"},"application/applixware":{source:"apache",extensions:["aw"]},"application/at+jwt":{source:"iana"},"application/atf":{source:"iana"},"application/atfx":{source:"iana"},"application/atom+xml":{source:"iana",compressible:!0,extensions:["atom"]},"application/atomcat+xml":{source:"iana",compressible:!0,extensions:["atomcat"]},"application/atomdeleted+xml":{source:"iana",compressible:!0,extensions:["atomdeleted"]},"application/atomicmail":{source:"iana"},"application/atomsvc+xml":{source:"iana",compressible:!0,extensions:["atomsvc"]},"application/atsc-dwd+xml":{source:"iana",compressible:!0,extensions:["dwd"]},"application/atsc-dynamic-event-message":{source:"iana"},"application/atsc-held+xml":{source:"iana",compressible:!0,extensions:["held"]},"application/atsc-rdt+json":{source:"iana",compressible:!0},"application/atsc-rsat+xml":{source:"iana",compressible:!0,extensions:["rsat"]},"application/atxml":{source:"iana"},"application/auth-policy+xml":{source:"iana",compressible:!0},"application/bacnet-xdd+zip":{source:"iana",compressible:!1},"application/batch-smtp":{source:"iana"},"application/bdoc":{compressible:!1,extensions:["bdoc"]},"application/beep+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/calendar+json":{source:"iana",compressible:!0},"application/calendar+xml":{source:"iana",compressible:!0,extensions:["xcs"]},"application/call-completion":{source:"iana"},"application/cals-1840":{source:"iana"},"application/captive+json":{source:"iana",compressible:!0},"application/cbor":{source:"iana"},"application/cbor-seq":{source:"iana"},"application/cccex":{source:"iana"},"application/ccmp+xml":{source:"iana",compressible:!0},"application/ccxml+xml":{source:"iana",compressible:!0,extensions:["ccxml"]},"application/cdfx+xml":{source:"iana",compressible:!0,extensions:["cdfx"]},"application/cdmi-capability":{source:"iana",extensions:["cdmia"]},"application/cdmi-container":{source:"iana",extensions:["cdmic"]},"application/cdmi-domain":{source:"iana",extensions:["cdmid"]},"application/cdmi-object":{source:"iana",extensions:["cdmio"]},"application/cdmi-queue":{source:"iana",extensions:["cdmiq"]},"application/cdni":{source:"iana"},"application/cea":{source:"iana"},"application/cea-2018+xml":{source:"iana",compressible:!0},"application/cellml+xml":{source:"iana",compressible:!0},"application/cfw":{source:"iana"},"application/city+json":{source:"iana",compressible:!0},"application/clr":{source:"iana"},"application/clue+xml":{source:"iana",compressible:!0},"application/clue_info+xml":{source:"iana",compressible:!0},"application/cms":{source:"iana"},"application/cnrp+xml":{source:"iana",compressible:!0},"application/coap-group+json":{source:"iana",compressible:!0},"application/coap-payload":{source:"iana"},"application/commonground":{source:"iana"},"application/conference-info+xml":{source:"iana",compressible:!0},"application/cose":{source:"iana"},"application/cose-key":{source:"iana"},"application/cose-key-set":{source:"iana"},"application/cpl+xml":{source:"iana",compressible:!0,extensions:["cpl"]},"application/csrattrs":{source:"iana"},"application/csta+xml":{source:"iana",compressible:!0},"application/cstadata+xml":{source:"iana",compressible:!0},"application/csvm+json":{source:"iana",compressible:!0},"application/cu-seeme":{source:"apache",extensions:["cu"]},"application/cwt":{source:"iana"},"application/cybercash":{source:"iana"},"application/dart":{compressible:!0},"application/dash+xml":{source:"iana",compressible:!0,extensions:["mpd"]},"application/dash-patch+xml":{source:"iana",compressible:!0,extensions:["mpp"]},"application/dashdelta":{source:"iana"},"application/davmount+xml":{source:"iana",compressible:!0,extensions:["davmount"]},"application/dca-rft":{source:"iana"},"application/dcd":{source:"iana"},"application/dec-dx":{source:"iana"},"application/dialog-info+xml":{source:"iana",compressible:!0},"application/dicom":{source:"iana"},"application/dicom+json":{source:"iana",compressible:!0},"application/dicom+xml":{source:"iana",compressible:!0},"application/dii":{source:"iana"},"application/dit":{source:"iana"},"application/dns":{source:"iana"},"application/dns+json":{source:"iana",compressible:!0},"application/dns-message":{source:"iana"},"application/docbook+xml":{source:"apache",compressible:!0,extensions:["dbk"]},"application/dots+cbor":{source:"iana"},"application/dskpp+xml":{source:"iana",compressible:!0},"application/dssc+der":{source:"iana",extensions:["dssc"]},"application/dssc+xml":{source:"iana",compressible:!0,extensions:["xdssc"]},"application/dvcs":{source:"iana"},"application/ecmascript":{source:"iana",compressible:!0,extensions:["es","ecma"]},"application/edi-consent":{source:"iana"},"application/edi-x12":{source:"iana",compressible:!1},"application/edifact":{source:"iana",compressible:!1},"application/efi":{source:"iana"},"application/elm+json":{source:"iana",charset:"UTF-8",compressible:!0},"application/elm+xml":{source:"iana",compressible:!0},"application/emergencycalldata.cap+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/emergencycalldata.comment+xml":{source:"iana",compressible:!0},"application/emergencycalldata.control+xml":{source:"iana",compressible:!0},"application/emergencycalldata.deviceinfo+xml":{source:"iana",compressible:!0},"application/emergencycalldata.ecall.msd":{source:"iana"},"application/emergencycalldata.providerinfo+xml":{source:"iana",compressible:!0},"application/emergencycalldata.serviceinfo+xml":{source:"iana",compressible:!0},"application/emergencycalldata.subscriberinfo+xml":{source:"iana",compressible:!0},"application/emergencycalldata.veds+xml":{source:"iana",compressible:!0},"application/emma+xml":{source:"iana",compressible:!0,extensions:["emma"]},"application/emotionml+xml":{source:"iana",compressible:!0,extensions:["emotionml"]},"application/encaprtp":{source:"iana"},"application/epp+xml":{source:"iana",compressible:!0},"application/epub+zip":{source:"iana",compressible:!1,extensions:["epub"]},"application/eshop":{source:"iana"},"application/exi":{source:"iana",extensions:["exi"]},"application/expect-ct-report+json":{source:"iana",compressible:!0},"application/express":{source:"iana",extensions:["exp"]},"application/fastinfoset":{source:"iana"},"application/fastsoap":{source:"iana"},"application/fdt+xml":{source:"iana",compressible:!0,extensions:["fdt"]},"application/fhir+json":{source:"iana",charset:"UTF-8",compressible:!0},"application/fhir+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/fido.trusted-apps+json":{compressible:!0},"application/fits":{source:"iana"},"application/flexfec":{source:"iana"},"application/font-sfnt":{source:"iana"},"application/font-tdpfr":{source:"iana",extensions:["pfr"]},"application/font-woff":{source:"iana",compressible:!1},"application/framework-attributes+xml":{source:"iana",compressible:!0},"application/geo+json":{source:"iana",compressible:!0,extensions:["geojson"]},"application/geo+json-seq":{source:"iana"},"application/geopackage+sqlite3":{source:"iana"},"application/geoxacml+xml":{source:"iana",compressible:!0},"application/gltf-buffer":{source:"iana"},"application/gml+xml":{source:"iana",compressible:!0,extensions:["gml"]},"application/gpx+xml":{source:"apache",compressible:!0,extensions:["gpx"]},"application/gxf":{source:"apache",extensions:["gxf"]},"application/gzip":{source:"iana",compressible:!1,extensions:["gz"]},"application/h224":{source:"iana"},"application/held+xml":{source:"iana",compressible:!0},"application/hjson":{extensions:["hjson"]},"application/http":{source:"iana"},"application/hyperstudio":{source:"iana",extensions:["stk"]},"application/ibe-key-request+xml":{source:"iana",compressible:!0},"application/ibe-pkg-reply+xml":{source:"iana",compressible:!0},"application/ibe-pp-data":{source:"iana"},"application/iges":{source:"iana"},"application/im-iscomposing+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/index":{source:"iana"},"application/index.cmd":{source:"iana"},"application/index.obj":{source:"iana"},"application/index.response":{source:"iana"},"application/index.vnd":{source:"iana"},"application/inkml+xml":{source:"iana",compressible:!0,extensions:["ink","inkml"]},"application/iotp":{source:"iana"},"application/ipfix":{source:"iana",extensions:["ipfix"]},"application/ipp":{source:"iana"},"application/isup":{source:"iana"},"application/its+xml":{source:"iana",compressible:!0,extensions:["its"]},"application/java-archive":{source:"apache",compressible:!1,extensions:["jar","war","ear"]},"application/java-serialized-object":{source:"apache",compressible:!1,extensions:["ser"]},"application/java-vm":{source:"apache",compressible:!1,extensions:["class"]},"application/javascript":{source:"iana",charset:"UTF-8",compressible:!0,extensions:["js","mjs"]},"application/jf2feed+json":{source:"iana",compressible:!0},"application/jose":{source:"iana"},"application/jose+json":{source:"iana",compressible:!0},"application/jrd+json":{source:"iana",compressible:!0},"application/jscalendar+json":{source:"iana",compressible:!0},"application/json":{source:"iana",charset:"UTF-8",compressible:!0,extensions:["json","map"]},"application/json-patch+json":{source:"iana",compressible:!0},"application/json-seq":{source:"iana"},"application/json5":{extensions:["json5"]},"application/jsonml+json":{source:"apache",compressible:!0,extensions:["jsonml"]},"application/jwk+json":{source:"iana",compressible:!0},"application/jwk-set+json":{source:"iana",compressible:!0},"application/jwt":{source:"iana"},"application/kpml-request+xml":{source:"iana",compressible:!0},"application/kpml-response+xml":{source:"iana",compressible:!0},"application/ld+json":{source:"iana",compressible:!0,extensions:["jsonld"]},"application/lgr+xml":{source:"iana",compressible:!0,extensions:["lgr"]},"application/link-format":{source:"iana"},"application/load-control+xml":{source:"iana",compressible:!0},"application/lost+xml":{source:"iana",compressible:!0,extensions:["lostxml"]},"application/lostsync+xml":{source:"iana",compressible:!0},"application/lpf+zip":{source:"iana",compressible:!1},"application/lxf":{source:"iana"},"application/mac-binhex40":{source:"iana",extensions:["hqx"]},"application/mac-compactpro":{source:"apache",extensions:["cpt"]},"application/macwriteii":{source:"iana"},"application/mads+xml":{source:"iana",compressible:!0,extensions:["mads"]},"application/manifest+json":{source:"iana",charset:"UTF-8",compressible:!0,extensions:["webmanifest"]},"application/marc":{source:"iana",extensions:["mrc"]},"application/marcxml+xml":{source:"iana",compressible:!0,extensions:["mrcx"]},"application/mathematica":{source:"iana",extensions:["ma","nb","mb"]},"application/mathml+xml":{source:"iana",compressible:!0,extensions:["mathml"]},"application/mathml-content+xml":{source:"iana",compressible:!0},"application/mathml-presentation+xml":{source:"iana",compressible:!0},"application/mbms-associated-procedure-description+xml":{source:"iana",compressible:!0},"application/mbms-deregister+xml":{source:"iana",compressible:!0},"application/mbms-envelope+xml":{source:"iana",compressible:!0},"application/mbms-msk+xml":{source:"iana",compressible:!0},"application/mbms-msk-response+xml":{source:"iana",compressible:!0},"application/mbms-protection-description+xml":{source:"iana",compressible:!0},"application/mbms-reception-report+xml":{source:"iana",compressible:!0},"application/mbms-register+xml":{source:"iana",compressible:!0},"application/mbms-register-response+xml":{source:"iana",compressible:!0},"application/mbms-schedule+xml":{source:"iana",compressible:!0},"application/mbms-user-service-description+xml":{source:"iana",compressible:!0},"application/mbox":{source:"iana",extensions:["mbox"]},"application/media-policy-dataset+xml":{source:"iana",compressible:!0,extensions:["mpf"]},"application/media_control+xml":{source:"iana",compressible:!0},"application/mediaservercontrol+xml":{source:"iana",compressible:!0,extensions:["mscml"]},"application/merge-patch+json":{source:"iana",compressible:!0},"application/metalink+xml":{source:"apache",compressible:!0,extensions:["metalink"]},"application/metalink4+xml":{source:"iana",compressible:!0,extensions:["meta4"]},"application/mets+xml":{source:"iana",compressible:!0,extensions:["mets"]},"application/mf4":{source:"iana"},"application/mikey":{source:"iana"},"application/mipc":{source:"iana"},"application/missing-blocks+cbor-seq":{source:"iana"},"application/mmt-aei+xml":{source:"iana",compressible:!0,extensions:["maei"]},"application/mmt-usd+xml":{source:"iana",compressible:!0,extensions:["musd"]},"application/mods+xml":{source:"iana",compressible:!0,extensions:["mods"]},"application/moss-keys":{source:"iana"},"application/moss-signature":{source:"iana"},"application/mosskey-data":{source:"iana"},"application/mosskey-request":{source:"iana"},"application/mp21":{source:"iana",extensions:["m21","mp21"]},"application/mp4":{source:"iana",extensions:["mp4s","m4p"]},"application/mpeg4-generic":{source:"iana"},"application/mpeg4-iod":{source:"iana"},"application/mpeg4-iod-xmt":{source:"iana"},"application/mrb-consumer+xml":{source:"iana",compressible:!0},"application/mrb-publish+xml":{source:"iana",compressible:!0},"application/msc-ivr+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/msc-mixer+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/msword":{source:"iana",compressible:!1,extensions:["doc","dot"]},"application/mud+json":{source:"iana",compressible:!0},"application/multipart-core":{source:"iana"},"application/mxf":{source:"iana",extensions:["mxf"]},"application/n-quads":{source:"iana",extensions:["nq"]},"application/n-triples":{source:"iana",extensions:["nt"]},"application/nasdata":{source:"iana"},"application/news-checkgroups":{source:"iana",charset:"US-ASCII"},"application/news-groupinfo":{source:"iana",charset:"US-ASCII"},"application/news-transmission":{source:"iana"},"application/nlsml+xml":{source:"iana",compressible:!0},"application/node":{source:"iana",extensions:["cjs"]},"application/nss":{source:"iana"},"application/oauth-authz-req+jwt":{source:"iana"},"application/oblivious-dns-message":{source:"iana"},"application/ocsp-request":{source:"iana"},"application/ocsp-response":{source:"iana"},"application/octet-stream":{source:"iana",compressible:!1,extensions:["bin","dms","lrf","mar","so","dist","distz","pkg","bpk","dump","elc","deploy","exe","dll","deb","dmg","iso","img","msi","msp","msm","buffer"]},"application/oda":{source:"iana",extensions:["oda"]},"application/odm+xml":{source:"iana",compressible:!0},"application/odx":{source:"iana"},"application/oebps-package+xml":{source:"iana",compressible:!0,extensions:["opf"]},"application/ogg":{source:"iana",compressible:!1,extensions:["ogx"]},"application/omdoc+xml":{source:"apache",compressible:!0,extensions:["omdoc"]},"application/onenote":{source:"apache",extensions:["onetoc","onetoc2","onetmp","onepkg"]},"application/opc-nodeset+xml":{source:"iana",compressible:!0},"application/oscore":{source:"iana"},"application/oxps":{source:"iana",extensions:["oxps"]},"application/p21":{source:"iana"},"application/p21+zip":{source:"iana",compressible:!1},"application/p2p-overlay+xml":{source:"iana",compressible:!0,extensions:["relo"]},"application/parityfec":{source:"iana"},"application/passport":{source:"iana"},"application/patch-ops-error+xml":{source:"iana",compressible:!0,extensions:["xer"]},"application/pdf":{source:"iana",compressible:!1,extensions:["pdf"]},"application/pdx":{source:"iana"},"application/pem-certificate-chain":{source:"iana"},"application/pgp-encrypted":{source:"iana",compressible:!1,extensions:["pgp"]},"application/pgp-keys":{source:"iana",extensions:["asc"]},"application/pgp-signature":{source:"iana",extensions:["asc","sig"]},"application/pics-rules":{source:"apache",extensions:["prf"]},"application/pidf+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/pidf-diff+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/pkcs10":{source:"iana",extensions:["p10"]},"application/pkcs12":{source:"iana"},"application/pkcs7-mime":{source:"iana",extensions:["p7m","p7c"]},"application/pkcs7-signature":{source:"iana",extensions:["p7s"]},"application/pkcs8":{source:"iana",extensions:["p8"]},"application/pkcs8-encrypted":{source:"iana"},"application/pkix-attr-cert":{source:"iana",extensions:["ac"]},"application/pkix-cert":{source:"iana",extensions:["cer"]},"application/pkix-crl":{source:"iana",extensions:["crl"]},"application/pkix-pkipath":{source:"iana",extensions:["pkipath"]},"application/pkixcmp":{source:"iana",extensions:["pki"]},"application/pls+xml":{source:"iana",compressible:!0,extensions:["pls"]},"application/poc-settings+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/postscript":{source:"iana",compressible:!0,extensions:["ai","eps","ps"]},"application/ppsp-tracker+json":{source:"iana",compressible:!0},"application/problem+json":{source:"iana",compressible:!0},"application/problem+xml":{source:"iana",compressible:!0},"application/provenance+xml":{source:"iana",compressible:!0,extensions:["provx"]},"application/prs.alvestrand.titrax-sheet":{source:"iana"},"application/prs.cww":{source:"iana",extensions:["cww"]},"application/prs.cyn":{source:"iana",charset:"7-BIT"},"application/prs.hpub+zip":{source:"iana",compressible:!1},"application/prs.nprend":{source:"iana"},"application/prs.plucker":{source:"iana"},"application/prs.rdf-xml-crypt":{source:"iana"},"application/prs.xsf+xml":{source:"iana",compressible:!0},"application/pskc+xml":{source:"iana",compressible:!0,extensions:["pskcxml"]},"application/pvd+json":{source:"iana",compressible:!0},"application/qsig":{source:"iana"},"application/raml+yaml":{compressible:!0,extensions:["raml"]},"application/raptorfec":{source:"iana"},"application/rdap+json":{source:"iana",compressible:!0},"application/rdf+xml":{source:"iana",compressible:!0,extensions:["rdf","owl"]},"application/reginfo+xml":{source:"iana",compressible:!0,extensions:["rif"]},"application/relax-ng-compact-syntax":{source:"iana",extensions:["rnc"]},"application/remote-printing":{source:"iana"},"application/reputon+json":{source:"iana",compressible:!0},"application/resource-lists+xml":{source:"iana",compressible:!0,extensions:["rl"]},"application/resource-lists-diff+xml":{source:"iana",compressible:!0,extensions:["rld"]},"application/rfc+xml":{source:"iana",compressible:!0},"application/riscos":{source:"iana"},"application/rlmi+xml":{source:"iana",compressible:!0},"application/rls-services+xml":{source:"iana",compressible:!0,extensions:["rs"]},"application/route-apd+xml":{source:"iana",compressible:!0,extensions:["rapd"]},"application/route-s-tsid+xml":{source:"iana",compressible:!0,extensions:["sls"]},"application/route-usd+xml":{source:"iana",compressible:!0,extensions:["rusd"]},"application/rpki-ghostbusters":{source:"iana",extensions:["gbr"]},"application/rpki-manifest":{source:"iana",extensions:["mft"]},"application/rpki-publication":{source:"iana"},"application/rpki-roa":{source:"iana",extensions:["roa"]},"application/rpki-updown":{source:"iana"},"application/rsd+xml":{source:"apache",compressible:!0,extensions:["rsd"]},"application/rss+xml":{source:"apache",compressible:!0,extensions:["rss"]},"application/rtf":{source:"iana",compressible:!0,extensions:["rtf"]},"application/rtploopback":{source:"iana"},"application/rtx":{source:"iana"},"application/samlassertion+xml":{source:"iana",compressible:!0},"application/samlmetadata+xml":{source:"iana",compressible:!0},"application/sarif+json":{source:"iana",compressible:!0},"application/sarif-external-properties+json":{source:"iana",compressible:!0},"application/sbe":{source:"iana"},"application/sbml+xml":{source:"iana",compressible:!0,extensions:["sbml"]},"application/scaip+xml":{source:"iana",compressible:!0},"application/scim+json":{source:"iana",compressible:!0},"application/scvp-cv-request":{source:"iana",extensions:["scq"]},"application/scvp-cv-response":{source:"iana",extensions:["scs"]},"application/scvp-vp-request":{source:"iana",extensions:["spq"]},"application/scvp-vp-response":{source:"iana",extensions:["spp"]},"application/sdp":{source:"iana",extensions:["sdp"]},"application/secevent+jwt":{source:"iana"},"application/senml+cbor":{source:"iana"},"application/senml+json":{source:"iana",compressible:!0},"application/senml+xml":{source:"iana",compressible:!0,extensions:["senmlx"]},"application/senml-etch+cbor":{source:"iana"},"application/senml-etch+json":{source:"iana",compressible:!0},"application/senml-exi":{source:"iana"},"application/sensml+cbor":{source:"iana"},"application/sensml+json":{source:"iana",compressible:!0},"application/sensml+xml":{source:"iana",compressible:!0,extensions:["sensmlx"]},"application/sensml-exi":{source:"iana"},"application/sep+xml":{source:"iana",compressible:!0},"application/sep-exi":{source:"iana"},"application/session-info":{source:"iana"},"application/set-payment":{source:"iana"},"application/set-payment-initiation":{source:"iana",extensions:["setpay"]},"application/set-registration":{source:"iana"},"application/set-registration-initiation":{source:"iana",extensions:["setreg"]},"application/sgml":{source:"iana"},"application/sgml-open-catalog":{source:"iana"},"application/shf+xml":{source:"iana",compressible:!0,extensions:["shf"]},"application/sieve":{source:"iana",extensions:["siv","sieve"]},"application/simple-filter+xml":{source:"iana",compressible:!0},"application/simple-message-summary":{source:"iana"},"application/simplesymbolcontainer":{source:"iana"},"application/sipc":{source:"iana"},"application/slate":{source:"iana"},"application/smil":{source:"iana"},"application/smil+xml":{source:"iana",compressible:!0,extensions:["smi","smil"]},"application/smpte336m":{source:"iana"},"application/soap+fastinfoset":{source:"iana"},"application/soap+xml":{source:"iana",compressible:!0},"application/sparql-query":{source:"iana",extensions:["rq"]},"application/sparql-results+xml":{source:"iana",compressible:!0,extensions:["srx"]},"application/spdx+json":{source:"iana",compressible:!0},"application/spirits-event+xml":{source:"iana",compressible:!0},"application/sql":{source:"iana"},"application/srgs":{source:"iana",extensions:["gram"]},"application/srgs+xml":{source:"iana",compressible:!0,extensions:["grxml"]},"application/sru+xml":{source:"iana",compressible:!0,extensions:["sru"]},"application/ssdl+xml":{source:"apache",compressible:!0,extensions:["ssdl"]},"application/ssml+xml":{source:"iana",compressible:!0,extensions:["ssml"]},"application/stix+json":{source:"iana",compressible:!0},"application/swid+xml":{source:"iana",compressible:!0,extensions:["swidtag"]},"application/tamp-apex-update":{source:"iana"},"application/tamp-apex-update-confirm":{source:"iana"},"application/tamp-community-update":{source:"iana"},"application/tamp-community-update-confirm":{source:"iana"},"application/tamp-error":{source:"iana"},"application/tamp-sequence-adjust":{source:"iana"},"application/tamp-sequence-adjust-confirm":{source:"iana"},"application/tamp-status-query":{source:"iana"},"application/tamp-status-response":{source:"iana"},"application/tamp-update":{source:"iana"},"application/tamp-update-confirm":{source:"iana"},"application/tar":{compressible:!0},"application/taxii+json":{source:"iana",compressible:!0},"application/td+json":{source:"iana",compressible:!0},"application/tei+xml":{source:"iana",compressible:!0,extensions:["tei","teicorpus"]},"application/tetra_isi":{source:"iana"},"application/thraud+xml":{source:"iana",compressible:!0,extensions:["tfi"]},"application/timestamp-query":{source:"iana"},"application/timestamp-reply":{source:"iana"},"application/timestamped-data":{source:"iana",extensions:["tsd"]},"application/tlsrpt+gzip":{source:"iana"},"application/tlsrpt+json":{source:"iana",compressible:!0},"application/tnauthlist":{source:"iana"},"application/token-introspection+jwt":{source:"iana"},"application/toml":{compressible:!0,extensions:["toml"]},"application/trickle-ice-sdpfrag":{source:"iana"},"application/trig":{source:"iana",extensions:["trig"]},"application/ttml+xml":{source:"iana",compressible:!0,extensions:["ttml"]},"application/tve-trigger":{source:"iana"},"application/tzif":{source:"iana"},"application/tzif-leap":{source:"iana"},"application/ubjson":{compressible:!1,extensions:["ubj"]},"application/ulpfec":{source:"iana"},"application/urc-grpsheet+xml":{source:"iana",compressible:!0},"application/urc-ressheet+xml":{source:"iana",compressible:!0,extensions:["rsheet"]},"application/urc-targetdesc+xml":{source:"iana",compressible:!0,extensions:["td"]},"application/urc-uisocketdesc+xml":{source:"iana",compressible:!0},"application/vcard+json":{source:"iana",compressible:!0},"application/vcard+xml":{source:"iana",compressible:!0},"application/vemmi":{source:"iana"},"application/vividence.scriptfile":{source:"apache"},"application/vnd.1000minds.decision-model+xml":{source:"iana",compressible:!0,extensions:["1km"]},"application/vnd.3gpp-prose+xml":{source:"iana",compressible:!0},"application/vnd.3gpp-prose-pc3ch+xml":{source:"iana",compressible:!0},"application/vnd.3gpp-v2x-local-service-information":{source:"iana"},"application/vnd.3gpp.5gnas":{source:"iana"},"application/vnd.3gpp.access-transfer-events+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.bsf+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.gmop+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.gtpc":{source:"iana"},"application/vnd.3gpp.interworking-data":{source:"iana"},"application/vnd.3gpp.lpp":{source:"iana"},"application/vnd.3gpp.mc-signalling-ear":{source:"iana"},"application/vnd.3gpp.mcdata-affiliation-command+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcdata-info+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcdata-payload":{source:"iana"},"application/vnd.3gpp.mcdata-service-config+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcdata-signalling":{source:"iana"},"application/vnd.3gpp.mcdata-ue-config+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcdata-user-profile+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcptt-affiliation-command+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcptt-floor-request+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcptt-info+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcptt-location-info+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcptt-mbms-usage-info+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcptt-service-config+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcptt-signed+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcptt-ue-config+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcptt-ue-init-config+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcptt-user-profile+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcvideo-affiliation-command+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcvideo-affiliation-info+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcvideo-info+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcvideo-location-info+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcvideo-mbms-usage-info+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcvideo-service-config+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcvideo-transmission-request+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcvideo-ue-config+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mcvideo-user-profile+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.mid-call+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.ngap":{source:"iana"},"application/vnd.3gpp.pfcp":{source:"iana"},"application/vnd.3gpp.pic-bw-large":{source:"iana",extensions:["plb"]},"application/vnd.3gpp.pic-bw-small":{source:"iana",extensions:["psb"]},"application/vnd.3gpp.pic-bw-var":{source:"iana",extensions:["pvb"]},"application/vnd.3gpp.s1ap":{source:"iana"},"application/vnd.3gpp.sms":{source:"iana"},"application/vnd.3gpp.sms+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.srvcc-ext+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.srvcc-info+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.state-and-event-info+xml":{source:"iana",compressible:!0},"application/vnd.3gpp.ussd+xml":{source:"iana",compressible:!0},"application/vnd.3gpp2.bcmcsinfo+xml":{source:"iana",compressible:!0},"application/vnd.3gpp2.sms":{source:"iana"},"application/vnd.3gpp2.tcap":{source:"iana",extensions:["tcap"]},"application/vnd.3lightssoftware.imagescal":{source:"iana"},"application/vnd.3m.post-it-notes":{source:"iana",extensions:["pwn"]},"application/vnd.accpac.simply.aso":{source:"iana",extensions:["aso"]},"application/vnd.accpac.simply.imp":{source:"iana",extensions:["imp"]},"application/vnd.acucobol":{source:"iana",extensions:["acu"]},"application/vnd.acucorp":{source:"iana",extensions:["atc","acutc"]},"application/vnd.adobe.air-application-installer-package+zip":{source:"apache",compressible:!1,extensions:["air"]},"application/vnd.adobe.flash.movie":{source:"iana"},"application/vnd.adobe.formscentral.fcdt":{source:"iana",extensions:["fcdt"]},"application/vnd.adobe.fxp":{source:"iana",extensions:["fxp","fxpl"]},"application/vnd.adobe.partial-upload":{source:"iana"},"application/vnd.adobe.xdp+xml":{source:"iana",compressible:!0,extensions:["xdp"]},"application/vnd.adobe.xfdf":{source:"iana",extensions:["xfdf"]},"application/vnd.aether.imp":{source:"iana"},"application/vnd.afpc.afplinedata":{source:"iana"},"application/vnd.afpc.afplinedata-pagedef":{source:"iana"},"application/vnd.afpc.cmoca-cmresource":{source:"iana"},"application/vnd.afpc.foca-charset":{source:"iana"},"application/vnd.afpc.foca-codedfont":{source:"iana"},"application/vnd.afpc.foca-codepage":{source:"iana"},"application/vnd.afpc.modca":{source:"iana"},"application/vnd.afpc.modca-cmtable":{source:"iana"},"application/vnd.afpc.modca-formdef":{source:"iana"},"application/vnd.afpc.modca-mediummap":{source:"iana"},"application/vnd.afpc.modca-objectcontainer":{source:"iana"},"application/vnd.afpc.modca-overlay":{source:"iana"},"application/vnd.afpc.modca-pagesegment":{source:"iana"},"application/vnd.age":{source:"iana",extensions:["age"]},"application/vnd.ah-barcode":{source:"iana"},"application/vnd.ahead.space":{source:"iana",extensions:["ahead"]},"application/vnd.airzip.filesecure.azf":{source:"iana",extensions:["azf"]},"application/vnd.airzip.filesecure.azs":{source:"iana",extensions:["azs"]},"application/vnd.amadeus+json":{source:"iana",compressible:!0},"application/vnd.amazon.ebook":{source:"apache",extensions:["azw"]},"application/vnd.amazon.mobi8-ebook":{source:"iana"},"application/vnd.americandynamics.acc":{source:"iana",extensions:["acc"]},"application/vnd.amiga.ami":{source:"iana",extensions:["ami"]},"application/vnd.amundsen.maze+xml":{source:"iana",compressible:!0},"application/vnd.android.ota":{source:"iana"},"application/vnd.android.package-archive":{source:"apache",compressible:!1,extensions:["apk"]},"application/vnd.anki":{source:"iana"},"application/vnd.anser-web-certificate-issue-initiation":{source:"iana",extensions:["cii"]},"application/vnd.anser-web-funds-transfer-initiation":{source:"apache",extensions:["fti"]},"application/vnd.antix.game-component":{source:"iana",extensions:["atx"]},"application/vnd.apache.arrow.file":{source:"iana"},"application/vnd.apache.arrow.stream":{source:"iana"},"application/vnd.apache.thrift.binary":{source:"iana"},"application/vnd.apache.thrift.compact":{source:"iana"},"application/vnd.apache.thrift.json":{source:"iana"},"application/vnd.api+json":{source:"iana",compressible:!0},"application/vnd.aplextor.warrp+json":{source:"iana",compressible:!0},"application/vnd.apothekende.reservation+json":{source:"iana",compressible:!0},"application/vnd.apple.installer+xml":{source:"iana",compressible:!0,extensions:["mpkg"]},"application/vnd.apple.keynote":{source:"iana",extensions:["key"]},"application/vnd.apple.mpegurl":{source:"iana",extensions:["m3u8"]},"application/vnd.apple.numbers":{source:"iana",extensions:["numbers"]},"application/vnd.apple.pages":{source:"iana",extensions:["pages"]},"application/vnd.apple.pkpass":{compressible:!1,extensions:["pkpass"]},"application/vnd.arastra.swi":{source:"iana"},"application/vnd.aristanetworks.swi":{source:"iana",extensions:["swi"]},"application/vnd.artisan+json":{source:"iana",compressible:!0},"application/vnd.artsquare":{source:"iana"},"application/vnd.astraea-software.iota":{source:"iana",extensions:["iota"]},"application/vnd.audiograph":{source:"iana",extensions:["aep"]},"application/vnd.autopackage":{source:"iana"},"application/vnd.avalon+json":{source:"iana",compressible:!0},"application/vnd.avistar+xml":{source:"iana",compressible:!0},"application/vnd.balsamiq.bmml+xml":{source:"iana",compressible:!0,extensions:["bmml"]},"application/vnd.balsamiq.bmpr":{source:"iana"},"application/vnd.banana-accounting":{source:"iana"},"application/vnd.bbf.usp.error":{source:"iana"},"application/vnd.bbf.usp.msg":{source:"iana"},"application/vnd.bbf.usp.msg+json":{source:"iana",compressible:!0},"application/vnd.bekitzur-stech+json":{source:"iana",compressible:!0},"application/vnd.bint.med-content":{source:"iana"},"application/vnd.biopax.rdf+xml":{source:"iana",compressible:!0},"application/vnd.blink-idb-value-wrapper":{source:"iana"},"application/vnd.blueice.multipass":{source:"iana",extensions:["mpm"]},"application/vnd.bluetooth.ep.oob":{source:"iana"},"application/vnd.bluetooth.le.oob":{source:"iana"},"application/vnd.bmi":{source:"iana",extensions:["bmi"]},"application/vnd.bpf":{source:"iana"},"application/vnd.bpf3":{source:"iana"},"application/vnd.businessobjects":{source:"iana",extensions:["rep"]},"application/vnd.byu.uapi+json":{source:"iana",compressible:!0},"application/vnd.cab-jscript":{source:"iana"},"application/vnd.canon-cpdl":{source:"iana"},"application/vnd.canon-lips":{source:"iana"},"application/vnd.capasystems-pg+json":{source:"iana",compressible:!0},"application/vnd.cendio.thinlinc.clientconf":{source:"iana"},"application/vnd.century-systems.tcp_stream":{source:"iana"},"application/vnd.chemdraw+xml":{source:"iana",compressible:!0,extensions:["cdxml"]},"application/vnd.chess-pgn":{source:"iana"},"application/vnd.chipnuts.karaoke-mmd":{source:"iana",extensions:["mmd"]},"application/vnd.ciedi":{source:"iana"},"application/vnd.cinderella":{source:"iana",extensions:["cdy"]},"application/vnd.cirpack.isdn-ext":{source:"iana"},"application/vnd.citationstyles.style+xml":{source:"iana",compressible:!0,extensions:["csl"]},"application/vnd.claymore":{source:"iana",extensions:["cla"]},"application/vnd.cloanto.rp9":{source:"iana",extensions:["rp9"]},"application/vnd.clonk.c4group":{source:"iana",extensions:["c4g","c4d","c4f","c4p","c4u"]},"application/vnd.cluetrust.cartomobile-config":{source:"iana",extensions:["c11amc"]},"application/vnd.cluetrust.cartomobile-config-pkg":{source:"iana",extensions:["c11amz"]},"application/vnd.coffeescript":{source:"iana"},"application/vnd.collabio.xodocuments.document":{source:"iana"},"application/vnd.collabio.xodocuments.document-template":{source:"iana"},"application/vnd.collabio.xodocuments.presentation":{source:"iana"},"application/vnd.collabio.xodocuments.presentation-template":{source:"iana"},"application/vnd.collabio.xodocuments.spreadsheet":{source:"iana"},"application/vnd.collabio.xodocuments.spreadsheet-template":{source:"iana"},"application/vnd.collection+json":{source:"iana",compressible:!0},"application/vnd.collection.doc+json":{source:"iana",compressible:!0},"application/vnd.collection.next+json":{source:"iana",compressible:!0},"application/vnd.comicbook+zip":{source:"iana",compressible:!1},"application/vnd.comicbook-rar":{source:"iana"},"application/vnd.commerce-battelle":{source:"iana"},"application/vnd.commonspace":{source:"iana",extensions:["csp"]},"application/vnd.contact.cmsg":{source:"iana",extensions:["cdbcmsg"]},"application/vnd.coreos.ignition+json":{source:"iana",compressible:!0},"application/vnd.cosmocaller":{source:"iana",extensions:["cmc"]},"application/vnd.crick.clicker":{source:"iana",extensions:["clkx"]},"application/vnd.crick.clicker.keyboard":{source:"iana",extensions:["clkk"]},"application/vnd.crick.clicker.palette":{source:"iana",extensions:["clkp"]},"application/vnd.crick.clicker.template":{source:"iana",extensions:["clkt"]},"application/vnd.crick.clicker.wordbank":{source:"iana",extensions:["clkw"]},"application/vnd.criticaltools.wbs+xml":{source:"iana",compressible:!0,extensions:["wbs"]},"application/vnd.cryptii.pipe+json":{source:"iana",compressible:!0},"application/vnd.crypto-shade-file":{source:"iana"},"application/vnd.cryptomator.encrypted":{source:"iana"},"application/vnd.cryptomator.vault":{source:"iana"},"application/vnd.ctc-posml":{source:"iana",extensions:["pml"]},"application/vnd.ctct.ws+xml":{source:"iana",compressible:!0},"application/vnd.cups-pdf":{source:"iana"},"application/vnd.cups-postscript":{source:"iana"},"application/vnd.cups-ppd":{source:"iana",extensions:["ppd"]},"application/vnd.cups-raster":{source:"iana"},"application/vnd.cups-raw":{source:"iana"},"application/vnd.curl":{source:"iana"},"application/vnd.curl.car":{source:"apache",extensions:["car"]},"application/vnd.curl.pcurl":{source:"apache",extensions:["pcurl"]},"application/vnd.cyan.dean.root+xml":{source:"iana",compressible:!0},"application/vnd.cybank":{source:"iana"},"application/vnd.cyclonedx+json":{source:"iana",compressible:!0},"application/vnd.cyclonedx+xml":{source:"iana",compressible:!0},"application/vnd.d2l.coursepackage1p0+zip":{source:"iana",compressible:!1},"application/vnd.d3m-dataset":{source:"iana"},"application/vnd.d3m-problem":{source:"iana"},"application/vnd.dart":{source:"iana",compressible:!0,extensions:["dart"]},"application/vnd.data-vision.rdz":{source:"iana",extensions:["rdz"]},"application/vnd.datapackage+json":{source:"iana",compressible:!0},"application/vnd.dataresource+json":{source:"iana",compressible:!0},"application/vnd.dbf":{source:"iana",extensions:["dbf"]},"application/vnd.debian.binary-package":{source:"iana"},"application/vnd.dece.data":{source:"iana",extensions:["uvf","uvvf","uvd","uvvd"]},"application/vnd.dece.ttml+xml":{source:"iana",compressible:!0,extensions:["uvt","uvvt"]},"application/vnd.dece.unspecified":{source:"iana",extensions:["uvx","uvvx"]},"application/vnd.dece.zip":{source:"iana",extensions:["uvz","uvvz"]},"application/vnd.denovo.fcselayout-link":{source:"iana",extensions:["fe_launch"]},"application/vnd.desmume.movie":{source:"iana"},"application/vnd.dir-bi.plate-dl-nosuffix":{source:"iana"},"application/vnd.dm.delegation+xml":{source:"iana",compressible:!0},"application/vnd.dna":{source:"iana",extensions:["dna"]},"application/vnd.document+json":{source:"iana",compressible:!0},"application/vnd.dolby.mlp":{source:"apache",extensions:["mlp"]},"application/vnd.dolby.mobile.1":{source:"iana"},"application/vnd.dolby.mobile.2":{source:"iana"},"application/vnd.doremir.scorecloud-binary-document":{source:"iana"},"application/vnd.dpgraph":{source:"iana",extensions:["dpg"]},"application/vnd.dreamfactory":{source:"iana",extensions:["dfac"]},"application/vnd.drive+json":{source:"iana",compressible:!0},"application/vnd.ds-keypoint":{source:"apache",extensions:["kpxx"]},"application/vnd.dtg.local":{source:"iana"},"application/vnd.dtg.local.flash":{source:"iana"},"application/vnd.dtg.local.html":{source:"iana"},"application/vnd.dvb.ait":{source:"iana",extensions:["ait"]},"application/vnd.dvb.dvbisl+xml":{source:"iana",compressible:!0},"application/vnd.dvb.dvbj":{source:"iana"},"application/vnd.dvb.esgcontainer":{source:"iana"},"application/vnd.dvb.ipdcdftnotifaccess":{source:"iana"},"application/vnd.dvb.ipdcesgaccess":{source:"iana"},"application/vnd.dvb.ipdcesgaccess2":{source:"iana"},"application/vnd.dvb.ipdcesgpdd":{source:"iana"},"application/vnd.dvb.ipdcroaming":{source:"iana"},"application/vnd.dvb.iptv.alfec-base":{source:"iana"},"application/vnd.dvb.iptv.alfec-enhancement":{source:"iana"},"application/vnd.dvb.notif-aggregate-root+xml":{source:"iana",compressible:!0},"application/vnd.dvb.notif-container+xml":{source:"iana",compressible:!0},"application/vnd.dvb.notif-generic+xml":{source:"iana",compressible:!0},"application/vnd.dvb.notif-ia-msglist+xml":{source:"iana",compressible:!0},"application/vnd.dvb.notif-ia-registration-request+xml":{source:"iana",compressible:!0},"application/vnd.dvb.notif-ia-registration-response+xml":{source:"iana",compressible:!0},"application/vnd.dvb.notif-init+xml":{source:"iana",compressible:!0},"application/vnd.dvb.pfr":{source:"iana"},"application/vnd.dvb.service":{source:"iana",extensions:["svc"]},"application/vnd.dxr":{source:"iana"},"application/vnd.dynageo":{source:"iana",extensions:["geo"]},"application/vnd.dzr":{source:"iana"},"application/vnd.easykaraoke.cdgdownload":{source:"iana"},"application/vnd.ecdis-update":{source:"iana"},"application/vnd.ecip.rlp":{source:"iana"},"application/vnd.eclipse.ditto+json":{source:"iana",compressible:!0},"application/vnd.ecowin.chart":{source:"iana",extensions:["mag"]},"application/vnd.ecowin.filerequest":{source:"iana"},"application/vnd.ecowin.fileupdate":{source:"iana"},"application/vnd.ecowin.series":{source:"iana"},"application/vnd.ecowin.seriesrequest":{source:"iana"},"application/vnd.ecowin.seriesupdate":{source:"iana"},"application/vnd.efi.img":{source:"iana"},"application/vnd.efi.iso":{source:"iana"},"application/vnd.emclient.accessrequest+xml":{source:"iana",compressible:!0},"application/vnd.enliven":{source:"iana",extensions:["nml"]},"application/vnd.enphase.envoy":{source:"iana"},"application/vnd.eprints.data+xml":{source:"iana",compressible:!0},"application/vnd.epson.esf":{source:"iana",extensions:["esf"]},"application/vnd.epson.msf":{source:"iana",extensions:["msf"]},"application/vnd.epson.quickanime":{source:"iana",extensions:["qam"]},"application/vnd.epson.salt":{source:"iana",extensions:["slt"]},"application/vnd.epson.ssf":{source:"iana",extensions:["ssf"]},"application/vnd.ericsson.quickcall":{source:"iana"},"application/vnd.espass-espass+zip":{source:"iana",compressible:!1},"application/vnd.eszigno3+xml":{source:"iana",compressible:!0,extensions:["es3","et3"]},"application/vnd.etsi.aoc+xml":{source:"iana",compressible:!0},"application/vnd.etsi.asic-e+zip":{source:"iana",compressible:!1},"application/vnd.etsi.asic-s+zip":{source:"iana",compressible:!1},"application/vnd.etsi.cug+xml":{source:"iana",compressible:!0},"application/vnd.etsi.iptvcommand+xml":{source:"iana",compressible:!0},"application/vnd.etsi.iptvdiscovery+xml":{source:"iana",compressible:!0},"application/vnd.etsi.iptvprofile+xml":{source:"iana",compressible:!0},"application/vnd.etsi.iptvsad-bc+xml":{source:"iana",compressible:!0},"application/vnd.etsi.iptvsad-cod+xml":{source:"iana",compressible:!0},"application/vnd.etsi.iptvsad-npvr+xml":{source:"iana",compressible:!0},"application/vnd.etsi.iptvservice+xml":{source:"iana",compressible:!0},"application/vnd.etsi.iptvsync+xml":{source:"iana",compressible:!0},"application/vnd.etsi.iptvueprofile+xml":{source:"iana",compressible:!0},"application/vnd.etsi.mcid+xml":{source:"iana",compressible:!0},"application/vnd.etsi.mheg5":{source:"iana"},"application/vnd.etsi.overload-control-policy-dataset+xml":{source:"iana",compressible:!0},"application/vnd.etsi.pstn+xml":{source:"iana",compressible:!0},"application/vnd.etsi.sci+xml":{source:"iana",compressible:!0},"application/vnd.etsi.simservs+xml":{source:"iana",compressible:!0},"application/vnd.etsi.timestamp-token":{source:"iana"},"application/vnd.etsi.tsl+xml":{source:"iana",compressible:!0},"application/vnd.etsi.tsl.der":{source:"iana"},"application/vnd.eu.kasparian.car+json":{source:"iana",compressible:!0},"application/vnd.eudora.data":{source:"iana"},"application/vnd.evolv.ecig.profile":{source:"iana"},"application/vnd.evolv.ecig.settings":{source:"iana"},"application/vnd.evolv.ecig.theme":{source:"iana"},"application/vnd.exstream-empower+zip":{source:"iana",compressible:!1},"application/vnd.exstream-package":{source:"iana"},"application/vnd.ezpix-album":{source:"iana",extensions:["ez2"]},"application/vnd.ezpix-package":{source:"iana",extensions:["ez3"]},"application/vnd.f-secure.mobile":{source:"iana"},"application/vnd.familysearch.gedcom+zip":{source:"iana",compressible:!1},"application/vnd.fastcopy-disk-image":{source:"iana"},"application/vnd.fdf":{source:"iana",extensions:["fdf"]},"application/vnd.fdsn.mseed":{source:"iana",extensions:["mseed"]},"application/vnd.fdsn.seed":{source:"iana",extensions:["seed","dataless"]},"application/vnd.ffsns":{source:"iana"},"application/vnd.ficlab.flb+zip":{source:"iana",compressible:!1},"application/vnd.filmit.zfc":{source:"iana"},"application/vnd.fints":{source:"iana"},"application/vnd.firemonkeys.cloudcell":{source:"iana"},"application/vnd.flographit":{source:"iana",extensions:["gph"]},"application/vnd.fluxtime.clip":{source:"iana",extensions:["ftc"]},"application/vnd.font-fontforge-sfd":{source:"iana"},"application/vnd.framemaker":{source:"iana",extensions:["fm","frame","maker","book"]},"application/vnd.frogans.fnc":{source:"iana",extensions:["fnc"]},"application/vnd.frogans.ltf":{source:"iana",extensions:["ltf"]},"application/vnd.fsc.weblaunch":{source:"iana",extensions:["fsc"]},"application/vnd.fujifilm.fb.docuworks":{source:"iana"},"application/vnd.fujifilm.fb.docuworks.binder":{source:"iana"},"application/vnd.fujifilm.fb.docuworks.container":{source:"iana"},"application/vnd.fujifilm.fb.jfi+xml":{source:"iana",compressible:!0},"application/vnd.fujitsu.oasys":{source:"iana",extensions:["oas"]},"application/vnd.fujitsu.oasys2":{source:"iana",extensions:["oa2"]},"application/vnd.fujitsu.oasys3":{source:"iana",extensions:["oa3"]},"application/vnd.fujitsu.oasysgp":{source:"iana",extensions:["fg5"]},"application/vnd.fujitsu.oasysprs":{source:"iana",extensions:["bh2"]},"application/vnd.fujixerox.art-ex":{source:"iana"},"application/vnd.fujixerox.art4":{source:"iana"},"application/vnd.fujixerox.ddd":{source:"iana",extensions:["ddd"]},"application/vnd.fujixerox.docuworks":{source:"iana",extensions:["xdw"]},"application/vnd.fujixerox.docuworks.binder":{source:"iana",extensions:["xbd"]},"application/vnd.fujixerox.docuworks.container":{source:"iana"},"application/vnd.fujixerox.hbpl":{source:"iana"},"application/vnd.fut-misnet":{source:"iana"},"application/vnd.futoin+cbor":{source:"iana"},"application/vnd.futoin+json":{source:"iana",compressible:!0},"application/vnd.fuzzysheet":{source:"iana",extensions:["fzs"]},"application/vnd.genomatix.tuxedo":{source:"iana",extensions:["txd"]},"application/vnd.gentics.grd+json":{source:"iana",compressible:!0},"application/vnd.geo+json":{source:"iana",compressible:!0},"application/vnd.geocube+xml":{source:"iana",compressible:!0},"application/vnd.geogebra.file":{source:"iana",extensions:["ggb"]},"application/vnd.geogebra.slides":{source:"iana"},"application/vnd.geogebra.tool":{source:"iana",extensions:["ggt"]},"application/vnd.geometry-explorer":{source:"iana",extensions:["gex","gre"]},"application/vnd.geonext":{source:"iana",extensions:["gxt"]},"application/vnd.geoplan":{source:"iana",extensions:["g2w"]},"application/vnd.geospace":{source:"iana",extensions:["g3w"]},"application/vnd.gerber":{source:"iana"},"application/vnd.globalplatform.card-content-mgt":{source:"iana"},"application/vnd.globalplatform.card-content-mgt-response":{source:"iana"},"application/vnd.gmx":{source:"iana",extensions:["gmx"]},"application/vnd.google-apps.document":{compressible:!1,extensions:["gdoc"]},"application/vnd.google-apps.presentation":{compressible:!1,extensions:["gslides"]},"application/vnd.google-apps.spreadsheet":{compressible:!1,extensions:["gsheet"]},"application/vnd.google-earth.kml+xml":{source:"iana",compressible:!0,extensions:["kml"]},"application/vnd.google-earth.kmz":{source:"iana",compressible:!1,extensions:["kmz"]},"application/vnd.gov.sk.e-form+xml":{source:"iana",compressible:!0},"application/vnd.gov.sk.e-form+zip":{source:"iana",compressible:!1},"application/vnd.gov.sk.xmldatacontainer+xml":{source:"iana",compressible:!0},"application/vnd.grafeq":{source:"iana",extensions:["gqf","gqs"]},"application/vnd.gridmp":{source:"iana"},"application/vnd.groove-account":{source:"iana",extensions:["gac"]},"application/vnd.groove-help":{source:"iana",extensions:["ghf"]},"application/vnd.groove-identity-message":{source:"iana",extensions:["gim"]},"application/vnd.groove-injector":{source:"iana",extensions:["grv"]},"application/vnd.groove-tool-message":{source:"iana",extensions:["gtm"]},"application/vnd.groove-tool-template":{source:"iana",extensions:["tpl"]},"application/vnd.groove-vcard":{source:"iana",extensions:["vcg"]},"application/vnd.hal+json":{source:"iana",compressible:!0},"application/vnd.hal+xml":{source:"iana",compressible:!0,extensions:["hal"]},"application/vnd.handheld-entertainment+xml":{source:"iana",compressible:!0,extensions:["zmm"]},"application/vnd.hbci":{source:"iana",extensions:["hbci"]},"application/vnd.hc+json":{source:"iana",compressible:!0},"application/vnd.hcl-bireports":{source:"iana"},"application/vnd.hdt":{source:"iana"},"application/vnd.heroku+json":{source:"iana",compressible:!0},"application/vnd.hhe.lesson-player":{source:"iana",extensions:["les"]},"application/vnd.hl7cda+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/vnd.hl7v2+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/vnd.hp-hpgl":{source:"iana",extensions:["hpgl"]},"application/vnd.hp-hpid":{source:"iana",extensions:["hpid"]},"application/vnd.hp-hps":{source:"iana",extensions:["hps"]},"application/vnd.hp-jlyt":{source:"iana",extensions:["jlt"]},"application/vnd.hp-pcl":{source:"iana",extensions:["pcl"]},"application/vnd.hp-pclxl":{source:"iana",extensions:["pclxl"]},"application/vnd.httphone":{source:"iana"},"application/vnd.hydrostatix.sof-data":{source:"iana",extensions:["sfd-hdstx"]},"application/vnd.hyper+json":{source:"iana",compressible:!0},"application/vnd.hyper-item+json":{source:"iana",compressible:!0},"application/vnd.hyperdrive+json":{source:"iana",compressible:!0},"application/vnd.hzn-3d-crossword":{source:"iana"},"application/vnd.ibm.afplinedata":{source:"iana"},"application/vnd.ibm.electronic-media":{source:"iana"},"application/vnd.ibm.minipay":{source:"iana",extensions:["mpy"]},"application/vnd.ibm.modcap":{source:"iana",extensions:["afp","listafp","list3820"]},"application/vnd.ibm.rights-management":{source:"iana",extensions:["irm"]},"application/vnd.ibm.secure-container":{source:"iana",extensions:["sc"]},"application/vnd.iccprofile":{source:"iana",extensions:["icc","icm"]},"application/vnd.ieee.1905":{source:"iana"},"application/vnd.igloader":{source:"iana",extensions:["igl"]},"application/vnd.imagemeter.folder+zip":{source:"iana",compressible:!1},"application/vnd.imagemeter.image+zip":{source:"iana",compressible:!1},"application/vnd.immervision-ivp":{source:"iana",extensions:["ivp"]},"application/vnd.immervision-ivu":{source:"iana",extensions:["ivu"]},"application/vnd.ims.imsccv1p1":{source:"iana"},"application/vnd.ims.imsccv1p2":{source:"iana"},"application/vnd.ims.imsccv1p3":{source:"iana"},"application/vnd.ims.lis.v2.result+json":{source:"iana",compressible:!0},"application/vnd.ims.lti.v2.toolconsumerprofile+json":{source:"iana",compressible:!0},"application/vnd.ims.lti.v2.toolproxy+json":{source:"iana",compressible:!0},"application/vnd.ims.lti.v2.toolproxy.id+json":{source:"iana",compressible:!0},"application/vnd.ims.lti.v2.toolsettings+json":{source:"iana",compressible:!0},"application/vnd.ims.lti.v2.toolsettings.simple+json":{source:"iana",compressible:!0},"application/vnd.informedcontrol.rms+xml":{source:"iana",compressible:!0},"application/vnd.informix-visionary":{source:"iana"},"application/vnd.infotech.project":{source:"iana"},"application/vnd.infotech.project+xml":{source:"iana",compressible:!0},"application/vnd.innopath.wamp.notification":{source:"iana"},"application/vnd.insors.igm":{source:"iana",extensions:["igm"]},"application/vnd.intercon.formnet":{source:"iana",extensions:["xpw","xpx"]},"application/vnd.intergeo":{source:"iana",extensions:["i2g"]},"application/vnd.intertrust.digibox":{source:"iana"},"application/vnd.intertrust.nncp":{source:"iana"},"application/vnd.intu.qbo":{source:"iana",extensions:["qbo"]},"application/vnd.intu.qfx":{source:"iana",extensions:["qfx"]},"application/vnd.iptc.g2.catalogitem+xml":{source:"iana",compressible:!0},"application/vnd.iptc.g2.conceptitem+xml":{source:"iana",compressible:!0},"application/vnd.iptc.g2.knowledgeitem+xml":{source:"iana",compressible:!0},"application/vnd.iptc.g2.newsitem+xml":{source:"iana",compressible:!0},"application/vnd.iptc.g2.newsmessage+xml":{source:"iana",compressible:!0},"application/vnd.iptc.g2.packageitem+xml":{source:"iana",compressible:!0},"application/vnd.iptc.g2.planningitem+xml":{source:"iana",compressible:!0},"application/vnd.ipunplugged.rcprofile":{source:"iana",extensions:["rcprofile"]},"application/vnd.irepository.package+xml":{source:"iana",compressible:!0,extensions:["irp"]},"application/vnd.is-xpr":{source:"iana",extensions:["xpr"]},"application/vnd.isac.fcs":{source:"iana",extensions:["fcs"]},"application/vnd.iso11783-10+zip":{source:"iana",compressible:!1},"application/vnd.jam":{source:"iana",extensions:["jam"]},"application/vnd.japannet-directory-service":{source:"iana"},"application/vnd.japannet-jpnstore-wakeup":{source:"iana"},"application/vnd.japannet-payment-wakeup":{source:"iana"},"application/vnd.japannet-registration":{source:"iana"},"application/vnd.japannet-registration-wakeup":{source:"iana"},"application/vnd.japannet-setstore-wakeup":{source:"iana"},"application/vnd.japannet-verification":{source:"iana"},"application/vnd.japannet-verification-wakeup":{source:"iana"},"application/vnd.jcp.javame.midlet-rms":{source:"iana",extensions:["rms"]},"application/vnd.jisp":{source:"iana",extensions:["jisp"]},"application/vnd.joost.joda-archive":{source:"iana",extensions:["joda"]},"application/vnd.jsk.isdn-ngn":{source:"iana"},"application/vnd.kahootz":{source:"iana",extensions:["ktz","ktr"]},"application/vnd.kde.karbon":{source:"iana",extensions:["karbon"]},"application/vnd.kde.kchart":{source:"iana",extensions:["chrt"]},"application/vnd.kde.kformula":{source:"iana",extensions:["kfo"]},"application/vnd.kde.kivio":{source:"iana",extensions:["flw"]},"application/vnd.kde.kontour":{source:"iana",extensions:["kon"]},"application/vnd.kde.kpresenter":{source:"iana",extensions:["kpr","kpt"]},"application/vnd.kde.kspread":{source:"iana",extensions:["ksp"]},"application/vnd.kde.kword":{source:"iana",extensions:["kwd","kwt"]},"application/vnd.kenameaapp":{source:"iana",extensions:["htke"]},"application/vnd.kidspiration":{source:"iana",extensions:["kia"]},"application/vnd.kinar":{source:"iana",extensions:["kne","knp"]},"application/vnd.koan":{source:"iana",extensions:["skp","skd","skt","skm"]},"application/vnd.kodak-descriptor":{source:"iana",extensions:["sse"]},"application/vnd.las":{source:"iana"},"application/vnd.las.las+json":{source:"iana",compressible:!0},"application/vnd.las.las+xml":{source:"iana",compressible:!0,extensions:["lasxml"]},"application/vnd.laszip":{source:"iana"},"application/vnd.leap+json":{source:"iana",compressible:!0},"application/vnd.liberty-request+xml":{source:"iana",compressible:!0},"application/vnd.llamagraphics.life-balance.desktop":{source:"iana",extensions:["lbd"]},"application/vnd.llamagraphics.life-balance.exchange+xml":{source:"iana",compressible:!0,extensions:["lbe"]},"application/vnd.logipipe.circuit+zip":{source:"iana",compressible:!1},"application/vnd.loom":{source:"iana"},"application/vnd.lotus-1-2-3":{source:"iana",extensions:["123"]},"application/vnd.lotus-approach":{source:"iana",extensions:["apr"]},"application/vnd.lotus-freelance":{source:"iana",extensions:["pre"]},"application/vnd.lotus-notes":{source:"iana",extensions:["nsf"]},"application/vnd.lotus-organizer":{source:"iana",extensions:["org"]},"application/vnd.lotus-screencam":{source:"iana",extensions:["scm"]},"application/vnd.lotus-wordpro":{source:"iana",extensions:["lwp"]},"application/vnd.macports.portpkg":{source:"iana",extensions:["portpkg"]},"application/vnd.mapbox-vector-tile":{source:"iana",extensions:["mvt"]},"application/vnd.marlin.drm.actiontoken+xml":{source:"iana",compressible:!0},"application/vnd.marlin.drm.conftoken+xml":{source:"iana",compressible:!0},"application/vnd.marlin.drm.license+xml":{source:"iana",compressible:!0},"application/vnd.marlin.drm.mdcf":{source:"iana"},"application/vnd.mason+json":{source:"iana",compressible:!0},"application/vnd.maxar.archive.3tz+zip":{source:"iana",compressible:!1},"application/vnd.maxmind.maxmind-db":{source:"iana"},"application/vnd.mcd":{source:"iana",extensions:["mcd"]},"application/vnd.medcalcdata":{source:"iana",extensions:["mc1"]},"application/vnd.mediastation.cdkey":{source:"iana",extensions:["cdkey"]},"application/vnd.meridian-slingshot":{source:"iana"},"application/vnd.mfer":{source:"iana",extensions:["mwf"]},"application/vnd.mfmp":{source:"iana",extensions:["mfm"]},"application/vnd.micro+json":{source:"iana",compressible:!0},"application/vnd.micrografx.flo":{source:"iana",extensions:["flo"]},"application/vnd.micrografx.igx":{source:"iana",extensions:["igx"]},"application/vnd.microsoft.portable-executable":{source:"iana"},"application/vnd.microsoft.windows.thumbnail-cache":{source:"iana"},"application/vnd.miele+json":{source:"iana",compressible:!0},"application/vnd.mif":{source:"iana",extensions:["mif"]},"application/vnd.minisoft-hp3000-save":{source:"iana"},"application/vnd.mitsubishi.misty-guard.trustweb":{source:"iana"},"application/vnd.mobius.daf":{source:"iana",extensions:["daf"]},"application/vnd.mobius.dis":{source:"iana",extensions:["dis"]},"application/vnd.mobius.mbk":{source:"iana",extensions:["mbk"]},"application/vnd.mobius.mqy":{source:"iana",extensions:["mqy"]},"application/vnd.mobius.msl":{source:"iana",extensions:["msl"]},"application/vnd.mobius.plc":{source:"iana",extensions:["plc"]},"application/vnd.mobius.txf":{source:"iana",extensions:["txf"]},"application/vnd.mophun.application":{source:"iana",extensions:["mpn"]},"application/vnd.mophun.certificate":{source:"iana",extensions:["mpc"]},"application/vnd.motorola.flexsuite":{source:"iana"},"application/vnd.motorola.flexsuite.adsi":{source:"iana"},"application/vnd.motorola.flexsuite.fis":{source:"iana"},"application/vnd.motorola.flexsuite.gotap":{source:"iana"},"application/vnd.motorola.flexsuite.kmr":{source:"iana"},"application/vnd.motorola.flexsuite.ttc":{source:"iana"},"application/vnd.motorola.flexsuite.wem":{source:"iana"},"application/vnd.motorola.iprm":{source:"iana"},"application/vnd.mozilla.xul+xml":{source:"iana",compressible:!0,extensions:["xul"]},"application/vnd.ms-3mfdocument":{source:"iana"},"application/vnd.ms-artgalry":{source:"iana",extensions:["cil"]},"application/vnd.ms-asf":{source:"iana"},"application/vnd.ms-cab-compressed":{source:"iana",extensions:["cab"]},"application/vnd.ms-color.iccprofile":{source:"apache"},"application/vnd.ms-excel":{source:"iana",compressible:!1,extensions:["xls","xlm","xla","xlc","xlt","xlw"]},"application/vnd.ms-excel.addin.macroenabled.12":{source:"iana",extensions:["xlam"]},"application/vnd.ms-excel.sheet.binary.macroenabled.12":{source:"iana",extensions:["xlsb"]},"application/vnd.ms-excel.sheet.macroenabled.12":{source:"iana",extensions:["xlsm"]},"application/vnd.ms-excel.template.macroenabled.12":{source:"iana",extensions:["xltm"]},"application/vnd.ms-fontobject":{source:"iana",compressible:!0,extensions:["eot"]},"application/vnd.ms-htmlhelp":{source:"iana",extensions:["chm"]},"application/vnd.ms-ims":{source:"iana",extensions:["ims"]},"application/vnd.ms-lrm":{source:"iana",extensions:["lrm"]},"application/vnd.ms-office.activex+xml":{source:"iana",compressible:!0},"application/vnd.ms-officetheme":{source:"iana",extensions:["thmx"]},"application/vnd.ms-opentype":{source:"apache",compressible:!0},"application/vnd.ms-outlook":{compressible:!1,extensions:["msg"]},"application/vnd.ms-package.obfuscated-opentype":{source:"apache"},"application/vnd.ms-pki.seccat":{source:"apache",extensions:["cat"]},"application/vnd.ms-pki.stl":{source:"apache",extensions:["stl"]},"application/vnd.ms-playready.initiator+xml":{source:"iana",compressible:!0},"application/vnd.ms-powerpoint":{source:"iana",compressible:!1,extensions:["ppt","pps","pot"]},"application/vnd.ms-powerpoint.addin.macroenabled.12":{source:"iana",extensions:["ppam"]},"application/vnd.ms-powerpoint.presentation.macroenabled.12":{source:"iana",extensions:["pptm"]},"application/vnd.ms-powerpoint.slide.macroenabled.12":{source:"iana",extensions:["sldm"]},"application/vnd.ms-powerpoint.slideshow.macroenabled.12":{source:"iana",extensions:["ppsm"]},"application/vnd.ms-powerpoint.template.macroenabled.12":{source:"iana",extensions:["potm"]},"application/vnd.ms-printdevicecapabilities+xml":{source:"iana",compressible:!0},"application/vnd.ms-printing.printticket+xml":{source:"apache",compressible:!0},"application/vnd.ms-printschematicket+xml":{source:"iana",compressible:!0},"application/vnd.ms-project":{source:"iana",extensions:["mpp","mpt"]},"application/vnd.ms-tnef":{source:"iana"},"application/vnd.ms-windows.devicepairing":{source:"iana"},"application/vnd.ms-windows.nwprinting.oob":{source:"iana"},"application/vnd.ms-windows.printerpairing":{source:"iana"},"application/vnd.ms-windows.wsd.oob":{source:"iana"},"application/vnd.ms-wmdrm.lic-chlg-req":{source:"iana"},"application/vnd.ms-wmdrm.lic-resp":{source:"iana"},"application/vnd.ms-wmdrm.meter-chlg-req":{source:"iana"},"application/vnd.ms-wmdrm.meter-resp":{source:"iana"},"application/vnd.ms-word.document.macroenabled.12":{source:"iana",extensions:["docm"]},"application/vnd.ms-word.template.macroenabled.12":{source:"iana",extensions:["dotm"]},"application/vnd.ms-works":{source:"iana",extensions:["wps","wks","wcm","wdb"]},"application/vnd.ms-wpl":{source:"iana",extensions:["wpl"]},"application/vnd.ms-xpsdocument":{source:"iana",compressible:!1,extensions:["xps"]},"application/vnd.msa-disk-image":{source:"iana"},"application/vnd.mseq":{source:"iana",extensions:["mseq"]},"application/vnd.msign":{source:"iana"},"application/vnd.multiad.creator":{source:"iana"},"application/vnd.multiad.creator.cif":{source:"iana"},"application/vnd.music-niff":{source:"iana"},"application/vnd.musician":{source:"iana",extensions:["mus"]},"application/vnd.muvee.style":{source:"iana",extensions:["msty"]},"application/vnd.mynfc":{source:"iana",extensions:["taglet"]},"application/vnd.nacamar.ybrid+json":{source:"iana",compressible:!0},"application/vnd.ncd.control":{source:"iana"},"application/vnd.ncd.reference":{source:"iana"},"application/vnd.nearst.inv+json":{source:"iana",compressible:!0},"application/vnd.nebumind.line":{source:"iana"},"application/vnd.nervana":{source:"iana"},"application/vnd.netfpx":{source:"iana"},"application/vnd.neurolanguage.nlu":{source:"iana",extensions:["nlu"]},"application/vnd.nimn":{source:"iana"},"application/vnd.nintendo.nitro.rom":{source:"iana"},"application/vnd.nintendo.snes.rom":{source:"iana"},"application/vnd.nitf":{source:"iana",extensions:["ntf","nitf"]},"application/vnd.noblenet-directory":{source:"iana",extensions:["nnd"]},"application/vnd.noblenet-sealer":{source:"iana",extensions:["nns"]},"application/vnd.noblenet-web":{source:"iana",extensions:["nnw"]},"application/vnd.nokia.catalogs":{source:"iana"},"application/vnd.nokia.conml+wbxml":{source:"iana"},"application/vnd.nokia.conml+xml":{source:"iana",compressible:!0},"application/vnd.nokia.iptv.config+xml":{source:"iana",compressible:!0},"application/vnd.nokia.isds-radio-presets":{source:"iana"},"application/vnd.nokia.landmark+wbxml":{source:"iana"},"application/vnd.nokia.landmark+xml":{source:"iana",compressible:!0},"application/vnd.nokia.landmarkcollection+xml":{source:"iana",compressible:!0},"application/vnd.nokia.n-gage.ac+xml":{source:"iana",compressible:!0,extensions:["ac"]},"application/vnd.nokia.n-gage.data":{source:"iana",extensions:["ngdat"]},"application/vnd.nokia.n-gage.symbian.install":{source:"iana",extensions:["n-gage"]},"application/vnd.nokia.ncd":{source:"iana"},"application/vnd.nokia.pcd+wbxml":{source:"iana"},"application/vnd.nokia.pcd+xml":{source:"iana",compressible:!0},"application/vnd.nokia.radio-preset":{source:"iana",extensions:["rpst"]},"application/vnd.nokia.radio-presets":{source:"iana",extensions:["rpss"]},"application/vnd.novadigm.edm":{source:"iana",extensions:["edm"]},"application/vnd.novadigm.edx":{source:"iana",extensions:["edx"]},"application/vnd.novadigm.ext":{source:"iana",extensions:["ext"]},"application/vnd.ntt-local.content-share":{source:"iana"},"application/vnd.ntt-local.file-transfer":{source:"iana"},"application/vnd.ntt-local.ogw_remote-access":{source:"iana"},"application/vnd.ntt-local.sip-ta_remote":{source:"iana"},"application/vnd.ntt-local.sip-ta_tcp_stream":{source:"iana"},"application/vnd.oasis.opendocument.chart":{source:"iana",extensions:["odc"]},"application/vnd.oasis.opendocument.chart-template":{source:"iana",extensions:["otc"]},"application/vnd.oasis.opendocument.database":{source:"iana",extensions:["odb"]},"application/vnd.oasis.opendocument.formula":{source:"iana",extensions:["odf"]},"application/vnd.oasis.opendocument.formula-template":{source:"iana",extensions:["odft"]},"application/vnd.oasis.opendocument.graphics":{source:"iana",compressible:!1,extensions:["odg"]},"application/vnd.oasis.opendocument.graphics-template":{source:"iana",extensions:["otg"]},"application/vnd.oasis.opendocument.image":{source:"iana",extensions:["odi"]},"application/vnd.oasis.opendocument.image-template":{source:"iana",extensions:["oti"]},"application/vnd.oasis.opendocument.presentation":{source:"iana",compressible:!1,extensions:["odp"]},"application/vnd.oasis.opendocument.presentation-template":{source:"iana",extensions:["otp"]},"application/vnd.oasis.opendocument.spreadsheet":{source:"iana",compressible:!1,extensions:["ods"]},"application/vnd.oasis.opendocument.spreadsheet-template":{source:"iana",extensions:["ots"]},"application/vnd.oasis.opendocument.text":{source:"iana",compressible:!1,extensions:["odt"]},"application/vnd.oasis.opendocument.text-master":{source:"iana",extensions:["odm"]},"application/vnd.oasis.opendocument.text-template":{source:"iana",extensions:["ott"]},"application/vnd.oasis.opendocument.text-web":{source:"iana",extensions:["oth"]},"application/vnd.obn":{source:"iana"},"application/vnd.ocf+cbor":{source:"iana"},"application/vnd.oci.image.manifest.v1+json":{source:"iana",compressible:!0},"application/vnd.oftn.l10n+json":{source:"iana",compressible:!0},"application/vnd.oipf.contentaccessdownload+xml":{source:"iana",compressible:!0},"application/vnd.oipf.contentaccessstreaming+xml":{source:"iana",compressible:!0},"application/vnd.oipf.cspg-hexbinary":{source:"iana"},"application/vnd.oipf.dae.svg+xml":{source:"iana",compressible:!0},"application/vnd.oipf.dae.xhtml+xml":{source:"iana",compressible:!0},"application/vnd.oipf.mippvcontrolmessage+xml":{source:"iana",compressible:!0},"application/vnd.oipf.pae.gem":{source:"iana"},"application/vnd.oipf.spdiscovery+xml":{source:"iana",compressible:!0},"application/vnd.oipf.spdlist+xml":{source:"iana",compressible:!0},"application/vnd.oipf.ueprofile+xml":{source:"iana",compressible:!0},"application/vnd.oipf.userprofile+xml":{source:"iana",compressible:!0},"application/vnd.olpc-sugar":{source:"iana",extensions:["xo"]},"application/vnd.oma-scws-config":{source:"iana"},"application/vnd.oma-scws-http-request":{source:"iana"},"application/vnd.oma-scws-http-response":{source:"iana"},"application/vnd.oma.bcast.associated-procedure-parameter+xml":{source:"iana",compressible:!0},"application/vnd.oma.bcast.drm-trigger+xml":{source:"iana",compressible:!0},"application/vnd.oma.bcast.imd+xml":{source:"iana",compressible:!0},"application/vnd.oma.bcast.ltkm":{source:"iana"},"application/vnd.oma.bcast.notification+xml":{source:"iana",compressible:!0},"application/vnd.oma.bcast.provisioningtrigger":{source:"iana"},"application/vnd.oma.bcast.sgboot":{source:"iana"},"application/vnd.oma.bcast.sgdd+xml":{source:"iana",compressible:!0},"application/vnd.oma.bcast.sgdu":{source:"iana"},"application/vnd.oma.bcast.simple-symbol-container":{source:"iana"},"application/vnd.oma.bcast.smartcard-trigger+xml":{source:"iana",compressible:!0},"application/vnd.oma.bcast.sprov+xml":{source:"iana",compressible:!0},"application/vnd.oma.bcast.stkm":{source:"iana"},"application/vnd.oma.cab-address-book+xml":{source:"iana",compressible:!0},"application/vnd.oma.cab-feature-handler+xml":{source:"iana",compressible:!0},"application/vnd.oma.cab-pcc+xml":{source:"iana",compressible:!0},"application/vnd.oma.cab-subs-invite+xml":{source:"iana",compressible:!0},"application/vnd.oma.cab-user-prefs+xml":{source:"iana",compressible:!0},"application/vnd.oma.dcd":{source:"iana"},"application/vnd.oma.dcdc":{source:"iana"},"application/vnd.oma.dd2+xml":{source:"iana",compressible:!0,extensions:["dd2"]},"application/vnd.oma.drm.risd+xml":{source:"iana",compressible:!0},"application/vnd.oma.group-usage-list+xml":{source:"iana",compressible:!0},"application/vnd.oma.lwm2m+cbor":{source:"iana"},"application/vnd.oma.lwm2m+json":{source:"iana",compressible:!0},"application/vnd.oma.lwm2m+tlv":{source:"iana"},"application/vnd.oma.pal+xml":{source:"iana",compressible:!0},"application/vnd.oma.poc.detailed-progress-report+xml":{source:"iana",compressible:!0},"application/vnd.oma.poc.final-report+xml":{source:"iana",compressible:!0},"application/vnd.oma.poc.groups+xml":{source:"iana",compressible:!0},"application/vnd.oma.poc.invocation-descriptor+xml":{source:"iana",compressible:!0},"application/vnd.oma.poc.optimized-progress-report+xml":{source:"iana",compressible:!0},"application/vnd.oma.push":{source:"iana"},"application/vnd.oma.scidm.messages+xml":{source:"iana",compressible:!0},"application/vnd.oma.xcap-directory+xml":{source:"iana",compressible:!0},"application/vnd.omads-email+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/vnd.omads-file+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/vnd.omads-folder+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/vnd.omaloc-supl-init":{source:"iana"},"application/vnd.onepager":{source:"iana"},"application/vnd.onepagertamp":{source:"iana"},"application/vnd.onepagertamx":{source:"iana"},"application/vnd.onepagertat":{source:"iana"},"application/vnd.onepagertatp":{source:"iana"},"application/vnd.onepagertatx":{source:"iana"},"application/vnd.openblox.game+xml":{source:"iana",compressible:!0,extensions:["obgx"]},"application/vnd.openblox.game-binary":{source:"iana"},"application/vnd.openeye.oeb":{source:"iana"},"application/vnd.openofficeorg.extension":{source:"apache",extensions:["oxt"]},"application/vnd.openstreetmap.data+xml":{source:"iana",compressible:!0,extensions:["osm"]},"application/vnd.opentimestamps.ots":{source:"iana"},"application/vnd.openxmlformats-officedocument.custom-properties+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.customxmlproperties+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.drawing+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.drawingml.chart+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.drawingml.chartshapes+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.drawingml.diagramcolors+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.drawingml.diagramdata+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.drawingml.diagramlayout+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.drawingml.diagramstyle+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.extended-properties+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.commentauthors+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.comments+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.handoutmaster+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.notesmaster+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.notesslide+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.presentation":{source:"iana",compressible:!1,extensions:["pptx"]},"application/vnd.openxmlformats-officedocument.presentationml.presentation.main+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.presprops+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.slide":{source:"iana",extensions:["sldx"]},"application/vnd.openxmlformats-officedocument.presentationml.slide+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.slidelayout+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.slidemaster+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.slideshow":{source:"iana",extensions:["ppsx"]},"application/vnd.openxmlformats-officedocument.presentationml.slideshow.main+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.slideupdateinfo+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.tablestyles+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.tags+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.template":{source:"iana",extensions:["potx"]},"application/vnd.openxmlformats-officedocument.presentationml.template.main+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.presentationml.viewprops+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.calcchain+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.chartsheet+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.comments+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.connections+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.dialogsheet+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.externallink+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.pivotcachedefinition+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.pivotcacherecords+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.pivottable+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.querytable+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.revisionheaders+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.revisionlog+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.sharedstrings+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.sheet":{source:"iana",compressible:!1,extensions:["xlsx"]},"application/vnd.openxmlformats-officedocument.spreadsheetml.sheet.main+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.sheetmetadata+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.styles+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.table+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.tablesinglecells+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.template":{source:"iana",extensions:["xltx"]},"application/vnd.openxmlformats-officedocument.spreadsheetml.template.main+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.usernames+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.volatiledependencies+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.spreadsheetml.worksheet+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.theme+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.themeoverride+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.vmldrawing":{source:"iana"},"application/vnd.openxmlformats-officedocument.wordprocessingml.comments+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.document":{source:"iana",compressible:!1,extensions:["docx"]},"application/vnd.openxmlformats-officedocument.wordprocessingml.document.glossary+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.document.main+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.endnotes+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.fonttable+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.footer+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.footnotes+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.numbering+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.settings+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.styles+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.template":{source:"iana",extensions:["dotx"]},"application/vnd.openxmlformats-officedocument.wordprocessingml.template.main+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-officedocument.wordprocessingml.websettings+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-package.core-properties+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-package.digital-signature-xmlsignature+xml":{source:"iana",compressible:!0},"application/vnd.openxmlformats-package.relationships+xml":{source:"iana",compressible:!0},"application/vnd.oracle.resource+json":{source:"iana",compressible:!0},"application/vnd.orange.indata":{source:"iana"},"application/vnd.osa.netdeploy":{source:"iana"},"application/vnd.osgeo.mapguide.package":{source:"iana",extensions:["mgp"]},"application/vnd.osgi.bundle":{source:"iana"},"application/vnd.osgi.dp":{source:"iana",extensions:["dp"]},"application/vnd.osgi.subsystem":{source:"iana",extensions:["esa"]},"application/vnd.otps.ct-kip+xml":{source:"iana",compressible:!0},"application/vnd.oxli.countgraph":{source:"iana"},"application/vnd.pagerduty+json":{source:"iana",compressible:!0},"application/vnd.palm":{source:"iana",extensions:["pdb","pqa","oprc"]},"application/vnd.panoply":{source:"iana"},"application/vnd.paos.xml":{source:"iana"},"application/vnd.patentdive":{source:"iana"},"application/vnd.patientecommsdoc":{source:"iana"},"application/vnd.pawaafile":{source:"iana",extensions:["paw"]},"application/vnd.pcos":{source:"iana"},"application/vnd.pg.format":{source:"iana",extensions:["str"]},"application/vnd.pg.osasli":{source:"iana",extensions:["ei6"]},"application/vnd.piaccess.application-licence":{source:"iana"},"application/vnd.picsel":{source:"iana",extensions:["efif"]},"application/vnd.pmi.widget":{source:"iana",extensions:["wg"]},"application/vnd.poc.group-advertisement+xml":{source:"iana",compressible:!0},"application/vnd.pocketlearn":{source:"iana",extensions:["plf"]},"application/vnd.powerbuilder6":{source:"iana",extensions:["pbd"]},"application/vnd.powerbuilder6-s":{source:"iana"},"application/vnd.powerbuilder7":{source:"iana"},"application/vnd.powerbuilder7-s":{source:"iana"},"application/vnd.powerbuilder75":{source:"iana"},"application/vnd.powerbuilder75-s":{source:"iana"},"application/vnd.preminet":{source:"iana"},"application/vnd.previewsystems.box":{source:"iana",extensions:["box"]},"application/vnd.proteus.magazine":{source:"iana",extensions:["mgz"]},"application/vnd.psfs":{source:"iana"},"application/vnd.publishare-delta-tree":{source:"iana",extensions:["qps"]},"application/vnd.pvi.ptid1":{source:"iana",extensions:["ptid"]},"application/vnd.pwg-multiplexed":{source:"iana"},"application/vnd.pwg-xhtml-print+xml":{source:"iana",compressible:!0},"application/vnd.qualcomm.brew-app-res":{source:"iana"},"application/vnd.quarantainenet":{source:"iana"},"application/vnd.quark.quarkxpress":{source:"iana",extensions:["qxd","qxt","qwd","qwt","qxl","qxb"]},"application/vnd.quobject-quoxdocument":{source:"iana"},"application/vnd.radisys.moml+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-audit+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-audit-conf+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-audit-conn+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-audit-dialog+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-audit-stream+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-conf+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-dialog+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-dialog-base+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-dialog-fax-detect+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-dialog-fax-sendrecv+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-dialog-group+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-dialog-speech+xml":{source:"iana",compressible:!0},"application/vnd.radisys.msml-dialog-transform+xml":{source:"iana",compressible:!0},"application/vnd.rainstor.data":{source:"iana"},"application/vnd.rapid":{source:"iana"},"application/vnd.rar":{source:"iana",extensions:["rar"]},"application/vnd.realvnc.bed":{source:"iana",extensions:["bed"]},"application/vnd.recordare.musicxml":{source:"iana",extensions:["mxl"]},"application/vnd.recordare.musicxml+xml":{source:"iana",compressible:!0,extensions:["musicxml"]},"application/vnd.renlearn.rlprint":{source:"iana"},"application/vnd.resilient.logic":{source:"iana"},"application/vnd.restful+json":{source:"iana",compressible:!0},"application/vnd.rig.cryptonote":{source:"iana",extensions:["cryptonote"]},"application/vnd.rim.cod":{source:"apache",extensions:["cod"]},"application/vnd.rn-realmedia":{source:"apache",extensions:["rm"]},"application/vnd.rn-realmedia-vbr":{source:"apache",extensions:["rmvb"]},"application/vnd.route66.link66+xml":{source:"iana",compressible:!0,extensions:["link66"]},"application/vnd.rs-274x":{source:"iana"},"application/vnd.ruckus.download":{source:"iana"},"application/vnd.s3sms":{source:"iana"},"application/vnd.sailingtracker.track":{source:"iana",extensions:["st"]},"application/vnd.sar":{source:"iana"},"application/vnd.sbm.cid":{source:"iana"},"application/vnd.sbm.mid2":{source:"iana"},"application/vnd.scribus":{source:"iana"},"application/vnd.sealed.3df":{source:"iana"},"application/vnd.sealed.csf":{source:"iana"},"application/vnd.sealed.doc":{source:"iana"},"application/vnd.sealed.eml":{source:"iana"},"application/vnd.sealed.mht":{source:"iana"},"application/vnd.sealed.net":{source:"iana"},"application/vnd.sealed.ppt":{source:"iana"},"application/vnd.sealed.tiff":{source:"iana"},"application/vnd.sealed.xls":{source:"iana"},"application/vnd.sealedmedia.softseal.html":{source:"iana"},"application/vnd.sealedmedia.softseal.pdf":{source:"iana"},"application/vnd.seemail":{source:"iana",extensions:["see"]},"application/vnd.seis+json":{source:"iana",compressible:!0},"application/vnd.sema":{source:"iana",extensions:["sema"]},"application/vnd.semd":{source:"iana",extensions:["semd"]},"application/vnd.semf":{source:"iana",extensions:["semf"]},"application/vnd.shade-save-file":{source:"iana"},"application/vnd.shana.informed.formdata":{source:"iana",extensions:["ifm"]},"application/vnd.shana.informed.formtemplate":{source:"iana",extensions:["itp"]},"application/vnd.shana.informed.interchange":{source:"iana",extensions:["iif"]},"application/vnd.shana.informed.package":{source:"iana",extensions:["ipk"]},"application/vnd.shootproof+json":{source:"iana",compressible:!0},"application/vnd.shopkick+json":{source:"iana",compressible:!0},"application/vnd.shp":{source:"iana"},"application/vnd.shx":{source:"iana"},"application/vnd.sigrok.session":{source:"iana"},"application/vnd.simtech-mindmapper":{source:"iana",extensions:["twd","twds"]},"application/vnd.siren+json":{source:"iana",compressible:!0},"application/vnd.smaf":{source:"iana",extensions:["mmf"]},"application/vnd.smart.notebook":{source:"iana"},"application/vnd.smart.teacher":{source:"iana",extensions:["teacher"]},"application/vnd.snesdev-page-table":{source:"iana"},"application/vnd.software602.filler.form+xml":{source:"iana",compressible:!0,extensions:["fo"]},"application/vnd.software602.filler.form-xml-zip":{source:"iana"},"application/vnd.solent.sdkm+xml":{source:"iana",compressible:!0,extensions:["sdkm","sdkd"]},"application/vnd.spotfire.dxp":{source:"iana",extensions:["dxp"]},"application/vnd.spotfire.sfs":{source:"iana",extensions:["sfs"]},"application/vnd.sqlite3":{source:"iana"},"application/vnd.sss-cod":{source:"iana"},"application/vnd.sss-dtf":{source:"iana"},"application/vnd.sss-ntf":{source:"iana"},"application/vnd.stardivision.calc":{source:"apache",extensions:["sdc"]},"application/vnd.stardivision.draw":{source:"apache",extensions:["sda"]},"application/vnd.stardivision.impress":{source:"apache",extensions:["sdd"]},"application/vnd.stardivision.math":{source:"apache",extensions:["smf"]},"application/vnd.stardivision.writer":{source:"apache",extensions:["sdw","vor"]},"application/vnd.stardivision.writer-global":{source:"apache",extensions:["sgl"]},"application/vnd.stepmania.package":{source:"iana",extensions:["smzip"]},"application/vnd.stepmania.stepchart":{source:"iana",extensions:["sm"]},"application/vnd.street-stream":{source:"iana"},"application/vnd.sun.wadl+xml":{source:"iana",compressible:!0,extensions:["wadl"]},"application/vnd.sun.xml.calc":{source:"apache",extensions:["sxc"]},"application/vnd.sun.xml.calc.template":{source:"apache",extensions:["stc"]},"application/vnd.sun.xml.draw":{source:"apache",extensions:["sxd"]},"application/vnd.sun.xml.draw.template":{source:"apache",extensions:["std"]},"application/vnd.sun.xml.impress":{source:"apache",extensions:["sxi"]},"application/vnd.sun.xml.impress.template":{source:"apache",extensions:["sti"]},"application/vnd.sun.xml.math":{source:"apache",extensions:["sxm"]},"application/vnd.sun.xml.writer":{source:"apache",extensions:["sxw"]},"application/vnd.sun.xml.writer.global":{source:"apache",extensions:["sxg"]},"application/vnd.sun.xml.writer.template":{source:"apache",extensions:["stw"]},"application/vnd.sus-calendar":{source:"iana",extensions:["sus","susp"]},"application/vnd.svd":{source:"iana",extensions:["svd"]},"application/vnd.swiftview-ics":{source:"iana"},"application/vnd.sycle+xml":{source:"iana",compressible:!0},"application/vnd.syft+json":{source:"iana",compressible:!0},"application/vnd.symbian.install":{source:"apache",extensions:["sis","sisx"]},"application/vnd.syncml+xml":{source:"iana",charset:"UTF-8",compressible:!0,extensions:["xsm"]},"application/vnd.syncml.dm+wbxml":{source:"iana",charset:"UTF-8",extensions:["bdm"]},"application/vnd.syncml.dm+xml":{source:"iana",charset:"UTF-8",compressible:!0,extensions:["xdm"]},"application/vnd.syncml.dm.notification":{source:"iana"},"application/vnd.syncml.dmddf+wbxml":{source:"iana"},"application/vnd.syncml.dmddf+xml":{source:"iana",charset:"UTF-8",compressible:!0,extensions:["ddf"]},"application/vnd.syncml.dmtnds+wbxml":{source:"iana"},"application/vnd.syncml.dmtnds+xml":{source:"iana",charset:"UTF-8",compressible:!0},"application/vnd.syncml.ds.notification":{source:"iana"},"application/vnd.tableschema+json":{source:"iana",compressible:!0},"application/vnd.tao.intent-module-archive":{source:"iana",extensions:["tao"]},"application/vnd.tcpdump.pcap":{source:"iana",extensions:["pcap","cap","dmp"]},"application/vnd.think-cell.ppttc+json":{source:"iana",compressible:!0},"application/vnd.tmd.mediaflex.api+xml":{source:"iana",compressible:!0},"application/vnd.tml":{source:"iana"},"application/vnd.tmobile-livetv":{source:"iana",extensions:["tmo"]},"application/vnd.tri.onesource":{source:"iana"},"application/vnd.trid.tpt":{source:"iana",extensions:["tpt"]},"application/vnd.triscape.mxs":{source:"iana",extensions:["mxs"]},"application/vnd.trueapp":{source:"iana",extensions:["tra"]},"application/vnd.truedoc":{source:"iana"},"application/vnd.ubisoft.webplayer":{source:"iana"},"application/vnd.ufdl":{source:"iana",extensions:["ufd","ufdl"]},"application/vnd.uiq.theme":{source:"iana",extensions:["utz"]},"application/vnd.umajin":{source:"iana",extensions:["umj"]},"application/vnd.unity":{source:"iana",extensions:["unityweb"]},"application/vnd.uoml+xml":{source:"iana",compressible:!0,extensions:["uoml"]},"application/vnd.uplanet.alert":{source:"iana"},"application/vnd.uplanet.alert-wbxml":{source:"iana"},"application/vnd.uplanet.bearer-choice":{source:"iana"},"application/vnd.uplanet.bearer-choice-wbxml":{source:"iana"},"application/vnd.uplanet.cacheop":{source:"iana"},"application/vnd.uplanet.cacheop-wbxml":{source:"iana"},"application/vnd.uplanet.channel":{source:"iana"},"application/vnd.uplanet.channel-wbxml":{source:"iana"},"application/vnd.uplanet.list":{source:"iana"},"application/vnd.uplanet.list-wbxml":{source:"iana"},"application/vnd.uplanet.listcmd":{source:"iana"},"application/vnd.uplanet.listcmd-wbxml":{source:"iana"},"application/vnd.uplanet.signal":{source:"iana"},"application/vnd.uri-map":{source:"iana"},"application/vnd.valve.source.material":{source:"iana"},"application/vnd.vcx":{source:"iana",extensions:["vcx"]},"application/vnd.vd-study":{source:"iana"},"application/vnd.vectorworks":{source:"iana"},"application/vnd.vel+json":{source:"iana",compressible:!0},"application/vnd.verimatrix.vcas":{source:"iana"},"application/vnd.veritone.aion+json":{source:"iana",compressible:!0},"application/vnd.veryant.thin":{source:"iana"},"application/vnd.ves.encrypted":{source:"iana"},"application/vnd.vidsoft.vidconference":{source:"iana"},"application/vnd.visio":{source:"iana",extensions:["vsd","vst","vss","vsw"]},"application/vnd.visionary":{source:"iana",extensions:["vis"]},"application/vnd.vividence.scriptfile":{source:"iana"},"application/vnd.vsf":{source:"iana",extensions:["vsf"]},"application/vnd.wap.sic":{source:"iana"},"application/vnd.wap.slc":{source:"iana"},"application/vnd.wap.wbxml":{source:"iana",charset:"UTF-8",extensions:["wbxml"]},"application/vnd.wap.wmlc":{source:"iana",extensions:["wmlc"]},"application/vnd.wap.wmlscriptc":{source:"iana",extensions:["wmlsc"]},"application/vnd.webturbo":{source:"iana",extensions:["wtb"]},"application/vnd.wfa.dpp":{source:"iana"},"application/vnd.wfa.p2p":{source:"iana"},"application/vnd.wfa.wsc":{source:"iana"},"application/vnd.windows.devicepairing":{source:"iana"},"application/vnd.wmc":{source:"iana"},"application/vnd.wmf.bootstrap":{source:"iana"},"application/vnd.wolfram.mathematica":{source:"iana"},"application/vnd.wolfram.mathematica.package":{source:"iana"},"application/vnd.wolfram.player":{source:"iana",extensions:["nbp"]},"application/vnd.wordperfect":{source:"iana",extensions:["wpd"]},"application/vnd.wqd":{source:"iana",extensions:["wqd"]},"application/vnd.wrq-hp3000-labelled":{source:"iana"},"application/vnd.wt.stf":{source:"iana",extensions:["stf"]},"application/vnd.wv.csp+wbxml":{source:"iana"},"application/vnd.wv.csp+xml":{source:"iana",compressible:!0},"application/vnd.wv.ssp+xml":{source:"iana",compressible:!0},"application/vnd.xacml+json":{source:"iana",compressible:!0},"application/vnd.xara":{source:"iana",extensions:["xar"]},"application/vnd.xfdl":{source:"iana",extensions:["xfdl"]},"application/vnd.xfdl.webform":{source:"iana"},"application/vnd.xmi+xml":{source:"iana",compressible:!0},"application/vnd.xmpie.cpkg":{source:"iana"},"application/vnd.xmpie.dpkg":{source:"iana"},"application/vnd.xmpie.plan":{source:"iana"},"application/vnd.xmpie.ppkg":{source:"iana"},"application/vnd.xmpie.xlim":{source:"iana"},"application/vnd.yamaha.hv-dic":{source:"iana",extensions:["hvd"]},"application/vnd.yamaha.hv-script":{source:"iana",extensions:["hvs"]},"application/vnd.yamaha.hv-voice":{source:"iana",extensions:["hvp"]},"application/vnd.yamaha.openscoreformat":{source:"iana",extensions:["osf"]},"application/vnd.yamaha.openscoreformat.osfpvg+xml":{source:"iana",compressible:!0,extensions:["osfpvg"]},"application/vnd.yamaha.remote-setup":{source:"iana"},"application/vnd.yamaha.smaf-audio":{source:"iana",extensions:["saf"]},"application/vnd.yamaha.smaf-phrase":{source:"iana",extensions:["spf"]},"application/vnd.yamaha.through-ngn":{source:"iana"},"application/vnd.yamaha.tunnel-udpencap":{source:"iana"},"application/vnd.yaoweme":{source:"iana"},"application/vnd.yellowriver-custom-menu":{source:"iana",extensions:["cmp"]},"application/vnd.youtube.yt":{source:"iana"},"application/vnd.zul":{source:"iana",extensions:["zir","zirz"]},"application/vnd.zzazz.deck+xml":{source:"iana",compressible:!0,extensions:["zaz"]},"application/voicexml+xml":{source:"iana",compressible:!0,extensions:["vxml"]},"application/voucher-cms+json":{source:"iana",compressible:!0},"application/vq-rtcpxr":{source:"iana"},"application/wasm":{source:"iana",compressible:!0,extensions:["wasm"]},"application/watcherinfo+xml":{source:"iana",compressible:!0,extensions:["wif"]},"application/webpush-options+json":{source:"iana",compressible:!0},"application/whoispp-query":{source:"iana"},"application/whoispp-response":{source:"iana"},"application/widget":{source:"iana",extensions:["wgt"]},"application/winhlp":{source:"apache",extensions:["hlp"]},"application/wita":{source:"iana"},"application/wordperfect5.1":{source:"iana"},"application/wsdl+xml":{source:"iana",compressible:!0,extensions:["wsdl"]},"application/wspolicy+xml":{source:"iana",compressible:!0,extensions:["wspolicy"]},"application/x-7z-compressed":{source:"apache",compressible:!1,extensions:["7z"]},"application/x-abiword":{source:"apache",extensions:["abw"]},"application/x-ace-compressed":{source:"apache",extensions:["ace"]},"application/x-amf":{source:"apache"},"application/x-apple-diskimage":{source:"apache",extensions:["dmg"]},"application/x-arj":{compressible:!1,extensions:["arj"]},"application/x-authorware-bin":{source:"apache",extensions:["aab","x32","u32","vox"]},"application/x-authorware-map":{source:"apache",extensions:["aam"]},"application/x-authorware-seg":{source:"apache",extensions:["aas"]},"application/x-bcpio":{source:"apache",extensions:["bcpio"]},"application/x-bdoc":{compressible:!1,extensions:["bdoc"]},"application/x-bittorrent":{source:"apache",extensions:["torrent"]},"application/x-blorb":{source:"apache",extensions:["blb","blorb"]},"application/x-bzip":{source:"apache",compressible:!1,extensions:["bz"]},"application/x-bzip2":{source:"apache",compressible:!1,extensions:["bz2","boz"]},"application/x-cbr":{source:"apache",extensions:["cbr","cba","cbt","cbz","cb7"]},"application/x-cdlink":{source:"apache",extensions:["vcd"]},"application/x-cfs-compressed":{source:"apache",extensions:["cfs"]},"application/x-chat":{source:"apache",extensions:["chat"]},"application/x-chess-pgn":{source:"apache",extensions:["pgn"]},"application/x-chrome-extension":{extensions:["crx"]},"application/x-cocoa":{source:"nginx",extensions:["cco"]},"application/x-compress":{source:"apache"},"application/x-conference":{source:"apache",extensions:["nsc"]},"application/x-cpio":{source:"apache",extensions:["cpio"]},"application/x-csh":{source:"apache",extensions:["csh"]},"application/x-deb":{compressible:!1},"application/x-debian-package":{source:"apache",extensions:["deb","udeb"]},"application/x-dgc-compressed":{source:"apache",extensions:["dgc"]},"application/x-director":{source:"apache",extensions:["dir","dcr","dxr","cst","cct","cxt","w3d","fgd","swa"]},"application/x-doom":{source:"apache",extensions:["wad"]},"application/x-dtbncx+xml":{source:"apache",compressible:!0,extensions:["ncx"]},"application/x-dtbook+xml":{source:"apache",compressible:!0,extensions:["dtb"]},"application/x-dtbresource+xml":{source:"apache",compressible:!0,extensions:["res"]},"application/x-dvi":{source:"apache",compressible:!1,extensions:["dvi"]},"application/x-envoy":{source:"apache",extensions:["evy"]},"application/x-eva":{source:"apache",extensions:["eva"]},"application/x-font-bdf":{source:"apache",extensions:["bdf"]},"application/x-font-dos":{source:"apache"},"application/x-font-framemaker":{source:"apache"},"application/x-font-ghostscript":{source:"apache",extensions:["gsf"]},"application/x-font-libgrx":{source:"apache"},"application/x-font-linux-psf":{source:"apache",extensions:["psf"]},"application/x-font-pcf":{source:"apache",extensions:["pcf"]},"application/x-font-snf":{source:"apache",extensions:["snf"]},"application/x-font-speedo":{source:"apache"},"application/x-font-sunos-news":{source:"apache"},"application/x-font-type1":{source:"apache",extensions:["pfa","pfb","pfm","afm"]},"application/x-font-vfont":{source:"apache"},"application/x-freearc":{source:"apache",extensions:["arc"]},"application/x-futuresplash":{source:"apache",extensions:["spl"]},"application/x-gca-compressed":{source:"apache",extensions:["gca"]},"application/x-glulx":{source:"apache",extensions:["ulx"]},"application/x-gnumeric":{source:"apache",extensions:["gnumeric"]},"application/x-gramps-xml":{source:"apache",extensions:["gramps"]},"application/x-gtar":{source:"apache",extensions:["gtar"]},"application/x-gzip":{source:"apache"},"application/x-hdf":{source:"apache",extensions:["hdf"]},"application/x-httpd-php":{compressible:!0,extensions:["php"]},"application/x-install-instructions":{source:"apache",extensions:["install"]},"application/x-iso9660-image":{source:"apache",extensions:["iso"]},"application/x-iwork-keynote-sffkey":{extensions:["key"]},"application/x-iwork-numbers-sffnumbers":{extensions:["numbers"]},"application/x-iwork-pages-sffpages":{extensions:["pages"]},"application/x-java-archive-diff":{source:"nginx",extensions:["jardiff"]},"application/x-java-jnlp-file":{source:"apache",compressible:!1,extensions:["jnlp"]},"application/x-javascript":{compressible:!0},"application/x-keepass2":{extensions:["kdbx"]},"application/x-latex":{source:"apache",compressible:!1,extensions:["latex"]},"application/x-lua-bytecode":{extensions:["luac"]},"application/x-lzh-compressed":{source:"apache",extensions:["lzh","lha"]},"application/x-makeself":{source:"nginx",extensions:["run"]},"application/x-mie":{source:"apache",extensions:["mie"]},"application/x-mobipocket-ebook":{source:"apache",extensions:["prc","mobi"]},"application/x-mpegurl":{compressible:!1},"application/x-ms-application":{source:"apache",extensions:["application"]},"application/x-ms-shortcut":{source:"apache",extensions:["lnk"]},"application/x-ms-wmd":{source:"apache",extensions:["wmd"]},"application/x-ms-wmz":{source:"apache",extensions:["wmz"]},"application/x-ms-xbap":{source:"apache",extensions:["xbap"]},"application/x-msaccess":{source:"apache",extensions:["mdb"]},"application/x-msbinder":{source:"apache",extensions:["obd"]},"application/x-mscardfile":{source:"apache",extensions:["crd"]},"application/x-msclip":{source:"apache",extensions:["clp"]},"application/x-msdos-program":{extensions:["exe"]},"application/x-msdownload":{source:"apache",extensions:["exe","dll","com","bat","msi"]},"application/x-msmediaview":{source:"apache",extensions:["mvb","m13","m14"]},"application/x-msmetafile":{source:"apache",extensions:["wmf","wmz","emf","emz"]},"application/x-msmoney":{source:"apache",extensions:["mny"]},"application/x-mspublisher":{source:"apache",extensions:["pub"]},"application/x-msschedule":{source:"apache",extensions:["scd"]},"application/x-msterminal":{source:"apache",extensions:["trm"]},"application/x-mswrite":{source:"apache",extensions:["wri"]},"application/x-netcdf":{source:"apache",extensions:["nc","cdf"]},"application/x-ns-proxy-autoconfig":{compressible:!0,extensions:["pac"]},"application/x-nzb":{source:"apache",extensions:["nzb"]},"application/x-perl":{source:"nginx",extensions:["pl","pm"]},"application/x-pilot":{source:"nginx",extensions:["prc","pdb"]},"application/x-pkcs12":{source:"apache",compressible:!1,extensions:["p12","pfx"]},"application/x-pkcs7-certificates":{source:"apache",extensions:["p7b","spc"]},"application/x-pkcs7-certreqresp":{source:"apache",extensions:["p7r"]},"application/x-pki-message":{source:"iana"},"application/x-rar-compressed":{source:"apache",compressible:!1,extensions:["rar"]},"application/x-redhat-package-manager":{source:"nginx",extensions:["rpm"]},"application/x-research-info-systems":{source:"apache",extensions:["ris"]},"application/x-sea":{source:"nginx",extensions:["sea"]},"application/x-sh":{source:"apache",compressible:!0,extensions:["sh"]},"application/x-shar":{source:"apache",extensions:["shar"]},"application/x-shockwave-flash":{source:"apache",compressible:!1,extensions:["swf"]},"application/x-silverlight-app":{source:"apache",extensions:["xap"]},"application/x-sql":{source:"apache",extensions:["sql"]},"application/x-stuffit":{source:"apache",compressible:!1,extensions:["sit"]},"application/x-stuffitx":{source:"apache",extensions:["sitx"]},"application/x-subrip":{source:"apache",extensions:["srt"]},"application/x-sv4cpio":{source:"apache",extensions:["sv4cpio"]},"application/x-sv4crc":{source:"apache",extensions:["sv4crc"]},"application/x-t3vm-image":{source:"apache",extensions:["t3"]},"application/x-tads":{source:"apache",extensions:["gam"]},"application/x-tar":{source:"apache",compressible:!0,extensions:["tar"]},"application/x-tcl":{source:"apache",extensions:["tcl","tk"]},"application/x-tex":{source:"apache",extensions:["tex"]},"application/x-tex-tfm":{source:"apache",extensions:["tfm"]},"application/x-texinfo":{source:"apache",extensions:["texinfo","texi"]},"application/x-tgif":{source:"apache",extensions:["obj"]},"application/x-ustar":{source:"apache",extensions:["ustar"]},"application/x-virtualbox-hdd":{compressible:!0,extensions:["hdd"]},"application/x-virtualbox-ova":{compressible:!0,extensions:["ova"]},"application/x-virtualbox-ovf":{compressible:!0,extensions:["ovf"]},"application/x-virtualbox-vbox":{compressible:!0,extensions:["vbox"]},"application/x-virtualbox-vbox-extpack":{compressible:!1,extensions:["vbox-extpack"]},"application/x-virtualbox-vdi":{compressible:!0,extensions:["vdi"]},"application/x-virtualbox-vhd":{compressible:!0,extensions:["vhd"]},"application/x-virtualbox-vmdk":{compressible:!0,extensions:["vmdk"]},"application/x-wais-source":{source:"apache",extensions:["src"]},"application/x-web-app-manifest+json":{compressible:!0,extensions:["webapp"]},"application/x-www-form-urlencoded":{source:"iana",compressible:!0},"application/x-x509-ca-cert":{source:"iana",extensions:["der","crt","pem"]},"application/x-x509-ca-ra-cert":{source:"iana"},"application/x-x509-next-ca-cert":{source:"iana"},"application/x-xfig":{source:"apache",extensions:["fig"]},"application/x-xliff+xml":{source:"apache",compressible:!0,extensions:["xlf"]},"application/x-xpinstall":{source:"apache",compressible:!1,extensions:["xpi"]},"application/x-xz":{source:"apache",extensions:["xz"]},"application/x-zmachine":{source:"apache",extensions:["z1","z2","z3","z4","z5","z6","z7","z8"]},"application/x400-bp":{source:"iana"},"application/xacml+xml":{source:"iana",compressible:!0},"application/xaml+xml":{source:"apache",compressible:!0,extensions:["xaml"]},"application/xcap-att+xml":{source:"iana",compressible:!0,extensions:["xav"]},"application/xcap-caps+xml":{source:"iana",compressible:!0,extensions:["xca"]},"application/xcap-diff+xml":{source:"iana",compressible:!0,extensions:["xdf"]},"application/xcap-el+xml":{source:"iana",compressible:!0,extensions:["xel"]},"application/xcap-error+xml":{source:"iana",compressible:!0},"application/xcap-ns+xml":{source:"iana",compressible:!0,extensions:["xns"]},"application/xcon-conference-info+xml":{source:"iana",compressible:!0},"application/xcon-conference-info-diff+xml":{source:"iana",compressible:!0},"application/xenc+xml":{source:"iana",compressible:!0,extensions:["xenc"]},"application/xhtml+xml":{source:"iana",compressible:!0,extensions:["xhtml","xht"]},"application/xhtml-voice+xml":{source:"apache",compressible:!0},"application/xliff+xml":{source:"iana",compressible:!0,extensions:["xlf"]},"application/xml":{source:"iana",compressible:!0,extensions:["xml","xsl","xsd","rng"]},"application/xml-dtd":{source:"iana",compressible:!0,extensions:["dtd"]},"application/xml-external-parsed-entity":{source:"iana"},"application/xml-patch+xml":{source:"iana",compressible:!0},"application/xmpp+xml":{source:"iana",compressible:!0},"application/xop+xml":{source:"iana",compressible:!0,extensions:["xop"]},"application/xproc+xml":{source:"apache",compressible:!0,extensions:["xpl"]},"application/xslt+xml":{source:"iana",compressible:!0,extensions:["xsl","xslt"]},"application/xspf+xml":{source:"apache",compressible:!0,extensions:["xspf"]},"application/xv+xml":{source:"iana",compressible:!0,extensions:["mxml","xhvml","xvml","xvm"]},"application/yang":{source:"iana",extensions:["yang"]},"application/yang-data+json":{source:"iana",compressible:!0},"application/yang-data+xml":{source:"iana",compressible:!0},"application/yang-patch+json":{source:"iana",compressible:!0},"application/yang-patch+xml":{source:"iana",compressible:!0},"application/yin+xml":{source:"iana",compressible:!0,extensions:["yin"]},"application/zip":{source:"iana",compressible:!1,extensions:["zip"]},"application/zlib":{source:"iana"},"application/zstd":{source:"iana"},"audio/1d-interleaved-parityfec":{source:"iana"},"audio/32kadpcm":{source:"iana"},"audio/3gpp":{source:"iana",compressible:!1,extensions:["3gpp"]},"audio/3gpp2":{source:"iana"},"audio/aac":{source:"iana"},"audio/ac3":{source:"iana"},"audio/adpcm":{source:"apache",extensions:["adp"]},"audio/amr":{source:"iana",extensions:["amr"]},"audio/amr-wb":{source:"iana"},"audio/amr-wb+":{source:"iana"},"audio/aptx":{source:"iana"},"audio/asc":{source:"iana"},"audio/atrac-advanced-lossless":{source:"iana"},"audio/atrac-x":{source:"iana"},"audio/atrac3":{source:"iana"},"audio/basic":{source:"iana",compressible:!1,extensions:["au","snd"]},"audio/bv16":{source:"iana"},"audio/bv32":{source:"iana"},"audio/clearmode":{source:"iana"},"audio/cn":{source:"iana"},"audio/dat12":{source:"iana"},"audio/dls":{source:"iana"},"audio/dsr-es201108":{source:"iana"},"audio/dsr-es202050":{source:"iana"},"audio/dsr-es202211":{source:"iana"},"audio/dsr-es202212":{source:"iana"},"audio/dv":{source:"iana"},"audio/dvi4":{source:"iana"},"audio/eac3":{source:"iana"},"audio/encaprtp":{source:"iana"},"audio/evrc":{source:"iana"},"audio/evrc-qcp":{source:"iana"},"audio/evrc0":{source:"iana"},"audio/evrc1":{source:"iana"},"audio/evrcb":{source:"iana"},"audio/evrcb0":{source:"iana"},"audio/evrcb1":{source:"iana"},"audio/evrcnw":{source:"iana"},"audio/evrcnw0":{source:"iana"},"audio/evrcnw1":{source:"iana"},"audio/evrcwb":{source:"iana"},"audio/evrcwb0":{source:"iana"},"audio/evrcwb1":{source:"iana"},"audio/evs":{source:"iana"},"audio/flexfec":{source:"iana"},"audio/fwdred":{source:"iana"},"audio/g711-0":{source:"iana"},"audio/g719":{source:"iana"},"audio/g722":{source:"iana"},"audio/g7221":{source:"iana"},"audio/g723":{source:"iana"},"audio/g726-16":{source:"iana"},"audio/g726-24":{source:"iana"},"audio/g726-32":{source:"iana"},"audio/g726-40":{source:"iana"},"audio/g728":{source:"iana"},"audio/g729":{source:"iana"},"audio/g7291":{source:"iana"},"audio/g729d":{source:"iana"},"audio/g729e":{source:"iana"},"audio/gsm":{source:"iana"},"audio/gsm-efr":{source:"iana"},"audio/gsm-hr-08":{source:"iana"},"audio/ilbc":{source:"iana"},"audio/ip-mr_v2.5":{source:"iana"},"audio/isac":{source:"apache"},"audio/l16":{source:"iana"},"audio/l20":{source:"iana"},"audio/l24":{source:"iana",compressible:!1},"audio/l8":{source:"iana"},"audio/lpc":{source:"iana"},"audio/melp":{source:"iana"},"audio/melp1200":{source:"iana"},"audio/melp2400":{source:"iana"},"audio/melp600":{source:"iana"},"audio/mhas":{source:"iana"},"audio/midi":{source:"apache",extensions:["mid","midi","kar","rmi"]},"audio/mobile-xmf":{source:"iana",extensions:["mxmf"]},"audio/mp3":{compressible:!1,extensions:["mp3"]},"audio/mp4":{source:"iana",compressible:!1,extensions:["m4a","mp4a"]},"audio/mp4a-latm":{source:"iana"},"audio/mpa":{source:"iana"},"audio/mpa-robust":{source:"iana"},"audio/mpeg":{source:"iana",compressible:!1,extensions:["mpga","mp2","mp2a","mp3","m2a","m3a"]},"audio/mpeg4-generic":{source:"iana"},"audio/musepack":{source:"apache"},"audio/ogg":{source:"iana",compressible:!1,extensions:["oga","ogg","spx","opus"]},"audio/opus":{source:"iana"},"audio/parityfec":{source:"iana"},"audio/pcma":{source:"iana"},"audio/pcma-wb":{source:"iana"},"audio/pcmu":{source:"iana"},"audio/pcmu-wb":{source:"iana"},"audio/prs.sid":{source:"iana"},"audio/qcelp":{source:"iana"},"audio/raptorfec":{source:"iana"},"audio/red":{source:"iana"},"audio/rtp-enc-aescm128":{source:"iana"},"audio/rtp-midi":{source:"iana"},"audio/rtploopback":{source:"iana"},"audio/rtx":{source:"iana"},"audio/s3m":{source:"apache",extensions:["s3m"]},"audio/scip":{source:"iana"},"audio/silk":{source:"apache",extensions:["sil"]},"audio/smv":{source:"iana"},"audio/smv-qcp":{source:"iana"},"audio/smv0":{source:"iana"},"audio/sofa":{source:"iana"},"audio/sp-midi":{source:"iana"},"audio/speex":{source:"iana"},"audio/t140c":{source:"iana"},"audio/t38":{source:"iana"},"audio/telephone-event":{source:"iana"},"audio/tetra_acelp":{source:"iana"},"audio/tetra_acelp_bb":{source:"iana"},"audio/tone":{source:"iana"},"audio/tsvcis":{source:"iana"},"audio/uemclip":{source:"iana"},"audio/ulpfec":{source:"iana"},"audio/usac":{source:"iana"},"audio/vdvi":{source:"iana"},"audio/vmr-wb":{source:"iana"},"audio/vnd.3gpp.iufp":{source:"iana"},"audio/vnd.4sb":{source:"iana"},"audio/vnd.audiokoz":{source:"iana"},"audio/vnd.celp":{source:"iana"},"audio/vnd.cisco.nse":{source:"iana"},"audio/vnd.cmles.radio-events":{source:"iana"},"audio/vnd.cns.anp1":{source:"iana"},"audio/vnd.cns.inf1":{source:"iana"},"audio/vnd.dece.audio":{source:"iana",extensions:["uva","uvva"]},"audio/vnd.digital-winds":{source:"iana",extensions:["eol"]},"audio/vnd.dlna.adts":{source:"iana"},"audio/vnd.dolby.heaac.1":{source:"iana"},"audio/vnd.dolby.heaac.2":{source:"iana"},"audio/vnd.dolby.mlp":{source:"iana"},"audio/vnd.dolby.mps":{source:"iana"},"audio/vnd.dolby.pl2":{source:"iana"},"audio/vnd.dolby.pl2x":{source:"iana"},"audio/vnd.dolby.pl2z":{source:"iana"},"audio/vnd.dolby.pulse.1":{source:"iana"},"audio/vnd.dra":{source:"iana",extensions:["dra"]},"audio/vnd.dts":{source:"iana",extensions:["dts"]},"audio/vnd.dts.hd":{source:"iana",extensions:["dtshd"]},"audio/vnd.dts.uhd":{source:"iana"},"audio/vnd.dvb.file":{source:"iana"},"audio/vnd.everad.plj":{source:"iana"},"audio/vnd.hns.audio":{source:"iana"},"audio/vnd.lucent.voice":{source:"iana",extensions:["lvp"]},"audio/vnd.ms-playready.media.pya":{source:"iana",extensions:["pya"]},"audio/vnd.nokia.mobile-xmf":{source:"iana"},"audio/vnd.nortel.vbk":{source:"iana"},"audio/vnd.nuera.ecelp4800":{source:"iana",extensions:["ecelp4800"]},"audio/vnd.nuera.ecelp7470":{source:"iana",extensions:["ecelp7470"]},"audio/vnd.nuera.ecelp9600":{source:"iana",extensions:["ecelp9600"]},"audio/vnd.octel.sbc":{source:"iana"},"audio/vnd.presonus.multitrack":{source:"iana"},"audio/vnd.qcelp":{source:"iana"},"audio/vnd.rhetorex.32kadpcm":{source:"iana"},"audio/vnd.rip":{source:"iana",extensions:["rip"]},"audio/vnd.rn-realaudio":{compressible:!1},"audio/vnd.sealedmedia.softseal.mpeg":{source:"iana"},"audio/vnd.vmx.cvsd":{source:"iana"},"audio/vnd.wave":{compressible:!1},"audio/vorbis":{source:"iana",compressible:!1},"audio/vorbis-config":{source:"iana"},"audio/wav":{compressible:!1,extensions:["wav"]},"audio/wave":{compressible:!1,extensions:["wav"]},"audio/webm":{source:"apache",compressible:!1,extensions:["weba"]},"audio/x-aac":{source:"apache",compressible:!1,extensions:["aac"]},"audio/x-aiff":{source:"apache",extensions:["aif","aiff","aifc"]},"audio/x-caf":{source:"apache",compressible:!1,extensions:["caf"]},"audio/x-flac":{source:"apache",extensions:["flac"]},"audio/x-m4a":{source:"nginx",extensions:["m4a"]},"audio/x-matroska":{source:"apache",extensions:["mka"]},"audio/x-mpegurl":{source:"apache",extensions:["m3u"]},"audio/x-ms-wax":{source:"apache",extensions:["wax"]},"audio/x-ms-wma":{source:"apache",extensions:["wma"]},"audio/x-pn-realaudio":{source:"apache",extensions:["ram","ra"]},"audio/x-pn-realaudio-plugin":{source:"apache",extensions:["rmp"]},"audio/x-realaudio":{source:"nginx",extensions:["ra"]},"audio/x-tta":{source:"apache"},"audio/x-wav":{source:"apache",extensions:["wav"]},"audio/xm":{source:"apache",extensions:["xm"]},"chemical/x-cdx":{source:"apache",extensions:["cdx"]},"chemical/x-cif":{source:"apache",extensions:["cif"]},"chemical/x-cmdf":{source:"apache",extensions:["cmdf"]},"chemical/x-cml":{source:"apache",extensions:["cml"]},"chemical/x-csml":{source:"apache",extensions:["csml"]},"chemical/x-pdb":{source:"apache"},"chemical/x-xyz":{source:"apache",extensions:["xyz"]},"font/collection":{source:"iana",extensions:["ttc"]},"font/otf":{source:"iana",compressible:!0,extensions:["otf"]},"font/sfnt":{source:"iana"},"font/ttf":{source:"iana",compressible:!0,extensions:["ttf"]},"font/woff":{source:"iana",extensions:["woff"]},"font/woff2":{source:"iana",extensions:["woff2"]},"image/aces":{source:"iana",extensions:["exr"]},"image/apng":{compressible:!1,extensions:["apng"]},"image/avci":{source:"iana",extensions:["avci"]},"image/avcs":{source:"iana",extensions:["avcs"]},"image/avif":{source:"iana",compressible:!1,extensions:["avif"]},"image/bmp":{source:"iana",compressible:!0,extensions:["bmp"]},"image/cgm":{source:"iana",extensions:["cgm"]},"image/dicom-rle":{source:"iana",extensions:["drle"]},"image/emf":{source:"iana",extensions:["emf"]},"image/fits":{source:"iana",extensions:["fits"]},"image/g3fax":{source:"iana",extensions:["g3"]},"image/gif":{source:"iana",compressible:!1,extensions:["gif"]},"image/heic":{source:"iana",extensions:["heic"]},"image/heic-sequence":{source:"iana",extensions:["heics"]},"image/heif":{source:"iana",extensions:["heif"]},"image/heif-sequence":{source:"iana",extensions:["heifs"]},"image/hej2k":{source:"iana",extensions:["hej2"]},"image/hsj2":{source:"iana",extensions:["hsj2"]},"image/ief":{source:"iana",extensions:["ief"]},"image/jls":{source:"iana",extensions:["jls"]},"image/jp2":{source:"iana",compressible:!1,extensions:["jp2","jpg2"]},"image/jpeg":{source:"iana",compressible:!1,extensions:["jpeg","jpg","jpe"]},"image/jph":{source:"iana",extensions:["jph"]},"image/jphc":{source:"iana",extensions:["jhc"]},"image/jpm":{source:"iana",compressible:!1,extensions:["jpm"]},"image/jpx":{source:"iana",compressible:!1,extensions:["jpx","jpf"]},"image/jxr":{source:"iana",extensions:["jxr"]},"image/jxra":{source:"iana",extensions:["jxra"]},"image/jxrs":{source:"iana",extensions:["jxrs"]},"image/jxs":{source:"iana",extensions:["jxs"]},"image/jxsc":{source:"iana",extensions:["jxsc"]},"image/jxsi":{source:"iana",extensions:["jxsi"]},"image/jxss":{source:"iana",extensions:["jxss"]},"image/ktx":{source:"iana",extensions:["ktx"]},"image/ktx2":{source:"iana",extensions:["ktx2"]},"image/naplps":{source:"iana"},"image/pjpeg":{compressible:!1},"image/png":{source:"iana",compressible:!1,extensions:["png"]},"image/prs.btif":{source:"iana",extensions:["btif"]},"image/prs.pti":{source:"iana",extensions:["pti"]},"image/pwg-raster":{source:"iana"},"image/sgi":{source:"apache",extensions:["sgi"]},"image/svg+xml":{source:"iana",compressible:!0,extensions:["svg","svgz"]},"image/t38":{source:"iana",extensions:["t38"]},"image/tiff":{source:"iana",compressible:!1,extensions:["tif","tiff"]},"image/tiff-fx":{source:"iana",extensions:["tfx"]},"image/vnd.adobe.photoshop":{source:"iana",compressible:!0,extensions:["psd"]},"image/vnd.airzip.accelerator.azv":{source:"iana",extensions:["azv"]},"image/vnd.cns.inf2":{source:"iana"},"image/vnd.dece.graphic":{source:"iana",extensions:["uvi","uvvi","uvg","uvvg"]},"image/vnd.djvu":{source:"iana",extensions:["djvu","djv"]},"image/vnd.dvb.subtitle":{source:"iana",extensions:["sub"]},"image/vnd.dwg":{source:"iana",extensions:["dwg"]},"image/vnd.dxf":{source:"iana",extensions:["dxf"]},"image/vnd.fastbidsheet":{source:"iana",extensions:["fbs"]},"image/vnd.fpx":{source:"iana",extensions:["fpx"]},"image/vnd.fst":{source:"iana",extensions:["fst"]},"image/vnd.fujixerox.edmics-mmr":{source:"iana",extensions:["mmr"]},"image/vnd.fujixerox.edmics-rlc":{source:"iana",extensions:["rlc"]},"image/vnd.globalgraphics.pgb":{source:"iana"},"image/vnd.microsoft.icon":{source:"iana",compressible:!0,extensions:["ico"]},"image/vnd.mix":{source:"iana"},"image/vnd.mozilla.apng":{source:"iana"},"image/vnd.ms-dds":{compressible:!0,extensions:["dds"]},"image/vnd.ms-modi":{source:"iana",extensions:["mdi"]},"image/vnd.ms-photo":{source:"apache",extensions:["wdp"]},"image/vnd.net-fpx":{source:"iana",extensions:["npx"]},"image/vnd.pco.b16":{source:"iana",extensions:["b16"]},"image/vnd.radiance":{source:"iana"},"image/vnd.sealed.png":{source:"iana"},"image/vnd.sealedmedia.softseal.gif":{source:"iana"},"image/vnd.sealedmedia.softseal.jpg":{source:"iana"},"image/vnd.svf":{source:"iana"},"image/vnd.tencent.tap":{source:"iana",extensions:["tap"]},"image/vnd.valve.source.texture":{source:"iana",extensions:["vtf"]},"image/vnd.wap.wbmp":{source:"iana",extensions:["wbmp"]},"image/vnd.xiff":{source:"iana",extensions:["xif"]},"image/vnd.zbrush.pcx":{source:"iana",extensions:["pcx"]},"image/webp":{source:"apache",extensions:["webp"]},"image/wmf":{source:"iana",extensions:["wmf"]},"image/x-3ds":{source:"apache",extensions:["3ds"]},"image/x-cmu-raster":{source:"apache",extensions:["ras"]},"image/x-cmx":{source:"apache",extensions:["cmx"]},"image/x-freehand":{source:"apache",extensions:["fh","fhc","fh4","fh5","fh7"]},"image/x-icon":{source:"apache",compressible:!0,extensions:["ico"]},"image/x-jng":{source:"nginx",extensions:["jng"]},"image/x-mrsid-image":{source:"apache",extensions:["sid"]},"image/x-ms-bmp":{source:"nginx",compressible:!0,extensions:["bmp"]},"image/x-pcx":{source:"apache",extensions:["pcx"]},"image/x-pict":{source:"apache",extensions:["pic","pct"]},"image/x-portable-anymap":{source:"apache",extensions:["pnm"]},"image/x-portable-bitmap":{source:"apache",extensions:["pbm"]},"image/x-portable-graymap":{source:"apache",extensions:["pgm"]},"image/x-portable-pixmap":{source:"apache",extensions:["ppm"]},"image/x-rgb":{source:"apache",extensions:["rgb"]},"image/x-tga":{source:"apache",extensions:["tga"]},"image/x-xbitmap":{source:"apache",extensions:["xbm"]},"image/x-xcf":{compressible:!1},"image/x-xpixmap":{source:"apache",extensions:["xpm"]},"image/x-xwindowdump":{source:"apache",extensions:["xwd"]},"message/cpim":{source:"iana"},"message/delivery-status":{source:"iana"},"message/disposition-notification":{source:"iana",extensions:["disposition-notification"]},"message/external-body":{source:"iana"},"message/feedback-report":{source:"iana"},"message/global":{source:"iana",extensions:["u8msg"]},"message/global-delivery-status":{source:"iana",extensions:["u8dsn"]},"message/global-disposition-notification":{source:"iana",extensions:["u8mdn"]},"message/global-headers":{source:"iana",extensions:["u8hdr"]},"message/http":{source:"iana",compressible:!1},"message/imdn+xml":{source:"iana",compressible:!0},"message/news":{source:"iana"},"message/partial":{source:"iana",compressible:!1},"message/rfc822":{source:"iana",compressible:!0,extensions:["eml","mime"]},"message/s-http":{source:"iana"},"message/sip":{source:"iana"},"message/sipfrag":{source:"iana"},"message/tracking-status":{source:"iana"},"message/vnd.si.simp":{source:"iana"},"message/vnd.wfa.wsc":{source:"iana",extensions:["wsc"]},"model/3mf":{source:"iana",extensions:["3mf"]},"model/e57":{source:"iana"},"model/gltf+json":{source:"iana",compressible:!0,extensions:["gltf"]},"model/gltf-binary":{source:"iana",compressible:!0,extensions:["glb"]},"model/iges":{source:"iana",compressible:!1,extensions:["igs","iges"]},"model/mesh":{source:"iana",compressible:!1,extensions:["msh","mesh","silo"]},"model/mtl":{source:"iana",extensions:["mtl"]},"model/obj":{source:"iana",extensions:["obj"]},"model/step":{source:"iana"},"model/step+xml":{source:"iana",compressible:!0,extensions:["stpx"]},"model/step+zip":{source:"iana",compressible:!1,extensions:["stpz"]},"model/step-xml+zip":{source:"iana",compressible:!1,extensions:["stpxz"]},"model/stl":{source:"iana",extensions:["stl"]},"model/vnd.collada+xml":{source:"iana",compressible:!0,extensions:["dae"]},"model/vnd.dwf":{source:"iana",extensions:["dwf"]},"model/vnd.flatland.3dml":{source:"iana"},"model/vnd.gdl":{source:"iana",extensions:["gdl"]},"model/vnd.gs-gdl":{source:"apache"},"model/vnd.gs.gdl":{source:"iana"},"model/vnd.gtw":{source:"iana",extensions:["gtw"]},"model/vnd.moml+xml":{source:"iana",compressible:!0},"model/vnd.mts":{source:"iana",extensions:["mts"]},"model/vnd.opengex":{source:"iana",extensions:["ogex"]},"model/vnd.parasolid.transmit.binary":{source:"iana",extensions:["x_b"]},"model/vnd.parasolid.transmit.text":{source:"iana",extensions:["x_t"]},"model/vnd.pytha.pyox":{source:"iana"},"model/vnd.rosette.annotated-data-model":{source:"iana"},"model/vnd.sap.vds":{source:"iana",extensions:["vds"]},"model/vnd.usdz+zip":{source:"iana",compressible:!1,extensions:["usdz"]},"model/vnd.valve.source.compiled-map":{source:"iana",extensions:["bsp"]},"model/vnd.vtu":{source:"iana",extensions:["vtu"]},"model/vrml":{source:"iana",compressible:!1,extensions:["wrl","vrml"]},"model/x3d+binary":{source:"apache",compressible:!1,extensions:["x3db","x3dbz"]},"model/x3d+fastinfoset":{source:"iana",extensions:["x3db"]},"model/x3d+vrml":{source:"apache",compressible:!1,extensions:["x3dv","x3dvz"]},"model/x3d+xml":{source:"iana",compressible:!0,extensions:["x3d","x3dz"]},"model/x3d-vrml":{source:"iana",extensions:["x3dv"]},"multipart/alternative":{source:"iana",compressible:!1},"multipart/appledouble":{source:"iana"},"multipart/byteranges":{source:"iana"},"multipart/digest":{source:"iana"},"multipart/encrypted":{source:"iana",compressible:!1},"multipart/form-data":{source:"iana",compressible:!1},"multipart/header-set":{source:"iana"},"multipart/mixed":{source:"iana"},"multipart/multilingual":{source:"iana"},"multipart/parallel":{source:"iana"},"multipart/related":{source:"iana",compressible:!1},"multipart/report":{source:"iana"},"multipart/signed":{source:"iana",compressible:!1},"multipart/vnd.bint.med-plus":{source:"iana"},"multipart/voice-message":{source:"iana"},"multipart/x-mixed-replace":{source:"iana"},"text/1d-interleaved-parityfec":{source:"iana"},"text/cache-manifest":{source:"iana",compressible:!0,extensions:["appcache","manifest"]},"text/calendar":{source:"iana",extensions:["ics","ifb"]},"text/calender":{compressible:!0},"text/cmd":{compressible:!0},"text/coffeescript":{extensions:["coffee","litcoffee"]},"text/cql":{source:"iana"},"text/cql-expression":{source:"iana"},"text/cql-identifier":{source:"iana"},"text/css":{source:"iana",charset:"UTF-8",compressible:!0,extensions:["css"]},"text/csv":{source:"iana",compressible:!0,extensions:["csv"]},"text/csv-schema":{source:"iana"},"text/directory":{source:"iana"},"text/dns":{source:"iana"},"text/ecmascript":{source:"iana"},"text/encaprtp":{source:"iana"},"text/enriched":{source:"iana"},"text/fhirpath":{source:"iana"},"text/flexfec":{source:"iana"},"text/fwdred":{source:"iana"},"text/gff3":{source:"iana"},"text/grammar-ref-list":{source:"iana"},"text/html":{source:"iana",compressible:!0,extensions:["html","htm","shtml"]},"text/jade":{extensions:["jade"]},"text/javascript":{source:"iana",compressible:!0},"text/jcr-cnd":{source:"iana"},"text/jsx":{compressible:!0,extensions:["jsx"]},"text/less":{compressible:!0,extensions:["less"]},"text/markdown":{source:"iana",compressible:!0,extensions:["markdown","md"]},"text/mathml":{source:"nginx",extensions:["mml"]},"text/mdx":{compressible:!0,extensions:["mdx"]},"text/mizar":{source:"iana"},"text/n3":{source:"iana",charset:"UTF-8",compressible:!0,extensions:["n3"]},"text/parameters":{source:"iana",charset:"UTF-8"},"text/parityfec":{source:"iana"},"text/plain":{source:"iana",compressible:!0,extensions:["txt","text","conf","def","list","log","in","ini"]},"text/provenance-notation":{source:"iana",charset:"UTF-8"},"text/prs.fallenstein.rst":{source:"iana"},"text/prs.lines.tag":{source:"iana",extensions:["dsc"]},"text/prs.prop.logic":{source:"iana"},"text/raptorfec":{source:"iana"},"text/red":{source:"iana"},"text/rfc822-headers":{source:"iana"},"text/richtext":{source:"iana",compressible:!0,extensions:["rtx"]},"text/rtf":{source:"iana",compressible:!0,extensions:["rtf"]},"text/rtp-enc-aescm128":{source:"iana"},"text/rtploopback":{source:"iana"},"text/rtx":{source:"iana"},"text/sgml":{source:"iana",extensions:["sgml","sgm"]},"text/shaclc":{source:"iana"},"text/shex":{source:"iana",extensions:["shex"]},"text/slim":{extensions:["slim","slm"]},"text/spdx":{source:"iana",extensions:["spdx"]},"text/strings":{source:"iana"},"text/stylus":{extensions:["stylus","styl"]},"text/t140":{source:"iana"},"text/tab-separated-values":{source:"iana",compressible:!0,extensions:["tsv"]},"text/troff":{source:"iana",extensions:["t","tr","roff","man","me","ms"]},"text/turtle":{source:"iana",charset:"UTF-8",extensions:["ttl"]},"text/ulpfec":{source:"iana"},"text/uri-list":{source:"iana",compressible:!0,extensions:["uri","uris","urls"]},"text/vcard":{source:"iana",compressible:!0,extensions:["vcard"]},"text/vnd.a":{source:"iana"},"text/vnd.abc":{source:"iana"},"text/vnd.ascii-art":{source:"iana"},"text/vnd.curl":{source:"iana",extensions:["curl"]},"text/vnd.curl.dcurl":{source:"apache",extensions:["dcurl"]},"text/vnd.curl.mcurl":{source:"apache",extensions:["mcurl"]},"text/vnd.curl.scurl":{source:"apache",extensions:["scurl"]},"text/vnd.debian.copyright":{source:"iana",charset:"UTF-8"},"text/vnd.dmclientscript":{source:"iana"},"text/vnd.dvb.subtitle":{source:"iana",extensions:["sub"]},"text/vnd.esmertec.theme-descriptor":{source:"iana",charset:"UTF-8"},"text/vnd.familysearch.gedcom":{source:"iana",extensions:["ged"]},"text/vnd.ficlab.flt":{source:"iana"},"text/vnd.fly":{source:"iana",extensions:["fly"]},"text/vnd.fmi.flexstor":{source:"iana",extensions:["flx"]},"text/vnd.gml":{source:"iana"},"text/vnd.graphviz":{source:"iana",extensions:["gv"]},"text/vnd.hans":{source:"iana"},"text/vnd.hgl":{source:"iana"},"text/vnd.in3d.3dml":{source:"iana",extensions:["3dml"]},"text/vnd.in3d.spot":{source:"iana",extensions:["spot"]},"text/vnd.iptc.newsml":{source:"iana"},"text/vnd.iptc.nitf":{source:"iana"},"text/vnd.latex-z":{source:"iana"},"text/vnd.motorola.reflex":{source:"iana"},"text/vnd.ms-mediapackage":{source:"iana"},"text/vnd.net2phone.commcenter.command":{source:"iana"},"text/vnd.radisys.msml-basic-layout":{source:"iana"},"text/vnd.senx.warpscript":{source:"iana"},"text/vnd.si.uricatalogue":{source:"iana"},"text/vnd.sosi":{source:"iana"},"text/vnd.sun.j2me.app-descriptor":{source:"iana",charset:"UTF-8",extensions:["jad"]},"text/vnd.trolltech.linguist":{source:"iana",charset:"UTF-8"},"text/vnd.wap.si":{source:"iana"},"text/vnd.wap.sl":{source:"iana"},"text/vnd.wap.wml":{source:"iana",extensions:["wml"]},"text/vnd.wap.wmlscript":{source:"iana",extensions:["wmls"]},"text/vtt":{source:"iana",charset:"UTF-8",compressible:!0,extensions:["vtt"]},"text/x-asm":{source:"apache",extensions:["s","asm"]},"text/x-c":{source:"apache",extensions:["c","cc","cxx","cpp","h","hh","dic"]},"text/x-component":{source:"nginx",extensions:["htc"]},"text/x-fortran":{source:"apache",extensions:["f","for","f77","f90"]},"text/x-gwt-rpc":{compressible:!0},"text/x-handlebars-template":{extensions:["hbs"]},"text/x-java-source":{source:"apache",extensions:["java"]},"text/x-jquery-tmpl":{compressible:!0},"text/x-lua":{extensions:["lua"]},"text/x-markdown":{compressible:!0,extensions:["mkd"]},"text/x-nfo":{source:"apache",extensions:["nfo"]},"text/x-opml":{source:"apache",extensions:["opml"]},"text/x-org":{compressible:!0,extensions:["org"]},"text/x-pascal":{source:"apache",extensions:["p","pas"]},"text/x-processing":{compressible:!0,extensions:["pde"]},"text/x-sass":{extensions:["sass"]},"text/x-scss":{extensions:["scss"]},"text/x-setext":{source:"apache",extensions:["etx"]},"text/x-sfv":{source:"apache",extensions:["sfv"]},"text/x-suse-ymp":{compressible:!0,extensions:["ymp"]},"text/x-uuencode":{source:"apache",extensions:["uu"]},"text/x-vcalendar":{source:"apache",extensions:["vcs"]},"text/x-vcard":{source:"apache",extensions:["vcf"]},"text/xml":{source:"iana",compressible:!0,extensions:["xml"]},"text/xml-external-parsed-entity":{source:"iana"},"text/yaml":{compressible:!0,extensions:["yaml","yml"]},"video/1d-interleaved-parityfec":{source:"iana"},"video/3gpp":{source:"iana",extensions:["3gp","3gpp"]},"video/3gpp-tt":{source:"iana"},"video/3gpp2":{source:"iana",extensions:["3g2"]},"video/av1":{source:"iana"},"video/bmpeg":{source:"iana"},"video/bt656":{source:"iana"},"video/celb":{source:"iana"},"video/dv":{source:"iana"},"video/encaprtp":{source:"iana"},"video/ffv1":{source:"iana"},"video/flexfec":{source:"iana"},"video/h261":{source:"iana",extensions:["h261"]},"video/h263":{source:"iana",extensions:["h263"]},"video/h263-1998":{source:"iana"},"video/h263-2000":{source:"iana"},"video/h264":{source:"iana",extensions:["h264"]},"video/h264-rcdo":{source:"iana"},"video/h264-svc":{source:"iana"},"video/h265":{source:"iana"},"video/iso.segment":{source:"iana",extensions:["m4s"]},"video/jpeg":{source:"iana",extensions:["jpgv"]},"video/jpeg2000":{source:"iana"},"video/jpm":{source:"apache",extensions:["jpm","jpgm"]},"video/jxsv":{source:"iana"},"video/mj2":{source:"iana",extensions:["mj2","mjp2"]},"video/mp1s":{source:"iana"},"video/mp2p":{source:"iana"},"video/mp2t":{source:"iana",extensions:["ts"]},"video/mp4":{source:"iana",compressible:!1,extensions:["mp4","mp4v","mpg4"]},"video/mp4v-es":{source:"iana"},"video/mpeg":{source:"iana",compressible:!1,extensions:["mpeg","mpg","mpe","m1v","m2v"]},"video/mpeg4-generic":{source:"iana"},"video/mpv":{source:"iana"},"video/nv":{source:"iana"},"video/ogg":{source:"iana",compressible:!1,extensions:["ogv"]},"video/parityfec":{source:"iana"},"video/pointer":{source:"iana"},"video/quicktime":{source:"iana",compressible:!1,extensions:["qt","mov"]},"video/raptorfec":{source:"iana"},"video/raw":{source:"iana"},"video/rtp-enc-aescm128":{source:"iana"},"video/rtploopback":{source:"iana"},"video/rtx":{source:"iana"},"video/scip":{source:"iana"},"video/smpte291":{source:"iana"},"video/smpte292m":{source:"iana"},"video/ulpfec":{source:"iana"},"video/vc1":{source:"iana"},"video/vc2":{source:"iana"},"video/vnd.cctv":{source:"iana"},"video/vnd.dece.hd":{source:"iana",extensions:["uvh","uvvh"]},"video/vnd.dece.mobile":{source:"iana",extensions:["uvm","uvvm"]},"video/vnd.dece.mp4":{source:"iana"},"video/vnd.dece.pd":{source:"iana",extensions:["uvp","uvvp"]},"video/vnd.dece.sd":{source:"iana",extensions:["uvs","uvvs"]},"video/vnd.dece.video":{source:"iana",extensions:["uvv","uvvv"]},"video/vnd.directv.mpeg":{source:"iana"},"video/vnd.directv.mpeg-tts":{source:"iana"},"video/vnd.dlna.mpeg-tts":{source:"iana"},"video/vnd.dvb.file":{source:"iana",extensions:["dvb"]},"video/vnd.fvt":{source:"iana",extensions:["fvt"]},"video/vnd.hns.video":{source:"iana"},"video/vnd.iptvforum.1dparityfec-1010":{source:"iana"},"video/vnd.iptvforum.1dparityfec-2005":{source:"iana"},"video/vnd.iptvforum.2dparityfec-1010":{source:"iana"},"video/vnd.iptvforum.2dparityfec-2005":{source:"iana"},"video/vnd.iptvforum.ttsavc":{source:"iana"},"video/vnd.iptvforum.ttsmpeg2":{source:"iana"},"video/vnd.motorola.video":{source:"iana"},"video/vnd.motorola.videop":{source:"iana"},"video/vnd.mpegurl":{source:"iana",extensions:["mxu","m4u"]},"video/vnd.ms-playready.media.pyv":{source:"iana",extensions:["pyv"]},"video/vnd.nokia.interleaved-multimedia":{source:"iana"},"video/vnd.nokia.mp4vr":{source:"iana"},"video/vnd.nokia.videovoip":{source:"iana"},"video/vnd.objectvideo":{source:"iana"},"video/vnd.radgamettools.bink":{source:"iana"},"video/vnd.radgamettools.smacker":{source:"iana"},"video/vnd.sealed.mpeg1":{source:"iana"},"video/vnd.sealed.mpeg4":{source:"iana"},"video/vnd.sealed.swf":{source:"iana"},"video/vnd.sealedmedia.softseal.mov":{source:"iana"},"video/vnd.uvvu.mp4":{source:"iana",extensions:["uvu","uvvu"]},"video/vnd.vivo":{source:"iana",extensions:["viv"]},"video/vnd.youtube.yt":{source:"iana"},"video/vp8":{source:"iana"},"video/vp9":{source:"iana"},"video/webm":{source:"apache",compressible:!1,extensions:["webm"]},"video/x-f4v":{source:"apache",extensions:["f4v"]},"video/x-fli":{source:"apache",extensions:["fli"]},"video/x-flv":{source:"apache",compressible:!1,extensions:["flv"]},"video/x-m4v":{source:"apache",extensions:["m4v"]},"video/x-matroska":{source:"apache",compressible:!1,extensions:["mkv","mk3d","mks"]},"video/x-mng":{source:"apache",extensions:["mng"]},"video/x-ms-asf":{source:"apache",extensions:["asf","asx"]},"video/x-ms-vob":{source:"apache",extensions:["vob"]},"video/x-ms-wm":{source:"apache",extensions:["wm"]},"video/x-ms-wmv":{source:"apache",compressible:!1,extensions:["wmv"]},"video/x-ms-wmx":{source:"apache",extensions:["wmx"]},"video/x-ms-wvx":{source:"apache",extensions:["wvx"]},"video/x-msvideo":{source:"apache",extensions:["avi"]},"video/x-sgi-movie":{source:"apache",extensions:["movie"]},"video/x-smv":{source:"apache",extensions:["smv"]},"x-conference/x-cooltalk":{source:"apache",extensions:["ice"]},"x-shader/x-fragment":{compressible:!0},"x-shader/x-vertex":{compressible:!0}};/*!
|
||
* mime-db
|
||
* Copyright(c) 2014 Jonathan Ong
|
||
* Copyright(c) 2015-2022 Douglas Christopher Wilson
|
||
* MIT Licensed
|
||
*/var mimeDb=require$$0;/*!
|
||
* mime-types
|
||
* Copyright(c) 2014 Jonathan Ong
|
||
* Copyright(c) 2015 Douglas Christopher Wilson
|
||
* MIT Licensed
|
||
*/(function(Ra){var qa=mimeDb,Ja=pathBrowserify.extname,ed=/^\s*([^;\s]*)(?:;|\s|$)/,td=/^text\//i;Ra.charset=rd,Ra.charsets={lookup:rd},Ra.contentType=sd,Ra.extension=od,Ra.extensions=Object.create(null),Ra.lookup=ld,Ra.types=Object.create(null),cd(Ra.extensions,Ra.types);function rd(ud){if(!ud||typeof ud!="string")return!1;var _d=ed.exec(ud),yd=_d&&qa[_d[1].toLowerCase()];return yd&&yd.charset?yd.charset:_d&&td.test(_d[1])?"UTF-8":!1}function sd(ud){if(!ud||typeof ud!="string")return!1;var _d=ud.indexOf("/")===-1?Ra.lookup(ud):ud;if(!_d)return!1;if(_d.indexOf("charset")===-1){var yd=Ra.charset(_d);yd&&(_d+="; charset="+yd.toLowerCase())}return _d}function od(ud){if(!ud||typeof ud!="string")return!1;var _d=ed.exec(ud),yd=_d&&Ra.extensions[_d[1].toLowerCase()];return!yd||!yd.length?!1:yd[0]}function ld(ud){if(!ud||typeof ud!="string")return!1;var _d=Ja("x."+ud).toLowerCase().substr(1);return _d&&Ra.types[_d]||!1}function cd(ud,_d){var yd=["nginx","apache",void 0,"iana"];Object.keys(qa).forEach(function(Ed){var Td=qa[Ed],kd=Td.extensions;if(!(!kd||!kd.length)){ud[Ed]=kd;for(var Rd=0;Rd<kd.length;Rd++){var Nd=kd[Rd];if(_d[Nd]){var Id=yd.indexOf(qa[_d[Nd]].source),Md=yd.indexOf(Td.source);if(_d[Nd]!=="application/octet-stream"&&(Id>Md||Id===Md&&_d[Nd].substr(0,12)==="application/"))continue}_d[Nd]=Ed}}})}})(mimeTypes$1);let ENCRYPTION_TYPES=function(Ra){return Ra.SSEC="SSE-C",Ra.KMS="KMS",Ra}({}),RETENTION_MODES=function(Ra){return Ra.GOVERNANCE="GOVERNANCE",Ra.COMPLIANCE="COMPLIANCE",Ra}({}),RETENTION_VALIDITY_UNITS=function(Ra){return Ra.DAYS="Days",Ra.YEARS="Years",Ra}({}),LEGAL_HOLD_STATUS=function(Ra){return Ra.ENABLED="ON",Ra.DISABLED="OFF",Ra}({});const MetaDataHeaderPrefix="x-amz-meta-";function uriEscape(Ra){return Ra.split("").reduce((qa,Ja)=>{const ed=Buffer$2.from(Ja);if(ed.length===1&&("A"<=Ja&&Ja<="Z"||"a"<=Ja&&Ja<="z"||"0"<=Ja&&Ja<="9"||Ja==="_"||Ja==="."||Ja==="~"||Ja==="-"))return qa=qa+Ja,qa;for(const td of ed)qa=qa+"%"+td.toString(16).toUpperCase();return qa},"")}function uriResourceEscape(Ra){return uriEscape(Ra).replace(/%2F/g,"/")}function getScope(Ra,qa,Ja="s3"){return`${makeDateShort(qa)}/${Ra}/${Ja}/aws4_request`}function isAmazonEndpoint(Ra){return Ra==="s3.amazonaws.com"||Ra==="s3.cn-north-1.amazonaws.com.cn"}function isVirtualHostStyle(Ra,qa,Ja,ed){return qa==="https:"&&Ja.includes(".")?!1:isAmazonEndpoint(Ra)||!ed}function isValidIP(Ra){return ipaddr.isValid(Ra)}function isValidEndpoint(Ra){return isValidDomain(Ra)||isValidIP(Ra)}function isValidDomain(Ra){if(!isString$1(Ra)||Ra.length===0||Ra.length>255||Ra[0]==="-"||Ra.slice(-1)==="-"||Ra[0]==="_"||Ra.slice(-1)==="_"||Ra[0]===".")return!1;const qa="`~!@#$%^&*()+={}[]|\\\"';:><?/";for(const Ja of qa)if(Ra.includes(Ja))return!1;return!0}function probeContentType(Ra){let qa=mimeTypes$1.lookup(Ra);return qa||(qa="application/octet-stream"),qa}function isValidPort(Ra){return isNumber$2(Ra)?0<=Ra&&Ra<=65535:!1}function isValidBucketName(Ra){return!isString$1(Ra)||Ra.length<3||Ra.length>63||Ra.includes("..")||/[0-9]+\.[0-9]+\.[0-9]+\.[0-9]+/.test(Ra)?!1:!!/^[a-z0-9][a-z0-9.-]+[a-z0-9]$/.test(Ra)}function isValidObjectName(Ra){return isValidPrefix(Ra)?Ra.length!==0:!1}function isValidPrefix(Ra){return!(!isString$1(Ra)||Ra.length>1024)}function isNumber$2(Ra){return typeof Ra=="number"}function isFunction$1(Ra){return typeof Ra=="function"}function isString$1(Ra){return typeof Ra=="string"}function isObject$1(Ra){return typeof Ra=="object"&&Ra!==null}function isReadableStream$1(Ra){return isObject$1(Ra)&&isFunction$1(Ra._read)}function isBoolean$1(Ra){return typeof Ra=="boolean"}function isEmpty(Ra){return _.isEmpty(Ra)}function isEmptyObject(Ra){return Object.values(Ra).filter(qa=>qa!==void 0).length!==0}function isDefined(Ra){return Ra!=null}function isValidDate(Ra){return Ra instanceof Date&&!isNaN(Ra)}function makeDateLong(Ra){Ra=Ra||new Date;const qa=Ra.toISOString();return qa.slice(0,4)+qa.slice(5,7)+qa.slice(8,13)+qa.slice(14,16)+qa.slice(17,19)+"Z"}function makeDateShort(Ra){Ra=Ra||new Date;const qa=Ra.toISOString();return qa.slice(0,4)+qa.slice(5,7)+qa.slice(8,10)}function pipesetup(...Ra){return Ra.reduce((qa,Ja)=>(qa.on("error",ed=>Ja.emit("error",ed)),qa.pipe(Ja)))}function readableStream(Ra){const qa=new streamBrowserify.Readable;return qa._read=()=>{},qa.push(Ra),qa.push(null),qa}function insertContentType(Ra,qa){for(const Ja in Ra)if(Ja.toLowerCase()==="content-type")return Ra;return{...Ra,"content-type":probeContentType(qa)}}function prependXAMZMeta(Ra){return Ra?_.mapKeys(Ra,(qa,Ja)=>isAmzHeader(Ja)||isSupportedHeader(Ja)||isStorageClassHeader(Ja)?Ja:MetaDataHeaderPrefix+Ja):{}}function isAmzHeader(Ra){const qa=Ra.toLowerCase();return qa.startsWith(MetaDataHeaderPrefix)||qa==="x-amz-acl"||qa.startsWith("x-amz-server-side-encryption-")||qa==="x-amz-server-side-encryption"}function isSupportedHeader(Ra){return["content-type","cache-control","content-encoding","content-disposition","content-language","x-amz-website-redirect-location"].includes(Ra.toLowerCase())}function isStorageClassHeader(Ra){return Ra.toLowerCase()==="x-amz-storage-class"}function extractMetadata(Ra){return _.mapKeys(_.pickBy(Ra,(qa,Ja)=>isSupportedHeader(Ja)||isStorageClassHeader(Ja)||isAmzHeader(Ja)),(qa,Ja)=>{const ed=Ja.toLowerCase();return ed.startsWith(MetaDataHeaderPrefix)?ed.slice(MetaDataHeaderPrefix.length):Ja})}function getVersionId(Ra={}){return Ra["x-amz-version-id"]||null}function getSourceVersionId(Ra={}){return Ra["x-amz-copy-source-version-id"]||null}function sanitizeETag(Ra=""){const qa={'"':"",""":"",""":"",""":"",""":""};return Ra.replace(/^("|"|")|("|"|")$/g,Ja=>qa[Ja])}function toMd5(Ra){return(void 0)("md5").update(Buffer$2.from(Ra)).digest().toString("base64")}function toSha256(Ra){return(void 0)("sha256").update(Ra).digest("hex")}function toArray$1(Ra){return Array.isArray(Ra)?Ra:[Ra]}function sanitizeObjectKey(Ra){const qa=(Ra?Ra.toString():"").replace(/\+/g," ");return decodeURIComponent(qa)}function sanitizeSize(Ra){return Ra?Number.parseInt(Ra):void 0}const PART_CONSTRAINTS={ABS_MIN_PART_SIZE:1024*1024*5,MIN_PART_SIZE:1024*1024*16,MAX_PARTS_COUNT:1e4,MAX_PART_SIZE:1024*1024*1024*5,MAX_SINGLE_PUT_OBJECT_SIZE:1024*1024*1024*5,MAX_MULTIPART_PUT_OBJECT_SIZE:1024*1024*1024*1024*5},GENERIC_SSE_HEADER="X-Amz-Server-Side-Encryption",ENCRYPTION_HEADERS={sseGenericHeader:GENERIC_SSE_HEADER,sseKmsKeyID:GENERIC_SSE_HEADER+"-Aws-Kms-Key-Id"};function getEncryptionHeaders(Ra){const qa=Ra.type;if(!isEmpty(qa)){if(qa===ENCRYPTION_TYPES.SSEC)return{[ENCRYPTION_HEADERS.sseGenericHeader]:"AES256"};if(qa===ENCRYPTION_TYPES.KMS)return{[ENCRYPTION_HEADERS.sseGenericHeader]:Ra.SSEAlgorithm,[ENCRYPTION_HEADERS.sseKmsKeyID]:Ra.KMSMasterKeyID}}return{}}function partsRequired(Ra){const qa=PART_CONSTRAINTS.MAX_MULTIPART_PUT_OBJECT_SIZE/(PART_CONSTRAINTS.MAX_PARTS_COUNT-1);let Ja=Ra/qa;return Ra%qa>0&&Ja++,Ja=Math.trunc(Ja),Ja}function calculateEvenSplits(Ra,qa){if(Ra===0)return null;const Ja=partsRequired(Ra),ed=[],td=[];let rd=qa.Start;(isEmpty(rd)||rd===-1)&&(rd=0);const sd=Math.trunc(Ra/Ja),od=Ra%Ja;let ld=rd;for(let cd=0;cd<Ja;cd++){let ud=sd;cd<od&&ud++;const _d=ld,yd=_d+ud-1;ld=yd+1,ed.push(_d),td.push(yd)}return{startIndex:ed,endIndex:td,objInfo:qa}}const fxp$1=new fxp$2.XMLParser;function parseXml(Ra){const qa=fxp$1.parse(Ra);if(qa.Error)throw qa.Error;return qa}const DEFAULT_REGION="us-east-1";class CopySourceOptions{constructor({Bucket:qa,Object:Ja,VersionID:ed="",MatchETag:td="",NoMatchETag:rd="",MatchModifiedSince:sd=null,MatchUnmodifiedSince:od=null,MatchRange:ld=!1,Start:cd=0,End:ud=0,Encryption:_d=void 0}){this.Bucket=qa,this.Object=Ja,this.VersionID=ed,this.MatchETag=td,this.NoMatchETag=rd,this.MatchModifiedSince=sd,this.MatchUnmodifiedSince=od,this.MatchRange=ld,this.Start=cd,this.End=ud,this.Encryption=_d}validate(){if(!isValidBucketName(this.Bucket))throw new InvalidBucketNameError("Invalid Source bucket name: "+this.Bucket);if(!isValidObjectName(this.Object))throw new InvalidObjectNameError(`Invalid Source object name: ${this.Object}`);if(this.MatchRange&&this.Start!==-1&&this.End!==-1&&this.Start>this.End||this.Start<0)throw new InvalidObjectNameError("Source start must be non-negative, and start must be at most end.");if(this.MatchRange&&!isNumber$2(this.Start)||!isNumber$2(this.End))throw new InvalidObjectNameError("MatchRange is specified. But Invalid Start and End values are specified.");return!0}getHeaders(){const qa={};return qa["x-amz-copy-source"]=encodeURI(this.Bucket+"/"+this.Object),isEmpty(this.VersionID)||(qa["x-amz-copy-source"]=`${encodeURI(this.Bucket+"/"+this.Object)}?versionId=${this.VersionID}`),isEmpty(this.MatchETag)||(qa["x-amz-copy-source-if-match"]=this.MatchETag),isEmpty(this.NoMatchETag)||(qa["x-amz-copy-source-if-none-match"]=this.NoMatchETag),isEmpty(this.MatchModifiedSince)||(qa["x-amz-copy-source-if-modified-since"]=this.MatchModifiedSince),isEmpty(this.MatchUnmodifiedSince)||(qa["x-amz-copy-source-if-unmodified-since"]=this.MatchUnmodifiedSince),qa}}class CopyDestinationOptions{constructor({Bucket:qa,Object:Ja,Encryption:ed,UserMetadata:td,UserTags:rd,LegalHold:sd,RetainUntilDate:od,Mode:ld,MetadataDirective:cd}){this.Bucket=qa,this.Object=Ja,this.Encryption=ed??void 0,this.UserMetadata=td,this.UserTags=rd,this.LegalHold=sd,this.Mode=ld,this.RetainUntilDate=od,this.MetadataDirective=cd}getHeaders(){const qa="REPLACE",Ja={},ed=this.UserTags;if(isEmpty(ed)||(Ja["X-Amz-Tagging-Directive"]=qa,Ja["X-Amz-Tagging"]=isObject$1(ed)?queryString.stringify(ed):isString$1(ed)?ed:""),this.Mode&&(Ja["X-Amz-Object-Lock-Mode"]=this.Mode),this.RetainUntilDate&&(Ja["X-Amz-Object-Lock-Retain-Until-Date"]=this.RetainUntilDate),this.LegalHold&&(Ja["X-Amz-Object-Lock-Legal-Hold"]=this.LegalHold),this.UserMetadata)for(const[td,rd]of Object.entries(this.UserMetadata))Ja[`X-Amz-Meta-${td}`]=rd.toString();if(this.MetadataDirective&&(Ja["X-Amz-Metadata-Directive"]=this.MetadataDirective),this.Encryption){const td=getEncryptionHeaders(this.Encryption);for(const[rd,sd]of Object.entries(td))Ja[rd]=sd}return Ja}validate(){if(!isValidBucketName(this.Bucket))throw new InvalidBucketNameError("Invalid Destination bucket name: "+this.Bucket);if(!isValidObjectName(this.Object))throw new InvalidObjectNameError(`Invalid Destination object name: ${this.Object}`);if(!isEmpty(this.UserMetadata)&&!isObject$1(this.UserMetadata))throw new InvalidObjectNameError("Destination UserMetadata should be an object with key value pairs");if(!isEmpty(this.Mode)&&![RETENTION_MODES.GOVERNANCE,RETENTION_MODES.COMPLIANCE].includes(this.Mode))throw new InvalidObjectNameError("Invalid Mode specified for destination object it should be one of [GOVERNANCE,COMPLIANCE]");if(this.Encryption!==void 0&&isEmptyObject(this.Encryption))throw new InvalidObjectNameError("Invalid Encryption configuration for destination object ");return!0}}class SelectResults{constructor({records:qa,response:Ja,stats:ed,progress:td}){this.records=qa,this.response=Ja,this.stats=ed,this.progress=td}setStats(qa){this.stats=qa}getStats(){return this.stats}setProgress(qa){this.progress=qa}getProgress(){return this.progress}setResponse(qa){this.response=qa}getResponse(){return this.response}setRecords(qa){this.records=qa}getRecords(){return this.records}}function callbackify(Ra){return function(){const qa=[...arguments],Ja=qa.pop();return typeof Ja=="function"?Ra.apply(this,qa).then(ed=>Ja(null,ed),ed=>Ja(ed)):Ra.apply(this,arguments)}}var lib={},define_process_default$4={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};Object.defineProperty(lib,"__esModule",{value:!0});var _typeof=typeof Symbol=="function"&&typeof Symbol.iterator=="symbol"?function(Ra){return typeof Ra}:function(Ra){return Ra&&typeof Symbol=="function"&&Ra.constructor===Symbol&&Ra!==Symbol.prototype?"symbol":typeof Ra},isBrowser=typeof window<"u"&&typeof window.document<"u",isNode=typeof define_process_default$4<"u"&&define_process_default$4.versions!=null&&define_process_default$4.versions.node!=null,isWebWorker=(typeof self>"u"?"undefined":_typeof(self))==="object"&&self.constructor&&self.constructor.name==="DedicatedWorkerGlobalScope",isJsDom=typeof window<"u"&&window.name==="nodejs"||typeof navigator<"u"&&(navigator.userAgent.includes("Node.js")||navigator.userAgent.includes("jsdom")),isDeno=typeof Deno<"u"&&typeof Deno.version<"u"&&typeof Deno.version.deno<"u",isBrowser_1=lib.isBrowser=isBrowser;lib.isWebWorker=isWebWorker;lib.isNode=isNode;lib.isJsDom=isJsDom;lib.isDeno=isDeno;class Credentials{constructor({accessKey:qa,secretKey:Ja,sessionToken:ed}){this.accessKey=qa,this.secretKey=Ja,this.sessionToken=ed}setAccessKey(qa){this.accessKey=qa}getAccessKey(){return this.accessKey}setSecretKey(qa){this.secretKey=qa}getSecretKey(){return this.secretKey}setSessionToken(qa){this.sessionToken=qa}getSessionToken(){return this.sessionToken}get(){return this}}class CredentialProvider{constructor({accessKey:qa,secretKey:Ja,sessionToken:ed}){this.credentials=new Credentials({accessKey:qa,secretKey:Ja,sessionToken:ed})}async getCredentials(){return this.credentials.get()}setCredentials(qa){if(qa instanceof Credentials)this.credentials=qa;else throw new Error("Unable to set Credentials. it should be an instance of Credentials class")}setAccessKey(qa){this.credentials.setAccessKey(qa)}getAccessKey(){return this.credentials.getAccessKey()}setSecretKey(qa){this.credentials.setSecretKey(qa)}getSecretKey(){return this.credentials.getSecretKey()}setSessionToken(qa){this.credentials.setSessionToken(qa)}getSessionToken(){return this.credentials.getSessionToken()}}const signV4Algorithm="AWS4-HMAC-SHA256";function getCanonicalRequest(Ra,qa,Ja,ed,td){if(!isString$1(Ra))throw new TypeError('method should be of type "string"');if(!isString$1(qa))throw new TypeError('path should be of type "string"');if(!isObject$1(Ja))throw new TypeError('headers should be of type "object"');if(!Array.isArray(ed))throw new TypeError('signedHeaders should be of type "array"');if(!isString$1(td))throw new TypeError('hashedPayload should be of type "string"');const rd=ed.reduce((ld,cd)=>{const ud=`${Ja[cd]}`.replace(/ +/g," ");return ld.push(`${cd.toLowerCase()}:${ud}`),ld},[]),sd=qa.split("?")[0];let od=qa.split("?")[1];return od||(od=""),od&&(od=od.split("&").sort().map(ld=>ld.includes("=")?ld:ld+"=").join("&")),[Ra.toUpperCase(),sd,od,rd.join(`
|
||
`)+`
|
||
`,ed.join(";").toLowerCase(),td].join(`
|
||
`)}function getCredential(Ra,qa,Ja,ed="s3"){if(!isString$1(Ra))throw new TypeError('accessKey should be of type "string"');if(!isString$1(qa))throw new TypeError('region should be of type "string"');if(!isObject$1(Ja))throw new TypeError('requestDate should be of type "object"');return`${Ra}/${getScope(qa,Ja,ed)}`}function getSignedHeaders(Ra){if(!isObject$1(Ra))throw new TypeError('request should be of type "object"');const qa=["authorization","content-length","content-type","user-agent"];return Object.keys(Ra).filter(Ja=>!qa.includes(Ja)).sort()}function getSigningKey(Ra,qa,Ja,ed="s3"){if(!isObject$1(Ra))throw new TypeError('date should be of type "object"');if(!isString$1(qa))throw new TypeError('region should be of type "string"');if(!isString$1(Ja))throw new TypeError('secretKey should be of type "string"');const td=makeDateShort(Ra);return(void 0)("sha256",(void 0)("sha256",(void 0)("sha256",(void 0)("sha256","AWS4"+Ja).update(td).digest()).update(qa).digest()).update(ed).digest()).update("aws4_request").digest()}function getStringToSign(Ra,qa,Ja,ed="s3"){if(!isString$1(Ra))throw new TypeError('canonicalRequest should be of type "string"');if(!isObject$1(qa))throw new TypeError('requestDate should be of type "object"');if(!isString$1(Ja))throw new TypeError('region should be of type "string"');const td=(void 0)("sha256").update(Ra).digest("hex"),rd=getScope(Ja,qa,ed);return[signV4Algorithm,makeDateLong(qa),rd,td].join(`
|
||
`)}function postPresignSignatureV4(Ra,qa,Ja,ed){if(!isString$1(Ra))throw new TypeError('region should be of type "string"');if(!isObject$1(qa))throw new TypeError('date should be of type "object"');if(!isString$1(Ja))throw new TypeError('secretKey should be of type "string"');if(!isString$1(ed))throw new TypeError('policyBase64 should be of type "string"');return(void 0)("sha256",getSigningKey(qa,Ra,Ja)).update(ed).digest("hex").toLowerCase()}function signV4(Ra,qa,Ja,ed,td,rd,sd="s3"){if(!isObject$1(Ra))throw new TypeError('request should be of type "object"');if(!isString$1(qa))throw new TypeError('accessKey should be of type "string"');if(!isString$1(Ja))throw new TypeError('secretKey should be of type "string"');if(!isString$1(ed))throw new TypeError('region should be of type "string"');if(!qa)throw new AccessKeyRequiredError("accessKey is required for signing");if(!Ja)throw new SecretKeyRequiredError("secretKey is required for signing");const od=getSignedHeaders(Ra.headers),ld=getCanonicalRequest(Ra.method,Ra.path,Ra.headers,od,rd),cd=sd||"s3",ud=getStringToSign(ld,td,ed,cd),_d=getSigningKey(td,ed,Ja,cd),yd=getCredential(qa,ed,td,cd),gd=(void 0)("sha256",_d).update(ud).digest("hex").toLowerCase();return`${signV4Algorithm} Credential=${yd}, SignedHeaders=${od.join(";").toLowerCase()}, Signature=${gd}`}function presignSignatureV4(Ra,qa,Ja,ed,td,rd,sd){if(!isObject$1(Ra))throw new TypeError('request should be of type "object"');if(!isString$1(qa))throw new TypeError('accessKey should be of type "string"');if(!isString$1(Ja))throw new TypeError('secretKey should be of type "string"');if(!isString$1(td))throw new TypeError('region should be of type "string"');if(!qa)throw new AccessKeyRequiredError("accessKey is required for presigning");if(!Ja)throw new SecretKeyRequiredError("secretKey is required for presigning");if(!isNumber$2(sd))throw new TypeError('expires should be of type "number"');if(sd<1)throw new ExpiresParamError("expires param cannot be less than 1 seconds");if(sd>604800)throw new ExpiresParamError("expires param cannot be greater than 7 days");const od=makeDateLong(rd),ld=getSignedHeaders(Ra.headers),cd=getCredential(qa,td,rd),ud="UNSIGNED-PAYLOAD",_d=[];_d.push(`X-Amz-Algorithm=${signV4Algorithm}`),_d.push(`X-Amz-Credential=${uriEscape(cd)}`),_d.push(`X-Amz-Date=${od}`),_d.push(`X-Amz-Expires=${sd}`),_d.push(`X-Amz-SignedHeaders=${uriEscape(ld.join(";").toLowerCase())}`),ed&&_d.push(`X-Amz-Security-Token=${uriEscape(ed)}`);const yd=Ra.path.split("?")[0];let gd=Ra.path.split("?")[1];gd?gd=gd+"&"+_d.join("&"):gd=_d.join("&");const Ed=yd+"?"+gd,Td=getCanonicalRequest(Ra.method,Ed,Ra.headers,ld,ud),kd=getStringToSign(Td,rd,td),Nd=(void 0)("sha256",getSigningKey(rd,td,Ja)).update(kd).digest("hex").toLowerCase();return Ra.protocol+"//"+Ra.headers.host+Ed+`&X-Amz-Signature=${Nd}`}async function readAsBuffer(Ra){return new Promise((qa,Ja)=>{const ed=[];Ra.on("data",td=>ed.push(td)).on("error",td=>Ja(td)).on("end",()=>qa(Buffer$2.concat(ed)))})}async function readAsString(Ra){return(await readAsBuffer(Ra)).toString()}async function drainResponse(Ra){return new Promise((qa,Ja)=>{Ra.on("data",()=>{}).on("error",ed=>Ja(ed)).on("end",()=>qa())})}function parseBucketRegion(Ra){return parseXml(Ra).LocationConstraint}const fxp=new fxp$2.XMLParser;function parseError(Ra,qa){let Ja={};const ed=fxp.parse(Ra);ed.Error&&(Ja=ed.Error);const td=new S3Error;return Object.entries(Ja).forEach(([rd,sd])=>{td[rd.toLowerCase()]=sd}),Object.entries(qa).forEach(([rd,sd])=>{td[rd]=sd}),td}async function parseResponseError(Ra){const qa=Ra.statusCode;let Ja,ed;qa===301?(Ja="MovedPermanently",ed="Moved Permanently"):qa===307?(Ja="TemporaryRedirect",ed="Are you using the correct endpoint URL?"):qa===403?(Ja="AccessDenied",ed="Valid and authorized credentials required"):qa===404?(Ja="NotFound",ed="Not Found"):qa===405||qa===501?(Ja="MethodNotAllowed",ed="Method Not Allowed"):(Ja="UnknownError",ed=`${qa}`);const td={};td.amzRequestid=Ra.headers["x-amz-request-id"],td.amzId2=Ra.headers["x-amz-id-2"],td.amzBucketRegion=Ra.headers["x-amz-bucket-region"];const rd=await readAsString(Ra);if(rd)throw parseError(rd,td);const sd=new S3Error(ed,{cause:td});throw sd.code=Ja,Object.entries(td).forEach(([od,ld])=>{sd[od]=ld}),sd}function parseListObjectsV2WithMetadata(Ra){const qa={objects:[],isTruncated:!1,nextContinuationToken:""};let Ja=parseXml(Ra);if(!Ja.ListBucketResult)throw new InvalidXMLError('Missing tag: "ListBucketResult"');return Ja=Ja.ListBucketResult,Ja.IsTruncated&&(qa.isTruncated=Ja.IsTruncated),Ja.NextContinuationToken&&(qa.nextContinuationToken=Ja.NextContinuationToken),Ja.Contents&&toArray$1(Ja.Contents).forEach(ed=>{const td=sanitizeObjectKey(ed.Key),rd=new Date(ed.LastModified),sd=sanitizeETag(ed.ETag),od=ed.Size;let ld;ed.UserMetadata!=null?ld=toArray$1(ed.UserMetadata)[0]:ld=null,qa.objects.push({name:td,lastModified:rd,etag:sd,size:od,metadata:ld})}),Ja.CommonPrefixes&&toArray$1(Ja.CommonPrefixes).forEach(ed=>{qa.objects.push({prefix:sanitizeObjectKey(toArray$1(ed.Prefix)[0]),size:0})}),qa}function parseListParts(Ra){let qa=parseXml(Ra);const Ja={isTruncated:!1,parts:[],marker:0};if(!qa.ListPartsResult)throw new InvalidXMLError('Missing tag: "ListPartsResult"');return qa=qa.ListPartsResult,qa.IsTruncated&&(Ja.isTruncated=qa.IsTruncated),qa.NextPartNumberMarker&&(Ja.marker=toArray$1(qa.NextPartNumberMarker)[0]||""),qa.Part&&toArray$1(qa.Part).forEach(ed=>{const td=parseInt(toArray$1(ed.PartNumber)[0],10),rd=new Date(ed.LastModified),sd=ed.ETag.replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"");Ja.parts.push({part:td,lastModified:rd,etag:sd,size:parseInt(ed.Size,10)})}),Ja}function parseListBucket(Ra){let qa=[];const Ja=parseXml(Ra);if(!Ja.ListAllMyBucketsResult)throw new InvalidXMLError('Missing tag: "ListAllMyBucketsResult"');const{ListAllMyBucketsResult:{Buckets:ed={}}={}}=Ja;return ed.Bucket&&(qa=toArray$1(ed.Bucket).map((td={})=>{const{Name:rd,CreationDate:sd}=td,od=new Date(sd);return{name:rd,creationDate:od}})),qa}function parseInitiateMultipart(Ra){let qa=parseXml(Ra);if(!qa.InitiateMultipartUploadResult)throw new InvalidXMLError('Missing tag: "InitiateMultipartUploadResult"');if(qa=qa.InitiateMultipartUploadResult,qa.UploadId)return qa.UploadId;throw new InvalidXMLError('Missing tag: "UploadId"')}function parseReplicationConfig(Ra){const qa=parseXml(Ra),{Role:Ja,Rule:ed}=qa.ReplicationConfiguration;return{ReplicationConfiguration:{role:Ja,rules:toArray$1(ed)}}}class Extensions{constructor(qa){this.client=qa}listObjectsV2WithMetadata(qa,Ja,ed,td){if(Ja===void 0&&(Ja=""),ed===void 0&&(ed=!1),td===void 0&&(td=""),!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidPrefix(Ja))throw new InvalidPrefixError(`Invalid prefix : ${Ja}`);if(!isString$1(Ja))throw new TypeError('prefix should be of type "string"');if(!isBoolean$1(ed))throw new TypeError('recursive should be of type "boolean"');if(!isString$1(td))throw new TypeError('startAfter should be of type "string"');const rd=ed?"":"/";return streamBrowserify.Readable.from(this.listObjectsV2WithMetadataGen(qa,Ja,rd,td),{objectMode:!0})}async*listObjectsV2WithMetadataGen(qa,Ja,ed,td){let rd=!1,sd="";do{const od=await this.listObjectsV2WithMetadataQuery(qa,Ja,sd,ed,td);rd=!od.isTruncated,sd=od.nextContinuationToken;for(const ld of od.objects)yield ld}while(!rd)}async listObjectsV2WithMetadataQuery(qa,Ja,ed,td,rd){const sd=[];sd.push("list-type=2"),sd.push("encoding-type=url"),sd.push(`prefix=${uriEscape(Ja)}`),sd.push(`delimiter=${uriEscape(td)}`),sd.push("metadata=true"),ed&&(ed=uriEscape(ed),sd.push(`continuation-token=${ed}`)),rd&&(rd=uriEscape(rd),sd.push(`start-after=${rd}`)),sd.push("max-keys=1000"),sd.sort();let od="";sd.length>0&&(od=`${sd.join("&")}`);const cd=await this.client.makeRequestAsync({method:"GET",bucketName:qa,query:od});return parseListObjectsV2WithMetadata(await readAsString(cd))}}async function request$1(Ra,qa,Ja=null){return new Promise((ed,td)=>{const rd=Ra.request(qa,sd=>{ed(sd)});if(!Ja||Buffer$2.isBuffer(Ja)||typeof Ja=="string"){rd.on("error",sd=>{td(sd)}).end(Ja);return}streamBrowserify.pipeline(Ja,rd,sd=>{sd&&td(sd)})})}const awsS3Endpoint={"us-east-1":"s3.amazonaws.com","us-east-2":"s3-us-east-2.amazonaws.com","us-west-1":"s3-us-west-1.amazonaws.com","us-west-2":"s3-us-west-2.amazonaws.com","ca-central-1":"s3.ca-central-1.amazonaws.com","eu-west-1":"s3-eu-west-1.amazonaws.com","eu-west-2":"s3-eu-west-2.amazonaws.com","sa-east-1":"s3-sa-east-1.amazonaws.com","eu-central-1":"s3-eu-central-1.amazonaws.com","ap-south-1":"s3-ap-south-1.amazonaws.com","ap-southeast-1":"s3-ap-southeast-1.amazonaws.com","ap-southeast-2":"s3-ap-southeast-2.amazonaws.com","ap-northeast-1":"s3-ap-northeast-1.amazonaws.com","cn-north-1":"s3.cn-north-1.amazonaws.com.cn","ap-east-1":"s3.ap-east-1.amazonaws.com","eu-north-1":"s3.eu-north-1.amazonaws.com"};function getS3Endpoint(Ra){if(!isString$1(Ra))throw new TypeError(`Invalid region: ${Ra}`);const qa=awsS3Endpoint[Ra];return qa||"s3.amazonaws.com"}var define_process_default$3={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};const Package={version:"7.1.3"},requestOptionProperties=["agent","ca","cert","ciphers","clientCertEngine","crl","dhparam","ecdhCurve","family","honorCipherOrder","key","passphrase","pfx","rejectUnauthorized","secureOptions","secureProtocol","servername","sessionIdContext"];class TypedClient{constructor(qa){n1(this,"partSize",64*1024*1024);n1(this,"maximumPartSize",5*1024*1024*1024);n1(this,"maxObjectSize",5*1024*1024*1024*1024);if(qa.secure!==void 0)throw new Error('"secure" option deprecated, "useSSL" should be used instead');if(qa.useSSL===void 0&&(qa.useSSL=!0),qa.port||(qa.port=0),!isValidEndpoint(qa.endPoint))throw new InvalidEndpointError(`Invalid endPoint : ${qa.endPoint}`);if(!isValidPort(qa.port))throw new InvalidArgumentError(`Invalid port : ${qa.port}`);if(!isBoolean$1(qa.useSSL))throw new InvalidArgumentError(`Invalid useSSL flag type : ${qa.useSSL}, expected to be of type "boolean"`);if(qa.region&&!isString$1(qa.region))throw new InvalidArgumentError(`Invalid region : ${qa.region}`);const Ja=qa.endPoint.toLowerCase();let ed=qa.port,td,rd,sd;if(qa.useSSL?(rd=http,td="https:",ed=ed||443,sd=void 0):(rd=http,td="http:",ed=ed||80,sd=void 0),qa.transport){if(!isObject$1(qa.transport))throw new InvalidArgumentError(`Invalid transport type : ${qa.transport}, expected to be type "object"`);rd=qa.transport}if(qa.transportAgent){if(!isObject$1(qa.transportAgent))throw new InvalidArgumentError(`Invalid transportAgent type: ${qa.transportAgent}, expected to be type "object"`);sd=qa.transportAgent}const ld=`MinIO ${`(${define_process_default$3.platform}; ${define_process_default$3.arch})`} minio-js/${Package.version}`;if(this.transport=rd,this.transportAgent=sd,this.host=Ja,this.port=ed,this.protocol=td,this.userAgent=`${ld}`,qa.pathStyle===void 0?this.pathStyle=!0:this.pathStyle=qa.pathStyle,this.accessKey=qa.accessKey??"",this.secretKey=qa.secretKey??"",this.sessionToken=qa.sessionToken,this.anonymous=!this.accessKey||!this.secretKey,qa.credentialsProvider&&(this.credentialsProvider=qa.credentialsProvider),this.regionMap={},qa.region&&(this.region=qa.region),qa.partSize&&(this.partSize=qa.partSize,this.overRidePartSize=!0),this.partSize<5*1024*1024)throw new InvalidArgumentError("Part size should be greater than 5MB");if(this.partSize>5*1024*1024*1024)throw new InvalidArgumentError("Part size should be less than 5GB");this.enableSHA256=!this.anonymous&&!qa.useSSL,this.s3AccelerateEndpoint=qa.s3AccelerateEndpoint||void 0,this.reqOptions={},this.clientExtensions=new Extensions(this)}get extensions(){return this.clientExtensions}setS3TransferAccelerate(qa){this.s3AccelerateEndpoint=qa}setRequestOptions(qa){if(!isObject$1(qa))throw new TypeError('request options should be of type "object"');this.reqOptions=_.pick(qa,requestOptionProperties)}getAccelerateEndPointIfSet(qa,Ja){if(!isEmpty(this.s3AccelerateEndpoint)&&!isEmpty(qa)&&!isEmpty(Ja)){if(qa.includes("."))throw new Error(`Transfer Acceleration is not supported for non compliant bucket:${qa}`);return this.s3AccelerateEndpoint}return!1}getRequestOptions(qa){const Ja=qa.method,ed=qa.region,td=qa.bucketName;let rd=qa.objectName;const sd=qa.headers,od=qa.query;let ld={method:Ja,headers:{},protocol:this.protocol,agent:this.transportAgent},cd;td&&(cd=isVirtualHostStyle(this.host,this.protocol,td,this.pathStyle));let ud="/",_d=this.host,yd;if(this.port&&(yd=this.port),rd&&(rd=uriResourceEscape(rd)),isAmazonEndpoint(_d)){const gd=this.getAccelerateEndPointIfSet(td,rd);gd?_d=`${gd}`:_d=getS3Endpoint(ed)}if(cd&&!qa.pathStyle?(td&&(_d=`${td}.${_d}`),rd&&(ud=`/${rd}`)):(td&&(ud=`/${td}`),rd&&(ud=`/${td}/${rd}`)),od&&(ud+=`?${od}`),ld.headers.host=_d,(ld.protocol==="http:"&&yd!==80||ld.protocol==="https:"&&yd!==443)&&(ld.headers.host=`${_d}:${yd}`),ld.headers["user-agent"]=this.userAgent,sd)for(const[gd,Ed]of Object.entries(sd))ld.headers[gd.toLowerCase()]=Ed;return ld=Object.assign({},this.reqOptions,ld),{...ld,headers:_.mapValues(_.pickBy(ld.headers,isDefined),gd=>gd.toString()),host:_d,port:yd,path:ud}}async setCredentialsProvider(qa){if(!(qa instanceof CredentialProvider))throw new Error("Unable to get credentials. Expected instance of CredentialProvider");this.credentialsProvider=qa,await this.checkAndRefreshCreds()}async checkAndRefreshCreds(){if(this.credentialsProvider)try{const qa=await this.credentialsProvider.getCredentials();this.accessKey=qa.getAccessKey(),this.secretKey=qa.getSecretKey(),this.sessionToken=qa.getSessionToken()}catch(qa){throw new Error(`Unable to get credentials: ${qa}`,{cause:qa})}}logHTTP(qa,Ja,ed){if(!this.logStream)return;if(!isObject$1(qa))throw new TypeError('reqOptions should be of type "object"');if(Ja&&!isReadableStream$1(Ja))throw new TypeError('response should be of type "Stream"');if(ed&&!(ed instanceof Error))throw new TypeError('err should be of type "Error"');const td=this.logStream,rd=sd=>{Object.entries(sd).forEach(([od,ld])=>{if(od=="authorization"&&isString$1(ld)){const cd=new RegExp("Signature=([0-9a-f]+)");ld=ld.replace(cd,"Signature=**REDACTED**")}td.write(`${od}: ${ld}
|
||
`)}),td.write(`
|
||
`)};if(td.write(`REQUEST: ${qa.method} ${qa.path}
|
||
`),rd(qa.headers),Ja&&(this.logStream.write(`RESPONSE: ${Ja.statusCode}
|
||
`),rd(Ja.headers)),ed){td.write(`ERROR BODY:
|
||
`);const sd=JSON.stringify(ed,null," ");td.write(`${sd}
|
||
`)}}traceOn(qa){qa||(qa=define_process_default$3.stdout),this.logStream=qa}traceOff(){this.logStream=void 0}async makeRequestAsync(qa,Ja="",ed=[200],td=""){if(!isObject$1(qa))throw new TypeError('options should be of type "object"');if(!isString$1(Ja)&&!isObject$1(Ja))throw new TypeError('payload should be of type "string" or "Buffer"');if(ed.forEach(sd=>{if(!isNumber$2(sd))throw new TypeError('statusCode should be of type "number"')}),!isString$1(td))throw new TypeError('region should be of type "string"');qa.headers||(qa.headers={}),(qa.method==="POST"||qa.method==="PUT"||qa.method==="DELETE")&&(qa.headers["content-length"]=Ja.length.toString());const rd=this.enableSHA256?toSha256(Ja):"";return this.makeRequestStreamAsync(qa,Ja,rd,ed,td)}async makeRequestAsyncOmit(qa,Ja="",ed=[200],td=""){const rd=await this.makeRequestAsync(qa,Ja,ed,td);return await drainResponse(rd),rd}async makeRequestStreamAsync(qa,Ja,ed,td,rd){if(!isObject$1(qa))throw new TypeError('options should be of type "object"');if(!(Buffer$2.isBuffer(Ja)||typeof Ja=="string"||isReadableStream$1(Ja)))throw new InvalidArgumentError(`stream should be a Buffer, string or readable Stream, got ${typeof Ja} instead`);if(!isString$1(ed))throw new TypeError('sha256sum should be of type "string"');if(td.forEach(ld=>{if(!isNumber$2(ld))throw new TypeError('statusCode should be of type "number"')}),!isString$1(rd))throw new TypeError('region should be of type "string"');if(!this.enableSHA256&&ed.length!==0)throw new InvalidArgumentError("sha256sum expected to be empty for anonymous or https requests");if(this.enableSHA256&&ed.length!==64)throw new InvalidArgumentError(`Invalid sha256sum : ${ed}`);await this.checkAndRefreshCreds(),rd=rd||await this.getBucketRegionAsync(qa.bucketName);const sd=this.getRequestOptions({...qa,region:rd});if(!this.anonymous){this.enableSHA256||(ed="UNSIGNED-PAYLOAD");const ld=new Date;sd.headers["x-amz-date"]=makeDateLong(ld),sd.headers["x-amz-content-sha256"]=ed,this.sessionToken&&(sd.headers["x-amz-security-token"]=this.sessionToken),sd.headers.authorization=signV4(sd,this.accessKey,this.secretKey,rd,ld,ed)}const od=await request$1(this.transport,sd,Ja);if(!od.statusCode)throw new Error("BUG: response doesn't have a statusCode");if(!td.includes(od.statusCode)){delete this.regionMap[qa.bucketName];const ld=await parseResponseError(od);throw this.logHTTP(sd,od,ld),ld}return this.logHTTP(sd,od),od}async getBucketRegionAsync(qa){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name : ${qa}`);if(this.region)return this.region;const Ja=this.regionMap[qa];if(Ja)return Ja;const ed=async cd=>{const ud=await readAsString(cd),_d=parseBucketRegion(ud)||DEFAULT_REGION;return this.regionMap[qa]=_d,_d},td="GET",rd="location",sd=this.pathStyle&&!isBrowser_1;let od;try{const cd=await this.makeRequestAsync({method:td,bucketName:qa,query:rd,pathStyle:sd},"",[200],DEFAULT_REGION);return ed(cd)}catch(cd){if(cd.name!=="AuthorizationHeaderMalformed"||(od=cd.Region,!od))throw cd}const ld=await this.makeRequestAsync({method:td,bucketName:qa,query:rd,pathStyle:sd},"",[200],od);return await ed(ld)}makeRequest(qa,Ja="",ed=[200],td="",rd,sd){let od;rd?od=this.makeRequestAsync(qa,Ja,ed,td):od=this.makeRequestAsyncOmit(qa,Ja,ed,td),od.then(ld=>sd(null,ld),ld=>{sd(ld)})}makeRequestStream(qa,Ja,ed,td,rd,sd,od){(async()=>{const cd=await this.makeRequestStreamAsync(qa,Ja,ed,td,rd);return sd||await drainResponse(cd),cd})().then(cd=>od(null,cd),cd=>od(cd))}getBucketRegion(qa,Ja){return this.getBucketRegionAsync(qa).then(ed=>Ja(null,ed),ed=>Ja(ed))}async removeBucket(qa){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);await this.makeRequestAsyncOmit({method:"DELETE",bucketName:qa},"",[204]),delete this.regionMap[qa]}async statObject(qa,Ja,ed={}){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isObject$1(ed))throw new InvalidArgumentError('statOpts should be of type "object"');const td=queryString.stringify(ed),sd=await this.makeRequestAsyncOmit({method:"HEAD",bucketName:qa,objectName:Ja,query:td});return{size:parseInt(sd.headers["content-length"]),metaData:extractMetadata(sd.headers),lastModified:new Date(sd.headers["last-modified"]),versionId:getVersionId(sd.headers),etag:sanitizeETag(sd.headers.etag)}}async removeObject(qa,Ja,ed={}){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isObject$1(ed))throw new InvalidArgumentError('removeOpts should be of type "object"');const td="DELETE",rd={};ed.governanceBypass&&(rd["X-Amz-Bypass-Governance-Retention"]=!0),ed.forceDelete&&(rd["x-minio-force-delete"]=!0);const sd={};ed.versionId&&(sd.versionId=`${ed.versionId}`);const od=queryString.stringify(sd);await this.makeRequestAsyncOmit({method:td,bucketName:qa,objectName:Ja,headers:rd,query:od},"",[200,204])}async initiateNewMultipartUpload(qa,Ja,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isObject$1(ed))throw new InvalidObjectNameError('contentType should be of type "object"');const sd=await this.makeRequestAsync({method:"POST",bucketName:qa,objectName:Ja,query:"uploads",headers:ed}),od=await readAsBuffer(sd);return parseInitiateMultipart(od.toString())}async abortMultipartUpload(qa,Ja,ed){const td="DELETE",rd=`uploadId=${ed}`,sd={method:td,bucketName:qa,objectName:Ja,query:rd};await this.makeRequestAsyncOmit(sd,"",[204])}async listParts(qa,Ja,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isString$1(ed))throw new TypeError('uploadId should be of type "string"');if(!ed)throw new InvalidArgumentError("uploadId cannot be empty");const td=[];let rd=0,sd;do sd=await this.listPartsQuery(qa,Ja,ed,rd),rd=sd.marker,td.push(...sd.parts);while(sd.isTruncated);return td}async listPartsQuery(qa,Ja,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isString$1(ed))throw new TypeError('uploadId should be of type "string"');if(!isNumber$2(td))throw new TypeError('marker should be of type "number"');if(!ed)throw new InvalidArgumentError("uploadId cannot be empty");let rd=`uploadId=${uriEscape(ed)}`;td&&(rd+=`&part-number-marker=${td}`);const od=await this.makeRequestAsync({method:"GET",bucketName:qa,objectName:Ja,query:rd});return parseListParts(await readAsString(od))}async listBuckets(){const Ja=await this.makeRequestAsync({method:"GET"},"",[200],DEFAULT_REGION),ed=await readAsString(Ja);return parseListBucket(ed)}async removeBucketReplication(qa){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);await this.makeRequestAsyncOmit({method:"DELETE",bucketName:qa,query:"replication"},"",[200,204],"")}async setBucketReplication(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(isObject$1(Ja)){if(_.isEmpty(Ja.role))throw new InvalidArgumentError("Role cannot be empty");if(Ja.role&&!isString$1(Ja.role))throw new InvalidArgumentError("Invalid value for role",Ja.role);if(_.isEmpty(Ja.rules))throw new InvalidArgumentError("Minimum one replication rule must be specified")}else throw new InvalidArgumentError('replicationConfig should be of type "object"');const ed="PUT",td="replication",rd={},sd={ReplicationConfiguration:{Role:Ja.role,Rule:Ja.rules}},ld=new xml2js.Builder({renderOpts:{pretty:!1},headless:!0}).buildObject(sd);rd["Content-MD5"]=toMd5(ld),await this.makeRequestAsyncOmit({method:ed,bucketName:qa,query:td,headers:rd},ld)}async getBucketReplication(qa){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);const td=await this.makeRequestAsync({method:"GET",bucketName:qa,query:"replication"},"",[200,204]),rd=await readAsString(td);return parseReplicationConfig(rd)}}class CopyConditions{constructor(){n1(this,"modified","");n1(this,"unmodified","");n1(this,"matchETag","");n1(this,"matchETagExcept","")}setModified(qa){if(!(qa instanceof Date))throw new TypeError("date must be of type Date");this.modified=qa.toUTCString()}setUnmodified(qa){if(!(qa instanceof Date))throw new TypeError("date must be of type Date");this.unmodified=qa.toUTCString()}setMatchETag(qa){this.matchETag=qa}setMatchETagExcept(qa){this.matchETagExcept=qa}}class PostPolicy{constructor(){n1(this,"policy",{conditions:[]});n1(this,"formData",{})}setExpires(qa){if(!qa)throw new InvalidDateError("Invalid date: cannot be null");this.policy.expiration=qa.toISOString()}setKey(qa){if(!isValidObjectName(qa))throw new InvalidObjectNameError(`Invalid object name : ${qa}`);this.policy.conditions.push(["eq","$key",qa]),this.formData.key=qa}setKeyStartsWith(qa){if(!isValidPrefix(qa))throw new InvalidPrefixError(`Invalid prefix : ${qa}`);this.policy.conditions.push(["starts-with","$key",qa]),this.formData.key=qa}setBucket(qa){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name : ${qa}`);this.policy.conditions.push(["eq","$bucket",qa]),this.formData.bucket=qa}setContentType(qa){if(!qa)throw new Error("content-type cannot be null");this.policy.conditions.push(["eq","$Content-Type",qa]),this.formData["Content-Type"]=qa}setContentTypeStartsWith(qa){if(!qa)throw new Error("content-type cannot be null");this.policy.conditions.push(["starts-with","$Content-Type",qa]),this.formData["Content-Type"]=qa}setContentDisposition(qa){if(!qa)throw new Error("content-disposition cannot be null");this.policy.conditions.push(["eq","$Content-Disposition",qa]),this.formData["Content-Disposition"]=qa}setContentLengthRange(qa,Ja){if(qa>Ja)throw new Error("min cannot be more than max");if(qa<0)throw new Error("min should be > 0");if(Ja<0)throw new Error("max should be > 0");this.policy.conditions.push(["content-length-range",qa,Ja])}setUserMetaData(qa){if(!isObject$1(qa))throw new TypeError('metadata should be of type "object"');Object.entries(qa).forEach(([Ja,ed])=>{const td=`x-amz-meta-${Ja}`;this.policy.conditions.push(["eq",`$${td}`,ed]),this.formData[td]=ed.toString()})}}var jsonStream={exports:{}},util=util$5,TransformStream=streamBrowserify.Transform;jsonStream.exports=function(Ra){return new JSONStream(Ra)};var JSONStream=jsonStream.exports.JSONStream=function(Ra){Ra=Ra||{},TransformStream.call(this,Ra),this._writableState.objectMode=!1,this._readableState.objectMode=!0,this._async=Ra.async||!1};util.inherits(JSONStream,TransformStream);JSONStream.prototype._transform=function(Ra,qa,Ja){Buffer$2.isBuffer(Ra)||(Ra=new Buffer$2(Ra)),this._buffer&&(Ra=Buffer$2.concat([this._buffer,Ra]));for(var ed=0,td=0;++ed<=Ra.length;)if(Ra[ed]===10||ed===Ra.length){var rd;try{rd=JSON.parse(Ra.slice(td,ed))}catch{}rd&&(this.push(rd),rd=null),Ra[ed]===10&&(td=++ed)}return this._buffer=Ra.slice(td),this._async?void setImmediate(Ja):void Ja()};var jsonStreamExports=jsonStream.exports;const JSONParser=getDefaultExportFromCjs(jsonStreamExports);var through2$1={exports:{}};const{Transform}=readableBrowserExports;function inherits$1(Ra,qa){Ra.super_=qa,Ra.prototype=Object.create(qa.prototype,{constructor:{value:Ra,enumerable:!1,writable:!0,configurable:!0}})}function through2(Ra){return(qa,Ja,ed)=>(typeof qa=="function"&&(ed=Ja,Ja=qa,qa={}),typeof Ja!="function"&&(Ja=(td,rd,sd)=>sd(null,td)),typeof ed!="function"&&(ed=null),Ra(qa,Ja,ed))}const make=through2((Ra,qa,Ja)=>{const ed=new Transform(Ra);return ed._transform=qa,Ja&&(ed._flush=Ja),ed}),ctor=through2((Ra,qa,Ja)=>{function ed(td){if(!(this instanceof ed))return new ed(td);this.options=Object.assign({},Ra,td),Transform.call(this,this.options),this._transform=qa,Ja&&(this._flush=Ja)}return inherits$1(ed,Transform),ed}),obj=through2(function(Ra,qa,Ja){const ed=new Transform(Object.assign({objectMode:!0,highWaterMark:16},Ra));return ed._transform=qa,Ja&&(ed._flush=Ja),ed});through2$1.exports=make;through2$1.exports.ctor=ctor;through2$1.exports.obj=obj;var through2Exports=through2$1.exports;const Through2=getDefaultExportFromCjs(through2Exports);var Buffer$1=buffer.Buffer,CRC_TABLE=[0,1996959894,3993919788,2567524794,124634137,1886057615,3915621685,2657392035,249268274,2044508324,3772115230,2547177864,162941995,2125561021,3887607047,2428444049,498536548,1789927666,4089016648,2227061214,450548861,1843258603,4107580753,2211677639,325883990,1684777152,4251122042,2321926636,335633487,1661365465,4195302755,2366115317,997073096,1281953886,3579855332,2724688242,1006888145,1258607687,3524101629,2768942443,901097722,1119000684,3686517206,2898065728,853044451,1172266101,3705015759,2882616665,651767980,1373503546,3369554304,3218104598,565507253,1454621731,3485111705,3099436303,671266974,1594198024,3322730930,2970347812,795835527,1483230225,3244367275,3060149565,1994146192,31158534,2563907772,4023717930,1907459465,112637215,2680153253,3904427059,2013776290,251722036,2517215374,3775830040,2137656763,141376813,2439277719,3865271297,1802195444,476864866,2238001368,4066508878,1812370925,453092731,2181625025,4111451223,1706088902,314042704,2344532202,4240017532,1658658271,366619977,2362670323,4224994405,1303535960,984961486,2747007092,3569037538,1256170817,1037604311,2765210733,3554079995,1131014506,879679996,2909243462,3663771856,1141124467,855842277,2852801631,3708648649,1342533948,654459306,3188396048,3373015174,1466479909,544179635,3110523913,3462522015,1591671054,702138776,2966460450,3352799412,1504918807,783551873,3082640443,3233442989,3988292384,2596254646,62317068,1957810842,3939845945,2647816111,81470997,1943803523,3814918930,2489596804,225274430,2053790376,3826175755,2466906013,167816743,2097651377,4027552580,2265490386,503444072,1762050814,4150417245,2154129355,426522225,1852507879,4275313526,2312317920,282753626,1742555852,4189708143,2394877945,397917763,1622183637,3604390888,2714866558,953729732,1340076626,3518719985,2797360999,1068828381,1219638859,3624741850,2936675148,906185462,1090812512,3747672003,2825379669,829329135,1181335161,3412177804,3160834842,628085408,1382605366,3423369109,3138078467,570562233,1426400815,3317316542,2998733608,733239954,1555261956,3268935591,3050360625,752459403,1541320221,2607071920,3965973030,1969922972,40735498,2617837225,3943577151,1913087877,83908371,2512341634,3803740692,2075208622,213261112,2463272603,3855990285,2094854071,198958881,2262029012,4057260610,1759359992,534414190,2176718541,4139329115,1873836001,414664567,2282248934,4279200368,1711684554,285281116,2405801727,4167216745,1634467795,376229701,2685067896,3608007406,1308918612,956543938,2808555105,3495958263,1231636301,1047427035,2932959818,3654703836,1088359270,936918e3,2847714899,3736837829,1202900863,817233897,3183342108,3401237130,1404277552,615818150,3134207493,3453421203,1423857449,601450431,3009837614,3294710456,1567103746,711928724,3020668471,3272380065,1510334235,755167117];typeof Int32Array<"u"&&(CRC_TABLE=new Int32Array(CRC_TABLE));function ensureBuffer(Ra){if(Buffer$1.isBuffer(Ra))return Ra;var qa=typeof Buffer$1.alloc=="function"&&typeof Buffer$1.from=="function";if(typeof Ra=="number")return qa?Buffer$1.alloc(Ra):new Buffer$1(Ra);if(typeof Ra=="string")return qa?Buffer$1.from(Ra):new Buffer$1(Ra);throw new Error("input must be buffer, number, or string, received "+typeof Ra)}function bufferizeInt(Ra){var qa=ensureBuffer(4);return qa.writeInt32BE(Ra,0),qa}function _crc32(Ra,qa){Ra=ensureBuffer(Ra),Buffer$1.isBuffer(qa)&&(qa=qa.readUInt32BE(0));for(var Ja=~~qa^-1,ed=0;ed<Ra.length;ed++)Ja=CRC_TABLE[(Ja^Ra[ed])&255]^Ja>>>8;return Ja^-1}function crc32(){return bufferizeInt(_crc32.apply(null,arguments))}crc32.signed=function(){return _crc32.apply(null,arguments)};crc32.unsigned=function(){return _crc32.apply(null,arguments)>>>0};var bufferCrc32=crc32;const crc32$1=getDefaultExportFromCjs(bufferCrc32),fxpWithoutNumParser=new fxp$2.XMLParser({numberParseOptions:{skipLike:/./}});function parseCopyObject(Ra){var qa={etag:"",lastModified:""},Ja=parseXml(Ra);if(!Ja.CopyObjectResult)throw new InvalidXMLError('Missing tag: "CopyObjectResult"');return Ja=Ja.CopyObjectResult,Ja.ETag&&(qa.etag=Ja.ETag.replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"")),Ja.LastModified&&(qa.lastModified=new Date(Ja.LastModified)),qa}function parseListMultipart(Ra){var qa={uploads:[],prefixes:[],isTruncated:!1},Ja=parseXml(Ra);if(!Ja.ListMultipartUploadsResult)throw new InvalidXMLError('Missing tag: "ListMultipartUploadsResult"');return Ja=Ja.ListMultipartUploadsResult,Ja.IsTruncated&&(qa.isTruncated=Ja.IsTruncated),Ja.NextKeyMarker&&(qa.nextKeyMarker=Ja.NextKeyMarker),Ja.NextUploadIdMarker&&(qa.nextUploadIdMarker=Ja.nextUploadIdMarker||""),Ja.CommonPrefixes&&toArray$1(Ja.CommonPrefixes).forEach(ed=>{qa.prefixes.push({prefix:sanitizeObjectKey(toArray$1(ed.Prefix)[0])})}),Ja.Upload&&toArray$1(Ja.Upload).forEach(ed=>{var td=ed.Key,rd=ed.UploadId,sd={id:ed.Initiator.ID,displayName:ed.Initiator.DisplayName},od={id:ed.Owner.ID,displayName:ed.Owner.DisplayName},ld=ed.StorageClass,cd=new Date(ed.Initiated);qa.uploads.push({key:td,uploadId:rd,initiator:sd,owner:od,storageClass:ld,initiated:cd})}),qa}function parseBucketNotification(Ra){var qa={TopicConfiguration:[],QueueConfiguration:[],CloudFunctionConfiguration:[]},Ja=function(rd){var sd=[];return rd&&toArray$1(rd).forEach(od=>{sd.push(od)}),sd},ed=function(rd){var sd=[];return rd&&(rd=toArray$1(rd),rd[0].S3Key&&(rd[0].S3Key=toArray$1(rd[0].S3Key),rd[0].S3Key[0].FilterRule&&toArray$1(rd[0].S3Key[0].FilterRule).forEach(od=>{var ld=toArray$1(od.Name)[0],cd=toArray$1(od.Value)[0];sd.push({Name:ld,Value:cd})}))),sd},td=parseXml(Ra);return td=td.NotificationConfiguration,td.TopicConfiguration&&toArray$1(td.TopicConfiguration).forEach(rd=>{var sd=toArray$1(rd.Id)[0],od=toArray$1(rd.Topic)[0],ld=Ja(rd.Event),cd=ed(rd.Filter);qa.TopicConfiguration.push({Id:sd,Topic:od,Event:ld,Filter:cd})}),td.QueueConfiguration&&toArray$1(td.QueueConfiguration).forEach(rd=>{var sd=toArray$1(rd.Id)[0],od=toArray$1(rd.Queue)[0],ld=Ja(rd.Event),cd=ed(rd.Filter);qa.QueueConfiguration.push({Id:sd,Queue:od,Event:ld,Filter:cd})}),td.CloudFunctionConfiguration&&toArray$1(td.CloudFunctionConfiguration).forEach(rd=>{var sd=toArray$1(rd.Id)[0],od=toArray$1(rd.CloudFunction)[0],ld=Ja(rd.Event),cd=ed(rd.Filter);qa.CloudFunctionConfiguration.push({Id:sd,CloudFunction:od,Event:ld,Filter:cd})}),qa}function parseCompleteMultipart(Ra){var qa=parseXml(Ra).CompleteMultipartUploadResult;if(qa.Location){var Ja=toArray$1(qa.Location)[0],ed=toArray$1(qa.Bucket)[0],td=qa.Key,rd=qa.ETag.replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"").replace(/^"/g,"").replace(/"$/g,"");return{location:Ja,bucket:ed,key:td,etag:rd}}if(qa.Code&&qa.Message){var sd=toArray$1(qa.Code)[0],od=toArray$1(qa.Message)[0];return{errCode:sd,errMessage:od}}}const formatObjInfo=(Ra,qa={})=>{let{Key:Ja,LastModified:ed,ETag:td,Size:rd,VersionId:sd,IsLatest:od}=Ra;isObject$1(qa)||(qa={});const ld=sanitizeObjectKey(toArray$1(Ja)[0]),cd=new Date(toArray$1(ed)[0]),ud=sanitizeETag(toArray$1(td)[0]),_d=sanitizeSize(rd);return{name:ld,lastModified:cd,etag:ud,size:_d,versionId:sd,isLatest:od,isDeleteMarker:qa.IsDeleteMarker?qa.IsDeleteMarker:!1}};function parseListObjects(Ra){var qa={objects:[],isTruncated:!1};let Ja=!1,ed,td;const rd=fxpWithoutNumParser.parse(Ra),sd=cd=>{cd&&toArray$1(cd).forEach(ud=>{qa.objects.push({prefix:sanitizeObjectKey(toArray$1(ud.Prefix)[0]),size:0})})},od=rd.ListBucketResult,ld=rd.ListVersionsResult;return od&&(od.IsTruncated&&(Ja=od.IsTruncated),od.Contents&&toArray$1(od.Contents).forEach(cd=>{const ud=sanitizeObjectKey(toArray$1(cd.Key)[0]),_d=new Date(toArray$1(cd.LastModified)[0]),yd=sanitizeETag(toArray$1(cd.ETag)[0]),gd=sanitizeSize(cd.Size);qa.objects.push({name:ud,lastModified:_d,etag:yd,size:gd})}),od.NextMarker&&(ed=od.NextMarker),sd(od.CommonPrefixes)),ld&&(ld.IsTruncated&&(Ja=ld.IsTruncated),ld.Version&&toArray$1(ld.Version).forEach(cd=>{qa.objects.push(formatObjInfo(cd))}),ld.DeleteMarker&&toArray$1(ld.DeleteMarker).forEach(cd=>{qa.objects.push(formatObjInfo(cd,{IsDeleteMarker:!0}))}),ld.NextKeyMarker&&(td=ld.NextKeyMarker),ld.NextVersionIdMarker&&(qa.versionIdMarker=ld.NextVersionIdMarker),sd(ld.CommonPrefixes)),qa.isTruncated=Ja,Ja&&(qa.nextMarker=td||ed),qa}function parseListObjectsV2(Ra){var qa={objects:[],isTruncated:!1},Ja=parseXml(Ra);if(!Ja.ListBucketResult)throw new InvalidXMLError('Missing tag: "ListBucketResult"');return Ja=Ja.ListBucketResult,Ja.IsTruncated&&(qa.isTruncated=Ja.IsTruncated),Ja.NextContinuationToken&&(qa.nextContinuationToken=Ja.NextContinuationToken),Ja.Contents&&toArray$1(Ja.Contents).forEach(ed=>{var td=sanitizeObjectKey(toArray$1(ed.Key)[0]),rd=new Date(ed.LastModified),sd=sanitizeETag(ed.ETag),od=ed.Size;qa.objects.push({name:td,lastModified:rd,etag:sd,size:od})}),Ja.CommonPrefixes&&toArray$1(Ja.CommonPrefixes).forEach(ed=>{qa.objects.push({prefix:sanitizeObjectKey(toArray$1(ed.Prefix)[0]),size:0})}),qa}function parseBucketVersioningConfig(Ra){var qa=parseXml(Ra);return qa.VersioningConfiguration}function parseTagging(Ra){const qa=parseXml(Ra);let Ja=[];if(qa.Tagging&&qa.Tagging.TagSet&&qa.Tagging.TagSet.Tag){const ed=qa.Tagging.TagSet.Tag;isObject$1(ed)?Ja.push(ed):Ja=ed}return Ja}function parseLifecycleConfig(Ra){return parseXml(Ra).LifecycleConfiguration}function parseObjectLockConfig(Ra){const qa=parseXml(Ra);let Ja={};if(qa.ObjectLockConfiguration){Ja={objectLockEnabled:qa.ObjectLockConfiguration.ObjectLockEnabled};let ed;if(qa.ObjectLockConfiguration&&qa.ObjectLockConfiguration.Rule&&qa.ObjectLockConfiguration.Rule.DefaultRetention&&(ed=qa.ObjectLockConfiguration.Rule.DefaultRetention||{},Ja.mode=ed.Mode),ed){const td=ed.Years;td?(Ja.validity=td,Ja.unit=RETENTION_VALIDITY_UNITS.YEARS):(Ja.validity=ed.Days,Ja.unit=RETENTION_VALIDITY_UNITS.DAYS)}return Ja}}function parseObjectRetentionConfig(Ra){const Ja=parseXml(Ra).Retention;return{mode:Ja.Mode,retainUntilDate:Ja.RetainUntilDate}}function parseBucketEncryptionConfig(Ra){return parseXml(Ra)}function parseObjectLegalHoldConfig(Ra){return parseXml(Ra).LegalHold}function uploadPartParser(Ra){return parseXml(Ra).CopyPartResult}function removeObjectsParser(Ra){const qa=parseXml(Ra);return qa.DeleteResult&&qa.DeleteResult.Error?toArray$1(qa.DeleteResult.Error):[]}function parseSelectObjectContentResponse(Ra){function qa(rd){const sd=Buffer$2.from(rd.read(1)).readUInt8(),ld=(Buffer$2.from(rd.read(sd)).toString()||"").split(":");return ld.length>=1?ld[1]:""}function Ja(rd){const sd=Buffer$2.from(rd.read(2)).readUInt16BE();return Buffer$2.from(rd.read(sd)).toString()}const ed=new SelectResults({}),td=readableStream(Ra);for(;td._readableState.length;){let rd;const sd=Buffer$2.from(td.read(4));rd=crc32$1(sd);const od=Buffer$2.from(td.read(4));rd=crc32$1(od,rd);const ld=rd.readInt32BE(),cd=Buffer$2.from(td.read(4));rd=crc32$1(cd,rd);const ud=sd.readInt32BE(),_d=od.readInt32BE(),yd=cd.readInt32BE();if(yd!==ld)throw new Error(`Header Checksum Mismatch, Prelude CRC of ${yd} does not equal expected CRC of ${ld}`);const gd={};if(_d>0){const Rd=Buffer$2.from(td.read(_d));rd=crc32$1(Rd,rd);const Nd=readableStream(Rd);for(;Nd._readableState.length;){let Id=qa(Nd);Nd.read(1),gd[Id]=Ja(Nd)}}let Ed;const Td=ud-_d-16;if(Td>0){const Rd=Buffer$2.from(td.read(Td));rd=crc32$1(Rd,rd);const Nd=Buffer$2.from(td.read(4)).readInt32BE(),Id=rd.readInt32BE();if(Nd!==Id)throw new Error(`Message Checksum Mismatch, Message CRC of ${Nd} does not equal expected CRC of ${Id}`);Ed=readableStream(Rd)}const kd=gd["message-type"];switch(kd){case"error":{const Rd=gd["error-code"]+':"'+gd["error-message"]+'"';throw new Error(Rd)}case"event":{const Rd=gd["content-type"];switch(gd["event-type"]){case"End":return ed.setResponse(Ra),ed;case"Records":{const Id=Ed.read(Td);ed.setRecords(Id);break}case"Progress":switch(Rd){case"text/xml":{const Id=Ed.read(Td);ed.setProgress(Id.toString());break}default:{const Id=`Unexpected content-type ${Rd} sent for event-type Progress`;throw new Error(Id)}}break;case"Stats":switch(Rd){case"text/xml":{const Id=Ed.read(Td);ed.setStats(Id.toString());break}default:{const Id=`Unexpected content-type ${Rd} sent for event-type Stats`;throw new Error(Id)}}break;default:{const Id=`Un implemented event detected ${kd}.`;console.warn(Id)}}}}}}function getConcater(Ra,qa){var Ja=!1,ed=[];if(Ra&&!isFunction$1(Ra))throw new TypeError('parser should be of type "function"');return Ra&&(Ja=!0),Through2({objectMode:Ja},function(td,rd,sd){ed.push(td),sd()},function(td){ed.length&&(Ra?this.push(Ra(Buffer$2.concat(ed).toString())):this.push(Buffer$2.concat(ed))),td()})}function getCopyObjectTransformer(){return getConcater(parseCopyObject)}function getListMultipartTransformer(){return getConcater(parseListMultipart)}function getListObjectsTransformer(){return getConcater(parseListObjects)}function getListObjectsV2Transformer(){return getConcater(parseListObjectsV2)}function getCompleteMultipartTransformer(){return getConcater(parseCompleteMultipart)}function getBucketNotificationTransformer(){return getConcater(parseBucketNotification)}function getNotificationTransformer(){return new JSONParser}function bucketVersioningTransformer(){return getConcater(parseBucketVersioningConfig)}function getTagsTransformer(){return getConcater(parseTagging)}function lifecycleTransformer(){return getConcater(parseLifecycleConfig)}function objectLockTransformer(){return getConcater(parseObjectLockConfig)}function objectRetentionTransformer(){return getConcater(parseObjectRetentionConfig)}function bucketEncryptionTransformer(){return getConcater(parseBucketEncryptionConfig)}function objectLegalHoldTransformer(){return getConcater(parseObjectLegalHoldConfig)}function uploadPartTransformer(){return getConcater(uploadPartParser)}function selectObjectContentTransformer(){return getConcater()}function removeObjectsTransformer(){return getConcater(removeObjectsParser)}var define_process_default$2={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};class NotificationConfig{add(qa){let Ja="";qa instanceof TopicConfig&&(Ja="TopicConfiguration"),qa instanceof QueueConfig&&(Ja="QueueConfiguration"),qa instanceof CloudFunctionConfig&&(Ja="CloudFunctionConfiguration"),this[Ja]||(this[Ja]=[]),this[Ja].push(qa)}}class TargetConfig{setId(qa){this.Id=qa}addEvent(qa){this.Event||(this.Event=[]),this.Event.push(qa)}addFilterSuffix(qa){this.Filter||(this.Filter={S3Key:{FilterRule:[]}}),this.Filter.S3Key.FilterRule.push({Name:"suffix",Value:qa})}addFilterPrefix(qa){this.Filter||(this.Filter={S3Key:{FilterRule:[]}}),this.Filter.S3Key.FilterRule.push({Name:"prefix",Value:qa})}}class TopicConfig extends TargetConfig{constructor(qa){super(),this.Topic=qa}}class QueueConfig extends TargetConfig{constructor(qa){super(),this.Queue=qa}}class CloudFunctionConfig extends TargetConfig{constructor(qa){super(),this.CloudFunction=qa}}class NotificationPoller extends eventsExports.EventEmitter{constructor(qa,Ja,ed,td,rd){super(),this.client=qa,this.bucketName=Ja,this.prefix=ed,this.suffix=td,this.events=rd,this.ending=!1}start(){this.ending=!1,define_process_default$2.nextTick(()=>{this.checkForChanges()})}stop(){this.ending=!0}checkForChanges(){if(this.ending)return;let qa="GET";var Ja=[];if(this.prefix){var ed=uriEscape(this.prefix);Ja.push(`prefix=${ed}`)}if(this.suffix){var td=uriEscape(this.suffix);Ja.push(`suffix=${td}`)}this.events&&this.events.forEach(od=>Ja.push("events="+uriEscape(od))),Ja.sort();var rd="";Ja.length>0&&(rd=`${Ja.join("&")}`);const sd=this.client.region||DEFAULT_REGION;this.client.makeRequest({method:qa,bucketName:this.bucketName,query:rd},"",[200],sd,!0,(od,ld)=>{if(od)return this.emit("error",od);let cd=getNotificationTransformer();pipesetup(ld,cd).on("data",ud=>{let _d=ud.Records;_d||(_d=[]),_d.forEach(yd=>{this.emit("notification",yd)}),this.ending&&ld.destroy()}).on("error",ud=>this.emit("error",ud)).on("end",()=>{define_process_default$2.nextTick(()=>{this.checkForChanges()})})})}}var define_process_default$1={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};class ObjectUploader extends streamBrowserify.Transform{constructor(qa,Ja,ed,td,rd,sd){super(),this.emptyStream=!0,this.client=qa,this.bucketName=Ja,this.objectName=ed,this.partSize=td,this.metaData=rd,this.callback=sd,this.partNumber=1,this.oldParts=null,this.etags=[],this.id=null,this.on("error",od=>{sd(od)})}_transform(qa,Ja,ed){this.emptyStream=!1;let td="PUT",rd={"Content-Length":qa.length},sd="";if(this.client.enableSHA256||(sd=(void 0)("md5").update(qa).digest(),rd["Content-MD5"]=sd.toString("base64")),this.partNumber==1&&qa.length<this.partSize){let ud={method:td,headers:Object.assign({},this.metaData,rd),query:"",bucketName:this.bucketName,objectName:this.objectName};this.client.makeRequest(ud,qa,[200],"",!0,(_d,yd)=>{if(_d)return ed(_d);let gd={etag:sanitizeETag(yd.headers.etag),versionId:getVersionId(yd.headers)};yd.on("data",()=>{}),define_process_default$1.nextTick(()=>{this.callback(null,gd)}),ed()});return}if(this.id===null){this.once("ready",()=>{this._transform(qa,Ja,ed)}),this.client.findUploadId(this.bucketName,this.objectName,(ud,_d)=>{if(ud)return this.emit("error",ud);if(!_d){this.client.initiateNewMultipartUpload(this.bucketName,this.objectName,this.metaData).then(yd=>{this.id=yd,this.emit("ready")},yd=>ed(yd));return}this.id=_d,this.client.listParts(this.bucketName,this.objectName,_d).then(yd=>{yd||(yd=[]),this.oldParts=yd.reduce(function(gd,Ed){return gd[Ed.part]||(gd[Ed.part]=Ed),gd},{}),this.emit("ready")},yd=>this.emit("error",yd))});return}let od=this.partNumber++;if(this.oldParts){let ud=this.oldParts[od];if(sd||(sd=(void 0)("md5").update(qa).digest()),ud&&sd.toString("hex")===ud.etag){this.etags.push({part:od,etag:ud.etag}),ed();return}}let ld=queryString.stringify({partNumber:od,uploadId:this.id}),cd={method:td,query:ld,headers:rd,bucketName:this.bucketName,objectName:this.objectName};this.client.makeRequest(cd,qa,[200],"",!0,(ud,_d)=>{if(ud)return ed(ud);let yd=_d.headers.etag;yd&&(yd=yd.replace(/^"/,"").replace(/"$/,"")),this.etags.push({part:od,etag:yd}),_d.on("data",()=>{}),ed()})}_flush(qa){if(this.emptyStream){let Ja="PUT",ed=Object.assign({},this.metaData,{"Content-Length":0}),td={method:Ja,headers:ed,query:"",bucketName:this.bucketName,objectName:this.objectName};this.client.makeRequest(td,"",[200],"",!0,(rd,sd)=>{if(rd)return qa(rd);let od={etag:sanitizeETag(sd.headers.etag),versionId:getVersionId(sd.headers)};sd.on("data",()=>{}),define_process_default$1.nextTick(()=>{this.callback(null,od)}),qa()});return}this.id!==null&&this.client.completeMultipartUpload(this.bucketName,this.objectName,this.id,this.etags,(Ja,ed)=>{if(Ja)return qa(Ja);define_process_default$1.nextTick(()=>{this.callback(null,ed)}),qa()})}}function promisify(Ra){return function(){if(typeof arguments[arguments.length-1]=="function")return Ra.apply(this,arguments);let Ja=[...arguments];return new Promise((ed,td)=>{Ja.push((rd,sd)=>{if(rd)return td(rd);ed(sd)}),Ra.apply(this,Ja)})}}class Client extends TypedClient{setAppInfo(qa,Ja){if(!isString$1(qa))throw new TypeError(`Invalid appName: ${qa}`);if(qa.trim()==="")throw new InvalidArgumentError("Input appName cannot be empty.");if(!isString$1(Ja))throw new TypeError(`Invalid appVersion: ${Ja}`);if(Ja.trim()==="")throw new InvalidArgumentError("Input appVersion cannot be empty.");this.userAgent=`${this.userAgent} ${qa}/${Ja}`}calculatePartSize(qa){if(!isNumber$2(qa))throw new TypeError('size should be of type "number"');if(qa>this.maxObjectSize)throw new TypeError(`size should not be more than ${this.maxObjectSize}`);if(this.overRidePartSize)return this.partSize;for(var Ja=this.partSize;;){if(Ja*1e4>qa)return Ja;Ja+=16*1024*1024}}makeBucket(qa,Ja,ed={},td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(isObject$1(Ja)&&(td=ed,ed=Ja,Ja=""),isFunction$1(Ja)&&(td=Ja,Ja="",ed={}),isFunction$1(ed)&&(td=ed,ed={}),!isString$1(Ja))throw new TypeError('region should be of type "string"');if(!isObject$1(ed))throw new TypeError('makeOpts should be of type "object"');if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');var rd="";if(Ja&&this.region&&Ja!==this.region)throw new InvalidArgumentError(`Configured region ${this.region}, requested ${Ja}`);if(Ja&&Ja!==DEFAULT_REGION){var sd=[];sd.push({_attr:{xmlns:"http://s3.amazonaws.com/doc/2006-03-01/"}}),sd.push({LocationConstraint:Ja});var od={CreateBucketConfiguration:sd};rd=Xml(od)}var ld="PUT",cd={};ed.ObjectLocking&&(cd["x-amz-bucket-object-lock-enabled"]=!0),Ja||(Ja=DEFAULT_REGION);const ud=_d=>{if(_d&&(Ja===""||Ja===DEFAULT_REGION))if(_d.code==="AuthorizationHeaderMalformed"&&_d.region!=="")this.makeRequest({method:ld,bucketName:qa,headers:cd},rd,[200],_d.region,!1,td);else return td&&td(_d);return td&&td(_d)};this.makeRequest({method:ld,bucketName:qa,headers:cd},rd,[200],Ja,!1,ud)}listIncompleteUploads(qa,Ja,ed){if(Ja===void 0&&(Ja=""),ed===void 0&&(ed=!1),!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidPrefix(Ja))throw new InvalidPrefixError(`Invalid prefix : ${Ja}`);if(!isBoolean$1(ed))throw new TypeError('recursive should be of type "boolean"');var td=ed?"":"/",rd="",sd="",od=[],ld=!1,cd=streamBrowserify.Readable({objectMode:!0});return cd._read=()=>{if(od.length)return cd.push(od.shift());if(ld)return cd.push(null);this.listIncompleteUploadsQuery(qa,Ja,rd,sd,td).on("error",ud=>cd.emit("error",ud)).on("data",ud=>{ud.prefixes.forEach(_d=>od.push(_d)),index.eachSeries(ud.uploads,(_d,yd)=>{this.listParts(qa,_d.key,_d.uploadId).then(gd=>{_d.size=gd.reduce((Ed,Td)=>Ed+Td.size,0),od.push(_d),yd()},yd)},_d=>{if(_d){cd.emit("error",_d);return}ud.isTruncated?(rd=ud.nextKeyMarker,sd=ud.nextUploadIdMarker):ld=!0,cd._read()})})},cd}bucketExists(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(Ja))throw new TypeError('callback should be of type "function"');var ed="HEAD";this.makeRequest({method:ed,bucketName:qa},"",[200],"",!1,td=>{if(td)return td.code=="NoSuchBucket"||td.code=="NotFound"?Ja(null,!1):Ja(td);Ja(null,!0)})}removeIncompleteUpload(qa,Ja,ed){if(!isValidBucketName(qa))throw new IsValidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');var td;index.during(rd=>{this.findUploadId(qa,Ja,(sd,od)=>{if(sd)return rd(sd);td=od,rd(null,od)})},rd=>{var sd="DELETE",od=`uploadId=${td}`;this.makeRequest({method:sd,bucketName:qa,objectName:Ja,query:od},"",[204],"",!1,ld=>rd(ld))},ed)}fGetObject(qa,Ja,ed,td={},rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isString$1(ed))throw new TypeError('filePath should be of type "string"');if(isFunction$1(td)&&(rd=td,td={}),!isFunction$1(rd))throw new TypeError('callback should be of type "function"');var sd,od,ld,cd=ud=>{if(ud)return rd(ud);(void 0)(sd,ed,rd)};index.waterfall([ud=>this.statObject(qa,Ja,td,ud),(ud,_d)=>{ld=ud,(void 0)(pathBrowserify.dirname(ed),{recursive:!0},yd=>_d(yd))},ud=>{sd=`${ed}.${ld.etag}.part.minio`,(void 0)(sd,(_d,yd)=>{var gd=0;if(_d)od=(void 0)(sd,{flags:"w"});else{if(ld.size===yd.size)return cd();gd=yd.size,od=(void 0)(sd,{flags:"a"})}this.getPartialObject(qa,Ja,gd,0,td,ud)})},(ud,_d)=>{pipesetup(ud,od).on("error",yd=>_d(yd)).on("finish",_d)},ud=>(void 0)(sd,ud),(ud,_d)=>{if(ud.size===ld.size)return _d();_d(new Error("Size mismatch between downloaded file and the object"))}],cd)}getObject(qa,Ja,ed={},td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(isFunction$1(ed)&&(td=ed,ed={}),!isFunction$1(td))throw new TypeError('callback should be of type "function"');this.getPartialObject(qa,Ja,0,0,ed,td)}getPartialObject(qa,Ja,ed,td,rd={},sd){if(isFunction$1(td)&&(sd=td,td=0),!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isNumber$2(ed))throw new TypeError('offset should be of type "number"');if(!isNumber$2(td))throw new TypeError('length should be of type "number"');if(isFunction$1(rd)&&(sd=rd,rd={}),!isFunction$1(sd))throw new TypeError('callback should be of type "function"');var od="";(ed||td)&&(ed?od=`bytes=${+ed}-`:(od="bytes=0-",ed=0),td&&(od+=`${+td+ed-1}`));var ld={};od!==""&&(ld.range=od);var cd=[200];od&&cd.push(206);var ud="GET",_d=queryString.stringify(rd);this.makeRequest({method:ud,bucketName:qa,objectName:Ja,headers:ld,query:_d},"",cd,"",!0,sd)}fPutObject(qa,Ja,ed,td,rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isString$1(ed))throw new TypeError('filePath should be of type "string"');if(isFunction$1(td)&&(rd=td,td={}),!isObject$1(td))throw new TypeError('metaData should be of type "object"');td=insertContentType(td,ed),(void 0)(ed,(sd,od)=>sd?rd(sd):this.putObject(qa,Ja,(void 0)(ed),od.size,td,rd))}putObject(qa,Ja,ed,td,rd,sd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(isFunction$1(td)?(sd=td,rd={}):isFunction$1(rd)&&(sd=rd,rd={}),isObject$1(td)&&(rd=td),rd=prependXAMZMeta(rd),typeof ed=="string"||ed instanceof Buffer$2)td=ed.length,ed=readableStream(ed);else if(!isReadableStream$1(ed))throw new TypeError('third argument should be of type "stream.Readable" or "Buffer" or "string"');if(!isFunction$1(sd))throw new TypeError('callback should be of type "function"');if(isNumber$2(td)&&td<0)throw new InvalidArgumentError(`size cannot be negative, given size: ${td}`);isNumber$2(td)||(td=this.maxObjectSize),td=this.calculatePartSize(td);let od=new BlockStream2({size:td,zeroPadding:!1}),ld=new ObjectUploader(this,qa,Ja,td,rd,sd);pipesetup(ed,od,ld)}copyObjectV1(qa,Ja,ed,td,rd){var sd=qa,od=Ja,ld=ed,cd,ud;if(typeof td=="function"&&rd===void 0?(cd=null,ud=td):(cd=td,ud=rd),!isValidBucketName(sd))throw new InvalidBucketNameError("Invalid bucket name: "+sd);if(!isValidObjectName(od))throw new InvalidObjectNameError(`Invalid object name: ${od}`);if(!isString$1(ld))throw new TypeError('srcObject should be of type "string"');if(ld==="")throw new InvalidPrefixError("Empty source prefix");if(cd!==null&&!(cd instanceof CopyConditions))throw new TypeError('conditions should be of type "CopyConditions"');var _d={};_d["x-amz-copy-source"]=uriResourceEscape(ld),cd!==null&&(cd.modified!==""&&(_d["x-amz-copy-source-if-modified-since"]=cd.modified),cd.unmodified!==""&&(_d["x-amz-copy-source-if-unmodified-since"]=cd.unmodified),cd.matchETag!==""&&(_d["x-amz-copy-source-if-match"]=cd.matchETag),cd.matchEtagExcept!==""&&(_d["x-amz-copy-source-if-none-match"]=cd.matchETagExcept));var yd="PUT";this.makeRequest({method:yd,bucketName:sd,objectName:od,headers:_d},"",[200],"",!0,(gd,Ed)=>{if(gd)return ud(gd);var Td=getCopyObjectTransformer();pipesetup(Ed,Td).on("error",kd=>ud(kd)).on("data",kd=>ud(null,kd))})}copyObjectV2(qa,Ja,ed){if(!(qa instanceof CopySourceOptions))throw new InvalidArgumentError("sourceConfig should of type CopySourceOptions ");if(!(Ja instanceof CopyDestinationOptions))throw new InvalidArgumentError("destConfig should of type CopyDestinationOptions ");if(!Ja.validate()||!Ja.validate())return!1;if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');const td=Object.assign({},qa.getHeaders(),Ja.getHeaders()),rd=Ja.Bucket,sd=Ja.Object;this.makeRequest({method:"PUT",bucketName:rd,objectName:sd,headers:td},"",[200],"",!0,(ld,cd)=>{if(ld)return ed(ld);const ud=getCopyObjectTransformer();pipesetup(cd,ud).on("error",_d=>ed(_d)).on("data",_d=>{const yd=cd.headers,gd={Bucket:Ja.Bucket,Key:Ja.Object,LastModified:_d.LastModified,MetaData:extractMetadata(yd),VersionId:getVersionId(yd),SourceVersionId:getSourceVersionId(yd),Etag:sanitizeETag(yd.etag),Size:+yd["content-length"]};return ed(null,gd)})})}copyObject(...qa){return qa[0]instanceof CopySourceOptions&&qa[1]instanceof CopyDestinationOptions?this.copyObjectV2(...arguments):this.copyObjectV1(...arguments)}listObjectsQuery(qa,Ja,ed,td={}){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isString$1(Ja))throw new TypeError('prefix should be of type "string"');if(!isString$1(ed))throw new TypeError('marker should be of type "string"');let{Delimiter:rd,MaxKeys:sd,IncludeVersion:od}=td;if(!isObject$1(td))throw new TypeError('listQueryOpts should be of type "object"');if(!isString$1(rd))throw new TypeError('Delimiter should be of type "string"');if(!isNumber$2(sd))throw new TypeError('MaxKeys should be of type "number"');const ld=[];ld.push(`prefix=${uriEscape(Ja)}`),ld.push(`delimiter=${uriEscape(rd)}`),ld.push("encoding-type=url"),od&&ld.push("versions"),ed&&(ed=uriEscape(ed),od?ld.push(`key-marker=${ed}`):ld.push(`marker=${ed}`)),sd&&(sd>=1e3&&(sd=1e3),ld.push(`max-keys=${sd}`)),ld.sort();var cd="";ld.length>0&&(cd=`${ld.join("&")}`);var ud="GET",_d=getListObjectsTransformer();return this.makeRequest({method:ud,bucketName:qa,query:cd},"",[200],"",!0,(yd,gd)=>{if(yd)return _d.emit("error",yd);pipesetup(gd,_d)}),_d}listObjects(qa,Ja,ed,td={}){if(Ja===void 0&&(Ja=""),ed===void 0&&(ed=!1),!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidPrefix(Ja))throw new InvalidPrefixError(`Invalid prefix : ${Ja}`);if(!isString$1(Ja))throw new TypeError('prefix should be of type "string"');if(!isBoolean$1(ed))throw new TypeError('recursive should be of type "boolean"');if(!isObject$1(td))throw new TypeError('listOpts should be of type "object"');var rd="";const sd={Delimiter:ed?"":"/",MaxKeys:1e3,IncludeVersion:td.IncludeVersion};var od=[],ld=!1,cd=streamBrowserify.Readable({objectMode:!0});return cd._read=()=>{if(od.length){cd.push(od.shift());return}if(ld)return cd.push(null);this.listObjectsQuery(qa,Ja,rd,sd).on("error",ud=>cd.emit("error",ud)).on("data",ud=>{ud.isTruncated?rd=ud.nextMarker||ud.versionIdMarker:ld=!0,od=ud.objects,cd._read()})},cd}listObjectsV2Query(qa,Ja,ed,td,rd,sd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isString$1(Ja))throw new TypeError('prefix should be of type "string"');if(!isString$1(ed))throw new TypeError('continuationToken should be of type "string"');if(!isString$1(td))throw new TypeError('delimiter should be of type "string"');if(!isNumber$2(rd))throw new TypeError('maxKeys should be of type "number"');if(!isString$1(sd))throw new TypeError('startAfter should be of type "string"');var od=[];od.push("list-type=2"),od.push("encoding-type=url"),od.push(`prefix=${uriEscape(Ja)}`),od.push(`delimiter=${uriEscape(td)}`),ed&&(ed=uriEscape(ed),od.push(`continuation-token=${ed}`)),sd&&(sd=uriEscape(sd),od.push(`start-after=${sd}`)),rd&&(rd>=1e3&&(rd=1e3),od.push(`max-keys=${rd}`)),od.sort();var ld="";od.length>0&&(ld=`${od.join("&")}`);var cd="GET",ud=getListObjectsV2Transformer();return this.makeRequest({method:cd,bucketName:qa,query:ld},"",[200],"",!0,(_d,yd)=>{if(_d)return ud.emit("error",_d);pipesetup(yd,ud)}),ud}listObjectsV2(qa,Ja,ed,td){if(Ja===void 0&&(Ja=""),ed===void 0&&(ed=!1),td===void 0&&(td=""),!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidPrefix(Ja))throw new InvalidPrefixError(`Invalid prefix : ${Ja}`);if(!isString$1(Ja))throw new TypeError('prefix should be of type "string"');if(!isBoolean$1(ed))throw new TypeError('recursive should be of type "boolean"');if(!isString$1(td))throw new TypeError('startAfter should be of type "string"');var rd=ed?"":"/",sd="",od=[],ld=!1,cd=streamBrowserify.Readable({objectMode:!0});return cd._read=()=>{if(od.length){cd.push(od.shift());return}if(ld)return cd.push(null);this.listObjectsV2Query(qa,Ja,sd,rd,1e3,td).on("error",ud=>cd.emit("error",ud)).on("data",ud=>{ud.isTruncated?sd=ud.nextContinuationToken:ld=!0,od=ud.objects,cd._read()})},cd}removeObjects(qa,Ja,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!Array.isArray(Ja))throw new InvalidArgumentError("objectsList should be a list");if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');const td=1e3,rd="delete",sd="POST";let od=Ja.reduce((ud,_d)=>(ud.list.push(_d),ud.list.length===td&&(ud.listOfList.push(ud.list),ud.list=[]),ud),{listOfList:[],list:[]});od.list.length>0&&od.listOfList.push(od.list);const ld=new Encoder,cd=[];index.eachSeries(od.listOfList,(ud,_d)=>{var yd=[];ud.forEach(function(Nd){isObject$1(Nd)?yd.push({Key:Nd.name,VersionId:Nd.versionId}):yd.push({Key:Nd})});let gd={Delete:{Quiet:!0,Object:yd}},Td=new xml2js.Builder({headless:!0}).buildObject(gd);Td=Buffer$2.from(ld.encode(Td));const kd={};kd["Content-MD5"]=toMd5(Td);let Rd;this.makeRequest({method:sd,bucketName:qa,query:rd,headers:kd},Td,[200],"",!0,(Nd,Id)=>{if(Nd)return _d(Nd);pipesetup(Id,removeObjectsTransformer()).on("data",Md=>{Rd=Md}).on("error",Md=>_d(Md,null)).on("end",()=>(cd.push(Rd),_d(null,Rd)))})},()=>{ed(null,_.flatten(cd))})}getBucketPolicy(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isFunction$1(Ja))throw new TypeError('callback should be of type "function"');this.makeRequest({method:"GET",bucketName:qa,query:"policy"},"",[200],"",!0,(rd,sd)=>{if(rd)return Ja(rd);let od=Buffer$2.from("");pipesetup(sd,getConcater()).on("data",ld=>od=ld).on("error",Ja).on("end",()=>{Ja(null,od.toString())})})}setBucketPolicy(qa,Ja,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isString$1(Ja))throw new InvalidBucketPolicyError(`Invalid bucket policy: ${Ja} - must be "string"`);if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');let td="DELETE",rd="policy";Ja&&(td="PUT"),this.makeRequest({method:td,bucketName:qa,query:rd},Ja,[204],"",!1,ed)}presignedUrl(qa,Ja,ed,td,rd,sd,od){if(this.anonymous)throw new AnonymousRequestError("Presigned "+qa+" url cannot be generated for anonymous requests");if(isFunction$1(sd)&&(od=sd,sd=new Date),isFunction$1(rd)&&(od=rd,rd={},sd=new Date),isFunction$1(td)&&(od=td,rd={},td=24*60*60*7,sd=new Date),!isNumber$2(td))throw new TypeError('expires should be of type "number"');if(!isObject$1(rd))throw new TypeError('reqParams should be of type "object"');if(!isValidDate(sd))throw new TypeError('requestDate should be of type "Date" and valid');if(!isFunction$1(od))throw new TypeError('callback should be of type "function"');var ld=queryString.stringify(rd);this.getBucketRegion(Ja,(cd,ud)=>{if(cd)return od(cd);var _d,yd=this.getRequestOptions({method:qa,region:ud,bucketName:Ja,objectName:ed,query:ld});this.checkAndRefreshCreds();try{_d=presignSignatureV4(yd,this.accessKey,this.secretKey,this.sessionToken,ud,sd,td)}catch(gd){return od(gd)}od(null,_d)})}presignedGetObject(qa,Ja,ed,td,rd,sd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);isFunction$1(td)&&(sd=td,td={},rd=new Date);var od=["response-content-type","response-content-language","response-expires","response-cache-control","response-content-disposition","response-content-encoding"];return od.forEach(ld=>{if(td!==void 0&&td[ld]!==void 0&&!isString$1(td[ld]))throw new TypeError(`response header ${ld} should be of type "string"`)}),this.presignedUrl("GET",qa,Ja,ed,td,rd,sd)}presignedPutObject(qa,Ja,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);return this.presignedUrl("PUT",qa,Ja,ed,td)}newPostPolicy(){return new PostPolicy}presignedPostPolicy(qa,Ja){if(this.anonymous)throw new AnonymousRequestError("Presigned POST policy cannot be generated for anonymous requests");if(!isObject$1(qa))throw new TypeError('postPolicy should be of type "object"');if(!isFunction$1(Ja))throw new TypeError('cb should be of type "function"');this.getBucketRegion(qa.formData.bucket,(ed,td)=>{if(ed)return Ja(ed);var rd=new Date,sd=makeDateLong(rd);if(this.checkAndRefreshCreds(),!qa.policy.expiration){var od=new Date;od.setSeconds(24*60*60*7),qa.setExpires(od)}qa.policy.conditions.push(["eq","$x-amz-date",sd]),qa.formData["x-amz-date"]=sd,qa.policy.conditions.push(["eq","$x-amz-algorithm","AWS4-HMAC-SHA256"]),qa.formData["x-amz-algorithm"]="AWS4-HMAC-SHA256",qa.policy.conditions.push(["eq","$x-amz-credential",this.accessKey+"/"+getScope(td,rd)]),qa.formData["x-amz-credential"]=this.accessKey+"/"+getScope(td,rd),this.sessionToken&&(qa.policy.conditions.push(["eq","$x-amz-security-token",this.sessionToken]),qa.formData["x-amz-security-token"]=this.sessionToken);var ld=Buffer$2.from(JSON.stringify(qa.policy)).toString("base64");qa.formData.policy=ld;var cd=postPresignSignatureV4(td,rd,this.secretKey,ld);qa.formData["x-amz-signature"]=cd;var ud={};ud.region=td,ud.bucketName=qa.formData.bucket;var _d=this.getRequestOptions(ud),yd=this.port==80||this.port===443?"":`:${this.port.toString()}`,gd=`${_d.protocol}//${_d.host}${yd}${_d.path}`;Ja(null,{postURL:gd,formData:qa.formData})})}completeMultipartUpload(qa,Ja,ed,td,rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isString$1(ed))throw new TypeError('uploadId should be of type "string"');if(!isObject$1(td))throw new TypeError('etags should be of type "Array"');if(!isFunction$1(rd))throw new TypeError('cb should be of type "function"');if(!ed)throw new InvalidArgumentError("uploadId cannot be empty");var sd="POST",od=`uploadId=${uriEscape(ed)}`,ld=[];td.forEach(_d=>{ld.push({Part:[{PartNumber:_d.part},{ETag:_d.etag}]})});var cd={CompleteMultipartUpload:ld},ud=Xml(cd);this.makeRequest({method:sd,bucketName:qa,objectName:Ja,query:od},ud,[200],"",!0,(_d,yd)=>{if(_d)return rd(_d);var gd=getCompleteMultipartTransformer();pipesetup(yd,gd).on("error",Ed=>rd(Ed)).on("data",Ed=>{if(Ed.errCode)rd(new S3Error(Ed.errMessage));else{const Td={etag:Ed.etag,versionId:getVersionId(yd.headers)};rd(null,Td)}})})}listIncompleteUploadsQuery(qa,Ja,ed,td,rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isString$1(Ja))throw new TypeError('prefix should be of type "string"');if(!isString$1(ed))throw new TypeError('keyMarker should be of type "string"');if(!isString$1(td))throw new TypeError('uploadIdMarker should be of type "string"');if(!isString$1(rd))throw new TypeError('delimiter should be of type "string"');var sd=[];sd.push(`prefix=${uriEscape(Ja)}`),sd.push(`delimiter=${uriEscape(rd)}`),ed&&(ed=uriEscape(ed),sd.push(`key-marker=${ed}`)),td&&sd.push(`upload-id-marker=${td}`);var od=1e3;sd.push(`max-uploads=${od}`),sd.sort(),sd.unshift("uploads");var ld="";sd.length>0&&(ld=`${sd.join("&")}`);var cd="GET",ud=getListMultipartTransformer();return this.makeRequest({method:cd,bucketName:qa,query:ld},"",[200],"",!0,(_d,yd)=>{if(_d)return ud.emit("error",_d);pipesetup(yd,ud)}),ud}findUploadId(qa,Ja,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(!isFunction$1(ed))throw new TypeError('cb should be of type "function"');var td,rd=(sd,od)=>{this.listIncompleteUploadsQuery(qa,Ja,sd,od,"").on("error",ld=>ed(ld)).on("data",ld=>{if(ld.uploads.forEach(cd=>{if(cd.key===Ja&&(!td||cd.initiated.getTime()>td.initiated.getTime())){td=cd;return}}),ld.isTruncated){rd(ld.nextKeyMarker,ld.nextUploadIdMarker);return}if(td)return ed(null,td.uploadId);ed(null,void 0)})};rd("","")}setBucketNotification(qa,Ja,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isObject$1(Ja))throw new TypeError('notification config should be of type "Object"');if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');var td="PUT",rd="notification",sd=new xml2js.Builder({rootName:"NotificationConfiguration",renderOpts:{pretty:!1},headless:!0}),od=sd.buildObject(Ja);this.makeRequest({method:td,bucketName:qa,query:rd},od,[200],"",!1,ed)}removeAllBucketNotification(qa,Ja){this.setBucketNotification(qa,new NotificationConfig,Ja)}getBucketNotification(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(Ja))throw new TypeError('callback should be of type "function"');var ed="GET",td="notification";this.makeRequest({method:ed,bucketName:qa,query:td},"",[200],"",!0,(rd,sd)=>{if(rd)return Ja(rd);var od=getBucketNotificationTransformer(),ld;pipesetup(sd,od).on("data",cd=>ld=cd).on("error",cd=>Ja(cd)).on("end",()=>Ja(null,ld))})}listenBucketNotification(qa,Ja,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isString$1(Ja))throw new TypeError("prefix must be of type string");if(!isString$1(ed))throw new TypeError("suffix must be of type string");if(!Array.isArray(td))throw new TypeError("events must be of type Array");let rd=new NotificationPoller(this,qa,Ja,ed,td);return rd.start(),rd}getBucketVersioning(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(Ja))throw new InvalidArgumentError('callback should be of type "function"');var ed="GET",td="versioning";this.makeRequest({method:ed,bucketName:qa,query:td},"",[200],"",!0,(rd,sd)=>{if(rd)return Ja(rd);let od=Buffer$2.from("");pipesetup(sd,bucketVersioningTransformer()).on("data",ld=>{od=ld}).on("error",Ja).on("end",()=>{Ja(null,od)})})}setBucketVersioning(qa,Ja,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!Object.keys(Ja).length)throw new InvalidArgumentError('versionConfig should be of type "object"');if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');var td="PUT",rd="versioning",sd=new xml2js.Builder({rootName:"VersioningConfiguration",renderOpts:{pretty:!1},headless:!0}),od=sd.buildObject(Ja);this.makeRequest({method:td,bucketName:qa,query:rd},od,[200],"",!1,ed)}setTagging(qa){const{bucketName:Ja,objectName:ed,tags:td,putOpts:rd={},cb:sd}=qa,od="PUT";let ld="tagging";rd&&rd.versionId&&(ld=`${ld}&versionId=${rd.versionId}`);const cd=[];for(const[kd,Rd]of Object.entries(td))cd.push({Key:kd,Value:Rd});const ud={Tagging:{TagSet:{Tag:cd}}},_d=new Encoder,yd={};let Ed=new xml2js.Builder({headless:!0,renderOpts:{pretty:!1}}).buildObject(ud);Ed=Buffer$2.from(_d.encode(Ed)),yd["Content-MD5"]=toMd5(Ed);const Td={method:od,bucketName:Ja,query:ld,headers:yd};ed&&(Td.objectName=ed),yd["Content-MD5"]=toMd5(Ed),this.makeRequest(Td,Ed,[200],"",!1,sd)}setBucketTagging(qa,Ja,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isObject$1(Ja))throw new InvalidArgumentError('tags should be of type "object"');if(Object.keys(Ja).length>10)throw new InvalidArgumentError('maximum tags allowed is 10"');if(!isFunction$1(ed))throw new InvalidArgumentError('callback should be of type "function"');return this.setTagging({bucketName:qa,tags:Ja,cb:ed})}setObjectTagging(qa,Ja,ed,td={},rd){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidBucketNameError("Invalid object name: "+Ja);if(isFunction$1(td)&&(rd=td,td={}),!isObject$1(ed))throw new InvalidArgumentError('tags should be of type "object"');if(Object.keys(ed).length>10)throw new InvalidArgumentError('Maximum tags allowed is 10"');if(!isFunction$1(rd))throw new TypeError('callback should be of type "function"');return this.setTagging({bucketName:qa,objectName:Ja,tags:ed,putOpts:td,cb:rd})}removeTagging({bucketName:qa,objectName:Ja,removeOpts:ed,cb:td}){const rd="DELETE";let sd="tagging";ed&&Object.keys(ed).length&&ed.versionId&&(sd=`${sd}&versionId=${ed.versionId}`);const od={method:rd,bucketName:qa,objectName:Ja,query:sd};Ja&&(od.objectName=Ja),this.makeRequest(od,"",[200,204],"",!0,td)}removeBucketTagging(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(Ja))throw new TypeError('callback should be of type "function"');return this.removeTagging({bucketName:qa,cb:Ja})}removeObjectTagging(qa,Ja,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidBucketNameError("Invalid object name: "+Ja);if(isFunction$1(ed)&&(td=ed,ed={}),ed&&Object.keys(ed).length&&!isObject$1(ed))throw new InvalidArgumentError('removeOpts should be of type "object"');if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');return this.removeTagging({bucketName:qa,objectName:Ja,removeOpts:ed,cb:td})}getBucketTagging(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);const rd={method:"GET",bucketName:qa,query:"tagging"};this.makeRequest(rd,"",[200],"",!0,(sd,od)=>{var ld=getTagsTransformer();if(sd)return Ja(sd);let cd;pipesetup(od,ld).on("data",ud=>cd=ud).on("error",ud=>Ja(ud)).on("end",()=>Ja(null,cd))})}getObjectTagging(qa,Ja,ed={},td=()=>!1){const rd="GET";let sd="tagging";if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidBucketNameError("Invalid object name: "+Ja);if(isFunction$1(ed)&&(td=ed,ed={}),!isObject$1(ed))throw new InvalidArgumentError('getOpts should be of type "object"');if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');ed&&ed.versionId&&(sd=`${sd}&versionId=${ed.versionId}`);const od={method:rd,bucketName:qa,query:sd};Ja&&(od.objectName=Ja),this.makeRequest(od,"",[200],"",!0,(ld,cd)=>{const ud=getTagsTransformer();if(ld)return td(ld);let _d;pipesetup(cd,ud).on("data",yd=>_d=yd).on("error",yd=>td(yd)).on("end",()=>td(null,_d))})}applyBucketLifecycle(qa,Ja,ed){const td="PUT",rd="lifecycle",sd=new Encoder,od={};let cd=new xml2js.Builder({rootName:"LifecycleConfiguration",headless:!0,renderOpts:{pretty:!1}}).buildObject(Ja);cd=Buffer$2.from(sd.encode(cd));const ud={method:td,bucketName:qa,query:rd,headers:od};od["Content-MD5"]=toMd5(cd),this.makeRequest(ud,cd,[200],"",!1,ed)}removeBucketLifecycle(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);this.makeRequest({method:"DELETE",bucketName:qa,query:"lifecycle"},"",[204],"",!1,Ja)}setBucketLifecycle(qa,Ja=null,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);_.isEmpty(Ja)?this.removeBucketLifecycle(qa,ed):this.applyBucketLifecycle(qa,Ja,ed)}getBucketLifecycle(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);const rd={method:"GET",bucketName:qa,query:"lifecycle"};this.makeRequest(rd,"",[200],"",!0,(sd,od)=>{const ld=lifecycleTransformer();if(sd)return Ja(sd);let cd;pipesetup(od,ld).on("data",ud=>cd=ud).on("error",ud=>Ja(ud)).on("end",()=>Ja(null,cd))})}setObjectLockConfig(qa,Ja={},ed){const td=[RETENTION_MODES.COMPLIANCE,RETENTION_MODES.GOVERNANCE],rd=[RETENTION_VALIDITY_UNITS.DAYS,RETENTION_VALIDITY_UNITS.YEARS];if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(Ja.mode&&!td.includes(Ja.mode))throw new TypeError(`lockConfigOpts.mode should be one of ${td}`);if(Ja.unit&&!rd.includes(Ja.unit))throw new TypeError(`lockConfigOpts.unit should be one of ${rd}`);if(Ja.validity&&!isNumber$2(Ja.validity))throw new TypeError("lockConfigOpts.validity should be a number");const sd="PUT",od="object-lock";let ld={ObjectLockEnabled:"Enabled"};const cd=Object.keys(Ja);if(cd.length>0){if(_.difference(cd,["unit","mode","validity"]).length!==0)throw new TypeError("lockConfigOpts.mode,lockConfigOpts.unit,lockConfigOpts.validity all the properties should be specified.");ld.Rule={DefaultRetention:{}},Ja.mode&&(ld.Rule.DefaultRetention.Mode=Ja.mode),Ja.unit===RETENTION_VALIDITY_UNITS.DAYS?ld.Rule.DefaultRetention.Days=Ja.validity:Ja.unit===RETENTION_VALIDITY_UNITS.YEARS&&(ld.Rule.DefaultRetention.Years=Ja.validity)}const _d=new xml2js.Builder({rootName:"ObjectLockConfiguration",renderOpts:{pretty:!1},headless:!0}).buildObject(ld),yd={};yd["Content-MD5"]=toMd5(_d),this.makeRequest({method:sd,bucketName:qa,query:od,headers:yd},_d,[200],"",!1,ed)}getObjectLockConfig(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(Ja))throw new InvalidArgumentError('callback should be of type "function"');this.makeRequest({method:"GET",bucketName:qa,query:"object-lock"},"",[200],"",!0,(rd,sd)=>{if(rd)return Ja(rd);let od=Buffer$2.from("");pipesetup(sd,objectLockTransformer()).on("data",ld=>{od=ld}).on("error",Ja).on("end",()=>{Ja(null,od)})})}putObjectRetention(qa,Ja,ed={},td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(isObject$1(ed)){if(ed.governanceBypass&&!isBoolean$1(ed.governanceBypass))throw new InvalidArgumentError("Invalid value for governanceBypass",ed.governanceBypass);if(ed.mode&&![RETENTION_MODES.COMPLIANCE,RETENTION_MODES.GOVERNANCE].includes(ed.mode))throw new InvalidArgumentError("Invalid object retention mode ",ed.mode);if(ed.retainUntilDate&&!isString$1(ed.retainUntilDate))throw new InvalidArgumentError("Invalid value for retainUntilDate",ed.retainUntilDate);if(ed.versionId&&!isString$1(ed.versionId))throw new InvalidArgumentError("Invalid value for versionId",ed.versionId)}else throw new InvalidArgumentError('retentionOpts should be of type "object"');if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');const rd="PUT";let sd="retention";const od={};ed.governanceBypass&&(od["X-Amz-Bypass-Governance-Retention"]=!0);const ld=new xml2js.Builder({rootName:"Retention",renderOpts:{pretty:!1},headless:!0}),cd={};ed.mode&&(cd.Mode=ed.mode),ed.retainUntilDate&&(cd.RetainUntilDate=ed.retainUntilDate),ed.versionId&&(sd+=`&versionId=${ed.versionId}`);let ud=ld.buildObject(cd);od["Content-MD5"]=toMd5(ud),this.makeRequest({method:rd,bucketName:qa,objectName:Ja,query:sd,headers:od},ud,[200,204],"",!1,td)}getObjectRetention(qa,Ja,ed,td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(isObject$1(ed)){if(ed.versionId&&!isString$1(ed.versionId))throw new InvalidArgumentError('VersionID should be of type "string"')}else throw new InvalidArgumentError('callback should be of type "object"');if(td&&!isFunction$1(td))throw new InvalidArgumentError('callback should be of type "function"');const rd="GET";let sd="retention";ed.versionId&&(sd+=`&versionId=${ed.versionId}`),this.makeRequest({method:rd,bucketName:qa,objectName:Ja,query:sd},"",[200],"",!0,(od,ld)=>{if(od)return td(od);let cd=Buffer$2.from("");pipesetup(ld,objectRetentionTransformer()).on("data",ud=>{cd=ud}).on("error",td).on("end",()=>{td(null,cd)})})}setBucketEncryption(qa,Ja,ed){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(isFunction$1(Ja)&&(ed=Ja,Ja=null),!_.isEmpty(Ja)&&Ja.Rule.length>1)throw new InvalidArgumentError("Invalid Rule length. Only one rule is allowed.: "+Ja.Rule);if(ed&&!isFunction$1(ed))throw new TypeError('callback should be of type "function"');let td=Ja;_.isEmpty(Ja)&&(td={Rule:[{ApplyServerSideEncryptionByDefault:{SSEAlgorithm:"AES256"}}]});let rd="PUT",sd="encryption",ld=new xml2js.Builder({rootName:"ServerSideEncryptionConfiguration",renderOpts:{pretty:!1},headless:!0}).buildObject(td);const cd={};cd["Content-MD5"]=toMd5(ld),this.makeRequest({method:rd,bucketName:qa,query:sd,headers:cd},ld,[200],"",!1,ed)}getBucketEncryption(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(Ja))throw new InvalidArgumentError('callback should be of type "function"');this.makeRequest({method:"GET",bucketName:qa,query:"encryption"},"",[200],"",!0,(rd,sd)=>{if(rd)return Ja(rd);let od=Buffer$2.from("");pipesetup(sd,bucketEncryptionTransformer()).on("data",ld=>{od=ld}).on("error",Ja).on("end",()=>{Ja(null,od)})})}removeBucketEncryption(qa,Ja){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isFunction$1(Ja))throw new InvalidArgumentError('callback should be of type "function"');this.makeRequest({method:"DELETE",bucketName:qa,query:"encryption"},"",[204],"",!1,Ja)}getObjectLegalHold(qa,Ja,ed={},td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(isFunction$1(ed)&&(td=ed,ed={}),isObject$1(ed)){if(Object.keys(ed).length>0&&ed.versionId&&!isString$1(ed.versionId))throw new TypeError("versionId should be of type string.:",ed.versionId)}else throw new TypeError('getOpts should be of type "Object"');if(!isFunction$1(td))throw new InvalidArgumentError('callback should be of type "function"');const rd="GET";let sd="legal-hold";ed.versionId&&(sd+=`&versionId=${ed.versionId}`),this.makeRequest({method:rd,bucketName:qa,objectName:Ja,query:sd},"",[200],"",!0,(od,ld)=>{if(od)return td(od);let cd=Buffer$2.from("");pipesetup(ld,objectLegalHoldTransformer()).on("data",ud=>{cd=ud}).on("error",td).on("end",()=>{td(null,cd)})})}setObjectLegalHold(qa,Ja,ed={},td){if(!isValidBucketName(qa))throw new InvalidBucketNameError("Invalid bucket name: "+qa);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);const rd={status:LEGAL_HOLD_STATUS.ENABLED};if(isFunction$1(ed)&&(td=ed,ed=rd),isObject$1(ed)){if(![LEGAL_HOLD_STATUS.ENABLED,LEGAL_HOLD_STATUS.DISABLED].includes(ed.status))throw new TypeError("Invalid status: "+ed.status);if(ed.versionId&&!ed.versionId.length)throw new TypeError("versionId should be of type string.:"+ed.versionId)}else throw new TypeError('setOpts should be of type "Object"');if(!isFunction$1(td))throw new InvalidArgumentError('callback should be of type "function"');_.isEmpty(ed)&&(ed={defaultOpts:rd});const sd="PUT";let od="legal-hold";ed.versionId&&(od+=`&versionId=${ed.versionId}`);let ld={Status:ed.status};const ud=new xml2js.Builder({rootName:"LegalHold",renderOpts:{pretty:!1},headless:!0}).buildObject(ld),_d={};_d["Content-MD5"]=toMd5(ud),this.makeRequest({method:sd,bucketName:qa,objectName:Ja,query:od,headers:_d},ud,[200],"",!1,td)}uploadPartCopy(qa,Ja){const{bucketName:ed,objectName:td,uploadID:rd,partNumber:sd,headers:od}=qa,ld="PUT";let cd=`uploadId=${rd}&partNumber=${sd}`;const ud={method:ld,bucketName:ed,objectName:td,query:cd,headers:od};return this.makeRequest(ud,"",[200],"",!0,(_d,yd)=>{let gd=Buffer$2.from("");if(_d)return Ja(_d);pipesetup(yd,uploadPartTransformer()).on("data",Ed=>{gd=Ed}).on("error",Ja).on("end",()=>{let Ed={etag:sanitizeETag(gd.ETag),key:td,part:sd};Ja(null,Ed)})})}composeObject(qa={},Ja=[],ed){const td=this,rd=Ja.length;if(!Array.isArray(Ja))throw new InvalidArgumentError("sourceConfig should an array of CopySourceOptions ");if(!(qa instanceof CopyDestinationOptions))throw new InvalidArgumentError("destConfig should of type CopyDestinationOptions ");if(rd<1||rd>PART_CONSTRAINTS.MAX_PARTS_COUNT)throw new InvalidArgumentError(`"There must be as least one and up to ${PART_CONSTRAINTS.MAX_PARTS_COUNT} source objects.`);if(!isFunction$1(ed))throw new TypeError('callback should be of type "function"');for(let _d=0;_d<rd;_d++)if(!Ja[_d].validate())return!1;if(!qa.validate())return!1;const sd=_d=>{let yd={};return _.isEmpty(_d.VersionID)||(yd={versionId:_d.VersionID}),yd},od=[];let ld=0,cd=0;const ud=Ja.map(_d=>td.statObject(_d.Bucket,_d.Object,sd(_d)));return Promise.all(ud).then(_d=>{const yd=_d.map((Rd,Nd)=>{const Id=Ja[Nd];let Md=Rd.size;if(Id.MatchRange){const Ld=Id.Start,Pd=Id.End;if(Pd>=Md||Ld<0)throw new InvalidArgumentError(`CopySrcOptions ${Nd} has invalid segment-to-copy [${Ld}, ${Pd}] (size is ${Md})`);Md=Pd-Ld+1}if(Md<PART_CONSTRAINTS.ABS_MIN_PART_SIZE&&Nd<rd-1)throw new InvalidArgumentError(`CopySrcOptions ${Nd} is too small (${Md}) and it is not the last part.`);if(ld+=Md,ld>PART_CONSTRAINTS.MAX_MULTIPART_PUT_OBJECT_SIZE)throw new InvalidArgumentError(`Cannot compose an object of size ${ld} (> 5TiB)`);if(od[Nd]=Md,cd+=partsRequired(Md),cd>PART_CONSTRAINTS.MAX_PARTS_COUNT)throw new InvalidArgumentError(`Your proposed compose object requires more than ${PART_CONSTRAINTS.MAX_PARTS_COUNT} parts`);return Rd});if(cd===1&&ld<=PART_CONSTRAINTS.MAX_PART_SIZE||ld===0)return this.copyObject(Ja[0],qa,ed);for(let Rd=0;Rd<rd;Rd++)Ja[Rd].MatchETag=yd[Rd].etag;const gd=yd.map((Rd,Nd)=>calculateEvenSplits(od[Nd],Ja[Nd]));function Ed(Rd){const Nd=[];return gd.forEach((Id,Md)=>{const{startIndex:Ld,endIndex:Pd,objInfo:qd}=Id;let Yd=Md+1;const Ud=Array.from(Ld),Hd=Ja[Md].getHeaders();Ud.forEach((Vd,Jd)=>{let Zd=Pd[Jd];const pf=`${qd.Bucket}/${qd.Object}`;Hd["x-amz-copy-source"]=`${pf}`,Hd["x-amz-copy-source-range"]=`bytes=${Vd}-${Zd}`;const Xd={bucketName:qa.Bucket,objectName:qa.Object,uploadID:Rd,partNumber:Yd,headers:Hd,sourceObj:pf};Nd.push(Xd)})}),Nd}const Td=Rd=>{const Nd=Ed(Rd);index.map(Nd,td.uploadPartCopy.bind(td),(Id,Md)=>{if(Id){this.abortMultipartUpload(qa.Bucket,qa.Object,Rd).then(()=>ed(),Pd=>ed(Pd));return}const Ld=Md.map(Pd=>({etag:Pd.etag,part:Pd.part}));return td.completeMultipartUpload(qa.Bucket,qa.Object,Rd,Ld,ed)})},kd=qa.getHeaders();td.initiateNewMultipartUpload(qa.Bucket,qa.Object,kd).then(Rd=>{Td(Rd)},Rd=>{ed(Rd,null)})}).catch(_d=>{ed(_d,null)})}selectObjectContent(qa,Ja,ed={},td){if(!isValidBucketName(qa))throw new InvalidBucketNameError(`Invalid bucket name: ${qa}`);if(!isValidObjectName(Ja))throw new InvalidObjectNameError(`Invalid object name: ${Ja}`);if(_.isEmpty(ed))throw new TypeError("valid select configuration is required");if(!isString$1(ed.expression))throw new TypeError('sqlExpression should be of type "string"');if(_.isEmpty(ed.inputSerialization))throw new TypeError("inputSerialization is required");if(!isObject$1(ed.inputSerialization))throw new TypeError('inputSerialization should be of type "object"');if(_.isEmpty(ed.outputSerialization))throw new TypeError("outputSerialization is required");if(!isObject$1(ed.outputSerialization))throw new TypeError('outputSerialization should be of type "object"');if(!isFunction$1(td))throw new TypeError('callback should be of type "function"');const rd="POST";let sd="select";sd+="&select-type=2";const od=[{Expression:ed.expression},{ExpressionType:ed.expressionType||"SQL"},{InputSerialization:[ed.inputSerialization]},{OutputSerialization:[ed.outputSerialization]}];ed.requestProgress&&od.push({RequestProgress:ed.requestProgress}),ed.scanRange&&od.push({ScanRange:ed.scanRange});const cd=new xml2js.Builder({rootName:"SelectObjectContentRequest",renderOpts:{pretty:!1},headless:!0}).buildObject(od);this.makeRequest({method:rd,bucketName:qa,objectName:Ja,query:sd},cd,[200],"",!0,(ud,_d)=>{if(ud)return td(ud);let yd;pipesetup(_d,selectObjectContentTransformer()).on("data",gd=>{yd=parseSelectObjectContentResponse(gd)}).on("error",td).on("end",()=>{td(null,yd)})})}}Client.prototype.makeBucket=promisify(Client.prototype.makeBucket);Client.prototype.bucketExists=promisify(Client.prototype.bucketExists);Client.prototype.getObject=promisify(Client.prototype.getObject);Client.prototype.getPartialObject=promisify(Client.prototype.getPartialObject);Client.prototype.fGetObject=promisify(Client.prototype.fGetObject);Client.prototype.putObject=promisify(Client.prototype.putObject);Client.prototype.fPutObject=promisify(Client.prototype.fPutObject);Client.prototype.copyObject=promisify(Client.prototype.copyObject);Client.prototype.removeObjects=promisify(Client.prototype.removeObjects);Client.prototype.presignedUrl=promisify(Client.prototype.presignedUrl);Client.prototype.presignedGetObject=promisify(Client.prototype.presignedGetObject);Client.prototype.presignedPutObject=promisify(Client.prototype.presignedPutObject);Client.prototype.presignedPostPolicy=promisify(Client.prototype.presignedPostPolicy);Client.prototype.getBucketNotification=promisify(Client.prototype.getBucketNotification);Client.prototype.setBucketNotification=promisify(Client.prototype.setBucketNotification);Client.prototype.removeAllBucketNotification=promisify(Client.prototype.removeAllBucketNotification);Client.prototype.getBucketPolicy=promisify(Client.prototype.getBucketPolicy);Client.prototype.setBucketPolicy=promisify(Client.prototype.setBucketPolicy);Client.prototype.removeIncompleteUpload=promisify(Client.prototype.removeIncompleteUpload);Client.prototype.getBucketVersioning=promisify(Client.prototype.getBucketVersioning);Client.prototype.setBucketVersioning=promisify(Client.prototype.setBucketVersioning);Client.prototype.setBucketTagging=promisify(Client.prototype.setBucketTagging);Client.prototype.removeBucketTagging=promisify(Client.prototype.removeBucketTagging);Client.prototype.getBucketTagging=promisify(Client.prototype.getBucketTagging);Client.prototype.setObjectTagging=promisify(Client.prototype.setObjectTagging);Client.prototype.removeObjectTagging=promisify(Client.prototype.removeObjectTagging);Client.prototype.getObjectTagging=promisify(Client.prototype.getObjectTagging);Client.prototype.setBucketLifecycle=promisify(Client.prototype.setBucketLifecycle);Client.prototype.getBucketLifecycle=promisify(Client.prototype.getBucketLifecycle);Client.prototype.removeBucketLifecycle=promisify(Client.prototype.removeBucketLifecycle);Client.prototype.setObjectLockConfig=promisify(Client.prototype.setObjectLockConfig);Client.prototype.getObjectLockConfig=promisify(Client.prototype.getObjectLockConfig);Client.prototype.putObjectRetention=promisify(Client.prototype.putObjectRetention);Client.prototype.getObjectRetention=promisify(Client.prototype.getObjectRetention);Client.prototype.setBucketEncryption=promisify(Client.prototype.setBucketEncryption);Client.prototype.getBucketEncryption=promisify(Client.prototype.getBucketEncryption);Client.prototype.removeBucketEncryption=promisify(Client.prototype.removeBucketEncryption);Client.prototype.setObjectLegalHold=promisify(Client.prototype.setObjectLegalHold);Client.prototype.getObjectLegalHold=promisify(Client.prototype.getObjectLegalHold);Client.prototype.composeObject=promisify(Client.prototype.composeObject);Client.prototype.selectObjectContent=promisify(Client.prototype.selectObjectContent);Client.prototype.removeObject=callbackify(Client.prototype.removeObject);Client.prototype.statObject=callbackify(Client.prototype.statObject);Client.prototype.removeBucket=callbackify(Client.prototype.removeBucket);Client.prototype.listBuckets=callbackify(Client.prototype.listBuckets);Client.prototype.removeBucketReplication=callbackify(Client.prototype.removeBucketReplication);Client.prototype.setBucketReplication=callbackify(Client.prototype.setBucketReplication);Client.prototype.getBucketReplication=callbackify(Client.prototype.getBucketReplication);var cosJsSdkV5={exports:{}};(function(Ra,qa){(function(ed,td){Ra.exports=td()})(commonjsGlobal,function(){return function(Ja){var ed={};function td(rd){if(ed[rd])return ed[rd].exports;var sd=ed[rd]={i:rd,l:!1,exports:{}};return Ja[rd].call(sd.exports,sd,sd.exports,td),sd.l=!0,sd.exports}return td.m=Ja,td.c=ed,td.d=function(rd,sd,od){td.o(rd,sd)||Object.defineProperty(rd,sd,{enumerable:!0,get:od})},td.r=function(rd){typeof Symbol<"u"&&Symbol.toStringTag&&Object.defineProperty(rd,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(rd,"__esModule",{value:!0})},td.t=function(rd,sd){if(sd&1&&(rd=td(rd)),sd&8||sd&4&&typeof rd=="object"&&rd&&rd.__esModule)return rd;var od=Object.create(null);if(td.r(od),Object.defineProperty(od,"default",{enumerable:!0,value:rd}),sd&2&&typeof rd!="string")for(var ld in rd)td.d(od,ld,(function(cd){return rd[cd]}).bind(null,ld));return od},td.n=function(rd){var sd=rd&&rd.__esModule?function(){return rd.default}:function(){return rd};return td.d(sd,"a",sd),sd},td.o=function(rd,sd){return Object.prototype.hasOwnProperty.call(rd,sd)},td.p="/dist/",td(td.s="./index.js")}({"./index.js":function(Ja,ed,td){var rd=td("./src/cos.js");Ja.exports=rd},"./lib/base64.js":function(Ja,ed){var td=function(rd){rd=rd||{};var sd=rd.Base64,od="2.1.9",ld="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",cd=function(Jd){for(var Zd={},pf=0,Xd=Jd.length;pf<Xd;pf++)Zd[Jd.charAt(pf)]=pf;return Zd}(ld),ud=String.fromCharCode,_d=function(Zd){if(Zd.length<2){var pf=Zd.charCodeAt(0);return pf<128?Zd:pf<2048?ud(192|pf>>>6)+ud(128|pf&63):ud(224|pf>>>12&15)+ud(128|pf>>>6&63)+ud(128|pf&63)}else{var pf=65536+(Zd.charCodeAt(0)-55296)*1024+(Zd.charCodeAt(1)-56320);return ud(240|pf>>>18&7)+ud(128|pf>>>12&63)+ud(128|pf>>>6&63)+ud(128|pf&63)}},yd=/[\uD800-\uDBFF][\uDC00-\uDFFFF]|[^\x00-\x7F]/g,gd=function(Zd){return Zd.replace(yd,_d)},Ed=function(Zd){var pf=[0,2,1][Zd.length%3],Xd=Zd.charCodeAt(0)<<16|(Zd.length>1?Zd.charCodeAt(1):0)<<8|(Zd.length>2?Zd.charCodeAt(2):0),hf=[ld.charAt(Xd>>>18),ld.charAt(Xd>>>12&63),pf>=2?"=":ld.charAt(Xd>>>6&63),pf>=1?"=":ld.charAt(Xd&63)];return hf.join("")},Td=rd.btoa?function(Jd){return rd.btoa(Jd)}:function(Jd){return Jd.replace(/[\s\S]{1,3}/g,Ed)},kd=function(Jd){return Td(gd(Jd))},Rd=function(Zd,pf){return pf?kd(String(Zd)).replace(/[+\/]/g,function(Xd){return Xd=="+"?"-":"_"}).replace(/=/g,""):kd(String(Zd))},Nd=function(Zd){return Rd(Zd,!0)},Id=new RegExp(["[À-ß][-¿]","[à-ï][-¿]{2}","[ð-÷][-¿]{3}"].join("|"),"g"),Md=function(Zd){switch(Zd.length){case 4:var pf=(7&Zd.charCodeAt(0))<<18|(63&Zd.charCodeAt(1))<<12|(63&Zd.charCodeAt(2))<<6|63&Zd.charCodeAt(3),Xd=pf-65536;return ud((Xd>>>10)+55296)+ud((Xd&1023)+56320);case 3:return ud((15&Zd.charCodeAt(0))<<12|(63&Zd.charCodeAt(1))<<6|63&Zd.charCodeAt(2));default:return ud((31&Zd.charCodeAt(0))<<6|63&Zd.charCodeAt(1))}},Ld=function(Zd){return Zd.replace(Id,Md)},Pd=function(Zd){var pf=Zd.length,Xd=pf%4,hf=(pf>0?cd[Zd.charAt(0)]<<18:0)|(pf>1?cd[Zd.charAt(1)]<<12:0)|(pf>2?cd[Zd.charAt(2)]<<6:0)|(pf>3?cd[Zd.charAt(3)]:0),_f=[ud(hf>>>16),ud(hf>>>8&255),ud(hf&255)];return _f.length-=[0,0,2,1][Xd],_f.join("")},qd=rd.atob?function(Jd){return rd.atob(Jd)}:function(Jd){return Jd.replace(/[\s\S]{1,4}/g,Pd)},Yd=function(Jd){return Ld(qd(Jd))},Ud=function(Zd){return Yd(String(Zd).replace(/[-_]/g,function(pf){return pf=="-"?"+":"/"}).replace(/[^A-Za-z0-9\+\/]/g,""))},Hd=function(){var Zd=rd.Base64;return rd.Base64=sd,Zd},Vd={VERSION:od,atob:qd,btoa:Td,fromBase64:Ud,toBase64:Rd,utob:gd,encode:Rd,encodeURI:Nd,btou:Ld,decode:Ud,noConflict:Hd};return Vd}();Ja.exports=td},"./lib/crypto.js":function(Ja,ed,td){(function(rd){var sd=td("./node_modules/@babel/runtime/helpers/typeof.js"),od=od||function(ld,cd){var ud={},_d=ud.lib={},yd=function(){},gd=_d.Base={extend:function(Pd){yd.prototype=this;var qd=new yd;return Pd&&qd.mixIn(Pd),qd.hasOwnProperty("init")||(qd.init=function(){qd.$super.init.apply(this,arguments)}),qd.init.prototype=qd,qd.$super=this,qd},create:function(){var Pd=this.extend();return Pd.init.apply(Pd,arguments),Pd},init:function(){},mixIn:function(Pd){for(var qd in Pd)Pd.hasOwnProperty(qd)&&(this[qd]=Pd[qd]);Pd.hasOwnProperty("toString")&&(this.toString=Pd.toString)},clone:function(){return this.init.prototype.extend(this)}},Ed=_d.WordArray=gd.extend({init:function(Pd,qd){Pd=this.words=Pd||[],this.sigBytes=qd!=cd?qd:4*Pd.length},toString:function(Pd){return(Pd||kd).stringify(this)},concat:function(Pd){var qd=this.words,Yd=Pd.words,Ud=this.sigBytes;if(Pd=Pd.sigBytes,this.clamp(),Ud%4)for(var Hd=0;Hd<Pd;Hd++)qd[Ud+Hd>>>2]|=(Yd[Hd>>>2]>>>24-8*(Hd%4)&255)<<24-8*((Ud+Hd)%4);else if(65535<Yd.length)for(Hd=0;Hd<Pd;Hd+=4)qd[Ud+Hd>>>2]=Yd[Hd>>>2];else qd.push.apply(qd,Yd);return this.sigBytes+=Pd,this},clamp:function(){var Pd=this.words,qd=this.sigBytes;Pd[qd>>>2]&=4294967295<<32-8*(qd%4),Pd.length=ld.ceil(qd/4)},clone:function(){var Pd=gd.clone.call(this);return Pd.words=this.words.slice(0),Pd},random:function(Pd){for(var qd=[],Yd=0;Yd<Pd;Yd+=4)qd.push(4294967296*ld.random()|0);return new Ed.init(qd,Pd)}}),Td=ud.enc={},kd=Td.Hex={stringify:function(Pd){var qd=Pd.words;Pd=Pd.sigBytes;for(var Yd=[],Ud=0;Ud<Pd;Ud++){var Hd=qd[Ud>>>2]>>>24-8*(Ud%4)&255;Yd.push((Hd>>>4).toString(16)),Yd.push((Hd&15).toString(16))}return Yd.join("")},parse:function(Pd){for(var qd=Pd.length,Yd=[],Ud=0;Ud<qd;Ud+=2)Yd[Ud>>>3]|=parseInt(Pd.substr(Ud,2),16)<<24-4*(Ud%8);return new Ed.init(Yd,qd/2)}},Rd=Td.Latin1={stringify:function(Pd){var qd=Pd.words;Pd=Pd.sigBytes;for(var Yd=[],Ud=0;Ud<Pd;Ud++)Yd.push(String.fromCharCode(qd[Ud>>>2]>>>24-8*(Ud%4)&255));return Yd.join("")},parse:function(Pd){for(var qd=Pd.length,Yd=[],Ud=0;Ud<qd;Ud++)Yd[Ud>>>2]|=(Pd.charCodeAt(Ud)&255)<<24-8*(Ud%4);return new Ed.init(Yd,qd)}},Nd=Td.Utf8={stringify:function(Pd){try{return decodeURIComponent(escape(Rd.stringify(Pd)))}catch{throw Error("Malformed UTF-8 data")}},parse:function(Pd){return Rd.parse(unescape(encodeURIComponent(Pd)))}},Id=_d.BufferedBlockAlgorithm=gd.extend({reset:function(){this._data=new Ed.init,this._nDataBytes=0},_append:function(Pd){typeof Pd=="string"&&(Pd=Nd.parse(Pd)),this._data.concat(Pd),this._nDataBytes+=Pd.sigBytes},_process:function(Pd){var qd=this._data,Yd=qd.words,Ud=qd.sigBytes,Hd=this.blockSize,Vd=Ud/(4*Hd),Vd=Pd?ld.ceil(Vd):ld.max((Vd|0)-this._minBufferSize,0);if(Pd=Vd*Hd,Ud=ld.min(4*Pd,Ud),Pd){for(var Jd=0;Jd<Pd;Jd+=Hd)this._doProcessBlock(Yd,Jd);Jd=Yd.splice(0,Pd),qd.sigBytes-=Ud}return new Ed.init(Jd,Ud)},clone:function(){var Pd=gd.clone.call(this);return Pd._data=this._data.clone(),Pd},_minBufferSize:0});_d.Hasher=Id.extend({cfg:gd.extend(),init:function(Pd){this.cfg=this.cfg.extend(Pd),this.reset()},reset:function(){Id.reset.call(this),this._doReset()},update:function(Pd){return this._append(Pd),this._process(),this},finalize:function(Pd){return Pd&&this._append(Pd),this._doFinalize()},blockSize:16,_createHelper:function(Pd){return function(qd,Yd){return new Pd.init(Yd).finalize(qd)}},_createHmacHelper:function(Pd){return function(qd,Yd){return new Md.HMAC.init(Pd,Yd).finalize(qd)}}});var Md=ud.algo={};return ud}(Math);(function(){var ld=od,yd=ld.lib,cd=yd.WordArray,ud=yd.Hasher,_d=[],yd=ld.algo.SHA1=ud.extend({_doReset:function(){this._hash=new cd.init([1732584193,4023233417,2562383102,271733878,3285377520])},_doProcessBlock:function(Ed,Td){for(var kd=this._hash.words,Rd=kd[0],Nd=kd[1],Id=kd[2],Md=kd[3],Ld=kd[4],Pd=0;80>Pd;Pd++){if(16>Pd)_d[Pd]=Ed[Td+Pd]|0;else{var qd=_d[Pd-3]^_d[Pd-8]^_d[Pd-14]^_d[Pd-16];_d[Pd]=qd<<1|qd>>>31}qd=(Rd<<5|Rd>>>27)+Ld+_d[Pd],qd=20>Pd?qd+((Nd&Id|~Nd&Md)+1518500249):40>Pd?qd+((Nd^Id^Md)+1859775393):60>Pd?qd+((Nd&Id|Nd&Md|Id&Md)-1894007588):qd+((Nd^Id^Md)-899497514),Ld=Md,Md=Id,Id=Nd<<30|Nd>>>2,Nd=Rd,Rd=qd}kd[0]=kd[0]+Rd|0,kd[1]=kd[1]+Nd|0,kd[2]=kd[2]+Id|0,kd[3]=kd[3]+Md|0,kd[4]=kd[4]+Ld|0},_doFinalize:function(){var Ed=this._data,Td=Ed.words,kd=8*this._nDataBytes,Rd=8*Ed.sigBytes;return Td[Rd>>>5]|=128<<24-Rd%32,Td[(Rd+64>>>9<<4)+14]=Math.floor(kd/4294967296),Td[(Rd+64>>>9<<4)+15]=kd,Ed.sigBytes=4*Td.length,this._process(),this._hash},clone:function(){var Ed=ud.clone.call(this);return Ed._hash=this._hash.clone(),Ed}});ld.SHA1=ud._createHelper(yd),ld.HmacSHA1=ud._createHmacHelper(yd)})(),function(){var ld=od,cd=ld.enc.Utf8;ld.algo.HMAC=ld.lib.Base.extend({init:function(_d,yd){_d=this._hasher=new _d.init,typeof yd=="string"&&(yd=cd.parse(yd));var gd=_d.blockSize,Ed=4*gd;yd.sigBytes>Ed&&(yd=_d.finalize(yd)),yd.clamp();for(var Td=this._oKey=yd.clone(),kd=this._iKey=yd.clone(),Rd=Td.words,Nd=kd.words,Id=0;Id<gd;Id++)Rd[Id]^=1549556828,Nd[Id]^=909522486;Td.sigBytes=kd.sigBytes=Ed,this.reset()},reset:function(){var _d=this._hasher;_d.reset(),_d.update(this._iKey)},update:function(_d){return this._hasher.update(_d),this},finalize:function(_d){var yd=this._hasher;return _d=yd.finalize(_d),yd.reset(),yd.finalize(this._oKey.clone().concat(_d))}})}(),function(){var ld=od,cd=ld.lib,ud=cd.WordArray,_d=ld.enc;_d.Base64={stringify:function(gd){var Ed=gd.words,Td=gd.sigBytes,kd=this._map;gd.clamp();for(var Rd=[],Nd=0;Nd<Td;Nd+=3)for(var Id=Ed[Nd>>>2]>>>24-Nd%4*8&255,Md=Ed[Nd+1>>>2]>>>24-(Nd+1)%4*8&255,Ld=Ed[Nd+2>>>2]>>>24-(Nd+2)%4*8&255,Pd=Id<<16|Md<<8|Ld,qd=0;qd<4&&Nd+qd*.75<Td;qd++)Rd.push(kd.charAt(Pd>>>6*(3-qd)&63));var Yd=kd.charAt(64);if(Yd)for(;Rd.length%4;)Rd.push(Yd);return Rd.join("")},parse:function(gd){var Ed=gd.length,Td=this._map,kd=Td.charAt(64);if(kd){var Rd=gd.indexOf(kd);Rd!=-1&&(Ed=Rd)}for(var Nd=[],Id=0,Md=0;Md<Ed;Md++)if(Md%4){var Ld=Td.indexOf(gd.charAt(Md-1))<<Md%4*2,Pd=Td.indexOf(gd.charAt(Md))>>>6-Md%4*2;Nd[Id>>>2]|=(Ld|Pd)<<24-Id%4*8,Id++}return ud.create(Nd,Id)},_map:"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/="}}(),sd(rd)==="object"?rd.exports=od:window.CryptoJS=od}).call(this,td("./node_modules/webpack/buildin/module.js")(Ja))},"./lib/md5.js":function(Ja,ed,td){(function(rd){var sd,od=td("./node_modules/@babel/runtime/helpers/typeof.js");(function(){var ld=(typeof window>"u"?"undefined":od(window))==="object",cd=ld?window:{};cd.JS_MD5_NO_WINDOW&&(ld=!1);var ud=!ld&&(typeof self>"u"?"undefined":od(self))==="object";ud&&(cd=self);var _d=!cd.JS_MD5_NO_COMMON_JS&&od(rd)==="object"&&rd.exports,yd=td("./node_modules/webpack/buildin/amd-options.js"),gd=!cd.JS_MD5_NO_ARRAY_BUFFER&&typeof ArrayBuffer<"u",Ed="0123456789abcdef".split(""),Td=[128,32768,8388608,-2147483648],kd=[0,8,16,24],Rd=["hex","array","digest","buffer","arrayBuffer","base64"],Nd="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/".split(""),Id=[],Md;if(gd){var Ld=new ArrayBuffer(68);Md=new Uint8Array(Ld),Id=new Uint32Array(Ld)}(cd.JS_MD5_NO_NODE_JS||!Array.isArray)&&(Array.isArray=function(Hd){return Object.prototype.toString.call(Hd)==="[object Array]"}),gd&&(cd.JS_MD5_NO_ARRAY_BUFFER_IS_VIEW||!ArrayBuffer.isView)&&(ArrayBuffer.isView=function(Hd){return od(Hd)==="object"&&Hd.buffer&&Hd.buffer.constructor===ArrayBuffer});var Pd=function(Vd){return function(Jd,Zd){return new Yd(!0).update(Jd,Zd)[Vd]()}},qd=function(){var Vd=Pd("hex");Vd.getCtx=Vd.create=function(){return new Yd},Vd.update=function(pf){return Vd.create().update(pf)};for(var Jd=0;Jd<Rd.length;++Jd){var Zd=Rd[Jd];Vd[Zd]=Pd(Zd)}return Vd};function Yd(Hd){if(Hd)Id[0]=Id[16]=Id[1]=Id[2]=Id[3]=Id[4]=Id[5]=Id[6]=Id[7]=Id[8]=Id[9]=Id[10]=Id[11]=Id[12]=Id[13]=Id[14]=Id[15]=0,this.blocks=Id,this.buffer8=Md;else if(gd){var Vd=new ArrayBuffer(68);this.buffer8=new Uint8Array(Vd),this.blocks=new Uint32Array(Vd)}else this.blocks=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0];this.h0=this.h1=this.h2=this.h3=this.start=this.bytes=this.hBytes=0,this.finalized=this.hashed=!1,this.first=!0}Yd.prototype.update=function(Hd,Vd){if(!this.finalized){for(var Jd,Zd=0,pf,Xd=Hd.length,hf=this.blocks,_f=this.buffer8;Zd<Xd;){if(this.hashed&&(this.hashed=!1,hf[0]=hf[16],hf[16]=hf[1]=hf[2]=hf[3]=hf[4]=hf[5]=hf[6]=hf[7]=hf[8]=hf[9]=hf[10]=hf[11]=hf[12]=hf[13]=hf[14]=hf[15]=0),gd)for(pf=this.start;Zd<Xd&&pf<64;++Zd)Jd=Hd.charCodeAt(Zd),Vd||Jd<128?_f[pf++]=Jd:Jd<2048?(_f[pf++]=192|Jd>>6,_f[pf++]=128|Jd&63):Jd<55296||Jd>=57344?(_f[pf++]=224|Jd>>12,_f[pf++]=128|Jd>>6&63,_f[pf++]=128|Jd&63):(Jd=65536+((Jd&1023)<<10|Hd.charCodeAt(++Zd)&1023),_f[pf++]=240|Jd>>18,_f[pf++]=128|Jd>>12&63,_f[pf++]=128|Jd>>6&63,_f[pf++]=128|Jd&63);else for(pf=this.start;Zd<Xd&&pf<64;++Zd)Jd=Hd.charCodeAt(Zd),Vd||Jd<128?hf[pf>>2]|=Jd<<kd[pf++&3]:Jd<2048?(hf[pf>>2]|=(192|Jd>>6)<<kd[pf++&3],hf[pf>>2]|=(128|Jd&63)<<kd[pf++&3]):Jd<55296||Jd>=57344?(hf[pf>>2]|=(224|Jd>>12)<<kd[pf++&3],hf[pf>>2]|=(128|Jd>>6&63)<<kd[pf++&3],hf[pf>>2]|=(128|Jd&63)<<kd[pf++&3]):(Jd=65536+((Jd&1023)<<10|Hd.charCodeAt(++Zd)&1023),hf[pf>>2]|=(240|Jd>>18)<<kd[pf++&3],hf[pf>>2]|=(128|Jd>>12&63)<<kd[pf++&3],hf[pf>>2]|=(128|Jd>>6&63)<<kd[pf++&3],hf[pf>>2]|=(128|Jd&63)<<kd[pf++&3]);this.lastByteIndex=pf,this.bytes+=pf-this.start,pf>=64?(this.start=pf-64,this.hash(),this.hashed=!0):this.start=pf}return this.bytes>4294967295&&(this.hBytes+=this.bytes/4294967296<<0,this.bytes=this.bytes%4294967296),this}},Yd.prototype.finalize=function(){if(!this.finalized){this.finalized=!0;var Hd=this.blocks,Vd=this.lastByteIndex;Hd[Vd>>2]|=Td[Vd&3],Vd>=56&&(this.hashed||this.hash(),Hd[0]=Hd[16],Hd[16]=Hd[1]=Hd[2]=Hd[3]=Hd[4]=Hd[5]=Hd[6]=Hd[7]=Hd[8]=Hd[9]=Hd[10]=Hd[11]=Hd[12]=Hd[13]=Hd[14]=Hd[15]=0),Hd[14]=this.bytes<<3,Hd[15]=this.hBytes<<3|this.bytes>>>29,this.hash()}},Yd.prototype.hash=function(){var Hd,Vd,Jd,Zd,pf,Xd,hf=this.blocks;this.first?(Hd=hf[0]-680876937,Hd=(Hd<<7|Hd>>>25)-271733879<<0,Zd=(-1732584194^Hd&2004318071)+hf[1]-117830708,Zd=(Zd<<12|Zd>>>20)+Hd<<0,Jd=(-271733879^Zd&(Hd^-271733879))+hf[2]-1126478375,Jd=(Jd<<17|Jd>>>15)+Zd<<0,Vd=(Hd^Jd&(Zd^Hd))+hf[3]-1316259209,Vd=(Vd<<22|Vd>>>10)+Jd<<0):(Hd=this.h0,Vd=this.h1,Jd=this.h2,Zd=this.h3,Hd+=(Zd^Vd&(Jd^Zd))+hf[0]-680876936,Hd=(Hd<<7|Hd>>>25)+Vd<<0,Zd+=(Jd^Hd&(Vd^Jd))+hf[1]-389564586,Zd=(Zd<<12|Zd>>>20)+Hd<<0,Jd+=(Vd^Zd&(Hd^Vd))+hf[2]+606105819,Jd=(Jd<<17|Jd>>>15)+Zd<<0,Vd+=(Hd^Jd&(Zd^Hd))+hf[3]-1044525330,Vd=(Vd<<22|Vd>>>10)+Jd<<0),Hd+=(Zd^Vd&(Jd^Zd))+hf[4]-176418897,Hd=(Hd<<7|Hd>>>25)+Vd<<0,Zd+=(Jd^Hd&(Vd^Jd))+hf[5]+1200080426,Zd=(Zd<<12|Zd>>>20)+Hd<<0,Jd+=(Vd^Zd&(Hd^Vd))+hf[6]-1473231341,Jd=(Jd<<17|Jd>>>15)+Zd<<0,Vd+=(Hd^Jd&(Zd^Hd))+hf[7]-45705983,Vd=(Vd<<22|Vd>>>10)+Jd<<0,Hd+=(Zd^Vd&(Jd^Zd))+hf[8]+1770035416,Hd=(Hd<<7|Hd>>>25)+Vd<<0,Zd+=(Jd^Hd&(Vd^Jd))+hf[9]-1958414417,Zd=(Zd<<12|Zd>>>20)+Hd<<0,Jd+=(Vd^Zd&(Hd^Vd))+hf[10]-42063,Jd=(Jd<<17|Jd>>>15)+Zd<<0,Vd+=(Hd^Jd&(Zd^Hd))+hf[11]-1990404162,Vd=(Vd<<22|Vd>>>10)+Jd<<0,Hd+=(Zd^Vd&(Jd^Zd))+hf[12]+1804603682,Hd=(Hd<<7|Hd>>>25)+Vd<<0,Zd+=(Jd^Hd&(Vd^Jd))+hf[13]-40341101,Zd=(Zd<<12|Zd>>>20)+Hd<<0,Jd+=(Vd^Zd&(Hd^Vd))+hf[14]-1502002290,Jd=(Jd<<17|Jd>>>15)+Zd<<0,Vd+=(Hd^Jd&(Zd^Hd))+hf[15]+1236535329,Vd=(Vd<<22|Vd>>>10)+Jd<<0,Hd+=(Jd^Zd&(Vd^Jd))+hf[1]-165796510,Hd=(Hd<<5|Hd>>>27)+Vd<<0,Zd+=(Vd^Jd&(Hd^Vd))+hf[6]-1069501632,Zd=(Zd<<9|Zd>>>23)+Hd<<0,Jd+=(Hd^Vd&(Zd^Hd))+hf[11]+643717713,Jd=(Jd<<14|Jd>>>18)+Zd<<0,Vd+=(Zd^Hd&(Jd^Zd))+hf[0]-373897302,Vd=(Vd<<20|Vd>>>12)+Jd<<0,Hd+=(Jd^Zd&(Vd^Jd))+hf[5]-701558691,Hd=(Hd<<5|Hd>>>27)+Vd<<0,Zd+=(Vd^Jd&(Hd^Vd))+hf[10]+38016083,Zd=(Zd<<9|Zd>>>23)+Hd<<0,Jd+=(Hd^Vd&(Zd^Hd))+hf[15]-660478335,Jd=(Jd<<14|Jd>>>18)+Zd<<0,Vd+=(Zd^Hd&(Jd^Zd))+hf[4]-405537848,Vd=(Vd<<20|Vd>>>12)+Jd<<0,Hd+=(Jd^Zd&(Vd^Jd))+hf[9]+568446438,Hd=(Hd<<5|Hd>>>27)+Vd<<0,Zd+=(Vd^Jd&(Hd^Vd))+hf[14]-1019803690,Zd=(Zd<<9|Zd>>>23)+Hd<<0,Jd+=(Hd^Vd&(Zd^Hd))+hf[3]-187363961,Jd=(Jd<<14|Jd>>>18)+Zd<<0,Vd+=(Zd^Hd&(Jd^Zd))+hf[8]+1163531501,Vd=(Vd<<20|Vd>>>12)+Jd<<0,Hd+=(Jd^Zd&(Vd^Jd))+hf[13]-1444681467,Hd=(Hd<<5|Hd>>>27)+Vd<<0,Zd+=(Vd^Jd&(Hd^Vd))+hf[2]-51403784,Zd=(Zd<<9|Zd>>>23)+Hd<<0,Jd+=(Hd^Vd&(Zd^Hd))+hf[7]+1735328473,Jd=(Jd<<14|Jd>>>18)+Zd<<0,Vd+=(Zd^Hd&(Jd^Zd))+hf[12]-1926607734,Vd=(Vd<<20|Vd>>>12)+Jd<<0,pf=Vd^Jd,Hd+=(pf^Zd)+hf[5]-378558,Hd=(Hd<<4|Hd>>>28)+Vd<<0,Zd+=(pf^Hd)+hf[8]-2022574463,Zd=(Zd<<11|Zd>>>21)+Hd<<0,Xd=Zd^Hd,Jd+=(Xd^Vd)+hf[11]+1839030562,Jd=(Jd<<16|Jd>>>16)+Zd<<0,Vd+=(Xd^Jd)+hf[14]-35309556,Vd=(Vd<<23|Vd>>>9)+Jd<<0,pf=Vd^Jd,Hd+=(pf^Zd)+hf[1]-1530992060,Hd=(Hd<<4|Hd>>>28)+Vd<<0,Zd+=(pf^Hd)+hf[4]+1272893353,Zd=(Zd<<11|Zd>>>21)+Hd<<0,Xd=Zd^Hd,Jd+=(Xd^Vd)+hf[7]-155497632,Jd=(Jd<<16|Jd>>>16)+Zd<<0,Vd+=(Xd^Jd)+hf[10]-1094730640,Vd=(Vd<<23|Vd>>>9)+Jd<<0,pf=Vd^Jd,Hd+=(pf^Zd)+hf[13]+681279174,Hd=(Hd<<4|Hd>>>28)+Vd<<0,Zd+=(pf^Hd)+hf[0]-358537222,Zd=(Zd<<11|Zd>>>21)+Hd<<0,Xd=Zd^Hd,Jd+=(Xd^Vd)+hf[3]-722521979,Jd=(Jd<<16|Jd>>>16)+Zd<<0,Vd+=(Xd^Jd)+hf[6]+76029189,Vd=(Vd<<23|Vd>>>9)+Jd<<0,pf=Vd^Jd,Hd+=(pf^Zd)+hf[9]-640364487,Hd=(Hd<<4|Hd>>>28)+Vd<<0,Zd+=(pf^Hd)+hf[12]-421815835,Zd=(Zd<<11|Zd>>>21)+Hd<<0,Xd=Zd^Hd,Jd+=(Xd^Vd)+hf[15]+530742520,Jd=(Jd<<16|Jd>>>16)+Zd<<0,Vd+=(Xd^Jd)+hf[2]-995338651,Vd=(Vd<<23|Vd>>>9)+Jd<<0,Hd+=(Jd^(Vd|~Zd))+hf[0]-198630844,Hd=(Hd<<6|Hd>>>26)+Vd<<0,Zd+=(Vd^(Hd|~Jd))+hf[7]+1126891415,Zd=(Zd<<10|Zd>>>22)+Hd<<0,Jd+=(Hd^(Zd|~Vd))+hf[14]-1416354905,Jd=(Jd<<15|Jd>>>17)+Zd<<0,Vd+=(Zd^(Jd|~Hd))+hf[5]-57434055,Vd=(Vd<<21|Vd>>>11)+Jd<<0,Hd+=(Jd^(Vd|~Zd))+hf[12]+1700485571,Hd=(Hd<<6|Hd>>>26)+Vd<<0,Zd+=(Vd^(Hd|~Jd))+hf[3]-1894986606,Zd=(Zd<<10|Zd>>>22)+Hd<<0,Jd+=(Hd^(Zd|~Vd))+hf[10]-1051523,Jd=(Jd<<15|Jd>>>17)+Zd<<0,Vd+=(Zd^(Jd|~Hd))+hf[1]-2054922799,Vd=(Vd<<21|Vd>>>11)+Jd<<0,Hd+=(Jd^(Vd|~Zd))+hf[8]+1873313359,Hd=(Hd<<6|Hd>>>26)+Vd<<0,Zd+=(Vd^(Hd|~Jd))+hf[15]-30611744,Zd=(Zd<<10|Zd>>>22)+Hd<<0,Jd+=(Hd^(Zd|~Vd))+hf[6]-1560198380,Jd=(Jd<<15|Jd>>>17)+Zd<<0,Vd+=(Zd^(Jd|~Hd))+hf[13]+1309151649,Vd=(Vd<<21|Vd>>>11)+Jd<<0,Hd+=(Jd^(Vd|~Zd))+hf[4]-145523070,Hd=(Hd<<6|Hd>>>26)+Vd<<0,Zd+=(Vd^(Hd|~Jd))+hf[11]-1120210379,Zd=(Zd<<10|Zd>>>22)+Hd<<0,Jd+=(Hd^(Zd|~Vd))+hf[2]+718787259,Jd=(Jd<<15|Jd>>>17)+Zd<<0,Vd+=(Zd^(Jd|~Hd))+hf[9]-343485551,Vd=(Vd<<21|Vd>>>11)+Jd<<0,this.first?(this.h0=Hd+1732584193<<0,this.h1=Vd-271733879<<0,this.h2=Jd-1732584194<<0,this.h3=Zd+271733878<<0,this.first=!1):(this.h0=this.h0+Hd<<0,this.h1=this.h1+Vd<<0,this.h2=this.h2+Jd<<0,this.h3=this.h3+Zd<<0)},Yd.prototype.hex=function(){this.finalize();var Hd=this.h0,Vd=this.h1,Jd=this.h2,Zd=this.h3;return Ed[Hd>>4&15]+Ed[Hd&15]+Ed[Hd>>12&15]+Ed[Hd>>8&15]+Ed[Hd>>20&15]+Ed[Hd>>16&15]+Ed[Hd>>28&15]+Ed[Hd>>24&15]+Ed[Vd>>4&15]+Ed[Vd&15]+Ed[Vd>>12&15]+Ed[Vd>>8&15]+Ed[Vd>>20&15]+Ed[Vd>>16&15]+Ed[Vd>>28&15]+Ed[Vd>>24&15]+Ed[Jd>>4&15]+Ed[Jd&15]+Ed[Jd>>12&15]+Ed[Jd>>8&15]+Ed[Jd>>20&15]+Ed[Jd>>16&15]+Ed[Jd>>28&15]+Ed[Jd>>24&15]+Ed[Zd>>4&15]+Ed[Zd&15]+Ed[Zd>>12&15]+Ed[Zd>>8&15]+Ed[Zd>>20&15]+Ed[Zd>>16&15]+Ed[Zd>>28&15]+Ed[Zd>>24&15]},Yd.prototype.toString=Yd.prototype.hex,Yd.prototype.digest=function(Hd){if(Hd==="hex")return this.hex();this.finalize();var Vd=this.h0,Jd=this.h1,Zd=this.h2,pf=this.h3,Xd=[Vd&255,Vd>>8&255,Vd>>16&255,Vd>>24&255,Jd&255,Jd>>8&255,Jd>>16&255,Jd>>24&255,Zd&255,Zd>>8&255,Zd>>16&255,Zd>>24&255,pf&255,pf>>8&255,pf>>16&255,pf>>24&255];return Xd},Yd.prototype.array=Yd.prototype.digest,Yd.prototype.arrayBuffer=function(){this.finalize();var Hd=new ArrayBuffer(16),Vd=new Uint32Array(Hd);return Vd[0]=this.h0,Vd[1]=this.h1,Vd[2]=this.h2,Vd[3]=this.h3,Hd},Yd.prototype.buffer=Yd.prototype.arrayBuffer,Yd.prototype.base64=function(){for(var Hd,Vd,Jd,Zd="",pf=this.array(),Xd=0;Xd<15;)Hd=pf[Xd++],Vd=pf[Xd++],Jd=pf[Xd++],Zd+=Nd[Hd>>>2]+Nd[(Hd<<4|Vd>>>4)&63]+Nd[(Vd<<2|Jd>>>6)&63]+Nd[Jd&63];return Hd=pf[Xd],Zd+=Nd[Hd>>>2]+Nd[Hd<<4&63]+"==",Zd};var Ud=qd();_d?rd.exports=Ud:(cd.md5=Ud,yd&&(sd=(function(){return Ud}).call(Ud,td,Ud,rd),sd!==void 0&&(rd.exports=sd)))})()}).call(this,td("./node_modules/webpack/buildin/module.js")(Ja))},"./lib/request.js":function(Ja,ed,td){var rd=td("./node_modules/@babel/runtime/helpers/typeof.js"),sd=function(yd){switch(rd(yd)){case"string":return yd;case"boolean":return yd?"true":"false";case"number":return isFinite(yd)?yd:"";default:return""}},od=function(yd,gd,Ed,Td){return gd=gd||"&",Ed=Ed||"=",yd===null&&(yd=void 0),rd(yd)==="object"?Object.keys(yd).map(function(kd){var Rd=encodeURIComponent(sd(kd))+Ed;return Array.isArray(yd[kd])?yd[kd].map(function(Nd){return Rd+encodeURIComponent(sd(Nd))}).join(gd):Rd+encodeURIComponent(sd(yd[kd]))}).filter(Boolean).join(gd):""},ld=function(yd,gd,Ed){var Td={},kd=gd.getAllResponseHeaders();return kd&&kd.length>0&&kd.trim().split(`
|
||
`).forEach(function(Rd){if(Rd){var Nd=Rd.indexOf(":"),Id=Rd.substr(0,Nd).trim().toLowerCase(),Md=Rd.substr(Nd+1).trim();Td[Id]=Md}}),{error:yd,statusCode:gd.status,statusMessage:gd.statusText,headers:Td,body:Ed}},cd=function(yd,gd){return!gd&&gd==="text"?yd.responseText:yd.response},ud=function(yd,gd){var Ed=(yd.method||"GET").toUpperCase(),Td=yd.url;if(yd.qs){var kd=od(yd.qs);kd&&(Td+=(Td.indexOf("?")===-1?"?":"&")+kd)}var Rd=new XMLHttpRequest;if(Rd.open(Ed,Td,!0),Rd.responseType=yd.dataType||"text",yd.xhrFields)for(var Nd in yd.xhrFields)Rd[Nd]=yd.xhrFields[Nd];var Id=yd.headers;if(Id)for(var Md in Id)Id.hasOwnProperty(Md)&&Md.toLowerCase()!=="content-length"&&Md.toLowerCase()!=="user-agent"&&Md.toLowerCase()!=="origin"&&Md.toLowerCase()!=="host"&&Rd.setRequestHeader(Md,Id[Md]);return yd.onProgress&&Rd.upload&&(Rd.upload.onprogress=yd.onProgress),yd.onDownloadProgress&&(Rd.onprogress=yd.onDownloadProgress),yd.timeout&&(Rd.timeout=yd.timeout),Rd.ontimeout=function(Ld){var Pd=new Error("timeout");gd(ld(Pd,Rd))},Rd.onload=function(){gd(ld(null,Rd,cd(Rd,yd.dataType)))},Rd.onerror=function(Ld){var Pd=cd(Rd,yd.dataType);if(Pd)gd(ld(null,Rd,Pd));else{var qd=Rd.statusText;!qd&&Rd.status===0&&(qd=new Error("CORS blocked or network error")),gd(ld(qd,Rd,Pd))}},Rd.send(yd.body||""),Rd};Ja.exports=ud},"./node_modules/@babel/runtime/helpers/classCallCheck.js":function(Ja,ed){function td(rd,sd){if(!(rd instanceof sd))throw new TypeError("Cannot call a class as a function")}Ja.exports=td,Ja.exports.__esModule=!0,Ja.exports.default=Ja.exports},"./node_modules/@babel/runtime/helpers/createClass.js":function(Ja,ed,td){var rd=td("./node_modules/@babel/runtime/helpers/toPropertyKey.js");function sd(ld,cd){for(var ud=0;ud<cd.length;ud++){var _d=cd[ud];_d.enumerable=_d.enumerable||!1,_d.configurable=!0,"value"in _d&&(_d.writable=!0),Object.defineProperty(ld,rd(_d.key),_d)}}function od(ld,cd,ud){return cd&&sd(ld.prototype,cd),ud&&sd(ld,ud),Object.defineProperty(ld,"prototype",{writable:!1}),ld}Ja.exports=od,Ja.exports.__esModule=!0,Ja.exports.default=Ja.exports},"./node_modules/@babel/runtime/helpers/toPrimitive.js":function(Ja,ed,td){var rd=td("./node_modules/@babel/runtime/helpers/typeof.js").default;function sd(od,ld){if(rd(od)!=="object"||od===null)return od;var cd=od[Symbol.toPrimitive];if(cd!==void 0){var ud=cd.call(od,ld||"default");if(rd(ud)!=="object")return ud;throw new TypeError("@@toPrimitive must return a primitive value.")}return(ld==="string"?String:Number)(od)}Ja.exports=sd,Ja.exports.__esModule=!0,Ja.exports.default=Ja.exports},"./node_modules/@babel/runtime/helpers/toPropertyKey.js":function(Ja,ed,td){var rd=td("./node_modules/@babel/runtime/helpers/typeof.js").default,sd=td("./node_modules/@babel/runtime/helpers/toPrimitive.js");function od(ld){var cd=sd(ld,"string");return rd(cd)==="symbol"?cd:String(cd)}Ja.exports=od,Ja.exports.__esModule=!0,Ja.exports.default=Ja.exports},"./node_modules/@babel/runtime/helpers/typeof.js":function(Ja,ed){function td(rd){"@babel/helpers - typeof";return Ja.exports=td=typeof Symbol=="function"&&typeof Symbol.iterator=="symbol"?function(sd){return typeof sd}:function(sd){return sd&&typeof Symbol=="function"&&sd.constructor===Symbol&&sd!==Symbol.prototype?"symbol":typeof sd},Ja.exports.__esModule=!0,Ja.exports.default=Ja.exports,td(rd)}Ja.exports=td,Ja.exports.__esModule=!0,Ja.exports.default=Ja.exports},"./node_modules/fast-xml-parser/src/fxp.js":function(Ja,ed,td){const rd=td("./node_modules/fast-xml-parser/src/validator.js"),sd=td("./node_modules/fast-xml-parser/src/xmlparser/XMLParser.js"),od=td("./node_modules/fast-xml-parser/src/xmlbuilder/json2xml.js");Ja.exports={XMLParser:sd,XMLValidator:rd,XMLBuilder:od}},"./node_modules/fast-xml-parser/src/util.js":function(Ja,ed,td){const rd=":A-Za-z_\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u02FF\\u0370-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD",sd=rd+"\\-.\\d\\u00B7\\u0300-\\u036F\\u203F-\\u2040",od="["+rd+"]["+sd+"]*",ld=new RegExp("^"+od+"$"),cd=function(_d,yd){const gd=[];let Ed=yd.exec(_d);for(;Ed;){const Td=[];Td.startIndex=yd.lastIndex-Ed[0].length;const kd=Ed.length;for(let Rd=0;Rd<kd;Rd++)Td.push(Ed[Rd]);gd.push(Td),Ed=yd.exec(_d)}return gd},ud=function(_d){const yd=ld.exec(_d);return!(yd===null||typeof yd>"u")};ed.isExist=function(_d){return typeof _d<"u"},ed.isEmptyObject=function(_d){return Object.keys(_d).length===0},ed.merge=function(_d,yd,gd){if(yd){const Ed=Object.keys(yd),Td=Ed.length;for(let kd=0;kd<Td;kd++)gd==="strict"?_d[Ed[kd]]=[yd[Ed[kd]]]:_d[Ed[kd]]=yd[Ed[kd]]}},ed.getValue=function(_d){return ed.isExist(_d)?_d:""},ed.isName=ud,ed.getAllMatches=cd,ed.nameRegexp=od},"./node_modules/fast-xml-parser/src/validator.js":function(Ja,ed,td){const rd=td("./node_modules/fast-xml-parser/src/util.js"),sd={allowBooleanAttributes:!1,unpairedTags:[]};ed.validate=function(Pd,qd){qd=Object.assign({},sd,qd);const Yd=[];let Ud=!1,Hd=!1;Pd[0]==="\uFEFF"&&(Pd=Pd.substr(1));for(let Vd=0;Vd<Pd.length;Vd++)if(Pd[Vd]==="<"&&Pd[Vd+1]==="?"){if(Vd+=2,Vd=ld(Pd,Vd),Vd.err)return Vd}else if(Pd[Vd]==="<"){let Jd=Vd;if(Vd++,Pd[Vd]==="!"){Vd=cd(Pd,Vd);continue}else{let Zd=!1;Pd[Vd]==="/"&&(Zd=!0,Vd++);let pf="";for(;Vd<Pd.length&&Pd[Vd]!==">"&&Pd[Vd]!==" "&&Pd[Vd]!==" "&&Pd[Vd]!==`
|
||
`&&Pd[Vd]!=="\r";Vd++)pf+=Pd[Vd];if(pf=pf.trim(),pf[pf.length-1]==="/"&&(pf=pf.substring(0,pf.length-1),Vd--),!Id(pf)){let _f;return pf.trim().length===0?_f="Invalid space after '<'.":_f="Tag '"+pf+"' is an invalid name.",Rd("InvalidTag",_f,Md(Pd,Vd))}const Xd=yd(Pd,Vd);if(Xd===!1)return Rd("InvalidAttr","Attributes for '"+pf+"' have open quote.",Md(Pd,Vd));let hf=Xd.value;if(Vd=Xd.index,hf[hf.length-1]==="/"){const _f=Vd-hf.length;hf=hf.substring(0,hf.length-1);const xf=Ed(hf,qd);if(xf===!0)Ud=!0;else return Rd(xf.err.code,xf.err.msg,Md(Pd,_f+xf.err.line))}else if(Zd)if(Xd.tagClosed){if(hf.trim().length>0)return Rd("InvalidTag","Closing tag '"+pf+"' can't have attributes or invalid starting.",Md(Pd,Jd));if(Yd.length===0)return Rd("InvalidTag","Closing tag '"+pf+"' has not been opened.",Md(Pd,Jd));{const _f=Yd.pop();if(pf!==_f.tagName){let xf=Md(Pd,_f.tagStartPos);return Rd("InvalidTag","Expected closing tag '"+_f.tagName+"' (opened in line "+xf.line+", col "+xf.col+") instead of closing tag '"+pf+"'.",Md(Pd,Jd))}Yd.length==0&&(Hd=!0)}}else return Rd("InvalidTag","Closing tag '"+pf+"' doesn't have proper closing.",Md(Pd,Vd));else{const _f=Ed(hf,qd);if(_f!==!0)return Rd(_f.err.code,_f.err.msg,Md(Pd,Vd-hf.length+_f.err.line));if(Hd===!0)return Rd("InvalidXml","Multiple possible root nodes found.",Md(Pd,Vd));qd.unpairedTags.indexOf(pf)!==-1||Yd.push({tagName:pf,tagStartPos:Jd}),Ud=!0}for(Vd++;Vd<Pd.length;Vd++)if(Pd[Vd]==="<")if(Pd[Vd+1]==="!"){Vd++,Vd=cd(Pd,Vd);continue}else if(Pd[Vd+1]==="?"){if(Vd=ld(Pd,++Vd),Vd.err)return Vd}else break;else if(Pd[Vd]==="&"){const _f=kd(Pd,Vd);if(_f==-1)return Rd("InvalidChar","char '&' is not expected.",Md(Pd,Vd));Vd=_f}else if(Hd===!0&&!od(Pd[Vd]))return Rd("InvalidXml","Extra text at the end",Md(Pd,Vd));Pd[Vd]==="<"&&Vd--}}else{if(od(Pd[Vd]))continue;return Rd("InvalidChar","char '"+Pd[Vd]+"' is not expected.",Md(Pd,Vd))}if(Ud){if(Yd.length==1)return Rd("InvalidTag","Unclosed tag '"+Yd[0].tagName+"'.",Md(Pd,Yd[0].tagStartPos));if(Yd.length>0)return Rd("InvalidXml","Invalid '"+JSON.stringify(Yd.map(Vd=>Vd.tagName),null,4).replace(/\r?\n/g,"")+"' found.",{line:1,col:1})}else return Rd("InvalidXml","Start tag expected.",1);return!0};function od(Pd){return Pd===" "||Pd===" "||Pd===`
|
||
`||Pd==="\r"}function ld(Pd,qd){const Yd=qd;for(;qd<Pd.length;qd++)if(Pd[qd]=="?"||Pd[qd]==" "){const Ud=Pd.substr(Yd,qd-Yd);if(qd>5&&Ud==="xml")return Rd("InvalidXml","XML declaration allowed only at the start of the document.",Md(Pd,qd));if(Pd[qd]=="?"&&Pd[qd+1]==">"){qd++;break}else continue}return qd}function cd(Pd,qd){if(Pd.length>qd+5&&Pd[qd+1]==="-"&&Pd[qd+2]==="-"){for(qd+=3;qd<Pd.length;qd++)if(Pd[qd]==="-"&&Pd[qd+1]==="-"&&Pd[qd+2]===">"){qd+=2;break}}else if(Pd.length>qd+8&&Pd[qd+1]==="D"&&Pd[qd+2]==="O"&&Pd[qd+3]==="C"&&Pd[qd+4]==="T"&&Pd[qd+5]==="Y"&&Pd[qd+6]==="P"&&Pd[qd+7]==="E"){let Yd=1;for(qd+=8;qd<Pd.length;qd++)if(Pd[qd]==="<")Yd++;else if(Pd[qd]===">"&&(Yd--,Yd===0))break}else if(Pd.length>qd+9&&Pd[qd+1]==="["&&Pd[qd+2]==="C"&&Pd[qd+3]==="D"&&Pd[qd+4]==="A"&&Pd[qd+5]==="T"&&Pd[qd+6]==="A"&&Pd[qd+7]==="["){for(qd+=8;qd<Pd.length;qd++)if(Pd[qd]==="]"&&Pd[qd+1]==="]"&&Pd[qd+2]===">"){qd+=2;break}}return qd}const ud='"',_d="'";function yd(Pd,qd){let Yd="",Ud="",Hd=!1;for(;qd<Pd.length;qd++){if(Pd[qd]===ud||Pd[qd]===_d)Ud===""?Ud=Pd[qd]:Ud!==Pd[qd]||(Ud="");else if(Pd[qd]===">"&&Ud===""){Hd=!0;break}Yd+=Pd[qd]}return Ud!==""?!1:{value:Yd,index:qd,tagClosed:Hd}}const gd=new RegExp(`(\\s*)([^\\s=]+)(\\s*=)?(\\s*(['"])(([\\s\\S])*?)\\5)?`,"g");function Ed(Pd,qd){const Yd=rd.getAllMatches(Pd,gd),Ud={};for(let Hd=0;Hd<Yd.length;Hd++){if(Yd[Hd][1].length===0)return Rd("InvalidAttr","Attribute '"+Yd[Hd][2]+"' has no space in starting.",Ld(Yd[Hd]));if(Yd[Hd][3]!==void 0&&Yd[Hd][4]===void 0)return Rd("InvalidAttr","Attribute '"+Yd[Hd][2]+"' is without value.",Ld(Yd[Hd]));if(Yd[Hd][3]===void 0&&!qd.allowBooleanAttributes)return Rd("InvalidAttr","boolean attribute '"+Yd[Hd][2]+"' is not allowed.",Ld(Yd[Hd]));const Vd=Yd[Hd][2];if(!Nd(Vd))return Rd("InvalidAttr","Attribute '"+Vd+"' is an invalid name.",Ld(Yd[Hd]));if(!Ud.hasOwnProperty(Vd))Ud[Vd]=1;else return Rd("InvalidAttr","Attribute '"+Vd+"' is repeated.",Ld(Yd[Hd]))}return!0}function Td(Pd,qd){let Yd=/\d/;for(Pd[qd]==="x"&&(qd++,Yd=/[\da-fA-F]/);qd<Pd.length;qd++){if(Pd[qd]===";")return qd;if(!Pd[qd].match(Yd))break}return-1}function kd(Pd,qd){if(qd++,Pd[qd]===";")return-1;if(Pd[qd]==="#")return qd++,Td(Pd,qd);let Yd=0;for(;qd<Pd.length;qd++,Yd++)if(!(Pd[qd].match(/\w/)&&Yd<20)){if(Pd[qd]===";")break;return-1}return qd}function Rd(Pd,qd,Yd){return{err:{code:Pd,msg:qd,line:Yd.line||Yd,col:Yd.col}}}function Nd(Pd){return rd.isName(Pd)}function Id(Pd){return rd.isName(Pd)}function Md(Pd,qd){const Yd=Pd.substring(0,qd).split(/\r?\n/);return{line:Yd.length,col:Yd[Yd.length-1].length+1}}function Ld(Pd){return Pd.startIndex+Pd[1].length}},"./node_modules/fast-xml-parser/src/xmlbuilder/json2xml.js":function(Ja,ed,td){const rd=td("./node_modules/fast-xml-parser/src/xmlbuilder/orderedJs2Xml.js"),sd={attributeNamePrefix:"@_",attributesGroupName:!1,textNodeName:"#text",ignoreAttributes:!0,cdataPropName:!1,format:!1,indentBy:" ",suppressEmptyNode:!1,suppressUnpairedNode:!0,suppressBooleanAttributes:!0,tagValueProcessor:function(_d,yd){return yd},attributeValueProcessor:function(_d,yd){return yd},preserveOrder:!1,commentPropName:!1,unpairedTags:[],entities:[{regex:new RegExp("&","g"),val:"&"},{regex:new RegExp(">","g"),val:">"},{regex:new RegExp("<","g"),val:"<"},{regex:new RegExp("'","g"),val:"'"},{regex:new RegExp('"',"g"),val:"""}],processEntities:!0,stopNodes:[],oneListGroup:!1};function od(_d){this.options=Object.assign({},sd,_d),this.options.ignoreAttributes||this.options.attributesGroupName?this.isAttribute=function(){return!1}:(this.attrPrefixLen=this.options.attributeNamePrefix.length,this.isAttribute=ud),this.processTextOrObjNode=ld,this.options.format?(this.indentate=cd,this.tagEndChar=`>
|
||
`,this.newLine=`
|
||
`):(this.indentate=function(){return""},this.tagEndChar=">",this.newLine="")}od.prototype.build=function(_d){return this.options.preserveOrder?rd(_d,this.options):(Array.isArray(_d)&&this.options.arrayNodeName&&this.options.arrayNodeName.length>1&&(_d={[this.options.arrayNodeName]:_d}),this.j2x(_d,0).val)},od.prototype.j2x=function(_d,yd){let gd="",Ed="";for(let Td in _d)if(Object.prototype.hasOwnProperty.call(_d,Td))if(typeof _d[Td]>"u")this.isAttribute(Td)&&(Ed+="");else if(_d[Td]===null)this.isAttribute(Td)?Ed+="":Td[0]==="?"?Ed+=this.indentate(yd)+"<"+Td+"?"+this.tagEndChar:Ed+=this.indentate(yd)+"<"+Td+"/"+this.tagEndChar;else if(_d[Td]instanceof Date)Ed+=this.buildTextValNode(_d[Td],Td,"",yd);else if(typeof _d[Td]!="object"){const kd=this.isAttribute(Td);if(kd)gd+=this.buildAttrPairStr(kd,""+_d[Td]);else if(Td===this.options.textNodeName){let Rd=this.options.tagValueProcessor(Td,""+_d[Td]);Ed+=this.replaceEntitiesValue(Rd)}else Ed+=this.buildTextValNode(_d[Td],Td,"",yd)}else if(Array.isArray(_d[Td])){const kd=_d[Td].length;let Rd="";for(let Nd=0;Nd<kd;Nd++){const Id=_d[Td][Nd];typeof Id>"u"||(Id===null?Td[0]==="?"?Ed+=this.indentate(yd)+"<"+Td+"?"+this.tagEndChar:Ed+=this.indentate(yd)+"<"+Td+"/"+this.tagEndChar:typeof Id=="object"?this.options.oneListGroup?Rd+=this.j2x(Id,yd+1).val:Rd+=this.processTextOrObjNode(Id,Td,yd):Rd+=this.buildTextValNode(Id,Td,"",yd))}this.options.oneListGroup&&(Rd=this.buildObjectNode(Rd,Td,"",yd)),Ed+=Rd}else if(this.options.attributesGroupName&&Td===this.options.attributesGroupName){const kd=Object.keys(_d[Td]),Rd=kd.length;for(let Nd=0;Nd<Rd;Nd++)gd+=this.buildAttrPairStr(kd[Nd],""+_d[Td][kd[Nd]])}else Ed+=this.processTextOrObjNode(_d[Td],Td,yd);return{attrStr:gd,val:Ed}},od.prototype.buildAttrPairStr=function(_d,yd){return yd=this.options.attributeValueProcessor(_d,""+yd),yd=this.replaceEntitiesValue(yd),this.options.suppressBooleanAttributes&&yd==="true"?" "+_d:" "+_d+'="'+yd+'"'};function ld(_d,yd,gd){const Ed=this.j2x(_d,gd+1);return _d[this.options.textNodeName]!==void 0&&Object.keys(_d).length===1?this.buildTextValNode(_d[this.options.textNodeName],yd,Ed.attrStr,gd):this.buildObjectNode(Ed.val,yd,Ed.attrStr,gd)}od.prototype.buildObjectNode=function(_d,yd,gd,Ed){if(_d==="")return yd[0]==="?"?this.indentate(Ed)+"<"+yd+gd+"?"+this.tagEndChar:this.indentate(Ed)+"<"+yd+gd+this.closeTag(yd)+this.tagEndChar;{let Td="</"+yd+this.tagEndChar,kd="";return yd[0]==="?"&&(kd="?",Td=""),(gd||gd==="")&&_d.indexOf("<")===-1?this.indentate(Ed)+"<"+yd+gd+kd+">"+_d+Td:this.options.commentPropName!==!1&&yd===this.options.commentPropName&&kd.length===0?this.indentate(Ed)+`<!--${_d}-->`+this.newLine:this.indentate(Ed)+"<"+yd+gd+kd+this.tagEndChar+_d+this.indentate(Ed)+Td}},od.prototype.closeTag=function(_d){let yd="";return this.options.unpairedTags.indexOf(_d)!==-1?this.options.suppressUnpairedNode||(yd="/"):this.options.suppressEmptyNode?yd="/":yd=`></${_d}`,yd},od.prototype.buildTextValNode=function(_d,yd,gd,Ed){if(this.options.cdataPropName!==!1&&yd===this.options.cdataPropName)return this.indentate(Ed)+`<![CDATA[${_d}]]>`+this.newLine;if(this.options.commentPropName!==!1&&yd===this.options.commentPropName)return this.indentate(Ed)+`<!--${_d}-->`+this.newLine;if(yd[0]==="?")return this.indentate(Ed)+"<"+yd+gd+"?"+this.tagEndChar;{let Td=this.options.tagValueProcessor(yd,_d);return Td=this.replaceEntitiesValue(Td),Td===""?this.indentate(Ed)+"<"+yd+gd+this.closeTag(yd)+this.tagEndChar:this.indentate(Ed)+"<"+yd+gd+">"+Td+"</"+yd+this.tagEndChar}},od.prototype.replaceEntitiesValue=function(_d){if(_d&&_d.length>0&&this.options.processEntities)for(let yd=0;yd<this.options.entities.length;yd++){const gd=this.options.entities[yd];_d=_d.replace(gd.regex,gd.val)}return _d};function cd(_d){return this.options.indentBy.repeat(_d)}function ud(_d){return _d.startsWith(this.options.attributeNamePrefix)&&_d!==this.options.textNodeName?_d.substr(this.attrPrefixLen):!1}Ja.exports=od},"./node_modules/fast-xml-parser/src/xmlbuilder/orderedJs2Xml.js":function(Ja,ed){const td=`
|
||
`;function rd(_d,yd){let gd="";return yd.format&&yd.indentBy.length>0&&(gd=td),sd(_d,yd,"",gd)}function sd(_d,yd,gd,Ed){let Td="",kd=!1;for(let Rd=0;Rd<_d.length;Rd++){const Nd=_d[Rd],Id=od(Nd);if(Id===void 0)continue;let Md="";if(gd.length===0?Md=Id:Md=`${gd}.${Id}`,Id===yd.textNodeName){let Ud=Nd[Id];cd(Md,yd)||(Ud=yd.tagValueProcessor(Id,Ud),Ud=ud(Ud,yd)),kd&&(Td+=Ed),Td+=Ud,kd=!1;continue}else if(Id===yd.cdataPropName){kd&&(Td+=Ed),Td+=`<![CDATA[${Nd[Id][0][yd.textNodeName]}]]>`,kd=!1;continue}else if(Id===yd.commentPropName){Td+=Ed+`<!--${Nd[Id][0][yd.textNodeName]}-->`,kd=!0;continue}else if(Id[0]==="?"){const Ud=ld(Nd[":@"],yd),Hd=Id==="?xml"?"":Ed;let Vd=Nd[Id][0][yd.textNodeName];Vd=Vd.length!==0?" "+Vd:"",Td+=Hd+`<${Id}${Vd}${Ud}?>`,kd=!0;continue}let Ld=Ed;Ld!==""&&(Ld+=yd.indentBy);const Pd=ld(Nd[":@"],yd),qd=Ed+`<${Id}${Pd}`,Yd=sd(Nd[Id],yd,Md,Ld);yd.unpairedTags.indexOf(Id)!==-1?yd.suppressUnpairedNode?Td+=qd+">":Td+=qd+"/>":(!Yd||Yd.length===0)&&yd.suppressEmptyNode?Td+=qd+"/>":Yd&&Yd.endsWith(">")?Td+=qd+`>${Yd}${Ed}</${Id}>`:(Td+=qd+">",Yd&&Ed!==""&&(Yd.includes("/>")||Yd.includes("</"))?Td+=Ed+yd.indentBy+Yd+Ed:Td+=Yd,Td+=`</${Id}>`),kd=!0}return Td}function od(_d){const yd=Object.keys(_d);for(let gd=0;gd<yd.length;gd++){const Ed=yd[gd];if(_d.hasOwnProperty(Ed)&&Ed!==":@")return Ed}}function ld(_d,yd){let gd="";if(_d&&!yd.ignoreAttributes)for(let Ed in _d){if(!_d.hasOwnProperty(Ed))continue;let Td=yd.attributeValueProcessor(Ed,_d[Ed]);Td=ud(Td,yd),Td===!0&&yd.suppressBooleanAttributes?gd+=` ${Ed.substr(yd.attributeNamePrefix.length)}`:gd+=` ${Ed.substr(yd.attributeNamePrefix.length)}="${Td}"`}return gd}function cd(_d,yd){_d=_d.substr(0,_d.length-yd.textNodeName.length-1);let gd=_d.substr(_d.lastIndexOf(".")+1);for(let Ed in yd.stopNodes)if(yd.stopNodes[Ed]===_d||yd.stopNodes[Ed]==="*."+gd)return!0;return!1}function ud(_d,yd){if(_d&&_d.length>0&&yd.processEntities)for(let gd=0;gd<yd.entities.length;gd++){const Ed=yd.entities[gd];_d=_d.replace(Ed.regex,Ed.val)}return _d}Ja.exports=rd},"./node_modules/fast-xml-parser/src/xmlparser/DocTypeReader.js":function(Ja,ed,td){const rd=td("./node_modules/fast-xml-parser/src/util.js");function sd(Ed,Td){const kd={};if(Ed[Td+3]==="O"&&Ed[Td+4]==="C"&&Ed[Td+5]==="T"&&Ed[Td+6]==="Y"&&Ed[Td+7]==="P"&&Ed[Td+8]==="E"){Td=Td+9;let Rd=1,Nd=!1,Id=!1,Md="";for(;Td<Ed.length;Td++)if(Ed[Td]==="<"&&!Id){if(Nd&&cd(Ed,Td))Td+=7,[entityName,val,Td]=od(Ed,Td+1),val.indexOf("&")===-1&&(kd[gd(entityName)]={regx:RegExp(`&${entityName};`,"g"),val});else if(Nd&&ud(Ed,Td))Td+=8;else if(Nd&&_d(Ed,Td))Td+=8;else if(Nd&&yd(Ed,Td))Td+=9;else if(ld)Id=!0;else throw new Error("Invalid DOCTYPE");Rd++,Md=""}else if(Ed[Td]===">"){if(Id?Ed[Td-1]==="-"&&Ed[Td-2]==="-"&&(Id=!1,Rd--):Rd--,Rd===0)break}else Ed[Td]==="["?Nd=!0:Md+=Ed[Td];if(Rd!==0)throw new Error("Unclosed DOCTYPE")}else throw new Error("Invalid Tag instead of DOCTYPE");return{entities:kd,i:Td}}function od(Ed,Td){let kd="";for(;Td<Ed.length&&Ed[Td]!=="'"&&Ed[Td]!=='"';Td++)kd+=Ed[Td];if(kd=kd.trim(),kd.indexOf(" ")!==-1)throw new Error("External entites are not supported");const Rd=Ed[Td++];let Nd="";for(;Td<Ed.length&&Ed[Td]!==Rd;Td++)Nd+=Ed[Td];return[kd,Nd,Td]}function ld(Ed,Td){return Ed[Td+1]==="!"&&Ed[Td+2]==="-"&&Ed[Td+3]==="-"}function cd(Ed,Td){return Ed[Td+1]==="!"&&Ed[Td+2]==="E"&&Ed[Td+3]==="N"&&Ed[Td+4]==="T"&&Ed[Td+5]==="I"&&Ed[Td+6]==="T"&&Ed[Td+7]==="Y"}function ud(Ed,Td){return Ed[Td+1]==="!"&&Ed[Td+2]==="E"&&Ed[Td+3]==="L"&&Ed[Td+4]==="E"&&Ed[Td+5]==="M"&&Ed[Td+6]==="E"&&Ed[Td+7]==="N"&&Ed[Td+8]==="T"}function _d(Ed,Td){return Ed[Td+1]==="!"&&Ed[Td+2]==="A"&&Ed[Td+3]==="T"&&Ed[Td+4]==="T"&&Ed[Td+5]==="L"&&Ed[Td+6]==="I"&&Ed[Td+7]==="S"&&Ed[Td+8]==="T"}function yd(Ed,Td){return Ed[Td+1]==="!"&&Ed[Td+2]==="N"&&Ed[Td+3]==="O"&&Ed[Td+4]==="T"&&Ed[Td+5]==="A"&&Ed[Td+6]==="T"&&Ed[Td+7]==="I"&&Ed[Td+8]==="O"&&Ed[Td+9]==="N"}function gd(Ed){if(rd.isName(Ed))return Ed;throw new Error(`Invalid entity name ${Ed}`)}Ja.exports=sd},"./node_modules/fast-xml-parser/src/xmlparser/OptionsBuilder.js":function(Ja,ed){const td={preserveOrder:!1,attributeNamePrefix:"@_",attributesGroupName:!1,textNodeName:"#text",ignoreAttributes:!0,removeNSPrefix:!1,allowBooleanAttributes:!1,parseTagValue:!0,parseAttributeValue:!1,trimValues:!0,cdataPropName:!1,numberParseOptions:{hex:!0,leadingZeros:!0,eNotation:!0},tagValueProcessor:function(sd,od){return od},attributeValueProcessor:function(sd,od){return od},stopNodes:[],alwaysCreateTextNode:!1,isArray:()=>!1,commentPropName:!1,unpairedTags:[],processEntities:!0,htmlEntities:!1,ignoreDeclaration:!1,ignorePiTags:!1,transformTagName:!1,transformAttributeName:!1,updateTag:function(sd,od,ld){return sd}},rd=function(sd){return Object.assign({},td,sd)};ed.buildOptions=rd,ed.defaultOptions=td},"./node_modules/fast-xml-parser/src/xmlparser/OrderedObjParser.js":function(Ja,ed,td){const rd=td("./node_modules/fast-xml-parser/src/util.js"),sd=td("./node_modules/fast-xml-parser/src/xmlparser/xmlNode.js"),od=td("./node_modules/fast-xml-parser/src/xmlparser/DocTypeReader.js"),ld=td("./node_modules/strnum/strnum.js");class cd{constructor(Hd){this.options=Hd,this.currentNode=null,this.tagsNodeStack=[],this.docTypeEntities={},this.lastEntities={apos:{regex:/&(apos|#39|#x27);/g,val:"'"},gt:{regex:/&(gt|#62|#x3E);/g,val:">"},lt:{regex:/&(lt|#60|#x3C);/g,val:"<"},quot:{regex:/&(quot|#34|#x22);/g,val:'"'}},this.ampEntity={regex:/&(amp|#38|#x26);/g,val:"&"},this.htmlEntities={space:{regex:/&(nbsp|#160);/g,val:" "},cent:{regex:/&(cent|#162);/g,val:"¢"},pound:{regex:/&(pound|#163);/g,val:"£"},yen:{regex:/&(yen|#165);/g,val:"¥"},euro:{regex:/&(euro|#8364);/g,val:"€"},copyright:{regex:/&(copy|#169);/g,val:"©"},reg:{regex:/&(reg|#174);/g,val:"®"},inr:{regex:/&(inr|#8377);/g,val:"₹"},num_dec:{regex:/&#([0-9]{1,7});/g,val:(Vd,Jd)=>String.fromCharCode(Number.parseInt(Jd,10))},num_hex:{regex:/&#x([0-9a-fA-F]{1,6});/g,val:(Vd,Jd)=>String.fromCharCode(Number.parseInt(Jd,16))}},this.addExternalEntities=ud,this.parseXml=Td,this.parseTextData=_d,this.resolveNameSpace=yd,this.buildAttributesMap=Ed,this.isItStopNode=Id,this.replaceEntitiesValue=Rd,this.readStopNodeData=qd,this.saveTextToParentTag=Nd,this.addChild=kd}}function ud(Ud){const Hd=Object.keys(Ud);for(let Vd=0;Vd<Hd.length;Vd++){const Jd=Hd[Vd];this.lastEntities[Jd]={regex:new RegExp("&"+Jd+";","g"),val:Ud[Jd]}}}function _d(Ud,Hd,Vd,Jd,Zd,pf,Xd){if(Ud!==void 0&&(this.options.trimValues&&!Jd&&(Ud=Ud.trim()),Ud.length>0)){Xd||(Ud=this.replaceEntitiesValue(Ud));const hf=this.options.tagValueProcessor(Hd,Ud,Vd,Zd,pf);return hf==null?Ud:typeof hf!=typeof Ud||hf!==Ud?hf:this.options.trimValues?Yd(Ud,this.options.parseTagValue,this.options.numberParseOptions):Ud.trim()===Ud?Yd(Ud,this.options.parseTagValue,this.options.numberParseOptions):Ud}}function yd(Ud){if(this.options.removeNSPrefix){const Hd=Ud.split(":"),Vd=Ud.charAt(0)==="/"?"/":"";if(Hd[0]==="xmlns")return"";Hd.length===2&&(Ud=Vd+Hd[1])}return Ud}const gd=new RegExp(`([^\\s=]+)\\s*(=\\s*(['"])([\\s\\S]*?)\\3)?`,"gm");function Ed(Ud,Hd,Vd){if(!this.options.ignoreAttributes&&typeof Ud=="string"){const Jd=rd.getAllMatches(Ud,gd),Zd=Jd.length,pf={};for(let Xd=0;Xd<Zd;Xd++){const hf=this.resolveNameSpace(Jd[Xd][1]);let _f=Jd[Xd][4],xf=this.options.attributeNamePrefix+hf;if(hf.length)if(this.options.transformAttributeName&&(xf=this.options.transformAttributeName(xf)),xf==="__proto__"&&(xf="#__proto__"),_f!==void 0){this.options.trimValues&&(_f=_f.trim()),_f=this.replaceEntitiesValue(_f);const Lf=this.options.attributeValueProcessor(hf,_f,Hd);Lf==null?pf[xf]=_f:typeof Lf!=typeof _f||Lf!==_f?pf[xf]=Lf:pf[xf]=Yd(_f,this.options.parseAttributeValue,this.options.numberParseOptions)}else this.options.allowBooleanAttributes&&(pf[xf]=!0)}if(!Object.keys(pf).length)return;if(this.options.attributesGroupName){const Xd={};return Xd[this.options.attributesGroupName]=pf,Xd}return pf}}const Td=function(Ud){Ud=Ud.replace(/\r\n?/g,`
|
||
`);const Hd=new sd("!xml");let Vd=Hd,Jd="",Zd="";for(let pf=0;pf<Ud.length;pf++)if(Ud[pf]==="<")if(Ud[pf+1]==="/"){const hf=Ld(Ud,">",pf,"Closing Tag is not closed.");let _f=Ud.substring(pf+2,hf).trim();if(this.options.removeNSPrefix){const Wf=_f.indexOf(":");Wf!==-1&&(_f=_f.substr(Wf+1))}this.options.transformTagName&&(_f=this.options.transformTagName(_f)),Vd&&(Jd=this.saveTextToParentTag(Jd,Vd,Zd));const xf=Zd.substring(Zd.lastIndexOf(".")+1);if(_f&&this.options.unpairedTags.indexOf(_f)!==-1)throw new Error(`Unpaired tag can not be used as closing tag: </${_f}>`);let Lf=0;xf&&this.options.unpairedTags.indexOf(xf)!==-1?(Lf=Zd.lastIndexOf(".",Zd.lastIndexOf(".")-1),this.tagsNodeStack.pop()):Lf=Zd.lastIndexOf("."),Zd=Zd.substring(0,Lf),Vd=this.tagsNodeStack.pop(),Jd="",pf=hf}else if(Ud[pf+1]==="?"){let hf=Pd(Ud,pf,!1,"?>");if(!hf)throw new Error("Pi Tag is not closed.");if(Jd=this.saveTextToParentTag(Jd,Vd,Zd),!(this.options.ignoreDeclaration&&hf.tagName==="?xml"||this.options.ignorePiTags)){const _f=new sd(hf.tagName);_f.add(this.options.textNodeName,""),hf.tagName!==hf.tagExp&&hf.attrExpPresent&&(_f[":@"]=this.buildAttributesMap(hf.tagExp,Zd,hf.tagName)),this.addChild(Vd,_f,Zd)}pf=hf.closeIndex+1}else if(Ud.substr(pf+1,3)==="!--"){const hf=Ld(Ud,"-->",pf+4,"Comment is not closed.");if(this.options.commentPropName){const _f=Ud.substring(pf+4,hf-2);Jd=this.saveTextToParentTag(Jd,Vd,Zd),Vd.add(this.options.commentPropName,[{[this.options.textNodeName]:_f}])}pf=hf}else if(Ud.substr(pf+1,2)==="!D"){const hf=od(Ud,pf);this.docTypeEntities=hf.entities,pf=hf.i}else if(Ud.substr(pf+1,2)==="!["){const hf=Ld(Ud,"]]>",pf,"CDATA is not closed.")-2,_f=Ud.substring(pf+9,hf);Jd=this.saveTextToParentTag(Jd,Vd,Zd);let xf=this.parseTextData(_f,Vd.tagname,Zd,!0,!1,!0,!0);xf==null&&(xf=""),this.options.cdataPropName?Vd.add(this.options.cdataPropName,[{[this.options.textNodeName]:_f}]):Vd.add(this.options.textNodeName,xf),pf=hf+2}else{let hf=Pd(Ud,pf,this.options.removeNSPrefix),_f=hf.tagName;const xf=hf.rawTagName;let Lf=hf.tagExp,Wf=hf.attrExpPresent,Yf=hf.closeIndex;this.options.transformTagName&&(_f=this.options.transformTagName(_f)),Vd&&Jd&&Vd.tagname!=="!xml"&&(Jd=this.saveTextToParentTag(Jd,Vd,Zd,!1));const If=Vd;if(If&&this.options.unpairedTags.indexOf(If.tagname)!==-1&&(Vd=this.tagsNodeStack.pop(),Zd=Zd.substring(0,Zd.lastIndexOf("."))),_f!==Hd.tagname&&(Zd+=Zd?"."+_f:_f),this.isItStopNode(this.options.stopNodes,Zd,_f)){let Sf="";if(Lf.length>0&&Lf.lastIndexOf("/")===Lf.length-1)_f[_f.length-1]==="/"?(_f=_f.substr(0,_f.length-1),Zd=Zd.substr(0,Zd.length-1),Lf=_f):Lf=Lf.substr(0,Lf.length-1),pf=hf.closeIndex;else if(this.options.unpairedTags.indexOf(_f)!==-1)pf=hf.closeIndex;else{const Kf=this.readStopNodeData(Ud,xf,Yf+1);if(!Kf)throw new Error(`Unexpected end of ${xf}`);pf=Kf.i,Sf=Kf.tagContent}const wf=new sd(_f);_f!==Lf&&Wf&&(wf[":@"]=this.buildAttributesMap(Lf,Zd,_f)),Sf&&(Sf=this.parseTextData(Sf,_f,Zd,!0,Wf,!0,!0)),Zd=Zd.substr(0,Zd.lastIndexOf(".")),wf.add(this.options.textNodeName,Sf),this.addChild(Vd,wf,Zd)}else{if(Lf.length>0&&Lf.lastIndexOf("/")===Lf.length-1){_f[_f.length-1]==="/"?(_f=_f.substr(0,_f.length-1),Zd=Zd.substr(0,Zd.length-1),Lf=_f):Lf=Lf.substr(0,Lf.length-1),this.options.transformTagName&&(_f=this.options.transformTagName(_f));const Sf=new sd(_f);_f!==Lf&&Wf&&(Sf[":@"]=this.buildAttributesMap(Lf,Zd,_f)),this.addChild(Vd,Sf,Zd),Zd=Zd.substr(0,Zd.lastIndexOf("."))}else{const Sf=new sd(_f);this.tagsNodeStack.push(Vd),_f!==Lf&&Wf&&(Sf[":@"]=this.buildAttributesMap(Lf,Zd,_f)),this.addChild(Vd,Sf,Zd),Vd=Sf}Jd="",pf=Yf}}else Jd+=Ud[pf];return Hd.child};function kd(Ud,Hd,Vd){const Jd=this.options.updateTag(Hd.tagname,Vd,Hd[":@"]);Jd===!1||(typeof Jd=="string"&&(Hd.tagname=Jd),Ud.addChild(Hd))}const Rd=function(Ud){if(this.options.processEntities){for(let Hd in this.docTypeEntities){const Vd=this.docTypeEntities[Hd];Ud=Ud.replace(Vd.regx,Vd.val)}for(let Hd in this.lastEntities){const Vd=this.lastEntities[Hd];Ud=Ud.replace(Vd.regex,Vd.val)}if(this.options.htmlEntities)for(let Hd in this.htmlEntities){const Vd=this.htmlEntities[Hd];Ud=Ud.replace(Vd.regex,Vd.val)}Ud=Ud.replace(this.ampEntity.regex,this.ampEntity.val)}return Ud};function Nd(Ud,Hd,Vd,Jd){return Ud&&(Jd===void 0&&(Jd=Object.keys(Hd.child).length===0),Ud=this.parseTextData(Ud,Hd.tagname,Vd,!1,Hd[":@"]?Object.keys(Hd[":@"]).length!==0:!1,Jd),Ud!==void 0&&Ud!==""&&Hd.add(this.options.textNodeName,Ud),Ud=""),Ud}function Id(Ud,Hd,Vd){const Jd="*."+Vd;for(const Zd in Ud){const pf=Ud[Zd];if(Jd===pf||Hd===pf)return!0}return!1}function Md(Ud,Hd,Vd=">"){let Jd,Zd="";for(let pf=Hd;pf<Ud.length;pf++){let Xd=Ud[pf];if(Jd)Xd===Jd&&(Jd="");else if(Xd==='"'||Xd==="'")Jd=Xd;else if(Xd===Vd[0])if(Vd[1]){if(Ud[pf+1]===Vd[1])return{data:Zd,index:pf}}else return{data:Zd,index:pf};else Xd===" "&&(Xd=" ");Zd+=Xd}}function Ld(Ud,Hd,Vd,Jd){const Zd=Ud.indexOf(Hd,Vd);if(Zd===-1)throw new Error(Jd);return Zd+Hd.length-1}function Pd(Ud,Hd,Vd,Jd=">"){const Zd=Md(Ud,Hd+1,Jd);if(!Zd)return;let pf=Zd.data;const Xd=Zd.index,hf=pf.search(/\s/);let _f=pf,xf=!0;hf!==-1&&(_f=pf.substring(0,hf),pf=pf.substring(hf+1).trimStart());const Lf=_f;if(Vd){const Wf=_f.indexOf(":");Wf!==-1&&(_f=_f.substr(Wf+1),xf=_f!==Zd.data.substr(Wf+1))}return{tagName:_f,tagExp:pf,closeIndex:Xd,attrExpPresent:xf,rawTagName:Lf}}function qd(Ud,Hd,Vd){const Jd=Vd;let Zd=1;for(;Vd<Ud.length;Vd++)if(Ud[Vd]==="<")if(Ud[Vd+1]==="/"){const pf=Ld(Ud,">",Vd,`${Hd} is not closed`);if(Ud.substring(Vd+2,pf).trim()===Hd&&(Zd--,Zd===0))return{tagContent:Ud.substring(Jd,Vd),i:pf};Vd=pf}else if(Ud[Vd+1]==="?")Vd=Ld(Ud,"?>",Vd+1,"StopNode is not closed.");else if(Ud.substr(Vd+1,3)==="!--")Vd=Ld(Ud,"-->",Vd+3,"StopNode is not closed.");else if(Ud.substr(Vd+1,2)==="![")Vd=Ld(Ud,"]]>",Vd,"StopNode is not closed.")-2;else{const pf=Pd(Ud,Vd,">");pf&&((pf&&pf.tagName)===Hd&&pf.tagExp[pf.tagExp.length-1]!=="/"&&Zd++,Vd=pf.closeIndex)}}function Yd(Ud,Hd,Vd){if(Hd&&typeof Ud=="string"){const Jd=Ud.trim();return Jd==="true"?!0:Jd==="false"?!1:ld(Ud,Vd)}else return rd.isExist(Ud)?Ud:""}Ja.exports=cd},"./node_modules/fast-xml-parser/src/xmlparser/XMLParser.js":function(Ja,ed,td){const{buildOptions:rd}=td("./node_modules/fast-xml-parser/src/xmlparser/OptionsBuilder.js"),sd=td("./node_modules/fast-xml-parser/src/xmlparser/OrderedObjParser.js"),{prettify:od}=td("./node_modules/fast-xml-parser/src/xmlparser/node2json.js"),ld=td("./node_modules/fast-xml-parser/src/validator.js");class cd{constructor(_d){this.externalEntities={},this.options=rd(_d)}parse(_d,yd){if(typeof _d!="string")if(_d.toString)_d=_d.toString();else throw new Error("XML data is accepted in String or Bytes[] form.");if(yd){yd===!0&&(yd={});const Td=ld.validate(_d,yd);if(Td!==!0)throw Error(`${Td.err.msg}:${Td.err.line}:${Td.err.col}`)}const gd=new sd(this.options);gd.addExternalEntities(this.externalEntities);const Ed=gd.parseXml(_d);return this.options.preserveOrder||Ed===void 0?Ed:od(Ed,this.options)}addEntity(_d,yd){if(yd.indexOf("&")!==-1)throw new Error("Entity value can't have '&'");if(_d.indexOf("&")!==-1||_d.indexOf(";")!==-1)throw new Error("An entity must be set without '&' and ';'. Eg. use '#xD' for '
'");if(yd==="&")throw new Error("An entity with value '&' is not permitted");this.externalEntities[_d]=yd}}Ja.exports=cd},"./node_modules/fast-xml-parser/src/xmlparser/node2json.js":function(Ja,ed,td){function rd(ud,_d){return sd(ud,_d)}function sd(ud,_d,yd){let gd;const Ed={};for(let Td=0;Td<ud.length;Td++){const kd=ud[Td],Rd=od(kd);let Nd="";if(yd===void 0?Nd=Rd:Nd=yd+"."+Rd,Rd===_d.textNodeName)gd===void 0?gd=kd[Rd]:gd+=""+kd[Rd];else{if(Rd===void 0)continue;if(kd[Rd]){let Id=sd(kd[Rd],_d,Nd);const Md=cd(Id,_d);kd[":@"]?ld(Id,kd[":@"],Nd,_d):Object.keys(Id).length===1&&Id[_d.textNodeName]!==void 0&&!_d.alwaysCreateTextNode?Id=Id[_d.textNodeName]:Object.keys(Id).length===0&&(_d.alwaysCreateTextNode?Id[_d.textNodeName]="":Id=""),Ed[Rd]!==void 0&&Ed.hasOwnProperty(Rd)?(Array.isArray(Ed[Rd])||(Ed[Rd]=[Ed[Rd]]),Ed[Rd].push(Id)):_d.isArray(Rd,Nd,Md)?Ed[Rd]=[Id]:Ed[Rd]=Id}}}return typeof gd=="string"?gd.length>0&&(Ed[_d.textNodeName]=gd):gd!==void 0&&(Ed[_d.textNodeName]=gd),Ed}function od(ud){const _d=Object.keys(ud);for(let yd=0;yd<_d.length;yd++){const gd=_d[yd];if(gd!==":@")return gd}}function ld(ud,_d,yd,gd){if(_d){const Ed=Object.keys(_d),Td=Ed.length;for(let kd=0;kd<Td;kd++){const Rd=Ed[kd];gd.isArray(Rd,yd+"."+Rd,!0,!0)?ud[Rd]=[_d[Rd]]:ud[Rd]=_d[Rd]}}}function cd(ud,_d){const{textNodeName:yd}=_d,gd=Object.keys(ud).length;return!!(gd===0||gd===1&&(ud[yd]||typeof ud[yd]=="boolean"||ud[yd]===0))}ed.prettify=rd},"./node_modules/fast-xml-parser/src/xmlparser/xmlNode.js":function(Ja,ed,td){class rd{constructor(od){this.tagname=od,this.child=[],this[":@"]={}}add(od,ld){od==="__proto__"&&(od="#__proto__"),this.child.push({[od]:ld})}addChild(od){od.tagname==="__proto__"&&(od.tagname="#__proto__"),od[":@"]&&Object.keys(od[":@"]).length>0?this.child.push({[od.tagname]:od.child,":@":od[":@"]}):this.child.push({[od.tagname]:od.child})}}Ja.exports=rd},"./node_modules/process/browser.js":function(Ja,ed){var td=Ja.exports={},rd,sd;function od(){throw new Error("setTimeout has not been defined")}function ld(){throw new Error("clearTimeout has not been defined")}(function(){try{typeof setTimeout=="function"?rd=setTimeout:rd=od}catch{rd=od}try{typeof clearTimeout=="function"?sd=clearTimeout:sd=ld}catch{sd=ld}})();function cd(Id){if(rd===setTimeout)return setTimeout(Id,0);if((rd===od||!rd)&&setTimeout)return rd=setTimeout,setTimeout(Id,0);try{return rd(Id,0)}catch{try{return rd.call(null,Id,0)}catch{return rd.call(this,Id,0)}}}function ud(Id){if(sd===clearTimeout)return clearTimeout(Id);if((sd===ld||!sd)&&clearTimeout)return sd=clearTimeout,clearTimeout(Id);try{return sd(Id)}catch{try{return sd.call(null,Id)}catch{return sd.call(this,Id)}}}var _d=[],yd=!1,gd,Ed=-1;function Td(){!yd||!gd||(yd=!1,gd.length?_d=gd.concat(_d):Ed=-1,_d.length&&kd())}function kd(){if(!yd){var Id=cd(Td);yd=!0;for(var Md=_d.length;Md;){for(gd=_d,_d=[];++Ed<Md;)gd&&gd[Ed].run();Ed=-1,Md=_d.length}gd=null,yd=!1,ud(Id)}}td.nextTick=function(Id){var Md=new Array(arguments.length-1);if(arguments.length>1)for(var Ld=1;Ld<arguments.length;Ld++)Md[Ld-1]=arguments[Ld];_d.push(new Rd(Id,Md)),_d.length===1&&!yd&&cd(kd)};function Rd(Id,Md){this.fun=Id,this.array=Md}Rd.prototype.run=function(){this.fun.apply(null,this.array)},td.title="browser",td.browser=!0,td.env={},td.argv=[],td.version="",td.versions={};function Nd(){}td.on=Nd,td.addListener=Nd,td.once=Nd,td.off=Nd,td.removeListener=Nd,td.removeAllListeners=Nd,td.emit=Nd,td.prependListener=Nd,td.prependOnceListener=Nd,td.listeners=function(Id){return[]},td.binding=function(Id){throw new Error("process.binding is not supported")},td.cwd=function(){return"/"},td.chdir=function(Id){throw new Error("process.chdir is not supported")},td.umask=function(){return 0}},"./node_modules/strnum/strnum.js":function(Ja,ed){const td=/^[-+]?0x[a-fA-F0-9]+$/,rd=/^([\-\+])?(0*)(\.[0-9]+([eE]\-?[0-9]+)?|[0-9]+(\.[0-9]+([eE]\-?[0-9]+)?)?)$/;!Number.parseInt&&window.parseInt&&(Number.parseInt=window.parseInt),!Number.parseFloat&&window.parseFloat&&(Number.parseFloat=window.parseFloat);const sd={hex:!0,leadingZeros:!0,decimalPoint:".",eNotation:!0};function od(cd,ud={}){if(ud=Object.assign({},sd,ud),!cd||typeof cd!="string")return cd;let _d=cd.trim();if(ud.skipLike!==void 0&&ud.skipLike.test(_d))return cd;if(ud.hex&&td.test(_d))return Number.parseInt(_d,16);{const yd=rd.exec(_d);if(yd){const gd=yd[1],Ed=yd[2];let Td=ld(yd[3]);const kd=yd[4]||yd[6];if(!ud.leadingZeros&&Ed.length>0&&gd&&_d[2]!==".")return cd;if(!ud.leadingZeros&&Ed.length>0&&!gd&&_d[1]!==".")return cd;{const Rd=Number(_d),Nd=""+Rd;return Nd.search(/[eE]/)!==-1||kd?ud.eNotation?Rd:cd:_d.indexOf(".")!==-1?Nd==="0"&&Td===""||Nd===Td||gd&&Nd==="-"+Td?Rd:cd:Ed?Td===Nd||gd+Td===Nd?Rd:cd:_d===Nd||_d===gd+Nd?Rd:cd}}else return cd}}function ld(cd){return cd&&cd.indexOf(".")!==-1&&(cd=cd.replace(/0+$/,""),cd==="."?cd="0":cd[0]==="."?cd="0"+cd:cd[cd.length-1]==="."&&(cd=cd.substr(0,cd.length-1))),cd}Ja.exports=od},"./node_modules/webpack/buildin/amd-options.js":function(Ja,ed){(function(td){Ja.exports=td}).call(this,{})},"./node_modules/webpack/buildin/module.js":function(Ja,ed){Ja.exports=function(td){return td.webpackPolyfill||(td.deprecate=function(){},td.paths=[],td.children||(td.children=[]),Object.defineProperty(td,"loaded",{enumerable:!0,get:function(){return td.l}}),Object.defineProperty(td,"id",{enumerable:!0,get:function(){return td.i}}),td.webpackPolyfill=1),td}},"./package.json":function(Ja){Ja.exports=JSON.parse('{"name":"cos-js-sdk-v5","version":"1.8.4","description":"JavaScript SDK for [腾讯云对象存储](https://cloud.tencent.com/product/cos)","main":"dist/cos-js-sdk-v5.js","types":"index.d.ts","scripts":{"prettier":"prettier --write src demo/demo.js demo/CIDemos/*.js test/test.js server/sts.js lib/request.js index.d.ts","server":"node server/sts.js","dev":"cross-env NODE_ENV=development webpack -w --mode=development","build":"cross-env NODE_ENV=production webpack --mode=production","cos-auth.min.js":"uglifyjs ./demo/common/cos-auth.js -o ./demo/common/cos-auth.min.js -c -m","test":"jest --runInBand --coverage"},"repository":{"type":"git","url":"git+https://github.com/tencentyun/cos-js-sdk-v5.git"},"keywords":[],"author":"carsonxu","license":"ISC","bugs":{"url":"https://github.com/tencentyun/cos-js-sdk-v5/issues"},"homepage":"https://github.com/tencentyun/cos-js-sdk-v5#readme","dependencies":{"fast-xml-parser":"^4.4.0"},"devDependencies":{"@babel/core":"7.17.9","@babel/plugin-transform-runtime":"7.18.10","@babel/preset-env":"7.16.11","babel-loader":"8.2.5","body-parser":"^1.18.3","cross-env":"^5.2.0","express":"^4.16.4","jest":"^29.3.1","jest-environment-jsdom":"^29.3.1","prettier":"^3.0.1","qcloud-cos-sts":"^3.0.2","request":"^2.87.0","terser-webpack-plugin":"4.2.3","uglifyjs":"^2.4.11","webpack":"4.46.0","webpack-cli":"4.10.0"}}')},"./src/advance.js":function(Ja,ed,td){var rd=td("./node_modules/@babel/runtime/helpers/typeof.js"),sd=td("./src/session.js"),od=td("./src/async.js"),ld=td("./src/event.js").EventProxy,cd=td("./src/util.js"),ud=td("./src/tracker.js");function _d(Ud,Hd){var Vd=this,Jd=new ld,Zd=Ud.TaskId,pf=Ud.Bucket,Xd=Ud.Region,hf=Ud.Key,_f=Ud.Body,xf=Ud.ChunkSize||Ud.SliceSize||Vd.options.ChunkSize,Lf=Ud.AsyncLimit,Wf=Ud.StorageClass,Yf=Ud.ServerSideEncryption,If,Sf,wf=Ud.onHashProgress,Kf=Ud.tracker;Kf&&Kf.setParams({chunkSize:xf}),Jd.on("error",function(Gf){if(Vd._isRunningTask(Zd))return Gf.UploadId=Ud.UploadData.UploadId||"",Hd(Gf)}),Jd.on("upload_complete",function(Gf){var gf=cd.extend({UploadId:Ud.UploadData.UploadId||""},Gf);Hd(null,gf)}),Jd.on("upload_slice_complete",function(Gf){var gf={};cd.each(Ud.Headers,function(mf,$f){var zf=$f.toLowerCase();(zf.indexOf("x-cos-meta-")===0||["pic-operations","x-cos-callback","x-cos-callback-var","x-cos-return-body"].includes(zf))&&(gf[$f]=mf)}),Rd.call(Vd,{Bucket:pf,Region:Xd,Key:hf,UploadId:Gf.UploadId,SliceList:Gf.SliceList,Headers:gf,tracker:Kf},function(mf,$f){if(Vd._isRunningTask(Zd)){if(sd.removeUsing(Gf.UploadId),mf)return Sf(null,!0),Jd.emit("error",mf);sd.removeUploadId.call(Vd,Gf.UploadId),Sf({loaded:If,total:If},!0),Jd.emit("upload_complete",$f)}})}),Jd.on("get_upload_data_finish",function(Gf){var gf=sd.getFileId(_f,Ud.ChunkSize,pf,hf);gf&&sd.saveUploadId.call(Vd,gf,Gf.UploadId,Vd.options.UploadIdCacheLimit),sd.setUsing(Gf.UploadId),Sf(null,!0),Td.call(Vd,{TaskId:Zd,Bucket:pf,Region:Xd,Key:hf,Body:_f,FileSize:If,SliceSize:xf,AsyncLimit:Lf,ServerSideEncryption:Yf,UploadData:Gf,Headers:Ud.Headers,onProgress:Sf,tracker:Kf},function(mf,$f){if(Vd._isRunningTask(Zd)){if(mf)return Sf(null,!0),Jd.emit("error",mf);Jd.emit("upload_slice_complete",$f)}})}),Jd.on("get_file_size_finish",function(){if(Sf=cd.throttleOnProgress.call(Vd,If,Ud.onProgress),Ud.UploadData.UploadId)Jd.emit("get_upload_data_finish",Ud.UploadData);else{var Gf=cd.extend({TaskId:Zd,Bucket:pf,Region:Xd,Key:hf,Headers:Ud.Headers,StorageClass:Wf,Body:_f,FileSize:If,SliceSize:xf,onHashProgress:wf,tracker:Kf},Ud);yd.call(Vd,Gf,function(gf,mf){if(Vd._isRunningTask(Zd)){if(gf)return Jd.emit("error",gf);Ud.UploadData.UploadId=mf.UploadId,Ud.UploadData.PartList=mf.PartList,Jd.emit("get_upload_data_finish",Ud.UploadData)}})}}),If=Ud.ContentLength,delete Ud.ContentLength,!Ud.Headers&&(Ud.Headers={}),cd.each(Ud.Headers,function(Gf,gf){gf.toLowerCase()==="content-length"&&delete Ud.Headers[gf]}),function(){for(var Gf=[1,2,4,8,16,32,64,128,256,512,1024,2048,4096,5120],gf=1024*1024,mf=0;mf<Gf.length&&(gf=Gf[mf]*1024*1024,!(If/gf<=Vd.options.MaxPartNumber));mf++);Ud.ChunkSize=Ud.SliceSize=xf=Math.max(xf,gf)}(),If===0?(Ud.Body="",Ud.ContentLength=0,Ud.SkipTask=!0,Vd.putObject(Ud,Hd)):Jd.emit("get_file_size_finish")}function yd(Ud,Hd){var Vd=Ud.TaskId,Jd=Ud.Bucket,Zd=Ud.Region,pf=Ud.Key,Xd=Ud.StorageClass,hf=this,_f={},xf=Ud.FileSize,Lf=Ud.SliceSize,Wf=Math.ceil(xf/Lf),Yf=0,If=cd.throttleOnProgress.call(hf,xf,Ud.onHashProgress),Sf=function(gf,mf){var $f=Lf*(gf-1),zf=Math.min($f+Lf,xf),hh=zf-$f;_f[gf]?mf(null,{PartNumber:gf,ETag:_f[gf],Size:hh}):cd.fileSlice(Ud.Body,$f,zf,!1,function(Vf){cd.getFileMd5(Vf,function(kf,Jf){if(kf)return mf(cd.error(kf));var Ch='"'+Jf+'"';_f[gf]=Ch,Yf+=hh,If({loaded:Yf,total:xf}),mf(null,{PartNumber:gf,ETag:Ch,Size:hh})})})},wf=function(gf,mf){var $f=gf.length;if($f===0)return mf(null,!0);if($f>Wf)return mf(null,!1);if($f>1){var zf=Math.max(gf[0].Size,gf[1].Size);if(zf!==Lf)return mf(null,!1)}var hh=function Vf(kf){if(kf<$f){var Jf=gf[kf];Sf(Jf.PartNumber,function(Ch,qf){qf&&qf.ETag===Jf.ETag&&qf.Size===Jf.Size?Vf(kf+1):mf(null,!1)})}else mf(null,!0)};hh(0)},Kf=new ld;Kf.on("error",function(Gf){if(hf._isRunningTask(Vd))return Hd(Gf)}),Kf.on("upload_id_available",function(Gf){var gf={},mf=[];cd.each(Gf.PartList,function(hh){gf[hh.PartNumber]=hh});for(var $f=1;$f<=Wf;$f++){var zf=gf[$f];zf?(zf.PartNumber=$f,zf.Uploaded=!0):zf={PartNumber:$f,ETag:null,Uploaded:!1},mf.push(zf)}Gf.PartList=mf,Hd(null,Gf)}),Kf.on("no_available_upload_id",function(){if(hf._isRunningTask(Vd)){var Gf=cd.extend({Bucket:Jd,Region:Zd,Key:pf,Query:cd.clone(Ud.Query),StorageClass:Xd,Body:Ud.Body,calledBySdk:"sliceUploadFile",tracker:Ud.tracker},Ud),gf=cd.clone(Ud.Headers);delete gf["x-cos-mime-limit"],Gf.Headers=gf,hf.multipartInit(Gf,function(mf,$f){if(hf._isRunningTask(Vd)){if(mf)return Kf.emit("error",mf);var zf=$f.UploadId;if(!zf)return Hd(cd.error(new Error("no such upload id")));Kf.emit("upload_id_available",{UploadId:zf,PartList:[]})}})}}),Kf.on("has_and_check_upload_id",function(Gf){Gf=Gf.reverse(),od.eachLimit(Gf,1,function(gf,mf){if(hf._isRunningTask(Vd)){if(sd.using[gf]){mf();return}Ed.call(hf,{Bucket:Jd,Region:Zd,Key:pf,UploadId:gf,tracker:Ud.tracker},function($f,zf){if(hf._isRunningTask(Vd)){if($f)return sd.removeUsing(gf),Kf.emit("error",$f);var hh=zf.PartList;hh.forEach(function(Vf){Vf.PartNumber*=1,Vf.Size*=1,Vf.ETag=Vf.ETag||""}),wf(hh,function(Vf,kf){if(hf._isRunningTask(Vd)){if(Vf)return Kf.emit("error",Vf);kf?mf({UploadId:gf,PartList:hh}):mf()}})}})}},function(gf){hf._isRunningTask(Vd)&&(If(null,!0),gf&&gf.UploadId?Kf.emit("upload_id_available",gf):Kf.emit("no_available_upload_id"))})}),Kf.on("seek_local_avail_upload_id",function(Gf){var gf=sd.getFileId(Ud.Body,Ud.ChunkSize,Jd,pf),mf=sd.getUploadIdList.call(hf,gf);if(!gf||!mf){Kf.emit("has_and_check_upload_id",Gf);return}var $f=function zf(hh){if(hh>=mf.length){Kf.emit("has_and_check_upload_id",Gf);return}var Vf=mf[hh];if(!cd.isInArray(Gf,Vf)){sd.removeUploadId.call(hf,Vf),zf(hh+1);return}if(sd.using[Vf]){zf(hh+1);return}Ed.call(hf,{Bucket:Jd,Region:Zd,Key:pf,UploadId:Vf,tracker:Ud.tracker},function(kf,Jf){hf._isRunningTask(Vd)&&(kf?(sd.removeUploadId.call(hf,Vf),zf(hh+1)):Kf.emit("upload_id_available",{UploadId:Vf,PartList:Jf.PartList}))})};$f(0)}),Kf.on("get_remote_upload_id_list",function(){gd.call(hf,{Bucket:Jd,Region:Zd,Key:pf,tracker:Ud.tracker},function(Gf,gf){if(hf._isRunningTask(Vd)){if(Gf)return Kf.emit("error",Gf);var mf=cd.filter(gf.UploadList,function(hh){return hh.Key===pf&&(!Xd||hh.StorageClass.toUpperCase()===Xd.toUpperCase())}).reverse().map(function(hh){return hh.UploadId||hh.UploadID});if(mf.length)Kf.emit("seek_local_avail_upload_id",mf);else{var $f=sd.getFileId(Ud.Body,Ud.ChunkSize,Jd,pf),zf;$f&&(zf=sd.getUploadIdList.call(hf,$f))&&cd.each(zf,function(hh){sd.removeUploadId.call(hf,hh)}),Kf.emit("no_available_upload_id")}}})}),Kf.emit("get_remote_upload_id_list")}function gd(Ud,Hd){var Vd=this,Jd=[],Zd={Bucket:Ud.Bucket,Region:Ud.Region,Prefix:Ud.Key,calledBySdk:Ud.calledBySdk||"sliceUploadFile",tracker:Ud.tracker},pf=function Xd(){Vd.multipartList(Zd,function(hf,_f){if(hf)return Hd(hf);Jd.push.apply(Jd,_f.Upload||[]),_f.IsTruncated==="true"?(Zd.KeyMarker=_f.NextKeyMarker,Zd.UploadIdMarker=_f.NextUploadIdMarker,Xd()):Hd(null,{UploadList:Jd})})};pf()}function Ed(Ud,Hd){var Vd=this,Jd=[],Zd={Bucket:Ud.Bucket,Region:Ud.Region,Key:Ud.Key,UploadId:Ud.UploadId,calledBySdk:"sliceUploadFile",tracker:Ud.tracker},pf=function Xd(){Vd.multipartListPart(Zd,function(hf,_f){if(hf)return Hd(hf);Jd.push.apply(Jd,_f.Part||[]),_f.IsTruncated==="true"?(Zd.PartNumberMarker=_f.NextPartNumberMarker,Xd()):Hd(null,{PartList:Jd})})};pf()}function Td(Ud,Hd){var Vd=this,Jd=Ud.TaskId,Zd=Ud.Bucket,pf=Ud.Region,Xd=Ud.Key,hf=Ud.UploadData,_f=Ud.FileSize,xf=Ud.SliceSize,Lf=Math.min(Ud.AsyncLimit||Vd.options.ChunkParallelLimit||1,256),Wf=Ud.Body,Yf=Math.ceil(_f/xf),If=0,Sf=Ud.ServerSideEncryption,wf=Ud.Headers,Kf=cd.filter(hf.PartList,function(gf){return gf.Uploaded&&(If+=gf.PartNumber>=Yf&&_f%xf||xf),!gf.Uploaded}),Gf=Ud.onProgress;od.eachLimit(Kf,Lf,function(gf,mf){if(Vd._isRunningTask(Jd)){var $f=gf.PartNumber,zf=Math.min(_f,gf.PartNumber*xf)-(gf.PartNumber-1)*xf,hh=0;kd.call(Vd,{TaskId:Jd,Bucket:Zd,Region:pf,Key:Xd,SliceSize:xf,FileSize:_f,PartNumber:$f,ServerSideEncryption:Sf,Body:Wf,UploadData:hf,Headers:wf,onProgress:function(kf){If+=kf.loaded-hh,hh=kf.loaded,Gf({loaded:If,total:_f})},tracker:Ud.tracker},function(Vf,kf){Vd._isRunningTask(Jd)&&(!Vf&&!kf.ETag&&(Vf='get ETag error, please add "ETag" to CORS ExposeHeader setting.( 获取ETag失败,请在CORS ExposeHeader设置中添加ETag,请参考文档:https://cloud.tencent.com/document/product/436/13318 )'),Vf?If-=hh:(If+=zf-hh,gf.ETag=kf.ETag),Gf({loaded:If,total:_f}),mf(Vf||null,kf))})}},function(gf){if(Vd._isRunningTask(Jd)){if(gf)return Hd(gf);Hd(null,{UploadId:hf.UploadId,SliceList:hf.PartList})}})}function kd(Ud,Hd){var Vd=this,Jd=Ud.TaskId,Zd=Ud.Bucket,pf=Ud.Region,Xd=Ud.Key,hf=Ud.FileSize,_f=Ud.Body,xf=Ud.PartNumber*1,Lf=Ud.SliceSize,Wf=Ud.ServerSideEncryption,Yf=Ud.UploadData,If=Ud.Headers||{},Sf=Vd.options.ChunkRetryTimes+1,wf=Lf*(xf-1),Kf=Lf,Gf=wf+Lf;Gf>hf&&(Gf=hf,Kf=Gf-wf);var gf=["x-cos-traffic-limit","x-cos-mime-limit"],mf={};cd.each(If,function(zf,hh){gf.indexOf(hh)>-1&&(mf[hh]=zf)});var $f=Yf.PartList[xf-1];od.retry(Sf,function(zf){Vd._isRunningTask(Jd)&&cd.fileSlice(_f,wf,Gf,!0,function(hh){Vd.multipartUpload({TaskId:Jd,Bucket:Zd,Region:pf,Key:Xd,ContentLength:Kf,PartNumber:xf,UploadId:Yf.UploadId,ServerSideEncryption:Wf,Body:hh,Headers:mf,onProgress:Ud.onProgress,calledBySdk:"sliceUploadFile",tracker:Ud.tracker},function(Vf,kf){if(Vd._isRunningTask(Jd))return Vf?zf(Vf):($f.Uploaded=!0,zf(null,kf))})})},function(zf,hh){if(Vd._isRunningTask(Jd))return Hd(zf,hh)})}function Rd(Ud,Hd){var Vd=Ud.Bucket,Jd=Ud.Region,Zd=Ud.Key,pf=Ud.UploadId,Xd=Ud.SliceList,hf=this,_f=this.options.ChunkRetryTimes+1,xf=Ud.Headers,Lf=Xd.map(function(Wf){return{PartNumber:Wf.PartNumber,ETag:Wf.ETag}});od.retry(_f,function(Wf){hf.multipartComplete({Bucket:Vd,Region:Jd,Key:Zd,UploadId:pf,Parts:Lf,Headers:xf,calledBySdk:"sliceUploadFile",tracker:Ud.tracker},Wf)},function(Wf,Yf){Hd(Wf,Yf)})}function Nd(Ud,Hd){var Vd=Ud.Bucket,Jd=Ud.Region,Zd=Ud.Key,pf=Ud.UploadId,Xd=Ud.Level||"task",hf=Ud.AsyncLimit,_f=this,xf=new ld;if(xf.on("error",function(Lf){return Hd(Lf)}),xf.on("get_abort_array",function(Lf){Id.call(_f,{Bucket:Vd,Region:Jd,Key:Zd,Headers:Ud.Headers,AsyncLimit:hf,AbortArray:Lf},Hd)}),Xd==="bucket")gd.call(_f,{Bucket:Vd,Region:Jd,calledBySdk:"abortUploadTask"},function(Lf,Wf){if(Lf)return Hd(Lf);xf.emit("get_abort_array",Wf.UploadList||[])});else if(Xd==="file"){if(!Zd)return Hd(cd.error(new Error("abort_upload_task_no_key")));gd.call(_f,{Bucket:Vd,Region:Jd,Key:Zd,calledBySdk:"abortUploadTask"},function(Lf,Wf){if(Lf)return Hd(Lf);xf.emit("get_abort_array",Wf.UploadList||[])})}else if(Xd==="task"){if(!pf)return Hd(cd.error(new Error("abort_upload_task_no_id")));if(!Zd)return Hd(cd.error(new Error("abort_upload_task_no_key")));xf.emit("get_abort_array",[{Key:Zd,UploadId:pf}])}else return Hd(cd.error(new Error("abort_unknown_level")))}function Id(Ud,Hd){var Vd=Ud.Bucket,Jd=Ud.Region,Zd=Ud.Key,pf=Ud.AbortArray,Xd=Ud.AsyncLimit||1,hf=this,_f=0,xf=new Array(pf.length);od.eachLimit(pf,Xd,function(Lf,Wf){var Yf=_f;if(Zd&&Zd!==Lf.Key){xf[Yf]={error:{KeyNotMatch:!0}},Wf(null);return}var If=Lf.UploadId||Lf.UploadID;hf.multipartAbort({Bucket:Vd,Region:Jd,Key:Lf.Key,Headers:Ud.Headers,UploadId:If},function(Sf){var wf={Bucket:Vd,Region:Jd,Key:Lf.Key,UploadId:If};xf[Yf]={error:Sf,task:wf},Wf(null)}),_f++},function(Lf){if(Lf)return Hd(Lf);for(var Wf=[],Yf=[],If=0,Sf=xf.length;If<Sf;If++){var wf=xf[If];wf.task&&(wf.error?Yf.push(wf.task):Wf.push(wf.task))}return Hd(null,{successList:Wf,errorList:Yf})})}function Md(Ud,Hd){var Vd=this,Jd=Ud.SliceSize===void 0?Vd.options.SliceSize:Ud.SliceSize,Zd=[],pf=Ud.Body,Xd=pf.size||pf.length||0,hf={TaskId:""};if(Vd.options.EnableReporter){var _f=Vd.options.UseAccelerate||typeof Vd.options.Domain=="string"&&Vd.options.Domain.includes("accelerate."),xf=Xd>Jd?"sliceUploadFile":"putObject";Ud.tracker=new ud({Beacon:Vd.options.BeaconReporter,clsReporter:Vd.options.ClsReporter,bucket:Ud.Bucket,region:Ud.Region,apiName:"uploadFile",realApi:xf,fileKey:Ud.Key,fileSize:Xd,accelerate:_f,deepTracker:Vd.options.DeepTracker,customId:Vd.options.CustomId,delay:Vd.options.TrackerDelay})}cd.each(Ud,function(wf,Kf){rd(wf)!=="object"&&typeof wf!="function"&&(hf[Kf]=wf)});var Lf=Ud.onTaskReady,Wf=function(Kf){hf.TaskId=Kf,Lf&&Lf(Kf)};Ud.onTaskReady=Wf;var Yf=Xd>Jd?"sliceUploadFile":"putObject",If=Ud.onFileFinish,Sf=function(Kf,Gf){Ud.tracker&&Ud.tracker.report(Kf,Gf),If&&If(Kf,Gf,hf),Hd&&Hd(Kf,Gf)};Zd.push({api:Yf,params:Ud,callback:Sf}),Vd._addTasks(Zd)}function Ld(Ud,Hd){var Vd=this,Jd=Ud.SliceSize===void 0?Vd.options.SliceSize:Ud.SliceSize,Zd=0,pf=0,Xd=cd.throttleOnProgress.call(Vd,pf,Ud.onProgress),hf=Ud.files.length,_f=Ud.onFileFinish,xf=Array(hf),Lf=function(If,Sf,wf){Xd(null,!0),_f&&_f(If,Sf,wf),xf[wf.Index]={options:wf,error:If,data:Sf},--hf<=0&&Hd&&Hd(null,{files:xf})},Wf=[];cd.each(Ud.files,function(Yf,If){(function(){var Sf=Yf.Body,wf=Sf.size||Sf.length||0,Kf={Index:If,TaskId:""};if(!Vd.options.UseRawKey&&Yf.Key&&Yf.Key.substr(0,1)==="/"&&(Yf.Key=Yf.Key.substr(1)),Zd+=wf,Vd.options.EnableReporter){var Gf=Vd.options.UseAccelerate||typeof Vd.options.Domain=="string"&&Vd.options.Domain.includes("accelerate."),gf=wf>Jd?"sliceUploadFile":"putObject";Yf.tracker=new ud({Beacon:Vd.options.BeaconReporter,clsReporter:Vd.options.ClsReporter,bucket:Yf.Bucket,region:Yf.Region,apiName:"uploadFiles",realApi:gf,fileKey:Yf.Key,fileSize:wf,accelerate:Gf,deepTracker:Vd.options.DeepTracker,customId:Vd.options.CustomId,delay:Vd.options.TrackerDelay})}cd.each(Yf,function(qf,Tf){rd(qf)!=="object"&&typeof qf!="function"&&(Kf[Tf]=qf)});var mf=Yf.onTaskReady,$f=function(Tf){Kf.TaskId=Tf,mf&&mf(Tf)};Yf.onTaskReady=$f;var zf=0,hh=Yf.onProgress,Vf=function(Tf){pf=pf-zf+Tf.loaded,zf=Tf.loaded,hh&&hh(Tf),Xd({loaded:pf,total:Zd})};Yf.onProgress=Vf;var kf=wf>Jd?"sliceUploadFile":"putObject",Jf=Yf.onFileFinish,Ch=function(Tf,Af){Yf.tracker&&Yf.tracker.report(Tf,Af),Jf&&Jf(Tf,Af),Lf&&Lf(Tf,Af,Kf)};Wf.push({api:kf,params:Yf,callback:Ch})})()}),Vd._addTasks(Wf)}function Pd(Ud,Hd){var Vd=new ld,Jd=this,Zd=Ud.Bucket,pf=Ud.Region,Xd=Ud.Key,hf=Ud.CopySource,_f=cd.getSourceParams.call(this,hf);if(!_f){Hd(cd.error(new Error("CopySource format error")));return}var xf=_f.Bucket,Lf=_f.Region,Wf=decodeURIComponent(_f.Key),Yf=Ud.CopySliceSize===void 0?Jd.options.CopySliceSize:Ud.CopySliceSize;Yf=Math.max(0,Yf);var If=Ud.CopyChunkSize||this.options.CopyChunkSize,Sf=this.options.CopyChunkParallelLimit,wf=this.options.ChunkRetryTimes+1,Kf=0,Gf=0,gf,mf,$f={},zf={},hh={};Vd.on("copy_slice_complete",function(Vf){cd.each(Ud.Headers,function(Jf,Ch){Ch.toLowerCase().indexOf("x-cos-meta-")});var kf=cd.map(Vf.PartList,function(Jf){return{PartNumber:Jf.PartNumber,ETag:Jf.ETag}});od.retry(wf,function(Jf){Jd.multipartComplete({Bucket:Zd,Region:pf,Key:Xd,UploadId:Vf.UploadId,Parts:kf,tracker:Ud.tracker,calledBySdk:"sliceCopyFile"},Jf)},function(Jf,Ch){if(sd.removeUsing(Vf.UploadId),Jf)return mf(null,!0),Hd(Jf);sd.removeUploadId(Vf.UploadId),mf({loaded:gf,total:gf},!0),Hd(null,Ch)})}),Vd.on("get_copy_data_finish",function(Vf){var kf=sd.getCopyFileId(hf,$f,If,Zd,Xd);kf&&sd.saveUploadId(kf,Vf.UploadId,Jd.options.UploadIdCacheLimit),sd.setUsing(Vf.UploadId);var Jf=cd.filter(Vf.PartList,function(Ch){return Ch.Uploaded&&(Gf+=Ch.PartNumber>=Kf&&gf%If||If),!Ch.Uploaded});od.eachLimit(Jf,Sf,function(Ch,qf){var Tf=Ch.PartNumber,Af=Ch.CopySourceRange,Pf=Ch.end-Ch.start;od.retry(wf,function(gh){qd.call(Jd,{Bucket:Zd,Region:pf,Key:Xd,CopySource:hf,UploadId:Vf.UploadId,PartNumber:Tf,CopySourceRange:Af,tracker:Ud.tracker,calledBySdk:"sliceCopyFile"},gh)},function(gh,Nh){if(gh)return qf(gh);Gf+=Pf,mf({loaded:Gf,total:gf}),Ch.ETag=Nh.ETag,qf(gh||null,Nh)})},function(Ch){if(Ch)return sd.removeUsing(Vf.UploadId),mf(null,!0),Hd(Ch);Vd.emit("copy_slice_complete",Vf)})}),Vd.on("get_chunk_size_finish",function(){var Vf=function(){Jd.multipartInit({Bucket:Zd,Region:pf,Key:Xd,Headers:hh,tracker:Ud.tracker,calledBySdk:"sliceCopyFile"},function(Tf,Af){if(Tf)return Hd(Tf);Ud.UploadId=Af.UploadId,Vd.emit("get_copy_data_finish",{UploadId:Ud.UploadId,PartList:Ud.PartList})})},kf=sd.getCopyFileId(hf,$f,If,Zd,Xd),Jf=sd.getUploadIdList(kf);if(!kf||!Jf)return Vf();var Ch=function qf(Tf){if(Tf>=Jf.length)return Vf();var Af=Jf[Tf];if(sd.using[Af])return qf(Tf+1);Ed.call(Jd,{Bucket:Zd,Region:pf,Key:Xd,UploadId:Af,tracker:Ud.tracker,calledBySdk:"sliceCopyFile"},function(Pf,gh){if(Pf)sd.removeUploadId(Af),qf(Tf+1);else{if(sd.using[Af])return qf(Tf+1);var Nh={},dh=0;cd.each(gh.PartList,function($h){var Rh=parseInt($h.Size),jh=dh+Rh-1;Nh[$h.PartNumber+"|"+dh+"|"+jh]=$h.ETag,dh+=Rh}),cd.each(Ud.PartList,function($h){var Rh=Nh[$h.PartNumber+"|"+$h.start+"|"+$h.end];Rh&&($h.ETag=Rh,$h.Uploaded=!0)}),Vd.emit("get_copy_data_finish",{UploadId:Af,PartList:Ud.PartList})}})};Ch(0)}),Vd.on("get_file_size_finish",function(){if(function(){for(var kf=[1,2,4,8,16,32,64,128,256,512,1024,2048,4096,5120],Jf=1024*1024,Ch=0;Ch<kf.length&&(Jf=kf[Ch]*1024*1024,!(gf/Jf<=Jd.options.MaxPartNumber));Ch++);Ud.ChunkSize=If=Math.max(If,Jf),Kf=Math.ceil(gf/If);for(var qf=[],Tf=1;Tf<=Kf;Tf++){var Af=(Tf-1)*If,Pf=Tf*If<gf?Tf*If-1:gf-1,gh={PartNumber:Tf,start:Af,end:Pf,CopySourceRange:"bytes="+Af+"-"+Pf};qf.push(gh)}Ud.PartList=qf}(),Ud.Headers["x-cos-metadata-directive"]==="Replaced"?hh=Ud.Headers:hh=zf,hh["x-cos-storage-class"]=Ud.Headers["x-cos-storage-class"]||zf["x-cos-storage-class"],hh=cd.clearKey(hh),zf["x-cos-storage-class"]==="ARCHIVE"||zf["x-cos-storage-class"]==="DEEP_ARCHIVE"){var Vf=zf["x-cos-restore"];if(!Vf||Vf==='ongoing-request="true"'){Hd(cd.error(new Error("Unrestored archive object is not allowed to be copied")));return}}delete hh["x-cos-copy-source"],delete hh["x-cos-metadata-directive"],delete hh["x-cos-copy-source-If-Modified-Since"],delete hh["x-cos-copy-source-If-Unmodified-Since"],delete hh["x-cos-copy-source-If-Match"],delete hh["x-cos-copy-source-If-None-Match"],Vd.emit("get_chunk_size_finish")}),Jd.headObject({Bucket:xf,Region:Lf,Key:Wf,tracker:Ud.tracker,calledBySdk:"sliceCopyFile"},function(Vf,kf){if(Vf){Vf.statusCode&&Vf.statusCode===404?Hd(cd.error(Vf,{ErrorStatus:Wf+" Not Exist"})):Hd(Vf);return}if(gf=Ud.FileSize=kf.headers["content-length"],gf===void 0||!gf){Hd(cd.error(new Error('get Content-Length error, please add "Content-Length" to CORS ExposeHeader setting.( 获取Content-Length失败,请在CORS ExposeHeader设置中添加Content-Length,请参考文档:https://cloud.tencent.com/document/product/436/13318 )')));return}if(Ud.tracker&&Ud.tracker.setParams({httpSize:gf}),mf=cd.throttleOnProgress.call(Jd,gf,Ud.onProgress),gf<=Yf)Ud.Headers["x-cos-metadata-directive"]||(Ud.Headers["x-cos-metadata-directive"]="Copy"),Jd.putObjectCopy(Object.assign(Ud,{calledBySdk:"sliceCopyFile"}),function(Ch,qf){if(Ch)return mf(null,!0),Hd(Ch);mf({loaded:gf,total:gf},!0),Hd(Ch,qf)});else{var Jf=kf.headers;$f=Jf,zf={"Cache-Control":Jf["cache-control"],"Content-Disposition":Jf["content-disposition"],"Content-Encoding":Jf["content-encoding"],"Content-Type":Jf["content-type"],Expires:Jf.expires,"x-cos-storage-class":Jf["x-cos-storage-class"]},cd.each(Jf,function(Ch,qf){var Tf="x-cos-meta-";qf.indexOf(Tf)===0&&qf.length>Tf.length&&(zf[qf]=Ch)}),Vd.emit("get_file_size_finish")}})}function qd(Ud,Hd){var Vd=Ud.TaskId,Jd=Ud.Bucket,Zd=Ud.Region,pf=Ud.Key,Xd=Ud.CopySource,hf=Ud.UploadId,_f=Ud.PartNumber*1,xf=Ud.CopySourceRange,Lf=this.options.ChunkRetryTimes+1,Wf=this;od.retry(Lf,function(Yf){Wf.uploadPartCopy({TaskId:Vd,Bucket:Jd,Region:Zd,Key:pf,CopySource:Xd,UploadId:hf,PartNumber:_f,CopySourceRange:xf,tracker:Ud.tracker,calledBySdk:Ud.calledBySdk},function(If,Sf){Yf(If||null,Sf)})},function(Yf,If){return Hd(Yf,If)})}var Yd={sliceUploadFile:_d,abortUploadTask:Nd,uploadFile:Md,uploadFiles:Ld,sliceCopyFile:Pd};Ja.exports.init=function(Ud,Hd){Hd.transferToTaskMethod(Yd,"sliceUploadFile"),cd.each(Yd,function(Vd,Jd){Ud.prototype[Jd]=cd.apiWrapper(Jd,Vd)})}},"./src/async.js":function(Ja,ed){var td=function(ld,cd,ud,_d){if(_d=_d||function(){},!ld.length||cd<=0)return _d();var yd=0,gd=0,Ed=0;(function Td(){if(yd>=ld.length)return _d();for(;Ed<cd&&gd<ld.length;)gd+=1,Ed+=1,ud(ld[gd-1],function(kd){kd?(_d(kd),_d=function(){}):(yd+=1,Ed-=1,yd>=ld.length?_d():Td())})})()},rd=function(ld,cd,ud){var _d=function yd(gd){cd(function(Ed,Td){Ed&&gd<ld?yd(gd+1):ud(Ed,Td)})};ld<1?ud():_d(1)},sd={eachLimit:td,retry:rd};Ja.exports=sd},"./src/base.js":function(Ja,ed,td){var rd=td("./node_modules/@babel/runtime/helpers/typeof.js"),sd=td("./lib/request.js"),od=td("./src/util.js");function ld(yf,ph){var sh=this.options.Protocol||(od.isBrowser&&(typeof location>"u"?"undefined":rd(location))==="object"&&location.protocol==="http:"?"http:":"https:"),yh=this.options.ServiceDomain,Bh=yf.AppId||this.options.appId,Mh=yf.Region;yh?(yh=yh.replace(/\{\{AppId\}\}/gi,Bh||"").replace(/\{\{Region\}\}/gi,Mh||"").replace(/\{\{.*?\}\}/gi,""),/^[a-zA-Z]+:\/\//.test(yh)||(yh=sh+"//"+yh),yh.slice(-1)==="/"&&(yh=yh.slice(0,-1))):Mh?yh=sh+"//cos."+Mh+".myqcloud.com":yh=sh+"//service.cos.myqcloud.com";var Gh="",Fh=Mh?"cos."+Mh+".myqcloud.com":"service.cos.myqcloud.com",Ah=yh.replace(/^https?:\/\/([^/]+)(\/.*)?$/,"$1");Fh===Ah&&(Gh=Fh),jm.call(this,{Action:"name/cos:GetService",url:yh,method:"GET",headers:yf.Headers,SignHost:Gh,tracker:yf.tracker},function(cm,um){if(cm)return ph(cm);var _m=um&&um.ListAllMyBucketsResult&&um.ListAllMyBucketsResult.Buckets&&um.ListAllMyBucketsResult.Buckets.Bucket||[];_m=od.isArray(_m)?_m:[_m];var Ff=um&&um.ListAllMyBucketsResult&&um.ListAllMyBucketsResult.Owner||{};ph(null,{Buckets:_m,Owner:Ff,statusCode:um.statusCode,headers:um.headers})})}function cd(yf,ph){var sh=this,yh="";if(yf.BucketAZConfig){var Bh={BucketAZConfig:yf.BucketAZConfig};yh=od.json2xml({CreateBucketConfiguration:Bh})}jm.call(this,{Action:"name/cos:PutBucket",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,body:yh,tracker:yf.tracker},function(Mh,Gh){if(Mh)return ph(Mh);var Fh=y1({protocol:sh.options.Protocol,domain:sh.options.Domain,bucket:yf.Bucket,region:yf.Region,isLocation:!0});ph(null,{Location:Fh,statusCode:Gh.statusCode,headers:Gh.headers})})}function ud(yf,ph){jm.call(this,{Action:"name/cos:HeadBucket",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,method:"HEAD",tracker:yf.tracker},ph)}function _d(yf,ph){var sh={};sh.prefix=yf.Prefix||"",sh.delimiter=yf.Delimiter,sh.marker=yf.Marker,sh["max-keys"]=yf.MaxKeys,sh["encoding-type"]=yf.EncodingType,jm.call(this,{Action:"name/cos:GetBucket",ResourceKey:sh.prefix,method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,qs:sh,tracker:yf.tracker},function(yh,Bh){if(yh)return ph(yh);var Mh=Bh.ListBucketResult||{},Gh=Mh.Contents||[],Fh=Mh.CommonPrefixes||[];Gh=od.isArray(Gh)?Gh:[Gh],Fh=od.isArray(Fh)?Fh:[Fh];var Ah=od.clone(Mh);od.extend(Ah,{Contents:Gh,CommonPrefixes:Fh,statusCode:Bh.statusCode,headers:Bh.headers}),ph(null,Ah)})}function yd(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucket",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,method:"DELETE",tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function gd(yf,ph){var sh=yf.Headers,yh="";if(yf.AccessControlPolicy){var Bh=od.clone(yf.AccessControlPolicy||{}),Mh=Bh.Grants||Bh.Grant;Mh=od.isArray(Mh)?Mh:[Mh],delete Bh.Grant,delete Bh.Grants,Bh.AccessControlList={Grant:Mh},yh=od.json2xml({AccessControlPolicy:Bh}),sh["Content-Type"]="application/xml",sh["Content-MD5"]=od.b64(od.md5(yh))}od.each(sh,function(Gh,Fh){Fh.indexOf("x-cos-grant-")===0&&(sh[Fh]=F1(sh[Fh]))}),jm.call(this,{Action:"name/cos:PutBucketACL",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,headers:sh,action:"acl",body:yh,tracker:yf.tracker},function(Gh,Fh){if(Gh)return ph(Gh);ph(null,{statusCode:Fh.statusCode,headers:Fh.headers})})}function Ed(yf,ph){jm.call(this,{Action:"name/cos:GetBucketACL",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"acl",tracker:yf.tracker},function(sh,yh){if(sh)return ph(sh);var Bh=yh.AccessControlPolicy||{},Mh=Bh.Owner||{},Gh=Bh.AccessControlList.Grant||[];Gh=od.isArray(Gh)?Gh:[Gh];var Fh=Xm(Bh);yh.headers&&yh.headers["x-cos-acl"]&&(Fh.ACL=yh.headers["x-cos-acl"]),Fh=od.extend(Fh,{Owner:Mh,Grants:Gh,statusCode:yh.statusCode,headers:yh.headers}),ph(null,Fh)})}function Td(yf,ph){var sh=yf.CORSConfiguration||{},yh=sh.CORSRules||yf.CORSRules||[];yh=od.clone(od.isArray(yh)?yh:[yh]),od.each(yh,function(Fh){od.each(["AllowedOrigin","AllowedHeader","AllowedMethod","ExposeHeader"],function(Ah){var cm=Ah+"s",um=Fh[cm]||Fh[Ah]||[];delete Fh[cm],Fh[Ah]=od.isArray(um)?um:[um]})});var Bh={CORSRule:yh};yf.ResponseVary&&(Bh.ResponseVary=yf.ResponseVary);var Mh=od.json2xml({CORSConfiguration:Bh}),Gh=yf.Headers;Gh["Content-Type"]="application/xml",Gh["Content-MD5"]=od.b64(od.md5(Mh)),jm.call(this,{Action:"name/cos:PutBucketCORS",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:Mh,action:"cors",headers:Gh,tracker:yf.tracker},function(Fh,Ah){if(Fh)return ph(Fh);ph(null,{statusCode:Ah.statusCode,headers:Ah.headers})})}function kd(yf,ph){jm.call(this,{Action:"name/cos:GetBucketCORS",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"cors",tracker:yf.tracker},function(sh,yh){if(sh){if(sh.statusCode===404&&sh.error&&sh.error.Code==="NoSuchCORSConfiguration"){var Bh={CORSRules:[],statusCode:sh.statusCode};sh.headers&&(Bh.headers=sh.headers),ph(null,Bh)}else ph(sh);return}var Mh=yh.CORSConfiguration||{},Gh=Mh.CORSRules||Mh.CORSRule||[];Gh=od.clone(od.isArray(Gh)?Gh:[Gh]);var Fh=Mh.ResponseVary;od.each(Gh,function(Ah){od.each(["AllowedOrigin","AllowedHeader","AllowedMethod","ExposeHeader"],function(cm){var um=cm+"s",_m=Ah[um]||Ah[cm]||[];delete Ah[cm],Ah[um]=od.isArray(_m)?_m:[_m]})}),ph(null,{CORSRules:Gh,ResponseVary:Fh,statusCode:yh.statusCode,headers:yh.headers})})}function Rd(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucketCORS",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"cors",tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode||sh.statusCode,headers:yh.headers})})}function Nd(yf,ph){jm.call(this,{Action:"name/cos:GetBucketLocation",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"location",tracker:yf.tracker},ph)}function Id(yf,ph){var sh=yf.Policy;try{typeof sh=="string"&&(sh=JSON.parse(sh))}catch{}if(!sh||typeof sh=="string")return ph(od.error(new Error("Policy format error")));var yh=JSON.stringify(sh);sh.version||(sh.version="2.0");var Bh=yf.Headers;Bh["Content-Type"]="application/json",Bh["Content-MD5"]=od.b64(od.md5(yh)),jm.call(this,{Action:"name/cos:PutBucketPolicy",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,action:"policy",body:yh,headers:Bh,tracker:yf.tracker},function(Mh,Gh){if(Mh&&Mh.statusCode===204)return ph(null,{statusCode:Mh.statusCode});if(Mh)return ph(Mh);ph(null,{statusCode:Gh.statusCode,headers:Gh.headers})})}function Md(yf,ph){jm.call(this,{Action:"name/cos:GetBucketPolicy",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"policy",rawBody:!0,tracker:yf.tracker},function(sh,yh){if(sh)return sh.statusCode&&sh.statusCode===403?ph(od.error(sh,{ErrorStatus:"Access Denied"})):sh.statusCode&&sh.statusCode===405?ph(od.error(sh,{ErrorStatus:"Method Not Allowed"})):sh.statusCode&&sh.statusCode===404?ph(od.error(sh,{ErrorStatus:"Policy Not Found"})):ph(sh);var Bh={};try{Bh=JSON.parse(yh.body)}catch{}ph(null,{Policy:Bh,statusCode:yh.statusCode,headers:yh.headers})})}function Ld(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucketPolicy",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"policy",tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode||sh.statusCode,headers:yh.headers})})}function Pd(yf,ph){var sh=yf.Tagging||{},yh=sh.TagSet||sh.Tags||yf.Tags||[];yh=od.clone(od.isArray(yh)?yh:[yh]);var Bh=od.json2xml({Tagging:{TagSet:{Tag:yh}}}),Mh=yf.Headers;Mh["Content-Type"]="application/xml",Mh["Content-MD5"]=od.b64(od.md5(Bh)),jm.call(this,{Action:"name/cos:PutBucketTagging",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:Bh,action:"tagging",headers:Mh,tracker:yf.tracker},function(Gh,Fh){if(Gh&&Gh.statusCode===204)return ph(null,{statusCode:Gh.statusCode});if(Gh)return ph(Gh);ph(null,{statusCode:Fh.statusCode,headers:Fh.headers})})}function qd(yf,ph){jm.call(this,{Action:"name/cos:GetBucketTagging",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"tagging",tracker:yf.tracker},function(sh,yh){if(sh){if(sh.statusCode===404&&sh.error&&(sh.error==="Not Found"||sh.error.Code==="NoSuchTagSet")){var Bh={Tags:[],statusCode:sh.statusCode};sh.headers&&(Bh.headers=sh.headers),ph(null,Bh)}else ph(sh);return}var Mh=[];try{Mh=yh.Tagging.TagSet.Tag||[]}catch{}Mh=od.clone(od.isArray(Mh)?Mh:[Mh]),ph(null,{Tags:Mh,statusCode:yh.statusCode,headers:yh.headers})})}function Yd(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucketTagging",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"tagging",tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function Ud(yf,ph){var sh=yf.LifecycleConfiguration||{},yh=sh.Rules||yf.Rules||[];yh=od.clone(yh);var Bh=od.json2xml({LifecycleConfiguration:{Rule:yh}}),Mh=yf.Headers;Mh["Content-Type"]="application/xml",Mh["Content-MD5"]=od.b64(od.md5(Bh)),jm.call(this,{Action:"name/cos:PutBucketLifecycle",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:Bh,action:"lifecycle",headers:Mh,tracker:yf.tracker},function(Gh,Fh){if(Gh&&Gh.statusCode===204)return ph(null,{statusCode:Gh.statusCode});if(Gh)return ph(Gh);ph(null,{statusCode:Fh.statusCode,headers:Fh.headers})})}function Hd(yf,ph){jm.call(this,{Action:"name/cos:GetBucketLifecycle",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"lifecycle",tracker:yf.tracker},function(sh,yh){if(sh){if(sh.statusCode===404&&sh.error&&sh.error.Code==="NoSuchLifecycleConfiguration"){var Bh={Rules:[],statusCode:sh.statusCode};sh.headers&&(Bh.headers=sh.headers),ph(null,Bh)}else ph(sh);return}var Mh=[];try{Mh=yh.LifecycleConfiguration.Rule||[]}catch{}Mh=od.clone(od.isArray(Mh)?Mh:[Mh]),ph(null,{Rules:Mh,statusCode:yh.statusCode,headers:yh.headers})})}function Vd(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucketLifecycle",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"lifecycle",tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function Jd(yf,ph){if(!yf.VersioningConfiguration){ph(od.error(new Error("missing param VersioningConfiguration")));return}var sh=yf.VersioningConfiguration||{},yh=od.json2xml({VersioningConfiguration:sh}),Bh=yf.Headers;Bh["Content-Type"]="application/xml",Bh["Content-MD5"]=od.b64(od.md5(yh)),jm.call(this,{Action:"name/cos:PutBucketVersioning",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:yh,action:"versioning",headers:Bh,tracker:yf.tracker},function(Mh,Gh){if(Mh&&Mh.statusCode===204)return ph(null,{statusCode:Mh.statusCode});if(Mh)return ph(Mh);ph(null,{statusCode:Gh.statusCode,headers:Gh.headers})})}function Zd(yf,ph){jm.call(this,{Action:"name/cos:GetBucketVersioning",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"versioning",tracker:yf.tracker},function(sh,yh){sh||!yh.VersioningConfiguration&&(yh.VersioningConfiguration={}),ph(sh,yh)})}function pf(yf,ph){var sh=od.clone(yf.ReplicationConfiguration),yh=od.json2xml({ReplicationConfiguration:sh});yh=yh.replace(/<(\/?)Rules>/gi,"<$1Rule>"),yh=yh.replace(/<(\/?)Tags>/gi,"<$1Tag>");var Bh=yf.Headers;Bh["Content-Type"]="application/xml",Bh["Content-MD5"]=od.b64(od.md5(yh)),jm.call(this,{Action:"name/cos:PutBucketReplication",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:yh,action:"replication",headers:Bh,tracker:yf.tracker},function(Mh,Gh){if(Mh&&Mh.statusCode===204)return ph(null,{statusCode:Mh.statusCode});if(Mh)return ph(Mh);ph(null,{statusCode:Gh.statusCode,headers:Gh.headers})})}function Xd(yf,ph){jm.call(this,{Action:"name/cos:GetBucketReplication",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"replication",tracker:yf.tracker},function(sh,yh){if(sh){if(sh.statusCode===404&&sh.error&&(sh.error==="Not Found"||sh.error.Code==="ReplicationConfigurationnotFoundError")){var Bh={ReplicationConfiguration:{Rules:[]},statusCode:sh.statusCode};sh.headers&&(Bh.headers=sh.headers),ph(null,Bh)}else ph(sh);return}!yh.ReplicationConfiguration&&(yh.ReplicationConfiguration={}),yh.ReplicationConfiguration.Rule&&(yh.ReplicationConfiguration.Rules=od.makeArray(yh.ReplicationConfiguration.Rule),delete yh.ReplicationConfiguration.Rule),ph(sh,yh)})}function hf(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucketReplication",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"replication",tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function _f(yf,ph){if(!yf.WebsiteConfiguration){ph(od.error(new Error("missing param WebsiteConfiguration")));return}var sh=od.clone(yf.WebsiteConfiguration||{}),yh=sh.RoutingRules||sh.RoutingRule||[];yh=od.isArray(yh)?yh:[yh],delete sh.RoutingRule,delete sh.RoutingRules,yh.length&&(sh.RoutingRules={RoutingRule:yh});var Bh=od.json2xml({WebsiteConfiguration:sh}),Mh=yf.Headers;Mh["Content-Type"]="application/xml",Mh["Content-MD5"]=od.b64(od.md5(Bh)),jm.call(this,{Action:"name/cos:PutBucketWebsite",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:Bh,action:"website",headers:Mh,tracker:yf.tracker},function(Gh,Fh){if(Gh&&Gh.statusCode===204)return ph(null,{statusCode:Gh.statusCode});if(Gh)return ph(Gh);ph(null,{statusCode:Fh.statusCode,headers:Fh.headers})})}function xf(yf,ph){jm.call(this,{Action:"name/cos:GetBucketWebsite",method:"GET",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,headers:yf.Headers,action:"website",tracker:yf.tracker},function(sh,yh){if(sh){if(sh.statusCode===404&&sh.error.Code==="NoSuchWebsiteConfiguration"){var Bh={WebsiteConfiguration:{},statusCode:sh.statusCode};sh.headers&&(Bh.headers=sh.headers),ph(null,Bh)}else ph(sh);return}var Mh=yh.WebsiteConfiguration||{};if(Mh.RoutingRules){var Gh=od.clone(Mh.RoutingRules.RoutingRule||[]);Gh=od.makeArray(Gh),Mh.RoutingRules=Gh}ph(null,{WebsiteConfiguration:Mh,statusCode:yh.statusCode,headers:yh.headers})})}function Lf(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucketWebsite",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"website",tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function Wf(yf,ph){if(!yf.RefererConfiguration){ph(od.error(new Error("missing param RefererConfiguration")));return}var sh=od.clone(yf.RefererConfiguration||{}),yh=sh.DomainList||{},Bh=yh.Domains||yh.Domain||[];Bh=od.isArray(Bh)?Bh:[Bh],Bh.length&&(sh.DomainList={Domain:Bh});var Mh=od.json2xml({RefererConfiguration:sh}),Gh=yf.Headers;Gh["Content-Type"]="application/xml",Gh["Content-MD5"]=od.b64(od.md5(Mh)),jm.call(this,{Action:"name/cos:PutBucketReferer",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:Mh,action:"referer",headers:Gh,tracker:yf.tracker},function(Fh,Ah){if(Fh&&Fh.statusCode===204)return ph(null,{statusCode:Fh.statusCode});if(Fh)return ph(Fh);ph(null,{statusCode:Ah.statusCode,headers:Ah.headers})})}function Yf(yf,ph){jm.call(this,{Action:"name/cos:GetBucketReferer",method:"GET",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,headers:yf.Headers,action:"referer",tracker:yf.tracker},function(sh,yh){if(sh){if(sh.statusCode===404&&sh.error.Code==="NoSuchRefererConfiguration"){var Bh={WebsiteConfiguration:{},statusCode:sh.statusCode};sh.headers&&(Bh.headers=sh.headers),ph(null,Bh)}else ph(sh);return}var Mh=yh.RefererConfiguration||{};if(Mh.DomainList){var Gh=od.makeArray(Mh.DomainList.Domain||[]);Mh.DomainList={Domains:Gh}}ph(null,{RefererConfiguration:Mh,statusCode:yh.statusCode,headers:yh.headers})})}function If(yf,ph){var sh=yf.DomainConfiguration||{},yh=sh.DomainRule||yf.DomainRule||[];yh=od.clone(yh);var Bh=od.json2xml({DomainConfiguration:{DomainRule:yh}}),Mh=yf.Headers;Mh["Content-Type"]="application/xml",Mh["Content-MD5"]=od.b64(od.md5(Bh)),jm.call(this,{Action:"name/cos:PutBucketDomain",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:Bh,action:"domain",headers:Mh,tracker:yf.tracker},function(Gh,Fh){if(Gh&&Gh.statusCode===204)return ph(null,{statusCode:Gh.statusCode});if(Gh)return ph(Gh);ph(null,{statusCode:Fh.statusCode,headers:Fh.headers})})}function Sf(yf,ph){jm.call(this,{Action:"name/cos:GetBucketDomain",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"domain",tracker:yf.tracker},function(sh,yh){if(sh)return ph(sh);var Bh=[];try{Bh=yh.DomainConfiguration.DomainRule||[]}catch{}Bh=od.clone(od.isArray(Bh)?Bh:[Bh]),ph(null,{DomainRule:Bh,statusCode:yh.statusCode,headers:yh.headers})})}function wf(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucketDomain",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"domain",tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function Kf(yf,ph){var sh=yf.OriginConfiguration||{},yh=sh.OriginRule||yf.OriginRule||[];yh=od.clone(yh);var Bh=od.json2xml({OriginConfiguration:{OriginRule:yh}}),Mh=yf.Headers;Mh["Content-Type"]="application/xml",Mh["Content-MD5"]=od.b64(od.md5(Bh)),jm.call(this,{Action:"name/cos:PutBucketOrigin",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:Bh,action:"origin",headers:Mh,tracker:yf.tracker},function(Gh,Fh){if(Gh&&Gh.statusCode===204)return ph(null,{statusCode:Gh.statusCode});if(Gh)return ph(Gh);ph(null,{statusCode:Fh.statusCode,headers:Fh.headers})})}function Gf(yf,ph){jm.call(this,{Action:"name/cos:GetBucketOrigin",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"origin",tracker:yf.tracker},function(sh,yh){if(sh)return ph(sh);var Bh=[];try{Bh=yh.OriginConfiguration.OriginRule||[]}catch{}Bh=od.clone(od.isArray(Bh)?Bh:[Bh]),ph(null,{OriginRule:Bh,statusCode:yh.statusCode,headers:yh.headers})})}function gf(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucketOrigin",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"origin",tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function mf(yf,ph){var sh=od.json2xml({BucketLoggingStatus:yf.BucketLoggingStatus||""}),yh=yf.Headers;yh["Content-Type"]="application/xml",yh["Content-MD5"]=od.b64(od.md5(sh)),jm.call(this,{Action:"name/cos:PutBucketLogging",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:sh,action:"logging",headers:yh,tracker:yf.tracker},function(Bh,Mh){if(Bh&&Bh.statusCode===204)return ph(null,{statusCode:Bh.statusCode});if(Bh)return ph(Bh);ph(null,{statusCode:Mh.statusCode,headers:Mh.headers})})}function $f(yf,ph){jm.call(this,{Action:"name/cos:GetBucketLogging",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"logging",tracker:yf.tracker},function(sh,yh){if(sh)return ph(sh);ph(null,{BucketLoggingStatus:yh.BucketLoggingStatus,statusCode:yh.statusCode,headers:yh.headers})})}function zf(yf,ph,sh){var yh=od.clone(ph.InventoryConfiguration);if(yh.OptionalFields){var Bh=yh.OptionalFields||[];yh.OptionalFields={Field:Bh}}if(yh.Destination&&yh.Destination.COSBucketDestination&&yh.Destination.COSBucketDestination.Encryption){var Mh=yh.Destination.COSBucketDestination.Encryption;Object.keys(Mh).indexOf("SSECOS")>-1&&(Mh["SSE-COS"]=Mh.SSECOS,delete Mh.SSECOS)}var Gh=od.json2xml({InventoryConfiguration:yh}),Fh=ph.Headers;Fh["Content-Type"]="application/xml",Fh["Content-MD5"]=od.b64(od.md5(Gh));var Ah=yf==="PUT"?"name/cos:PutBucketInventory":"name/cos:PostBucketInventory";jm.call(this,{Action:Ah,method:yf,Bucket:ph.Bucket,Region:ph.Region,body:Gh,action:"inventory",qs:{id:ph.Id},headers:Fh,tracker:ph.tracker},function(cm,um){if(cm&&cm.statusCode===204)return sh(null,{statusCode:cm.statusCode});if(cm)return sh(cm);sh(null,{statusCode:um.statusCode,headers:um.headers})})}function hh(yf,ph){return zf.call(this,"PUT",yf,ph)}function Vf(yf,ph){return zf.call(this,"POST",yf,ph)}function kf(yf,ph){jm.call(this,{Action:"name/cos:GetBucketInventory",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"inventory",qs:{id:yf.Id},tracker:yf.tracker},function(sh,yh){if(sh)return ph(sh);var Bh=yh.InventoryConfiguration;if(Bh&&Bh.OptionalFields&&Bh.OptionalFields.Field){var Mh=Bh.OptionalFields.Field;od.isArray(Mh)||(Mh=[Mh]),Bh.OptionalFields=Mh}if(Bh.Destination&&Bh.Destination.COSBucketDestination&&Bh.Destination.COSBucketDestination.Encryption){var Gh=Bh.Destination.COSBucketDestination.Encryption;Object.keys(Gh).indexOf("SSE-COS")>-1&&(Gh.SSECOS=Gh["SSE-COS"],delete Gh["SSE-COS"])}ph(null,{InventoryConfiguration:Bh,statusCode:yh.statusCode,headers:yh.headers})})}function Jf(yf,ph){jm.call(this,{Action:"name/cos:ListBucketInventory",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"inventory",qs:{"continuation-token":yf.ContinuationToken},tracker:yf.tracker},function(sh,yh){if(sh)return ph(sh);var Bh=yh.ListInventoryConfigurationResult,Mh=Bh.InventoryConfiguration||[];Mh=od.isArray(Mh)?Mh:[Mh],delete Bh.InventoryConfiguration,od.each(Mh,function(Gh){if(Gh&&Gh.OptionalFields&&Gh.OptionalFields.Field){var Fh=Gh.OptionalFields.Field;od.isArray(Fh)||(Fh=[Fh]),Gh.OptionalFields=Fh}if(Gh.Destination&&Gh.Destination.COSBucketDestination&&Gh.Destination.COSBucketDestination.Encryption){var Ah=Gh.Destination.COSBucketDestination.Encryption;Object.keys(Ah).indexOf("SSE-COS")>-1&&(Ah.SSECOS=Ah["SSE-COS"],delete Ah["SSE-COS"])}}),Bh.InventoryConfigurations=Mh,od.extend(Bh,{statusCode:yh.statusCode,headers:yh.headers}),ph(null,Bh)})}function Ch(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucketInventory",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"inventory",qs:{id:yf.Id},tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function qf(yf,ph){if(!yf.AccelerateConfiguration){ph(od.error(new Error("missing param AccelerateConfiguration")));return}var sh={AccelerateConfiguration:yf.AccelerateConfiguration||{}},yh=od.json2xml(sh),Bh={};Bh["Content-Type"]="application/xml",Bh["Content-MD5"]=od.b64(od.md5(yh)),jm.call(this,{Action:"name/cos:PutBucketAccelerate",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:yh,action:"accelerate",headers:Bh,tracker:yf.tracker},function(Mh,Gh){if(Mh)return ph(Mh);ph(null,{statusCode:Gh.statusCode,headers:Gh.headers})})}function Tf(yf,ph){jm.call(this,{Action:"name/cos:GetBucketAccelerate",method:"GET",Bucket:yf.Bucket,Region:yf.Region,action:"accelerate",tracker:yf.tracker},function(sh,yh){sh||!yh.AccelerateConfiguration&&(yh.AccelerateConfiguration={}),ph(sh,yh)})}function Af(yf,ph){var sh=yf.ServerSideEncryptionConfiguration||{},yh=sh.Rule||sh.Rules||[],Bh=od.json2xml({ServerSideEncryptionConfiguration:{Rule:yh}}),Mh=yf.Headers;Mh["Content-Type"]="application/xml",Mh["Content-MD5"]=od.b64(od.md5(Bh)),jm.call(this,{Action:"name/cos:PutBucketEncryption",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,body:Bh,action:"encryption",headers:Mh,tracker:yf.tracker},function(Gh,Fh){if(Gh&&Gh.statusCode===204)return ph(null,{statusCode:Gh.statusCode});if(Gh)return ph(Gh);ph(null,{statusCode:Fh.statusCode,headers:Fh.headers})})}function Pf(yf,ph){jm.call(this,{Action:"name/cos:GetBucketEncryption",method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"encryption",tracker:yf.tracker},function(sh,yh){if(sh){if(sh.statusCode===404&&sh.code==="NoSuchEncryptionConfiguration"){var Bh={EncryptionConfiguration:{Rules:[]},statusCode:sh.statusCode};sh.headers&&(Bh.headers=sh.headers),ph(null,Bh)}else ph(sh);return}var Mh=od.makeArray(yh.EncryptionConfiguration&&yh.EncryptionConfiguration.Rule||[]);yh.EncryptionConfiguration={Rules:Mh},ph(sh,yh)})}function gh(yf,ph){jm.call(this,{Action:"name/cos:DeleteBucketReplication",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"encryption",tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function Nh(yf,ph){jm.call(this,{Action:"name/cos:HeadObject",method:"HEAD",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,VersionId:yf.VersionId,headers:yf.Headers,tracker:yf.tracker},function(sh,yh){if(sh){var Bh=sh.statusCode;return yf.Headers["If-Modified-Since"]&&Bh&&Bh===304?ph(null,{NotModified:!0,statusCode:Bh}):ph(sh)}yh.ETag=od.attr(yh.headers,"etag",""),ph(null,yh)})}function dh(yf,ph){var sh={};sh.prefix=yf.Prefix||"",sh.delimiter=yf.Delimiter,sh["key-marker"]=yf.KeyMarker,sh["version-id-marker"]=yf.VersionIdMarker,sh["max-keys"]=yf.MaxKeys,sh["encoding-type"]=yf.EncodingType,jm.call(this,{Action:"name/cos:GetBucketObjectVersions",ResourceKey:sh.prefix,method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,qs:sh,action:"versions",tracker:yf.tracker},function(yh,Bh){if(yh)return ph(yh);var Mh=Bh.ListVersionsResult||{},Gh=Mh.DeleteMarker||[];Gh=od.isArray(Gh)?Gh:[Gh];var Fh=Mh.Version||[];Fh=od.isArray(Fh)?Fh:[Fh];var Ah=od.clone(Mh);delete Ah.DeleteMarker,delete Ah.Version,od.extend(Ah,{DeleteMarkers:Gh,Versions:Fh,statusCode:Bh.statusCode,headers:Bh.headers}),ph(null,Ah)})}function $h(yf,ph){if(this.options.ObjectKeySimplifyCheck){var sh=od.simplifyPath(yf.Key);if(sh==="/"){ph(od.error(new Error("The Getobject Key is illegal")));return}}var yh=yf.Query||{},Bh=yf.QueryString||"",Mh=od.throttleOnProgress.call(this,0,yf.onProgress),Gh=yf.tracker;Gh&&Gh.setParams({signStartTime:new Date().getTime()}),yh["response-content-type"]=yf.ResponseContentType,yh["response-content-language"]=yf.ResponseContentLanguage,yh["response-expires"]=yf.ResponseExpires,yh["response-cache-control"]=yf.ResponseCacheControl,yh["response-content-disposition"]=yf.ResponseContentDisposition,yh["response-content-encoding"]=yf.ResponseContentEncoding,jm.call(this,{Action:"name/cos:GetObject",method:"GET",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,VersionId:yf.VersionId,DataType:yf.DataType,headers:yf.Headers,qs:yh,qsStr:Bh,rawBody:!0,onDownloadProgress:Mh,tracker:Gh},function(Fh,Ah){if(Mh(null,!0),Fh){var cm=Fh.statusCode;return yf.Headers["If-Modified-Since"]&&cm&&cm===304?ph(null,{NotModified:!0}):ph(Fh)}ph(null,{Body:Ah.body,ETag:od.attr(Ah.headers,"etag",""),statusCode:Ah.statusCode,headers:Ah.headers})})}function Rh(yf,ph){var sh=this,yh=yf.ContentLength,Bh=od.throttleOnProgress.call(sh,yh,yf.onProgress),Mh=yf.Headers;!Mh["Cache-Control"]&&!Mh["cache-control"]&&(Mh["Cache-Control"]=""),!Mh["Content-Type"]&&!Mh["content-type"]&&(Mh["Content-Type"]=yf.Body&&yf.Body.type||"");var Gh=yf.UploadAddMetaMd5||sh.options.UploadAddMetaMd5||sh.options.UploadCheckContentMd5,Fh=yf.tracker;Gh&&Fh&&Fh.setParams({md5StartTime:new Date().getTime()}),od.getBodyMd5(Gh,yf.Body,function(Ah){Ah&&(Fh&&Fh.setParams({md5EndTime:new Date().getTime()}),sh.options.UploadCheckContentMd5&&(Mh["Content-MD5"]=od.b64(Ah)),(yf.UploadAddMetaMd5||sh.options.UploadAddMetaMd5)&&(Mh["x-cos-meta-md5"]=Ah)),yf.ContentLength!==void 0&&(Mh["Content-Length"]=yf.ContentLength),Bh(null,!0),jm.call(sh,{Action:"name/cos:PutObject",TaskId:yf.TaskId,method:"PUT",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,headers:yf.Headers,qs:yf.Query,body:yf.Body,onProgress:Bh,tracker:Fh},function(cm,um){if(cm)return Bh(null,!0),ph(cm);Bh({loaded:yh,total:yh},!0);var _m=y1({ForcePathStyle:sh.options.ForcePathStyle,protocol:sh.options.Protocol,domain:sh.options.Domain,bucket:yf.Bucket,region:sh.options.UseAccelerate?"accelerate":yf.Region,object:yf.Key});_m=_m.substr(_m.indexOf("://")+3),um.Location=_m,um.ETag=od.attr(um.headers,"etag",""),ph(null,um)})},yf.onHashProgress)}function jh(yf,ph){jm.call(this,{Action:"name/cos:DeleteObject",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,headers:yf.Headers,VersionId:yf.VersionId,action:yf.Recursive?"recursive":"",tracker:yf.tracker},function(sh,yh){if(sh){var Bh=sh.statusCode;return Bh&&Bh===404?ph(null,{BucketNotFound:!0,statusCode:Bh}):ph(sh)}ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function Zh(yf,ph){var sh={};yf.VersionId&&(sh.versionId=yf.VersionId),jm.call(this,{Action:"name/cos:GetObjectACL",method:"GET",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,headers:yf.Headers,qs:sh,action:"acl",tracker:yf.tracker},function(yh,Bh){if(yh)return ph(yh);var Mh=Bh.AccessControlPolicy||{},Gh=Mh.Owner||{},Fh=Mh.AccessControlList&&Mh.AccessControlList.Grant||[];Fh=od.isArray(Fh)?Fh:[Fh];var Ah=Xm(Mh);delete Ah.GrantWrite,Bh.headers&&Bh.headers["x-cos-acl"]&&(Ah.ACL=Bh.headers["x-cos-acl"]),Ah=od.extend(Ah,{Owner:Gh,Grants:Fh,statusCode:Bh.statusCode,headers:Bh.headers}),ph(null,Ah)})}function Wh(yf,ph){var sh=yf.Headers,yh="";if(yf.AccessControlPolicy){var Bh=od.clone(yf.AccessControlPolicy||{}),Mh=Bh.Grants||Bh.Grant;Mh=od.isArray(Mh)?Mh:[Mh],delete Bh.Grant,delete Bh.Grants,Bh.AccessControlList={Grant:Mh},yh=od.json2xml({AccessControlPolicy:Bh}),sh["Content-Type"]="application/xml",sh["Content-MD5"]=od.b64(od.md5(yh))}od.each(sh,function(Gh,Fh){Fh.indexOf("x-cos-grant-")===0&&(sh[Fh]=F1(sh[Fh]))}),jm.call(this,{Action:"name/cos:PutObjectACL",method:"PUT",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,action:"acl",headers:sh,body:yh,tracker:yf.tracker},function(Gh,Fh){if(Gh)return ph(Gh);ph(null,{statusCode:Fh.statusCode,headers:Fh.headers})})}function sm(yf,ph){var sh=yf.Headers;sh.Origin=yf.Origin,sh["Access-Control-Request-Method"]=yf.AccessControlRequestMethod,sh["Access-Control-Request-Headers"]=yf.AccessControlRequestHeaders,jm.call(this,{Action:"name/cos:OptionsObject",method:"OPTIONS",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,headers:sh,tracker:yf.tracker},function(yh,Bh){if(yh)return yh.statusCode&&yh.statusCode===403?ph(null,{OptionsForbidden:!0,statusCode:yh.statusCode}):ph(yh);var Mh=Bh.headers||{};ph(null,{AccessControlAllowOrigin:Mh["access-control-allow-origin"],AccessControlAllowMethods:Mh["access-control-allow-methods"],AccessControlAllowHeaders:Mh["access-control-allow-headers"],AccessControlExposeHeaders:Mh["access-control-expose-headers"],AccessControlMaxAge:Mh["access-control-max-age"],statusCode:Bh.statusCode,headers:Bh.headers})})}function fm(yf,ph){var sh=this,yh=yf.Headers;!yh["Cache-Control"]&&!yh["cache-control"]&&(yh["Cache-Control"]="");var Bh=yf.CopySource||"",Mh=od.getSourceParams.call(this,Bh);if(!Mh){ph(od.error(new Error("CopySource format error")));return}var Gh=Mh.Bucket,Fh=Mh.Region,Ah=decodeURIComponent(Mh.Key);jm.call(this,{Scope:[{action:"name/cos:GetObject",bucket:Gh,region:Fh,prefix:Ah},{action:"name/cos:PutObject",bucket:yf.Bucket,region:yf.Region,prefix:yf.Key}],method:"PUT",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,VersionId:yf.VersionId,headers:yf.Headers,tracker:yf.tracker},function(cm,um){if(cm)return ph(cm);var _m=od.clone(um.CopyObjectResult||{}),Ff=y1({ForcePathStyle:sh.options.ForcePathStyle,protocol:sh.options.Protocol,domain:sh.options.Domain,bucket:yf.Bucket,region:yf.Region,object:yf.Key,isLocation:!0});od.extend(_m,{Location:Ff,statusCode:um.statusCode,headers:um.headers}),ph(null,_m)})}function ih(yf,ph){var sh=yf.CopySource||"",yh=od.getSourceParams.call(this,sh);if(!yh){ph(od.error(new Error("CopySource format error")));return}var Bh=yh.Bucket,Mh=yh.Region,Gh=decodeURIComponent(yh.Key);jm.call(this,{Scope:[{action:"name/cos:GetObject",bucket:Bh,region:Mh,prefix:Gh},{action:"name/cos:PutObject",bucket:yf.Bucket,region:yf.Region,prefix:yf.Key}],method:"PUT",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,VersionId:yf.VersionId,qs:{partNumber:yf.PartNumber,uploadId:yf.UploadId},headers:yf.Headers,tracker:yf.tracker},function(Fh,Ah){if(Fh)return ph(Fh);var cm=od.clone(Ah.CopyPartResult||{});od.extend(cm,{statusCode:Ah.statusCode,headers:Ah.headers}),ph(null,cm)})}function Rf(yf,ph){var sh=yf.Objects||[],yh=yf.Quiet;sh=od.isArray(sh)?sh:[sh];var Bh=od.json2xml({Delete:{Object:sh,Quiet:yh||!1}}),Mh=yf.Headers;Mh["Content-Type"]="application/xml",Mh["Content-MD5"]=od.b64(od.md5(Bh));var Gh=od.map(sh,function(Fh){return{action:"name/cos:DeleteObject",bucket:yf.Bucket,region:yf.Region,prefix:Fh.Key}});jm.call(this,{Scope:Gh,method:"POST",Bucket:yf.Bucket,Region:yf.Region,body:Bh,action:"delete",headers:Mh,tracker:yf.tracker},function(Fh,Ah){if(Fh)return ph(Fh);var cm=Ah.DeleteResult||{},um=cm.Deleted||[],_m=cm.Error||[];um=od.isArray(um)?um:[um],_m=od.isArray(_m)?_m:[_m];var Ff=od.clone(cm);od.extend(Ff,{Error:_m,Deleted:um,statusCode:Ah.statusCode,headers:Ah.headers}),ph(null,Ff)})}function Df(yf,ph){var sh=yf.Headers;if(!yf.RestoreRequest){ph(od.error(new Error("missing param RestoreRequest")));return}var yh=yf.RestoreRequest||{},Bh=od.json2xml({RestoreRequest:yh});sh["Content-Type"]="application/xml",sh["Content-MD5"]=od.b64(od.md5(Bh)),jm.call(this,{Action:"name/cos:RestoreObject",method:"POST",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,VersionId:yf.VersionId,body:Bh,action:"restore",headers:sh,tracker:yf.tracker},ph)}function Zf(yf,ph){var sh=yf.Tagging||{},yh=sh.TagSet||sh.Tags||yf.Tags||[];yh=od.clone(od.isArray(yh)?yh:[yh]);var Bh=od.json2xml({Tagging:{TagSet:{Tag:yh}}}),Mh=yf.Headers;Mh["Content-Type"]="application/xml",Mh["Content-MD5"]=od.b64(od.md5(Bh)),jm.call(this,{Action:"name/cos:PutObjectTagging",method:"PUT",Bucket:yf.Bucket,Key:yf.Key,Region:yf.Region,body:Bh,action:"tagging",headers:Mh,VersionId:yf.VersionId,tracker:yf.tracker},function(Gh,Fh){if(Gh&&Gh.statusCode===204)return ph(null,{statusCode:Gh.statusCode});if(Gh)return ph(Gh);ph(null,{statusCode:Fh.statusCode,headers:Fh.headers})})}function bh(yf,ph){jm.call(this,{Action:"name/cos:GetObjectTagging",method:"GET",Key:yf.Key,Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,action:"tagging",VersionId:yf.VersionId,tracker:yf.tracker},function(sh,yh){if(sh){if(sh.statusCode===404&&sh.error&&(sh.error==="Not Found"||sh.error.Code==="NoSuchTagSet")){var Bh={Tags:[],statusCode:sh.statusCode};sh.headers&&(Bh.headers=sh.headers),ph(null,Bh)}else ph(sh);return}var Mh=[];try{Mh=yh.Tagging.TagSet.Tag||[]}catch{}Mh=od.clone(od.isArray(Mh)?Mh:[Mh]),ph(null,{Tags:Mh,statusCode:yh.statusCode,headers:yh.headers})})}function Lh(yf,ph){jm.call(this,{Action:"name/cos:DeleteObjectTagging",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,headers:yf.Headers,action:"tagging",VersionId:yf.VersionId,tracker:yf.tracker},function(sh,yh){if(sh&&sh.statusCode===204)return ph(null,{statusCode:sh.statusCode});if(sh)return ph(sh);ph(null,{statusCode:yh.statusCode,headers:yh.headers})})}function Hh(yf,ph){var sh=yf.SelectType;if(!sh)return ph(od.error(new Error("missing param SelectType")));var yh=yf.SelectRequest||{},Bh=od.json2xml({SelectRequest:yh}),Mh=yf.Headers;Mh["Content-Type"]="application/xml",Mh["Content-MD5"]=od.b64(od.md5(Bh)),jm.call(this,{Action:"name/cos:GetObject",method:"POST",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,headers:yf.Headers,action:"select",qs:{"select-type":yf.SelectType},VersionId:yf.VersionId,body:Bh,DataType:"arraybuffer",rawBody:!0,tracker:yf.tracker},function(Gh,Fh){if(Gh&&Gh.statusCode===204)return ph(null,{statusCode:Gh.statusCode});if(Gh)return ph(Gh);var Ah=od.parseSelectPayload(Fh.body);ph(null,{statusCode:Fh.statusCode,headers:Fh.headers,Body:Ah.body,Payload:Ah.payload})})}function Xh(yf,ph){var sh=this,yh=yf.Headers,Bh=yf.tracker;!yh["Cache-Control"]&&!yh["cache-control"]&&(yh["Cache-Control"]=""),!yh["Content-Type"]&&!yh["content-type"]&&(yh["Content-Type"]=yf.Body&&yf.Body.type||"");var Mh=yf.Body&&(yf.UploadAddMetaMd5||sh.options.UploadAddMetaMd5);Mh&&Bh&&Bh.setParams({md5StartTime:new Date().getTime()}),od.getBodyMd5(Mh,yf.Body,function(Gh){Gh&&(yf.Headers["x-cos-meta-md5"]=Gh),Mh&&Bh&&Bh.setParams({md5EndTime:new Date().getTime()}),jm.call(sh,{Action:"name/cos:InitiateMultipartUpload",method:"POST",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,action:"uploads",headers:yf.Headers,qs:yf.Query,tracker:Bh},function(Fh,Ah){if(Fh)return Bh&&Bh.parent&&Bh.parent.setParams({errorNode:"multipartInit"}),ph(Fh);if(Ah=od.clone(Ah||{}),Ah&&Ah.InitiateMultipartUploadResult)return ph(null,od.extend(Ah.InitiateMultipartUploadResult,{statusCode:Ah.statusCode,headers:Ah.headers}));ph(null,Ah)})},yf.onHashProgress)}function gm(yf,ph){var sh=this;od.getFileSize("multipartUpload",yf,function(){var yh=yf.tracker,Bh=sh.options.UploadCheckContentMd5;Bh&&yh&&yh.setParams({md5StartTime:new Date().getTime()}),od.getBodyMd5(Bh,yf.Body,function(Mh){Mh&&(yf.Headers["Content-MD5"]=od.b64(Mh)),Bh&&yh&&yh.setParams({md5EndTime:new Date().getTime()}),yh&&yh.setParams({partNumber:yf.PartNumber}),jm.call(sh,{Action:"name/cos:UploadPart",TaskId:yf.TaskId,method:"PUT",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,qs:{partNumber:yf.PartNumber,uploadId:yf.UploadId},headers:yf.Headers,onProgress:yf.onProgress,body:yf.Body||null,tracker:yh},function(Gh,Fh){if(Gh)return yh&&yh.parent&&yh.parent.setParams({errorNode:"multipartUpload"}),ph(Gh);ph(null,{ETag:od.attr(Fh.headers,"etag",""),statusCode:Fh.statusCode,headers:Fh.headers})})})})}function om(yf,ph){for(var sh=this,yh=yf.UploadId,Bh=yf.Parts,Mh=yf.tracker,Gh=0,Fh=Bh.length;Gh<Fh;Gh++)Bh[Gh].ETag&&Bh[Gh].ETag.indexOf('"')===0||(Bh[Gh].ETag='"'+Bh[Gh].ETag+'"');var Ah=od.json2xml({CompleteMultipartUpload:{Part:Bh}});Ah=Ah.replace(/\n\s*/g,"");var cm=yf.Headers;cm["Content-Type"]="application/xml",cm["Content-MD5"]=od.b64(od.md5(Ah)),jm.call(this,{Action:"name/cos:CompleteMultipartUpload",method:"POST",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,qs:{uploadId:yh},body:Ah,headers:cm,tracker:Mh},function(um,_m){if(um)return Mh&&Mh.parent&&Mh.parent.setParams({errorNode:"multipartComplete"}),ph(um);var Ff=y1({ForcePathStyle:sh.options.ForcePathStyle,protocol:sh.options.Protocol,domain:sh.options.Domain,bucket:yf.Bucket,region:yf.Region,object:yf.Key,isLocation:!0}),$m=_m.CompleteMultipartUploadResult||{};if($m.ProcessResults&&($m.UploadResult={OriginalInfo:{Key:$m.Key,Location:Ff,ETag:$m.ETag,ImageInfo:$m.ImageInfo},ProcessResults:$m.ProcessResults},delete $m.ImageInfo,delete $m.ProcessResults),$m.CallbackResult){var m1=$m.CallbackResult;if(m1.Status==="200"&&m1.CallbackBody)try{$m.CallbackBody=JSON.parse(od.decodeBase64(m1.CallbackBody))}catch{$m.CallbackBody={}}else $m.CallbackError=m1.Error||{};delete $m.CallbackResult}if($m.ReturnBodyResult){var f1=$m.ReturnBodyResult;if(f1.Status==="200"&&f1.ReturnBody)try{$m.ReturnBody=JSON.parse(od.decodeBase64(f1.ReturnBody))}catch{$m.ReturnBody={}}else $m.ReturnError={Code:f1.Code,Message:f1.Message,Status:f1.Status};delete $m.ReturnBodyResult}var a1=od.extend($m,{Location:Ff,statusCode:_m.statusCode,headers:_m.headers});ph(null,a1)})}function Gm(yf,ph){var sh={};sh.delimiter=yf.Delimiter,sh["encoding-type"]=yf.EncodingType,sh.prefix=yf.Prefix||"",sh["max-uploads"]=yf.MaxUploads,sh["key-marker"]=yf.KeyMarker,sh["upload-id-marker"]=yf.UploadIdMarker,sh=od.clearKey(sh);var yh=yf.tracker;yh&&yh.setParams({signStartTime:new Date().getTime()}),jm.call(this,{Action:"name/cos:ListMultipartUploads",ResourceKey:sh.prefix,method:"GET",Bucket:yf.Bucket,Region:yf.Region,headers:yf.Headers,qs:sh,action:"uploads",tracker:yh},function(Bh,Mh){if(Bh)return yh&&yh.parent&&yh.parent.setParams({errorNode:"multipartList"}),ph(Bh);if(Mh&&Mh.ListMultipartUploadsResult){var Gh=Mh.ListMultipartUploadsResult.Upload||[];Gh=od.isArray(Gh)?Gh:[Gh],Mh.ListMultipartUploadsResult.Upload=Gh}var Fh=od.clone(Mh.ListMultipartUploadsResult||{});od.extend(Fh,{statusCode:Mh.statusCode,headers:Mh.headers}),ph(null,Fh)})}function Fm(yf,ph){var sh={},yh=yf.tracker;sh.uploadId=yf.UploadId,sh["encoding-type"]=yf.EncodingType,sh["max-parts"]=yf.MaxParts,sh["part-number-marker"]=yf.PartNumberMarker,jm.call(this,{Action:"name/cos:ListParts",method:"GET",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,headers:yf.Headers,qs:sh,tracker:yh},function(Bh,Mh){if(Bh)return yh&&yh.parent&&yh.parent.setParams({errorNode:"multipartListPart"}),ph(Bh);var Gh=Mh.ListPartsResult||{},Fh=Gh.Part||[];Fh=od.isArray(Fh)?Fh:[Fh],Gh.Part=Fh;var Ah=od.clone(Gh);od.extend(Ah,{statusCode:Mh.statusCode,headers:Mh.headers}),ph(null,Ah)})}function Om(yf,ph){var sh={};sh.uploadId=yf.UploadId,jm.call(this,{Action:"name/cos:AbortMultipartUpload",method:"DELETE",Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,headers:yf.Headers,qs:sh,tracker:yf.tracker},function(yh,Bh){if(yh)return ph(yh);ph(null,{statusCode:Bh.statusCode,headers:Bh.headers})})}function Jm(yf,ph){jm.call(this,{method:yf.Method,Bucket:yf.Bucket,Region:yf.Region,Key:yf.Key,action:yf.Action,headers:yf.Headers,qs:yf.Query,body:yf.Body,Url:yf.Url,rawBody:yf.RawBody,DataType:yf.DataType,tracker:yf.tracker},function(sh,yh){if(sh)return ph(sh);yh&&yh.body&&(yh.Body=yh.body,delete yh.body),ph(sh,yh)})}function N1(yf,ph){var sh=yf.Headers;!sh["Cache-Control"]&&!sh["cache-control"]&&(sh["Cache-Control"]=""),!sh["Content-Type"]&&!sh["content-type"]&&(sh["Content-Type"]=yf.Body&&yf.Body.type||""),jm.call(this,{Action:"name/cos:AppendObject",method:"POST",Bucket:yf.Bucket,Region:yf.Region,action:"append",Key:yf.Key,body:yf.Body,qs:{position:yf.Position},headers:yf.Headers,tracker:yf.tracker},function(yh,Bh){if(yh)return ph(yh);ph(null,Bh)})}function R1(yf){var ph=this;return od.getAuth({SecretId:yf.SecretId||this.options.SecretId||"",SecretKey:yf.SecretKey||this.options.SecretKey||"",Bucket:yf.Bucket,Region:yf.Region,Method:yf.Method,Key:yf.Key,Query:yf.Query,Headers:yf.Headers,Expires:yf.Expires,UseRawKey:ph.options.UseRawKey,SystemClockOffset:ph.options.SystemClockOffset})}function x1(yf,ph){var sh=this,yh=yf.UseAccelerate===void 0?sh.options.UseAccelerate:yf.UseAccelerate,Bh=y1({ForcePathStyle:sh.options.ForcePathStyle,protocol:yf.Protocol||sh.options.Protocol,domain:yf.Domain||sh.options.Domain,bucket:yf.Bucket,region:yh?"accelerate":yf.Region,object:yf.Key}),Mh="";yf.Query&&(Mh+=od.obj2str(yf.Query)),yf.QueryString&&(Mh+=(Mh?"&":"")+yf.QueryString);var Gh=Bh;if(yf.Sign!==void 0&&!yf.Sign)return Mh&&(Gh+="?"+Mh),ph(null,{Url:Gh}),Gh;var Fh=V1.call(this,{Bucket:yf.Bucket,Region:yf.Region,UseAccelerate:yf.UseAccelerate,Url:Bh}),Ah=Z1.call(this,{Action:(yf.Method||"").toUpperCase()==="PUT"?"name/cos:PutObject":"name/cos:GetObject",Bucket:yf.Bucket||"",Region:yf.Region||"",Method:yf.Method||"get",Key:yf.Key,Expires:yf.Expires,Headers:yf.Headers,Query:yf.Query,SignHost:Fh,ForceSignHost:yf.ForceSignHost===!1?!1:sh.options.ForceSignHost},function(cm,um){if(ph){if(cm){ph(cm);return}var _m=function(m1){var f1=m1.match(/q-url-param-list.*?(?=&)/g)[0],a1="q-url-param-list="+encodeURIComponent(f1.replace(/q-url-param-list=/,"")).toLowerCase(),Dm=new RegExp(f1,"g"),v1=m1.replace(Dm,a1);return v1},Ff=Bh;Ff+="?"+(um.Authorization.indexOf("q-signature")>-1?_m(um.Authorization):"sign="+encodeURIComponent(um.Authorization)),um.SecurityToken&&(Ff+="&x-cos-security-token="+um.SecurityToken),um.ClientIP&&(Ff+="&clientIP="+um.ClientIP),um.ClientUA&&(Ff+="&clientUA="+um.ClientUA),um.Token&&(Ff+="&token="+um.Token),Mh&&(Ff+="&"+Mh),setTimeout(function(){ph(null,{Url:Ff})})}});return Ah?(Gh+="?"+Ah.Authorization+(Ah.SecurityToken?"&x-cos-security-token="+Ah.SecurityToken:""),Mh&&(Gh+="&"+Mh)):Mh&&(Gh+="?"+Mh),Gh}function Xm(yf){var ph={GrantFullControl:[],GrantWrite:[],GrantRead:[],GrantReadAcp:[],GrantWriteAcp:[],ACL:""},sh={FULL_CONTROL:"GrantFullControl",WRITE:"GrantWrite",READ:"GrantRead",READ_ACP:"GrantReadAcp",WRITE_ACP:"GrantWriteAcp"},yh=yf&&yf.AccessControlList||{},Bh=yh.Grant;Bh&&(Bh=od.isArray(Bh)?Bh:[Bh]);var Mh={READ:0,WRITE:0,FULL_CONTROL:0};return Bh&&Bh.length&&od.each(Bh,function(Gh){Gh.Grantee.ID==="qcs::cam::anyone:anyone"||Gh.Grantee.URI==="http://cam.qcloud.com/groups/global/AllUsers"?Mh[Gh.Permission]=1:Gh.Grantee.ID!==yf.Owner.ID&&ph[sh[Gh.Permission]].push('id="'+Gh.Grantee.ID+'"')}),Mh.FULL_CONTROL||Mh.WRITE&&Mh.READ?ph.ACL="public-read-write":Mh.READ?ph.ACL="public-read":ph.ACL="private",od.each(sh,function(Gh){ph[Gh]=F1(ph[Gh].join(","))}),ph}function F1(yf){var ph=yf.split(","),sh={},yh,Bh;for(yh=0;yh<ph.length;)Bh=ph[yh].trim(),sh[Bh]?ph.splice(yh,1):(sh[Bh]=!0,ph[yh]=Bh,yh++);return ph.join(",")}function y1(yf){var ph=yf.region||"",sh=yf.bucket||"",yh=sh.substr(0,sh.lastIndexOf("-")),Bh=sh.substr(sh.lastIndexOf("-")+1),Mh=yf.domain,Gh=yf.object;typeof Mh=="function"&&(Mh=Mh({Bucket:sh,Region:ph})),["http","https"].includes(yf.protocol)&&(yf.protocol=yf.protocol+":");var Fh=yf.protocol||(od.isBrowser&&(typeof location>"u"?"undefined":rd(location))==="object"&&location.protocol==="http:"?"http:":"https:");Mh||(["cn-south","cn-south-2","cn-north","cn-east","cn-southwest","sg"].indexOf(ph)>-1?Mh="{Region}.myqcloud.com":Mh="cos.{Region}.myqcloud.com",yf.ForcePathStyle||(Mh="{Bucket}."+Mh)),Mh=Mh.replace(/\{\{AppId\}\}/gi,Bh).replace(/\{\{Bucket\}\}/gi,yh).replace(/\{\{Region\}\}/gi,ph).replace(/\{\{.*?\}\}/gi,""),Mh=Mh.replace(/\{AppId\}/gi,Bh).replace(/\{BucketName\}/gi,yh).replace(/\{Bucket\}/gi,sh).replace(/\{Region\}/gi,ph).replace(/\{.*?\}/gi,""),/^[a-zA-Z]+:\/\//.test(Mh)||(Mh=Fh+"//"+Mh),Mh.slice(-1)==="/"&&(Mh=Mh.slice(0,-1));var Ah=Mh;return yf.ForcePathStyle&&(Ah+="/"+sh),Ah+="/",Gh&&(Ah+=od.camSafeUrlEncode(Gh).replace(/%2F/g,"/")),yf.isLocation&&(Ah=Ah.replace(/^https?:\/\//,"")),Ah}var V1=function(ph){if(!ph.Bucket||!ph.Region)return"";var sh=ph.UseAccelerate===void 0?this.options.UseAccelerate:ph.UseAccelerate,yh=ph.Url||y1({ForcePathStyle:this.options.ForcePathStyle,protocol:this.options.Protocol,domain:this.options.Domain,bucket:ph.Bucket,region:sh?"accelerate":ph.Region}),Bh=yh.replace(/^https?:\/\/([^/]+)(\/.*)?$/,"$1");return Bh};function Z1(yf,ph){var sh=od.clone(yf.Headers),yh="";od.each(sh,function(u1,o1){(u1===""||["content-type","cache-control","expires"].indexOf(o1.toLowerCase())>-1)&&delete sh[o1],o1.toLowerCase()==="host"&&(yh=u1)});var Bh=yf.ForceSignHost!==!1;!yh&&yf.SignHost&&Bh&&(sh.Host=yf.SignHost);var Mh=!1,Gh=function(o1,oh){Mh||(Mh=!0,oh&&oh.XCosSecurityToken&&!oh.SecurityToken&&(oh=od.clone(oh),oh.SecurityToken=oh.XCosSecurityToken,delete oh.XCosSecurityToken),ph&&ph(o1,oh))},Fh=this,Ah=yf.Bucket||"",cm=yf.Region||"",um=yf.Key||"";Fh.options.ForcePathStyle&&Ah&&(um=Ah+"/"+um);var _m="/"+um,Ff={},$m=yf.Scope;if(!$m){var m1=yf.Action||"",f1=yf.ResourceKey||yf.Key||"";$m=yf.Scope||[{action:m1,bucket:Ah,region:cm,prefix:f1}]}var a1=od.md5(JSON.stringify($m));Fh._StsCache=Fh._StsCache||[],function(){var u1,o1;for(u1=Fh._StsCache.length-1;u1>=0;u1--){o1=Fh._StsCache[u1];var oh=Math.round(od.getSkewTime(Fh.options.SystemClockOffset)/1e3)+30;if(o1.StartTime&&oh<o1.StartTime||oh>=o1.ExpiredTime){Fh._StsCache.splice(u1,1);continue}if(!o1.ScopeLimit||o1.ScopeLimit&&o1.ScopeKey===a1){Ff=o1;break}}}();var Dm=function(){var o1="";Ff.StartTime&&yf.Expires?o1=Ff.StartTime+";"+(Ff.StartTime+yf.Expires*1):Ff.StartTime&&Ff.ExpiredTime&&(o1=Ff.StartTime+";"+Ff.ExpiredTime);var oh=od.getAuth({SecretId:Ff.TmpSecretId,SecretKey:Ff.TmpSecretKey,Method:yf.Method,Pathname:_m,Query:yf.Query,Headers:sh,Expires:yf.Expires,UseRawKey:Fh.options.UseRawKey,SystemClockOffset:Fh.options.SystemClockOffset,KeyTime:o1,ForceSignHost:Bh}),Oh={Authorization:oh,SecurityToken:Ff.SecurityToken||Ff.XCosSecurityToken||"",Token:Ff.Token||"",ClientIP:Ff.ClientIP||"",ClientUA:Ff.ClientUA||"",SignFrom:"client"};Gh(null,Oh)},v1=function(o1){if(o1.Authorization){var oh=!1,Oh=o1.Authorization;if(Oh)if(Oh.indexOf(" ")>-1)oh=!1;else if(Oh.indexOf("q-sign-algorithm=")>-1&&Oh.indexOf("q-ak=")>-1&&Oh.indexOf("q-sign-time=")>-1&&Oh.indexOf("q-key-time=")>-1&&Oh.indexOf("q-url-param-list=")>-1)oh=!0;else try{Oh=atob(Oh),Oh.indexOf("a=")>-1&&Oh.indexOf("k=")>-1&&Oh.indexOf("t=")>-1&&Oh.indexOf("r=")>-1&&Oh.indexOf("b=")>-1&&(oh=!0)}catch{}if(!oh)return od.error(new Error("getAuthorization callback params format error"))}else{if(!o1.TmpSecretId)return od.error(new Error('getAuthorization callback params missing "TmpSecretId"'));if(!o1.TmpSecretKey)return od.error(new Error('getAuthorization callback params missing "TmpSecretKey"'));if(!o1.SecurityToken&&!o1.XCosSecurityToken)return od.error(new Error('getAuthorization callback params missing "SecurityToken"'));if(!o1.ExpiredTime)return od.error(new Error('getAuthorization callback params missing "ExpiredTime"'));if(o1.ExpiredTime&&o1.ExpiredTime.toString().length!==10)return od.error(new Error('getAuthorization callback params "ExpiredTime" should be 10 digits'));if(o1.StartTime&&o1.StartTime.toString().length!==10)return od.error(new Error('getAuthorization callback params "StartTime" should be 10 StartTime'))}return!1};if(Ff.ExpiredTime&&Ff.ExpiredTime-od.getSkewTime(Fh.options.SystemClockOffset)/1e3>60)Dm();else if(Fh.options.getAuthorization)Fh.options.getAuthorization.call(Fh,{Bucket:Ah,Region:cm,Method:yf.Method,Key:um,Pathname:_m,Query:yf.Query,Headers:sh,Scope:$m,SystemClockOffset:Fh.options.SystemClockOffset,ForceSignHost:Bh},function(u1){typeof u1=="string"&&(u1={Authorization:u1});var o1=v1(u1);if(o1)return Gh(o1);u1.Authorization?Gh(null,u1):(Ff=u1||{},Ff.Scope=$m,Ff.ScopeKey=a1,Fh._StsCache.push(Ff),Dm())});else if(Fh.options.getSTS)Fh.options.getSTS.call(Fh,{Bucket:Ah,Region:cm},function(u1){Ff=u1||{},Ff.Scope=$m,Ff.ScopeKey=a1,Ff.TmpSecretId||(Ff.TmpSecretId=Ff.SecretId),Ff.TmpSecretKey||(Ff.TmpSecretKey=Ff.SecretKey);var o1=v1(Ff);if(o1)return Gh(o1);Fh._StsCache.push(Ff),Dm()});else return function(){var u1="";if(Fh.options.StartTime&&yf.Expires){if(Fh.options.StartTime.toString().length!==10)return Gh(od.error(new Error('params "StartTime" should be 10 digits')));u1=Fh.options.StartTime+";"+(Fh.options.StartTime+yf.Expires*1)}else if(Fh.options.StartTime&&Fh.options.ExpiredTime){if(Fh.options.StartTime.toString().length!==10)return Gh(od.error(new Error('params "StartTime" should be 10 digits')));if(Fh.options.ExpiredTime.toString().length!==10)return Gh(od.error(new Error('params "ExpiredTime" should be 10 digits')));u1=Fh.options.StartTime+";"+Fh.options.ExpiredTime*1}var o1=od.getAuth({SecretId:yf.SecretId||Fh.options.SecretId,SecretKey:yf.SecretKey||Fh.options.SecretKey,Method:yf.Method,Pathname:_m,Query:yf.Query,Headers:sh,Expires:yf.Expires,KeyTime:u1,UseRawKey:Fh.options.UseRawKey,SystemClockOffset:Fh.options.SystemClockOffset,ForceSignHost:Bh}),oh={Authorization:o1,SecurityToken:Fh.options.SecurityToken||Fh.options.XCosSecurityToken,SignFrom:"client"};return Gh(null,oh),oh}();return""}function I1(yf){var ph=this,sh=!1,yh=!1,Bh=!1,Mh=yf.headers&&(yf.headers.date||yf.headers.Date)||yf.error&&yf.error.ServerTime;try{var Gh=yf.error.Code,Fh=yf.error.Message;(Gh==="RequestTimeTooSkewed"||Gh==="AccessDenied"&&Fh==="Request has expired")&&(yh=!0)}catch{}if(yf)if(yh&&Mh){var Ah=Date.parse(Mh);this.options.CorrectClockSkew&&Math.abs(od.getSkewTime(this.options.SystemClockOffset)-Ah)>=3e4&&(console.error("error: Local time is too skewed."),this.options.SystemClockOffset=Ah-Date.now(),sh=!0)}else Math.floor(yf.statusCode/100)===5?sh=!0:yf.message==="CORS blocked or network error"&&(Bh=!0,sh=ph.options.AutoSwitchHost);return{canRetry:sh,networkError:Bh}}function O1(yf){var ph=yf.requestUrl,sh=yf.clientCalcSign,yh=yf.networkError;if(!this.options.AutoSwitchHost||!ph||!sh||!yh)return!1;var Bh=/^https?:\/\/[^\/]*\.cos\.[^\/]*\.myqcloud\.com(\/.*)?$/,Mh=/^https?:\/\/[^\/]*\.cos\.accelerate\.myqcloud\.com(\/.*)?$/,Gh=Bh.test(ph)&&!Mh.test(ph);return Gh}function jm(yf,ph){var sh=this;!yf.headers&&(yf.headers={}),!yf.qs&&(yf.qs={}),yf.VersionId&&(yf.qs.versionId=yf.VersionId),yf.qs=od.clearKey(yf.qs),yf.headers&&(yf.headers=od.clearKey(yf.headers)),yf.qs&&(yf.qs=od.clearKey(yf.qs));var yh=od.clone(yf.qs);yf.action&&(yh[yf.action]="");var Bh=yf.url||yf.Url,Mh=yf.SignHost||V1.call(this,{Bucket:yf.Bucket,Region:yf.Region,Url:Bh}),Gh=yf.tracker,Fh=function Ah(cm){var um=sh.options.SystemClockOffset;Gh&&Gh.setParams({signStartTime:new Date().getTime(),httpRetryTimes:cm-1}),yf.SwitchHost&&(Mh=Mh.replace(/myqcloud.com/,"tencentcos.cn")),Z1.call(sh,{Bucket:yf.Bucket||"",Region:yf.Region||"",Method:yf.method,Key:yf.Key,Query:yh,Headers:yf.headers,SignHost:Mh,Action:yf.Action,ResourceKey:yf.ResourceKey,Scope:yf.Scope,ForceSignHost:sh.options.ForceSignHost,SwitchHost:yf.SwitchHost},function(_m,Ff){if(_m){ph(_m);return}Gh&&Gh.setParams({signEndTime:new Date().getTime(),httpStartTime:new Date().getTime()}),yf.AuthData=Ff,g1.call(sh,yf,function($m,m1){Gh&&Gh.setParams({httpEndTime:new Date().getTime()});var f1=!1,a1=!1;if($m){var Dm=I1.call(sh,$m);f1=Dm.canRetry||um!==sh.options.SystemClockOffset,a1=Dm.networkError}if($m&&cm<2&&f1){yf.headers&&(delete yf.headers.Authorization,delete yf.headers.token,delete yf.headers.clientIP,delete yf.headers.clientUA,yf.headers["x-cos-security-token"]&&delete yf.headers["x-cos-security-token"],yf.headers["x-ci-security-token"]&&delete yf.headers["x-ci-security-token"]);var v1=O1.call(sh,{requestUrl:($m==null?void 0:$m.url)||"",clientCalcSign:Ff.SignFrom==="client",networkError:a1});yf.SwitchHost=v1,yf.retry=!0,Ah(cm+1)}else ph($m,m1)})})};Fh(1)}function g1(yf,ph){var sh=this,yh=yf.TaskId;if(!(yh&&!sh._isRunningTask(yh))){var Bh=yf.Bucket,Mh=yf.Region,Gh=yf.Key,Fh=yf.method||"GET",Ah=yf.Url||yf.url,cm=yf.body,um=yf.rawBody;sh.options.UseAccelerate&&(Mh="accelerate"),Ah=Ah||y1({ForcePathStyle:sh.options.ForcePathStyle,protocol:sh.options.Protocol,domain:sh.options.Domain,bucket:Bh,region:Mh,object:Gh}),yf.SwitchHost&&(Ah=Ah.replace(/myqcloud.com/,"tencentcos.cn"));var _m=Gh?Ah:"";yf.action&&(Ah=Ah+"?"+(od.isIOS_QQ?"".concat(yf.action,"="):yf.action)),yf.qsStr&&(Ah.indexOf("?")>-1?Ah=Ah+"&"+yf.qsStr:Ah=Ah+"?"+yf.qsStr);var Ff={method:Fh,url:Ah,headers:yf.headers,qs:yf.qs,body:cm},$m="x-cos-security-token";if(od.isCIHost(Ah)&&($m="x-ci-security-token"),Ff.headers.Authorization=yf.AuthData.Authorization,yf.AuthData.Token&&(Ff.headers.token=yf.AuthData.Token),yf.AuthData.ClientIP&&(Ff.headers.clientIP=yf.AuthData.ClientIP),yf.AuthData.ClientUA&&(Ff.headers.clientUA=yf.AuthData.ClientUA),yf.AuthData.SecurityToken&&(Ff.headers[$m]=yf.AuthData.SecurityToken),Ff.headers&&(Ff.headers=od.clearKey(Ff.headers)),yf.retry&&(Ff.headers["x-cos-sdk-retry"]=!0),Ff=od.clearKey(Ff),yf.onProgress&&typeof yf.onProgress=="function"){var m1=cm&&(cm.size||cm.length)||0;Ff.onProgress=function(oh){if(!(yh&&!sh._isRunningTask(yh))){var Oh=oh?oh.loaded:0;yf.onProgress({loaded:Oh,total:m1})}}}yf.onDownloadProgress&&(Ff.onDownloadProgress=yf.onDownloadProgress),yf.DataType&&(Ff.dataType=yf.DataType),this.options.Timeout&&(Ff.timeout=this.options.Timeout),sh.options.ForcePathStyle&&(Ff.pathStyle=sh.options.ForcePathStyle),sh.emit("before-send",Ff);var f1=Ff.url.includes("accelerate."),a1=Ff.qs?Object.keys(Ff.qs).map(function(oh){return"".concat(oh,"=").concat(Ff.qs[oh])}).join("&"):"",Dm=a1?Ff.url+"?"+a1:Ff.url;if(yf.tracker){var v1;yf.tracker.setParams({url:Dm,httpMethod:Ff.method,accelerate:f1,httpSize:((v1=Ff.body)===null||v1===void 0?void 0:v1.size)||0}),yf.tracker.parent&&!yf.tracker.parent.params.url&&yf.tracker.parent.setParams({url:_m,accelerate:f1})}var u1=(sh.options.Request||sd)(Ff,function(oh){if(!(oh&&oh.error==="abort")){var Oh={options:Ff,error:oh&&oh.error,statusCode:oh&&oh.statusCode||0,statusMessage:oh&&oh.statusMessage||"",headers:oh&&oh.headers||{},body:oh&&oh.body};sh.emit("after-receive",Oh);var mm=Oh.error,Lm=Oh.body,am={statusCode:Oh.statusCode,statusMessage:Oh.statusMessage,headers:Oh.headers},Vm,E1=function(U0,j1){if(yh&&sh.off("inner-kill-task",o1),!Vm){Vm=!0;var E0={};if(am&&am.statusCode&&(E0.statusCode=am.statusCode),am&&am.headers&&(E0.headers=am.headers),U0)Ff.url&&(E0.url=Ff.url),Ff.method&&(E0.method=Ff.method),U0=od.extend(U0||{},E0),ph(U0,null);else{if(yf.Action==="name/cos:PutObject"){var d0={};for(var e_ in yf.headers){var m_=e_.toLowerCase();d0[m_]=yf.headers[e_]}d0["x-cos-callback"]?j1.Error?(j1.CallbackError=od.clone(j1.Error),delete j1.Error):j1.CallbackBody=od.clone(j1):d0["x-cos-return-body"]&&(j1.Error?(j1.ReturnError=od.clone(j1.Error),delete j1.Error):j1.ReturnBody=od.clone(j1))}j1=od.extend(j1||{},E0),ph(null,j1)}u1=null}};if(mm)return E1(od.error(mm));var r0=am.statusCode,q1=Math.floor(r0/100)===2;if(um){if(q1)return E1(null,{body:Lm});if(Lm instanceof Blob){od.readAsBinaryString(Lm,function(h1){var U0=od.parseResBody(h1),j1=U0.Error||U0;return E1(od.error(new Error(j1.Message||"response body error"),{code:j1.Code,error:j1}))});return}}var N0=od.parseResBody(Lm),g0=N0.Error||N0;q1?E1(null,N0):g0?E1(od.error(new Error(g0.Message),{code:g0.Code,error:g0})):r0?E1(od.error(new Error(am.statusMessage),{code:""+r0})):r0&&E1(od.error(new Error("statusCode error")))}}),o1=function oh(Oh){Oh.TaskId===yh&&(u1&&u1.abort&&u1.abort(),sh.off("inner-kill-task",oh))};yh&&sh.on("inner-kill-task",o1)}}var l0={getService:ld,putBucket:cd,headBucket:ud,getBucket:_d,deleteBucket:yd,putBucketAcl:gd,getBucketAcl:Ed,putBucketCors:Td,getBucketCors:kd,deleteBucketCors:Rd,getBucketLocation:Nd,getBucketPolicy:Md,putBucketPolicy:Id,deleteBucketPolicy:Ld,putBucketTagging:Pd,getBucketTagging:qd,deleteBucketTagging:Yd,putBucketLifecycle:Ud,getBucketLifecycle:Hd,deleteBucketLifecycle:Vd,putBucketVersioning:Jd,getBucketVersioning:Zd,putBucketReplication:pf,getBucketReplication:Xd,deleteBucketReplication:hf,putBucketWebsite:_f,getBucketWebsite:xf,deleteBucketWebsite:Lf,putBucketReferer:Wf,getBucketReferer:Yf,putBucketDomain:If,getBucketDomain:Sf,deleteBucketDomain:wf,putBucketOrigin:Kf,getBucketOrigin:Gf,deleteBucketOrigin:gf,putBucketLogging:mf,getBucketLogging:$f,putBucketInventory:hh,postBucketInventory:Vf,getBucketInventory:kf,listBucketInventory:Jf,deleteBucketInventory:Ch,putBucketAccelerate:qf,getBucketAccelerate:Tf,putBucketEncryption:Af,getBucketEncryption:Pf,deleteBucketEncryption:gh,getObject:$h,headObject:Nh,listObjectVersions:dh,putObject:Rh,deleteObject:jh,getObjectAcl:Zh,putObjectAcl:Wh,optionsObject:sm,putObjectCopy:fm,deleteMultipleObject:Rf,restoreObject:Df,putObjectTagging:Zf,getObjectTagging:bh,deleteObjectTagging:Lh,selectObjectContent:Hh,appendObject:N1,uploadPartCopy:ih,multipartInit:Xh,multipartUpload:gm,multipartComplete:om,multipartList:Gm,multipartListPart:Fm,multipartAbort:Om,request:Jm,getObjectUrl:x1,getAuth:R1};function B1(yf,ph,sh){od.each(["Cors","Acl"],function(yh){if(yf.slice(-yh.length)===yh){var Bh=yf.slice(0,-yh.length)+yh.toUpperCase(),Mh=od.apiWrapper(yf,ph),Gh=!1;sh[Bh]=function(){!Gh&&console.warn("warning: cos."+Bh+" has been deprecated. Please Use cos."+yf+" instead."),Gh=!0,Mh.apply(this,arguments)}}})}Ja.exports.init=function(yf,ph){ph.transferToTaskMethod(l0,"putObject"),od.each(l0,function(sh,yh){yf.prototype[yh]=od.apiWrapper(yh,sh),B1(yh,sh,yf.prototype)})}},"./src/cos.js":function(Ja,ed,td){var rd=td("./src/util.js"),sd=td("./src/event.js"),od=td("./src/task.js"),ld=td("./src/base.js"),cd=td("./src/advance.js"),ud=td("./package.json"),_d={AppId:"",SecretId:"",SecretKey:"",SecurityToken:"",StartTime:0,ExpiredTime:0,ChunkRetryTimes:2,FileParallelLimit:3,ChunkParallelLimit:3,ChunkSize:1024*1024,SliceSize:1024*1024,CopyChunkParallelLimit:20,CopyChunkSize:1024*1024*10,CopySliceSize:1024*1024*10,MaxPartNumber:1e4,ProgressInterval:1e3,Domain:"",ServiceDomain:"",Protocol:"",CompatibilityMode:!1,ForcePathStyle:!1,UseRawKey:!1,Timeout:0,CorrectClockSkew:!0,SystemClockOffset:0,UploadCheckContentMd5:!1,UploadQueueSize:1e4,UploadAddMetaMd5:!1,UploadIdCacheLimit:50,UseAccelerate:!1,ForceSignHost:!0,AutoSwitchHost:!0,CopySourceParser:null,ObjectKeySimplifyCheck:!0,DeepTracker:!1,TrackerDelay:5e3,CustomId:"",BeaconReporter:null,ClsReporter:null},yd=function(Ed){if(this.options=rd.extend(rd.clone(_d),Ed||{}),this.options.FileParallelLimit=Math.max(1,this.options.FileParallelLimit),this.options.ChunkParallelLimit=Math.max(1,this.options.ChunkParallelLimit),this.options.ChunkRetryTimes=Math.max(0,this.options.ChunkRetryTimes),this.options.ChunkSize=Math.max(1024*1024,this.options.ChunkSize),this.options.CopyChunkParallelLimit=Math.max(1,this.options.CopyChunkParallelLimit),this.options.CopyChunkSize=Math.max(1024*1024,this.options.CopyChunkSize),this.options.CopySliceSize=Math.max(0,this.options.CopySliceSize),this.options.MaxPartNumber=Math.max(1024,Math.min(1e4,this.options.MaxPartNumber)),this.options.Timeout=Math.max(0,this.options.Timeout),this.options.EnableReporter=this.options.BeaconReporter||this.options.ClsReporter,this.options.AppId&&console.warn('warning: AppId has been deprecated, Please put it at the end of parameter Bucket(E.g: "test-1250000000").'),this.options.SecretId&&this.options.SecretId.indexOf(" ")>-1&&(console.error("error: SecretId格式错误,请检查"),console.error("error: SecretId format is incorrect. Please check")),this.options.SecretKey&&this.options.SecretKey.indexOf(" ")>-1&&(console.error("error: SecretKey格式错误,请检查"),console.error("error: SecretKey format is incorrect. Please check")),rd.isNode()&&(console.log("Tip: Next.js、Nuxt.js 等服务端渲染技术可正常使用JavaScript SDK,请忽略下方 nodejs 环境警告"),console.warn("warning: cos-js-sdk-v5 不支持 nodejs 环境使用,请改用 cos-nodejs-sdk-v5,参考文档: https://cloud.tencent.com/document/product/436/8629"),console.warn("warning: cos-js-sdk-v5 does not support nodejs environment. Please use cos-nodejs-sdk-v5 instead. See: https://cloud.tencent.com/document/product/436/8629")),this.options.ForcePathStyle)throw console.warn("cos-js-sdk-v5不再支持使用path-style,仅支持使用virtual-hosted-style,参考文档:https://cloud.tencent.com/document/product/436/96243"),new Error("ForcePathStyle is not supported");sd.init(this),od.init(this)};ld.init(yd,od),cd.init(yd,od),yd.util={md5:rd.md5,xml2json:rd.xml2json,json2xml:rd.json2xml,encodeBase64:rd.encodeBase64},yd.getAuthorization=rd.getAuth,yd.version=ud.version,Ja.exports=yd},"./src/event.js":function(Ja,ed){var td=function(od){var ld={},cd=function(_d){return!ld[_d]&&(ld[_d]=[]),ld[_d]};od.on=function(ud,_d){ud==="task-list-update"&&console.warn('warning: Event "'+ud+'" has been deprecated. Please use "list-update" instead.'),cd(ud).push(_d)},od.off=function(ud,_d){for(var yd=cd(ud),gd=yd.length-1;gd>=0;gd--)_d===yd[gd]&&yd.splice(gd,1)},od.emit=function(ud,_d){for(var yd=cd(ud).map(function(Ed){return Ed}),gd=0;gd<yd.length;gd++)yd[gd](_d)}},rd=function(){td(this)};Ja.exports.init=td,Ja.exports.EventProxy=rd},"./src/session.js":function(Ja,ed,td){var rd=td("./src/util.js"),sd="cos_sdk_upload_cache",od=30*24*3600,ld,cd,ud=function(){try{var kd=JSON.parse(localStorage.getItem(sd))}catch{}kd||(kd=[]),ld=kd},_d=function(){try{ld.length?localStorage.setItem(sd,JSON.stringify(ld)):localStorage.removeItem(sd)}catch{}},yd=function(){if(!ld){ud.call(this);for(var kd=!1,Rd=Math.round(Date.now()/1e3),Nd=ld.length-1;Nd>=0;Nd--){var Id=ld[Nd][2];(!Id||Id+od<Rd)&&(ld.splice(Nd,1),kd=!0)}kd&&_d()}},gd=function(){cd||(cd=setTimeout(function(){_d(),cd=null},400))},Ed={using:{},setUsing:function(kd){Ed.using[kd]=!0},removeUsing:function(kd){delete Ed.using[kd]},getFileId:function(kd,Rd,Nd,Id){return kd.name&&kd.size&&kd.lastModifiedDate&&Rd?rd.md5([kd.name,kd.size,kd.lastModifiedDate,Rd,Nd,Id].join("::")):null},getCopyFileId:function(kd,Rd,Nd,Id,Md){var Ld=Rd["content-length"],Pd=Rd.etag||"",qd=Rd["last-modified"];return kd&&Nd?rd.md5([kd,Ld,Pd,qd,Nd,Id,Md].join("::")):null},getUploadIdList:function(kd){if(!kd)return null;yd.call(this);for(var Rd=[],Nd=0;Nd<ld.length;Nd++)ld[Nd][0]===kd&&Rd.push(ld[Nd][1]);return Rd.length?Rd:null},saveUploadId:function(kd,Rd,Nd){if(yd.call(this),!!kd){for(var Id=ld.length-1;Id>=0;Id--){var Md=ld[Id];Md[0]===kd&&Md[1]===Rd&&ld.splice(Id,1)}ld.unshift([kd,Rd,Math.round(Date.now()/1e3)]),ld.length>Nd&&ld.splice(Nd),gd()}},removeUploadId:function(kd){yd.call(this),delete Ed.using[kd];for(var Rd=ld.length-1;Rd>=0;Rd--)ld[Rd][1]===kd&&ld.splice(Rd,1);gd()}};Ja.exports=Ed},"./src/task.js":function(Ja,ed,td){var rd=td("./src/session.js"),sd=td("./src/util.js"),od={},ld=function(_d,yd){od[yd]=_d[yd],_d[yd]=function(gd,Ed){gd.SkipTask?od[yd].call(this,gd,Ed):this._addTask(yd,gd,Ed)}},cd=function(_d){var yd=[],gd={},Ed=0,Td=0,kd=function(qd){var Yd={id:qd.id,Bucket:qd.Bucket,Region:qd.Region,Key:qd.Key,FilePath:qd.FilePath,state:qd.state,loaded:qd.loaded,size:qd.size,speed:qd.speed,percent:qd.percent,hashPercent:qd.hashPercent,error:qd.error};return qd.FilePath&&(Yd.FilePath=qd.FilePath),qd._custom&&(Yd._custom=qd._custom),Yd},Rd=function(){var Pd,qd=function(){Pd=0,_d.emit("task-list-update",{list:sd.map(yd,kd)}),_d.emit("list-update",{list:sd.map(yd,kd)})};return function(){Pd||(Pd=setTimeout(qd))}}(),Nd=function(){if(!(yd.length<=_d.options.UploadQueueSize)){for(var qd=0;qd<Td&&qd<yd.length&&yd.length>_d.options.UploadQueueSize;){var Yd=yd[qd].state==="waiting"||yd[qd].state==="checking"||yd[qd].state==="uploading";!yd[qd]||!Yd?(gd[yd[qd].id]&&delete gd[yd[qd].id],yd.splice(qd,1),Td--):qd++}Rd()}},Id=function Pd(){if(!(Ed>=_d.options.FileParallelLimit)){for(;yd[Td]&&yd[Td].state!=="waiting";)Td++;if(!(Td>=yd.length)){var qd=yd[Td];Td++,Ed++,qd.state="checking",qd.params.onTaskStart&&qd.params.onTaskStart(kd(qd)),!qd.params.UploadData&&(qd.params.UploadData={});var Yd=sd.formatParams(qd.api,qd.params);od[qd.api].call(_d,Yd,function(Ud,Hd){_d._isRunningTask(qd.id)&&((qd.state==="checking"||qd.state==="uploading")&&(qd.state=Ud?"error":"success",Ud&&(qd.error=Ud),Ed--,Rd(),Pd(),qd.callback&&qd.callback(Ud,Hd),qd.state==="success"&&(qd.params&&(delete qd.params.UploadData,delete qd.params.Body,delete qd.params),delete qd.callback)),Nd())}),Rd(),setTimeout(Pd)}}},Md=function(qd,Yd){var Ud=gd[qd];if(Ud){var Hd=Ud&&Ud.state==="waiting",Vd=Ud&&(Ud.state==="checking"||Ud.state==="uploading");if(Yd==="canceled"&&Ud.state!=="canceled"||Yd==="paused"&&Hd||Yd==="paused"&&Vd){Ud.state=Yd,_d.emit("inner-kill-task",{TaskId:qd,toState:Yd});try{var Jd=Ud&&Ud.params&&Ud.params.UploadData.UploadId}catch{}Yd==="canceled"&&Jd&&rd.removeUsing(Jd),Rd(),Vd&&(Ed--,Id()),Yd==="canceled"&&(Ud.params&&(delete Ud.params.UploadData,delete Ud.params.Body,delete Ud.params),delete Ud.callback)}Nd()}};_d._addTasks=function(Pd){sd.each(Pd,function(qd){_d._addTask(qd.api,qd.params,qd.callback,!0)}),Rd()};var Ld=!0;_d._addTask=function(Pd,qd,Yd,Ud){qd=sd.formatParams(Pd,qd);var Hd=sd.uuid();qd.TaskId=Hd,qd.onTaskReady&&qd.onTaskReady(Hd),qd.TaskReady&&(qd.TaskReady(Hd),Ld&&console.warn('warning: Param "TaskReady" has been deprecated. Please use "onTaskReady" instead.'),Ld=!1);var Vd={params:qd,callback:Yd,api:Pd,index:yd.length,id:Hd,Bucket:qd.Bucket,Region:qd.Region,Key:qd.Key,FilePath:qd.FilePath||"",state:"waiting",loaded:0,size:0,speed:0,percent:0,hashPercent:0,error:null,_custom:qd._custom},Jd=qd.onHashProgress;qd.onHashProgress=function(pf){_d._isRunningTask(Vd.id)&&(Vd.hashPercent=pf.percent,Jd&&Jd(pf),Rd())};var Zd=qd.onProgress;return qd.onProgress=function(pf){_d._isRunningTask(Vd.id)&&(Vd.state==="checking"&&(Vd.state="uploading"),Vd.loaded=pf.loaded,Vd.speed=pf.speed,Vd.percent=pf.percent,Zd&&Zd(pf),Rd())},sd.getFileSize(Pd,qd,function(pf,Xd){if(pf)return Yd(sd.error(pf));gd[Hd]=Vd,yd.push(Vd),Vd.size=Xd,!Ud&&Rd(),Id(),Nd()}),Hd},_d._isRunningTask=function(Pd){var qd=gd[Pd];return!!(qd&&(qd.state==="checking"||qd.state==="uploading"))},_d.getTaskList=function(){return sd.map(yd,kd)},_d.cancelTask=function(Pd){Md(Pd,"canceled")},_d.pauseTask=function(Pd){Md(Pd,"paused")},_d.restartTask=function(Pd){var qd=gd[Pd];qd&&(qd.state==="paused"||qd.state==="error")&&(qd.state="waiting",Rd(),Td=Math.min(Td,qd.index),Id())},_d.isUploadRunning=function(){return Ed||Td<yd.length}};Ja.exports.transferToTaskMethod=ld,Ja.exports.init=cd},"./src/tracker.js":function(Ja,ed,td){var rd=td("./node_modules/@babel/runtime/helpers/classCallCheck.js"),sd=td("./node_modules/@babel/runtime/helpers/createClass.js"),od=td("./node_modules/@babel/runtime/helpers/typeof.js"),ld=td("./package.json"),cd=null,ud=function(Yd,Ud){if(!cd){if(typeof Yd!="function")throw new Error("Beacon not found");cd=new Yd({appkey:"0WEB05PY6MHRGK0U",versionCode:ld.version,channelID:"js_sdk",openid:"openid",unionid:"unid",strictMode:!1,delay:Ud,sessionDuration:60*1e3})}return cd},_d=function(Yd){return!Yd||Yd<0?0:(Yd/1e3).toFixed(3)},yd={getUid:function(){var Yd=function(){return((1+Math.random())*65536|0).toString(16).substring(1)};return Yd()+Yd()+"-"+Yd()+"-"+Yd()+"-"+Yd()+"-"+Yd()+Yd()+Yd()},getNetType:function(){if((typeof navigator>"u"?"undefined":od(navigator))==="object"){var Yd=navigator.connection||navigator.mozConnection||navigator.webkitConnection;return(Yd==null?void 0:Yd.type)||(Yd==null?void 0:Yd.effectiveType)||"unknown"}return"unknown"},getProtocol:function(){return(typeof location>"u"?"undefined":od(location))==="object"?location.protocol.replace(/:/,""):"unknown protocol"},getOsType:function(){if((typeof navigator>"u"?"undefined":od(navigator))!=="object")return"unknown os";var Yd=navigator.userAgent.toLowerCase(),Ud=/macintosh|mac os x/i.test(navigator.userAgent);return Yd.indexOf("win32")>=0||Yd.indexOf("wow32")>=0?"win32":Yd.indexOf("win64")>=0||Yd.indexOf("wow64")>=0?"win64":Ud?"mac":"unknown os"},isMobile:function(){var Yd=/(phone|pad|pod|iPhone|iPod|ios|iPad|Android|Mobile|BlackBerry|IEMobile|MQQBrowser|JUC|Fennec|wOSBrowser|BrowserNG|WebOS|Symbian|Windows Phone)/i;return!!((typeof navigator>"u"?"undefined":od(navigator))==="object"&&navigator.userAgent.match(Yd))},isAndroid:function(){var Yd=/(Android|Adr|Linux)/i;return!!((typeof navigator>"u"?"undefined":od(navigator))==="object"&&navigator.userAgent.match(Yd))},isIOS:function(){var Yd=/(iPhone|iPod|iPad|iOS)/i;return!!((typeof navigator>"u"?"undefined":od(navigator))==="object"&&navigator.userAgent.match(Yd))},isOtherMobile:function(){return gd&&!isAndroid&&!isIOS},getUA:function(){if((typeof navigator>"u"?"undefined":od(navigator))!=="object")return"unknown device";var Yd=navigator.userAgent;return Yd}},gd=yd.isMobile(),Ed=yd.isAndroid()?"android":yd.isIOS?"ios":"other_mobile",Td=yd.getOsType(),kd=gd?Ed:Td,Rd=yd.getUA(),Nd=yd.getProtocol(),Id=function(Yd){return["putObject","sliceUploadFile","uploadFile","uploadFiles"].includes(Yd)?"UploadTask":Yd==="getObject"?"DownloadTask":["putObjectCopy","sliceCopyFile"].includes(Yd)?"CopyTask":Yd};function Md(qd){return qd.replace(/([A-Z])/g,"_$1").toLowerCase()}function Ld(qd){var Yd={},Ud=["sdkVersionName","sdkVersionCode","osName","networkType","requestName","requestResult","bucket","region","appid","accelerate","url","host","requestPath","userAgent","networkProtocol","httpMethod","httpSize","httpSpeed","httpTookTime","httpMd5","httpSign","httpFullTime","httpDomain","partNumber","httpRetryTimes","customId","traceId","realApi"],Hd=[].concat(Ud,["errorNode","errorCode","errorName","errorMessage","errorRequestId","errorHttpCode","errorServiceName","errorType","fullError"]),Vd=qd.requestResult==="Success"?Ud:Hd;for(var Jd in qd)if(Vd.includes(Jd)){var Zd=Md(Jd);Yd[Zd]=qd[Jd]}return Yd.request_name=qd.realApi?Id(qd.realApi):qd.requestName,Yd}var Pd=function(){function qd(Yd){rd(this,qd);var Ud=Yd.parent,Hd=Yd.traceId,Vd=Yd.bucket,Jd=Yd.region,Zd=Yd.apiName,pf=Yd.realApi,Xd=Yd.httpMethod,hf=Yd.fileKey,_f=Yd.fileSize,xf=Yd.accelerate,Lf=Yd.customId,Wf=Yd.delay,Yf=Yd.deepTracker,If=Yd.Beacon,Sf=Yd.clsReporter,wf=Vd&&Vd.substr(Vd.lastIndexOf("-")+1)||"";this.parent=Ud,this.deepTracker=Yf,this.delay=Wf,Sf&&!this.clsReporter&&(this.clsReporter=Sf),this.params={sdkVersionName:"cos-js-sdk-v5",sdkVersionCode:ld.version,osName:kd,networkType:"",requestName:Zd||"",requestResult:"",realApi:pf,bucket:Vd,region:Jd,accelerate:xf,httpMethod:Xd,url:"",host:"",httpDomain:"",requestPath:hf||"",userAgent:Rd,networkProtocol:Nd,errorType:"",errorCode:"",errorName:"",errorMessage:"",errorRequestId:"",errorHttpCode:0,errorServiceName:"",errorNode:"",httpTookTime:0,httpSize:_f||0,httpMd5:0,httpSign:0,httpFullTime:0,httpSpeed:0,md5StartTime:0,md5EndTime:0,signStartTime:0,signEndTime:0,httpStartTime:0,httpEndTime:0,startTime:new Date().getTime(),endTime:0,traceId:Hd||yd.getUid(),appid:wf,partNumber:0,httpRetryTimes:0,customId:Lf||"",partTime:0},If&&(this.beacon=ud(If,Wf))}return sd(qd,[{key:"formatResult",value:function(Ud,Hd){var Vd,Jd,Zd,pf,Xd,hf,_f=new Date().getTime(),xf=yd.getNetType(),Lf=Ud?(Ud==null?void 0:Ud.code)||(Ud==null||(Vd=Ud.error)===null||Vd===void 0?void 0:Vd.code)||(Ud==null||(Jd=Ud.error)===null||Jd===void 0?void 0:Jd.Code):"",Wf=Ud?(Ud==null?void 0:Ud.message)||(Ud==null||(Zd=Ud.error)===null||Zd===void 0?void 0:Zd.message)||(Ud==null||(pf=Ud.error)===null||pf===void 0?void 0:pf.Message):"",Yf=Wf,If=Ud?(Ud==null?void 0:Ud.resource)||(Ud==null||(Xd=Ud.error)===null||Xd===void 0?void 0:Xd.resource)||(Ud==null||(hf=Ud.error)===null||hf===void 0?void 0:hf.Resource):"",Sf=Ud?Ud==null?void 0:Ud.statusCode:Hd.statusCode,wf=Ud?(Ud==null?void 0:Ud.headers)&&(Ud==null?void 0:Ud.headers["x-cos-request-id"]):(Hd==null?void 0:Hd.headers)&&(Hd==null?void 0:Hd.headers["x-cos-request-id"]),Kf=Ud?wf?"Server":"Client":"";this.params.requestName==="getObject"&&(this.params.httpSize=Hd?Hd.headers&&Hd.headers["content-length"]:0);var Gf=this.params.realApi==="sliceUploadFile",gf=this.params.realApi==="sliceCopyFile";if(Gf||gf){var mf=this.params.httpSize/1024/this.params.partTime;Object.assign(this.params,{httpSpeed:mf<0?0:mf.toFixed(3)})}else{var $f=_f-this.params.startTime,zf=this.params.httpEndTime-this.params.httpStartTime,hh=this.params.httpSize/1024/(zf/1e3),Vf=this.params.md5EndTime-this.params.md5StartTime,kf=this.params.signEndTime-this.params.signStartTime;this.parent&&(this.parent.addParamValue("httpTookTime",_d(zf)),this.parent.addParamValue("httpFullTime",_d($f)),this.parent.addParamValue("httpMd5",_d(Vf)),this.parent.addParamValue("httpSign",_d(kf)),["multipartUpload","uploadPartCopy","putObjectCopy"].includes(this.params.requestName)&&this.parent.addParamValue("partTime",_d(zf))),Object.assign(this.params,{httpFullTime:_d($f),httpMd5:_d(Vf),httpSign:_d(kf),httpTookTime:_d(zf),httpSpeed:hh<0?0:hh.toFixed(3)})}if(Object.assign(this.params,{networkType:xf,requestResult:Ud?"Failure":"Success",errorType:Kf,errorCode:Lf,errorHttpCode:Sf,errorName:Yf,errorMessage:Wf,errorServiceName:If,errorRequestId:wf}),Ud&&(!Lf||!Wf)&&(this.params.fullError=Ud?JSON.stringify(Ud):""),this.params.url){try{var Jf=/^http(s)?:\/\/(.*?)\//.exec(this.params.url);this.params.host=Jf[2]}catch{this.params.host=this.params.url}this.params.httpDomain=this.params.host}}},{key:"report",value:function(Ud,Hd){if(!(!this.beacon&&!this.clsReporter)){this.formatResult(Ud,Hd);var Vd=Ld(this.params);this.beacon&&this.sendEventsToBeacon(Vd),this.clsReporter&&this.sendEventsToCLS(Vd)}}},{key:"setParams",value:function(Ud){Object.assign(this.params,Ud)}},{key:"addParamValue",value:function(Ud,Hd){this.params[Ud]=(+this.params[Ud]+ +Hd).toFixed(3)}},{key:"sendEventsToBeacon",value:function(Ud){var Hd=this.params.requestName==="sliceUploadFile"||this.params.realApi==="sliceUploadFile";if(!(Hd&&!this.deepTracker)){var Vd="qcloud_track_cos_sdk";this.delay===0?this.beacon&&this.beacon.onDirectUserAction(Vd,Ud):this.beacon&&this.beacon.onUserAction(Vd,Ud)}}},{key:"sendEventsToCLS",value:function(Ud){var Hd=this.delay===0;this.clsReporter.log(Ud,Hd)}},{key:"generateSubTracker",value:function(Ud){return Object.assign(Ud,{parent:this,deepTracker:this.deepTracker,traceId:this.params.traceId,bucket:this.params.bucket,region:this.params.region,accelerate:this.params.accelerate,fileKey:this.params.requestPath,customId:this.params.customId,delay:this.delay,clsReporter:this.clsReporter}),new qd(Ud)}}]),qd}();Ja.exports=Pd},"./src/util.js":function(Ja,ed,td){(function(rd){var sd=td("./node_modules/@babel/runtime/helpers/typeof.js");function od(Rf,Df){var Zf=typeof Symbol<"u"&&Rf[Symbol.iterator]||Rf["@@iterator"];if(!Zf){if(Array.isArray(Rf)||(Zf=ld(Rf))||Df){Zf&&(Rf=Zf);var bh=0,Lh=function(){};return{s:Lh,n:function(){return bh>=Rf.length?{done:!0}:{done:!1,value:Rf[bh++]}},e:function(Gm){throw Gm},f:Lh}}throw new TypeError(`Invalid attempt to iterate non-iterable instance.
|
||
In order to be iterable, non-array objects must have a [Symbol.iterator]() method.`)}var Hh=!0,Xh=!1,gm;return{s:function(){Zf=Zf.call(Rf)},n:function(){var Gm=Zf.next();return Hh=Gm.done,Gm},e:function(Gm){Xh=!0,gm=Gm},f:function(){try{!Hh&&Zf.return!=null&&Zf.return()}finally{if(Xh)throw gm}}}}function ld(Rf,Df){if(Rf){if(typeof Rf=="string")return cd(Rf,Df);var Zf=Object.prototype.toString.call(Rf).slice(8,-1);if(Zf==="Object"&&Rf.constructor&&(Zf=Rf.constructor.name),Zf==="Map"||Zf==="Set")return Array.from(Rf);if(Zf==="Arguments"||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(Zf))return cd(Rf,Df)}}function cd(Rf,Df){(Df==null||Df>Rf.length)&&(Df=Rf.length);for(var Zf=0,bh=new Array(Df);Zf<Df;Zf++)bh[Zf]=Rf[Zf];return bh}var ud=td("./lib/md5.js"),_d=td("./lib/crypto.js"),yd=td("./node_modules/fast-xml-parser/src/fxp.js"),gd=yd.XMLParser,Ed=yd.XMLBuilder,Td=new gd({ignoreDeclaration:!0,ignoreAttributes:!0,parseTagValue:!1}),kd=new Ed,Rd=td("./lib/base64.js"),Nd=td("./src/tracker.js"),Id=function(Df){var Zf=Td.parse(Df);return Zf},Md=function(Df){var Zf=kd.build(Df);return Zf};function Ld(Rf){return encodeURIComponent(Rf).replace(/!/g,"%21").replace(/'/g,"%27").replace(/\(/g,"%28").replace(/\)/g,"%29").replace(/\*/g,"%2A")}function Pd(Rf,Df){var Zf=[];for(var bh in Rf)Rf.hasOwnProperty(bh)&&Zf.push(Df?Ld(bh).toLowerCase():bh);return Zf.sort(function(Lh,Hh){return Lh=Lh.toLowerCase(),Hh=Hh.toLowerCase(),Lh===Hh?0:Lh>Hh?1:-1})}var qd=function(Df,Zf){var bh,Lh,Hh,Xh=[],gm=Pd(Df);for(bh=0;bh<gm.length;bh++)Lh=gm[bh],Hh=Df[Lh]===void 0||Df[Lh]===null?"":""+Df[Lh],Lh=Zf?Ld(Lh).toLowerCase():Ld(Lh),Hh=Ld(Hh)||"",Xh.push(Lh+"="+Hh);return Xh.join("&")},Yd=["cache-control","content-disposition","content-encoding","content-length","content-md5","expect","expires","host","if-match","if-modified-since","if-none-match","if-unmodified-since","origin","range","transfer-encoding","pic-operations"],Ud=function(Df){var Zf={};for(var bh in Df){var Lh=bh.toLowerCase();(Lh.indexOf("x-cos-")>-1||Yd.indexOf(Lh)>-1)&&(Zf[bh]=Df[bh])}return Zf},Hd=function(Df){Df=Df||{};var Zf=Df.SecretId,bh=Df.SecretKey,Lh=Df.KeyTime,Hh=(Df.method||Df.Method||"get").toLowerCase(),Xh=Sf(Df.Query||Df.params||{}),gm=Ud(Sf(Df.Headers||Df.headers||{})),om=Df.Key||"",Gm;Df.UseRawKey?Gm=Df.Pathname||Df.pathname||"/"+om:(Gm=Df.Pathname||Df.pathname||om,Gm.indexOf("/")!==0&&(Gm="/"+Gm));var Fm=Df.ForceSignHost!==!1;if(!gm.Host&&!gm.host&&Df.Bucket&&Df.Region&&Fm&&(gm.Host=Df.Bucket+".cos."+Df.Region+".myqcloud.com"),!Zf)throw new Error("missing param SecretId");if(!bh)throw new Error("missing param SecretKey");var Om=Math.round(Pf(Df.SystemClockOffset)/1e3)-1,Jm=Om,N1=Df.Expires||Df.expires;N1===void 0?Jm+=900:Jm+=N1*1||0;var R1="sha1",x1=Zf,Xm=Lh||Om+";"+Jm,F1=Lh||Om+";"+Jm,y1=Pd(gm,!0).join(";").toLowerCase(),V1=Pd(Xh,!0).join(";").toLowerCase(),Z1=_d.HmacSHA1(F1,bh).toString(),I1=[Hh,Gm,ih.obj2str(Xh,!0),ih.obj2str(gm,!0),""].join(`
|
||
`),O1=["sha1",Xm,_d.SHA1(I1).toString(),""].join(`
|
||
`),jm=_d.HmacSHA1(O1,Z1).toString(),g1=["q-sign-algorithm="+R1,"q-ak="+x1,"q-sign-time="+Xm,"q-key-time="+F1,"q-header-list="+y1,"q-url-param-list="+V1,"q-signature="+jm].join("&");return g1},Vd=function(Df,Zf,bh){var Lh=Zf/8,Hh=Df.slice(bh,bh+Lh);return new Uint8Array(Hh).reverse(),new{8:Uint8Array,16:Uint16Array,32:Uint32Array}[Zf](Hh)[0]},Jd=function(Df,Zf,bh,Lh){var Hh=Df.slice(Zf,bh),Xh="";return new Uint8Array(Hh).forEach(function(gm){Xh+=String.fromCharCode(gm)}),Lh&&(Xh=decodeURIComponent(escape(Xh))),Xh},Zd=function(Df){for(var Zf={},bh=Jd(Df),Lh={records:[]};Df.byteLength;){var Hh=Vd(Df,32,0),Xh=Vd(Df,32,4),gm=Hh-Xh-16,om=0,Gm;for(Df=Df.slice(12);om<Xh;){var Fm=Vd(Df,8,om),Om=Jd(Df,om+1,om+1+Fm),Jm=Vd(Df,16,om+Fm+2),N1=Jd(Df,om+Fm+4,om+Fm+4+Jm);Zf[Om]=N1,om+=Fm+4+Jm}if(Zf[":event-type"]==="Records")Gm=Jd(Df,om,om+gm,!0),Lh.records.push(Gm);else if(Zf[":event-type"]==="Stats")Gm=Jd(Df,om,om+gm,!0),Lh.stats=ih.xml2json(Gm).Stats;else if(Zf[":event-type"]==="error"){var R1=Zf[":error-code"],x1=Zf[":error-message"],Xm=new Error(x1);Xm.message=x1,Xm.name=Xm.code=R1,Lh.error=Xm}Df=Df.slice(om+gm+4)}return{payload:Lh.records.join(""),body:bh}},pf=function(Df){var Zf=this.options.CopySourceParser;if(Zf)return Zf(Df);var bh=Df.match(/^([^.]+-\d+)\.cos(v6|-cdc|-cdz|-internal)?\.([^.]+)\.((myqcloud\.com)|(tencentcos\.cn))\/(.+)$/);return bh?{Bucket:bh[1],Region:bh[3],Key:bh[7]}:null},Xd=function(){},hf=function(Df){var Zf={};for(var bh in Df)Df.hasOwnProperty(bh)&&Df[bh]!==void 0&&Df[bh]!==null&&(Zf[bh]=Df[bh]);return Zf},_f=function(Df,Zf){var bh,Lh=new FileReader;FileReader.prototype.readAsBinaryString?(bh=FileReader.prototype.readAsBinaryString,Lh.onload=function(){Zf(this.result)}):FileReader.prototype.readAsArrayBuffer?bh=function(Xh){var gm="",om=new FileReader;om.onload=function(Gm){for(var Fm=new Uint8Array(om.result),Om=Fm.byteLength,Jm=0;Jm<Om;Jm++)gm+=String.fromCharCode(Fm[Jm]);Zf(gm)},om.readAsArrayBuffer(Xh)}:console.error("FileReader not support readAsBinaryString"),bh.call(Lh,Df)},xf=function(){var Rf=function(bh,Lh){bh=bh.split("."),Lh=Lh.split(".");for(var Hh=0;Hh<Lh.length;Hh++)if(bh[Hh]!==Lh[Hh])return parseInt(bh[Hh])>parseInt(Lh[Hh])?1:-1;return 0},Df=function(bh){if(!bh)return!1;var Lh=(bh.match(/Chrome\/([.\d]+)/)||[])[1],Hh=(bh.match(/QBCore\/([.\d]+)/)||[])[1],Xh=(bh.match(/QQBrowser\/([.\d]+)/)||[])[1],gm=Lh&&Rf(Lh,"53.0.2785.116")<0&&Hh&&Rf(Hh,"3.53.991.400")<0&&Xh&&Rf(Xh,"9.0.2524.400")<=0||!1;return gm};return Df(typeof navigator<"u"&&navigator.userAgent)}(),Lf=function(Df,Zf,bh,Lh,Hh){var Xh;if(Df.slice?Xh=Df.slice(Zf,bh):Df.mozSlice?Xh=Df.mozSlice(Zf,bh):Df.webkitSlice&&(Xh=Df.webkitSlice(Zf,bh)),Lh&&xf){var gm=new FileReader;gm.onload=function(om){Xh=null,Hh(new Blob([gm.result]))},gm.readAsArrayBuffer(Xh)}else Hh(Xh)},Wf=function(Df,Zf,bh,Lh){bh=bh||Xd,Df?typeof Zf=="string"?bh(ih.md5(Zf,!0)):Blob&&Zf instanceof Blob?ih.getFileMd5(Zf,function(Hh,Xh){bh(Xh)},Lh):bh():bh()},Yf=1024*1024,If=function(Df,Zf,bh){var Lh=Df.size,Hh=0,Xh=ud.getCtx(),gm=function om(Gm){if(Gm>=Lh){var Fm=Xh.digest("hex");Zf(null,Fm);return}var Om=Math.min(Lh,Gm+Yf);ih.fileSlice(Df,Gm,Om,!1,function(Jm){_f(Jm,function(N1){Jm=null,Xh=Xh.update(N1,!0),Hh+=N1.length,N1=null,bh&&bh({loaded:Hh,total:Lh,percent:Math.round(Hh/Lh*1e4)/1e4}),om(Gm+Yf)})})};gm(0)};function Sf(Rf){return zf(Rf,function(Df){return sd(Df)==="object"&&Df!==null?Sf(Df):Df})}function wf(Rf,Df,Zf){return Rf&&Df in Rf?Rf[Df]:Zf}function Kf(Rf,Df){return $f(Df,function(Zf,bh){Rf[bh]=Df[bh]}),Rf}function Gf(Rf){return Rf instanceof Array}function gf(Rf,Df){for(var Zf=!1,bh=0;bh<Rf.length;bh++)if(Df===Rf[bh]){Zf=!0;break}return Zf}function mf(Rf){return Gf(Rf)?Rf:[Rf]}function $f(Rf,Df){for(var Zf in Rf)Rf.hasOwnProperty(Zf)&&Df(Rf[Zf],Zf)}function zf(Rf,Df){var Zf=Gf(Rf)?[]:{};for(var bh in Rf)Rf.hasOwnProperty(bh)&&(Zf[bh]=Df(Rf[bh],bh));return Zf}function hh(Rf,Df){var Zf=Gf(Rf),bh=Zf?[]:{};for(var Lh in Rf)Rf.hasOwnProperty(Lh)&&Df(Rf[Lh],Lh)&&(Zf?bh.push(Rf[Lh]):bh[Lh]=Rf[Lh]);return bh}var Vf=function(Df){var Zf,bh,Lh,Hh="";for(Zf=0,bh=Df.length/2;Zf<bh;Zf++)Lh=parseInt(Df[Zf*2]+Df[Zf*2+1],16),Hh+=String.fromCharCode(Lh);return btoa(Hh)},kf=function(){var Df=function(){return((1+Math.random())*65536|0).toString(16).substring(1)};return Df()+Df()+"-"+Df()+"-"+Df()+"-"+Df()+"-"+Df()+Df()+Df()},Jf=function(Df,Zf){var bh=Zf.Bucket,Lh=Zf.Region,Hh=Zf.Key,Xh=this.options.Domain,gm=!Xh||typeof Xh=="string"&&Xh.indexOf("{Bucket}")>-1,om=!Xh||typeof Xh=="string"&&Xh.indexOf("{Region}")>-1;if(Df.indexOf("Bucket")>-1||Df==="deleteMultipleObject"||Df==="multipartList"||Df==="listObjectVersions"){if(gm&&!bh)return"Bucket";if(om&&!Lh)return"Region"}else if(Df.indexOf("Object")>-1||Df.indexOf("multipart")>-1||Df==="sliceUploadFile"||Df==="abortUploadTask"||Df==="uploadFile"){if(gm&&!bh)return"Bucket";if(om&&!Lh)return"Region";if(!Hh)return"Key"}return!1},Ch=function(Df,Zf){if(Zf=Kf({},Zf),Df!=="getAuth"&&Df!=="getV4Auth"&&Df!=="getObjectUrl"){var bh=Zf.Headers||{};if(Zf&&sd(Zf)==="object"){(function(){for(var Hh in Zf)Zf.hasOwnProperty(Hh)&&Hh.indexOf("x-cos-")>-1&&(bh[Hh]=Zf[Hh])})();var Lh={"x-cos-mfa":"MFA","Content-MD5":"ContentMD5","Content-Length":"ContentLength","Content-Type":"ContentType",Expect:"Expect",Expires:"Expires","Cache-Control":"CacheControl","Content-Disposition":"ContentDisposition","Content-Encoding":"ContentEncoding",Range:"Range","If-Modified-Since":"IfModifiedSince","If-Unmodified-Since":"IfUnmodifiedSince","If-Match":"IfMatch","If-None-Match":"IfNoneMatch","x-cos-copy-source":"CopySource","x-cos-copy-source-Range":"CopySourceRange","x-cos-metadata-directive":"MetadataDirective","x-cos-copy-source-If-Modified-Since":"CopySourceIfModifiedSince","x-cos-copy-source-If-Unmodified-Since":"CopySourceIfUnmodifiedSince","x-cos-copy-source-If-Match":"CopySourceIfMatch","x-cos-copy-source-If-None-Match":"CopySourceIfNoneMatch","x-cos-acl":"ACL","x-cos-grant-read":"GrantRead","x-cos-grant-write":"GrantWrite","x-cos-grant-full-control":"GrantFullControl","x-cos-grant-read-acp":"GrantReadAcp","x-cos-grant-write-acp":"GrantWriteAcp","x-cos-storage-class":"StorageClass","x-cos-traffic-limit":"TrafficLimit","x-cos-mime-limit":"MimeLimit","x-cos-server-side-encryption-customer-algorithm":"SSECustomerAlgorithm","x-cos-server-side-encryption-customer-key":"SSECustomerKey","x-cos-server-side-encryption-customer-key-MD5":"SSECustomerKeyMD5","x-cos-server-side-encryption":"ServerSideEncryption","x-cos-server-side-encryption-cos-kms-key-id":"SSEKMSKeyId","x-cos-server-side-encryption-context":"SSEContext","Pic-Operations":"PicOperations","x-cos-callback":"Callback","x-cos-callback-var":"CallbackVar","x-cos-return-body":"ReturnBody"};ih.each(Lh,function(Hh,Xh){Zf[Hh]!==void 0&&(bh[Xh]=Zf[Hh])}),Zf.Headers=hf(bh)}}return Zf},qf=function(Df,Zf){return function(bh,Lh){var Hh=this;typeof bh=="function"&&(Lh=bh,bh={}),bh=Ch(Df,bh);var Xh;if(Hh.options.EnableReporter)if(bh.calledBySdk==="sliceUploadFile"||bh.calledBySdk==="sliceCopyFile")Xh=bh.tracker&&bh.tracker.generateSubTracker({apiName:Df});else if(["uploadFile","uploadFiles"].includes(Df))Xh=null;else{var gm=0;bh.Body&&(gm=typeof bh.Body=="string"?bh.Body.length:bh.Body.size||bh.Body.byteLength||0);var om=Hh.options.UseAccelerate||typeof Hh.options.Domain=="string"&&Hh.options.Domain.includes("accelerate.");Xh=new Nd({Beacon:Hh.options.BeaconReporter,clsReporter:Hh.options.ClsReporter,bucket:bh.Bucket,region:bh.Region,apiName:Df,realApi:Df,accelerate:om,fileKey:bh.Key,fileSize:gm,deepTracker:Hh.options.DeepTracker,customId:Hh.options.CustomId,delay:Hh.options.TrackerDelay})}bh.tracker=Xh;var Gm=function(Xm){return Xm&&Xm.headers&&(Xm.headers["x-cos-request-id"]&&(Xm.RequestId=Xm.headers["x-cos-request-id"]),Xm.headers["x-ci-request-id"]&&(Xm.RequestId=Xm.headers["x-ci-request-id"]),Xm.headers["x-cos-version-id"]&&(Xm.VersionId=Xm.headers["x-cos-version-id"]),Xm.headers["x-cos-delete-marker"]&&(Xm.DeleteMarker=Xm.headers["x-cos-delete-marker"])),Xm},Fm=function(Xm,F1){Xh&&Xh.report(Xm,F1),Lh&&Lh(Gm(Xm),Gm(F1))},Om=function(){if(Df!=="getService"&&Df!=="abortUploadTask"){var Xm=Jf.call(Hh,Df,bh);if(Xm)return"missing param "+Xm;if(bh.Region){if(Hh.options.CompatibilityMode){if(!/^([a-z\d-.]+)$/.test(bh.Region))return"Region format error."}else{if(bh.Region.indexOf("cos.")>-1)return'param Region should not be start with "cos."';if(!/^([a-z\d-]+)$/.test(bh.Region))return"Region format error."}!Hh.options.CompatibilityMode&&bh.Region.indexOf("-")===-1&&bh.Region!=="yfb"&&bh.Region!=="default"&&bh.Region!=="accelerate"&&console.warn("warning: param Region format error, find help here: https://cloud.tencent.com/document/product/436/6224")}if(bh.Bucket){if(!/^([a-z\d-]+)-(\d+)$/.test(bh.Bucket))if(bh.AppId)bh.Bucket=bh.Bucket+"-"+bh.AppId;else if(Hh.options.AppId)bh.Bucket=bh.Bucket+"-"+Hh.options.AppId;else return'Bucket should format as "test-1250000000".';bh.AppId&&(console.warn('warning: AppId has been deprecated, Please put it at the end of parameter Bucket(E.g Bucket:"test-1250000000" ).'),delete bh.AppId)}!Hh.options.UseRawKey&&bh.Key&&bh.Key.substr(0,1)==="/"&&(bh.Key=bh.Key.substr(1))}},Jm=Om(),N1=["getAuth","getObjectUrl"].includes(Df);if(typeof Promise=="function"&&!N1&&!Lh)return new Promise(function(x1,Xm){if(Lh=function(y1,V1){y1?Xm(y1):x1(V1)},Jm)return Fm(ih.error(new Error(Jm)));Zf.call(Hh,bh,Fm)});if(Jm)return Fm(ih.error(new Error(Jm)));var R1=Zf.call(Hh,bh,Fm);if(N1)return R1}},Tf=function(Df,Zf){var bh=this,Lh=0,Hh=0,Xh=Date.now(),gm,om;function Gm(){if(om=0,Zf&&typeof Zf=="function"){gm=Date.now();var Fm=Math.max(0,Math.round((Hh-Lh)/((gm-Xh)/1e3)*100)/100)||0,Om;Hh===0&&Df===0?Om=1:Om=Math.floor(Hh/Df*100)/100||0,Xh=gm,Lh=Hh;try{Zf({loaded:Hh,total:Df,speed:Fm,percent:Om})}catch{}}}return function(Fm,Om){if(Fm&&(Hh=Fm.loaded,Df=Fm.total),Om)clearTimeout(om),Gm();else{if(om)return;om=setTimeout(Gm,bh.options.ProgressInterval)}}},Af=function(Df,Zf,bh){var Lh;if(typeof Zf.Body=="string"?Zf.Body=new Blob([Zf.Body],{type:"text/plain"}):Zf.Body instanceof ArrayBuffer&&(Zf.Body=new Blob([Zf.Body])),Zf.Body&&(Zf.Body instanceof Blob||Zf.Body.toString()==="[object File]"||Zf.Body.toString()==="[object Blob]"))Lh=Zf.Body.size;else{bh(ih.error(new Error("params body format error, Only allow File|Blob|String.")));return}Zf.ContentLength=Lh,bh(null,Lh)},Pf=function(Df){return Date.now()+(Df||0)},gh=function(Df,Zf){var bh=Df;return Df.message=Df.message||null,typeof Zf=="string"?(Df.error=Zf,Df.message=Zf):sd(Zf)==="object"&&Zf!==null&&(Kf(Df,Zf),(Zf.code||Zf.name)&&(Df.code=Zf.code||Zf.name),Zf.message&&(Df.message=Zf.message),Zf.stack&&(Df.stack=Zf.stack)),typeof Object.defineProperty=="function"&&(Object.defineProperty(Df,"name",{writable:!0,enumerable:!1}),Object.defineProperty(Df,"message",{enumerable:!0})),Df.name=Zf&&Zf.name||Df.name||Df.code||"Error",Df.code||(Df.code=Df.name),Df.error||(Df.error=Sf(bh)),Df},Nh=function(){return(typeof globalThis>"u"?"undefined":sd(globalThis))==="object"&&(globalThis.constructor.name==="DedicatedWorkerGlobalScope"||globalThis.FileReaderSync)},dh=function(){return(typeof window>"u"?"undefined":sd(window))!=="object"&&(typeof rd>"u"?"undefined":sd(rd))==="object"&&!0&&!Nh()},$h=function(Df){return/^https?:\/\/([^/]+\.)?ci\.[^/]+/.test(Df)},Rh=function(){if((typeof navigator>"u"?"undefined":sd(navigator))!=="object")return!1;var Rf=navigator.userAgent,Df=!!Rf.match(/\(i[^;]+;( U;)? CPU.+Mac OS X/);return Df}(),jh=function(){return(typeof navigator>"u"?"undefined":sd(navigator))!=="object"?!1:/\sQQ/i.test(navigator.userAgent)}(),Zh=function(Df,Zf){var bh=Rd.encode(Df);return Zf&&(bh=bh.replaceAll("+","-").replaceAll("/","_").replaceAll("=","")),bh},Wh=function(Df){return Df?Rd.decode(Df):""},sm=function(Df){var Zf=Df.split("/"),bh=[],Lh=od(Zf),Hh;try{for(Lh.s();!(Hh=Lh.n()).done;){var Xh=Hh.value;Xh===".."?bh.length&&bh.pop():Xh.length&&Xh!=="."&&bh.push(Xh)}}catch(gm){Lh.e(gm)}finally{Lh.f()}return"/"+bh.join("/")},fm=function(Df){var Zf;if(Df&&typeof Df=="string"){var bh=Df.trim(),Lh=bh.indexOf("<")===0,Hh=bh.indexOf("{")===0;if(Lh)Zf=ih.xml2json(Df)||{};else if(Hh)try{var Xh=Df.replace(/\n/g," "),gm=JSON.parse(Xh);Object.prototype.toString.call(gm)==="[object Object]"?Zf=gm:Zf=Df}catch{Zf=Df}else Zf=Df}else Zf=Df||{};return Zf},ih={noop:Xd,formatParams:Ch,apiWrapper:qf,xml2json:Id,json2xml:Md,md5:ud,clearKey:hf,fileSlice:Lf,getBodyMd5:Wf,getFileMd5:If,b64:Vf,extend:Kf,isArray:Gf,isInArray:gf,makeArray:mf,each:$f,map:zf,filter:hh,clone:Sf,attr:wf,uuid:kf,camSafeUrlEncode:Ld,throttleOnProgress:Tf,getFileSize:Af,getSkewTime:Pf,error:gh,obj2str:qd,getAuth:Hd,parseSelectPayload:Zd,getSourceParams:pf,isBrowser:!0,isNode:dh,isCIHost:$h,isIOS_QQ:Rh&&jh,encodeBase64:Zh,decodeBase64:Wh,simplifyPath:sm,readAsBinaryString:_f,parseResBody:fm};Ja.exports=ih}).call(this,td("./node_modules/process/browser.js"))}})})})(cosJsSdkV5);var cosJsSdkV5Exports=cosJsSdkV5.exports;const COS=getDefaultExportFromCjs(cosJsSdkV5Exports);var toString$1=Object.prototype.toString,isModern=typeof Buffer$2<"u"&&typeof Buffer$2.alloc=="function"&&typeof Buffer$2.allocUnsafe=="function"&&typeof Buffer$2.from=="function";function isArrayBuffer$1(Ra){return toString$1.call(Ra).slice(8,-1)==="ArrayBuffer"}function fromArrayBuffer(Ra,qa,Ja){qa>>>=0;var ed=Ra.byteLength-qa;if(ed<0)throw new RangeError("'offset' is out of bounds");if(Ja===void 0)Ja=ed;else if(Ja>>>=0,Ja>ed)throw new RangeError("'length' is out of bounds");return isModern?Buffer$2.from(Ra.slice(qa,qa+Ja)):new Buffer$2(new Uint8Array(Ra.slice(qa,qa+Ja)))}function fromString(Ra,qa){if((typeof qa!="string"||qa==="")&&(qa="utf8"),!Buffer$2.isEncoding(qa))throw new TypeError('"encoding" must be a valid string encoding');return isModern?Buffer$2.from(Ra,qa):new Buffer$2(Ra,qa)}function bufferFrom(Ra,qa,Ja){if(typeof Ra=="number")throw new TypeError('"value" argument must not be a number');return isArrayBuffer$1(Ra)?fromArrayBuffer(Ra,qa,Ja):typeof Ra=="string"?fromString(Ra,qa):isModern?Buffer$2.from(Ra):new Buffer$2(Ra)}var bufferFrom_1=bufferFrom;const Buffer=getDefaultExportFromCjs(bufferFrom_1);var byteToHex=[];for(var i=0;i<256;++i)byteToHex.push((i+256).toString(16).slice(1));function unsafeStringify(Ra,qa=0){return(byteToHex[Ra[qa+0]]+byteToHex[Ra[qa+1]]+byteToHex[Ra[qa+2]]+byteToHex[Ra[qa+3]]+"-"+byteToHex[Ra[qa+4]]+byteToHex[Ra[qa+5]]+"-"+byteToHex[Ra[qa+6]]+byteToHex[Ra[qa+7]]+"-"+byteToHex[Ra[qa+8]]+byteToHex[Ra[qa+9]]+"-"+byteToHex[Ra[qa+10]]+byteToHex[Ra[qa+11]]+byteToHex[Ra[qa+12]]+byteToHex[Ra[qa+13]]+byteToHex[Ra[qa+14]]+byteToHex[Ra[qa+15]]).toLowerCase()}var getRandomValues,rnds8=new Uint8Array(16);function rng(){if(!getRandomValues&&(getRandomValues=typeof crypto<"u"&&crypto.getRandomValues&&crypto.getRandomValues.bind(crypto),!getRandomValues))throw new Error("crypto.getRandomValues() not supported. See https://github.com/uuidjs/uuid#getrandomvalues-not-supported");return getRandomValues(rnds8)}var randomUUID=typeof crypto<"u"&&crypto.randomUUID&&crypto.randomUUID.bind(crypto);const native={randomUUID};function v4(Ra,qa,Ja){if(native.randomUUID&&!qa&&!Ra)return native.randomUUID();Ra=Ra||{};var ed=Ra.random||(Ra.rng||rng)();if(ed[6]=ed[6]&15|64,ed[8]=ed[8]&63|128,qa){Ja=Ja||0;for(var td=0;td<16;++td)qa[Ja+td]=ed[td];return qa}return unsafeStringify(ed)}var __extends$3=function(){var Ra=function(qa,Ja){return Ra=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(ed,td){ed.__proto__=td}||function(ed,td){for(var rd in td)td.hasOwnProperty(rd)&&(ed[rd]=td[rd])},Ra(qa,Ja)};return function(qa,Ja){Ra(qa,Ja);function ed(){this.constructor=qa}qa.prototype=Ja===null?Object.create(Ja):(ed.prototype=Ja.prototype,new ed)}}(),QiniuErrorName;(function(Ra){Ra.InvalidFile="InvalidFile",Ra.InvalidToken="InvalidToken",Ra.InvalidMetadata="InvalidMetadata",Ra.InvalidChunkSize="InvalidChunkSize",Ra.InvalidCustomVars="InvalidCustomVars",Ra.NotAvailableUploadHost="NotAvailableUploadHost",Ra.ReadCacheFailed="ReadCacheFailed",Ra.InvalidCacheData="InvalidCacheData",Ra.WriteCacheFailed="WriteCacheFailed",Ra.RemoveCacheFailed="RemoveCacheFailed",Ra.GetCanvasContextFailed="GetCanvasContextFailed",Ra.UnsupportedFileType="UnsupportedFileType",Ra.FileReaderReadFailed="FileReaderReadFailed",Ra.NotAvailableXMLHttpRequest="NotAvailableXMLHttpRequest",Ra.InvalidProgressEventTarget="InvalidProgressEventTarget",Ra.RequestError="RequestError"})(QiniuErrorName||(QiniuErrorName={}));var QiniuError=function(){function Ra(qa,Ja){this.name=qa,this.message=Ja,this.stack=new Error().stack}return Ra}(),QiniuRequestError=function(Ra){__extends$3(qa,Ra);function qa(Ja,ed,td,rd){var sd=Ra.call(this,QiniuErrorName.RequestError,td)||this;return sd.code=Ja,sd.reqId=ed,sd.isRequestError=!0,sd.data=rd,sd}return qa}(QiniuError),QiniuNetworkError=function(Ra){__extends$3(qa,Ra);function qa(Ja,ed){return ed===void 0&&(ed=""),Ra.call(this,0,ed,Ja)||this}return qa}(QiniuRequestError),Pool=function(){function Ra(qa,Ja){this.runTask=qa,this.limit=Ja,this.aborted=!1,this.queue=[],this.processing=[]}return Ra.prototype.enqueue=function(qa){var Ja=this;return new Promise(function(ed,td){Ja.queue.push({task:qa,resolve:ed,reject:td}),Ja.check()})},Ra.prototype.run=function(qa){var Ja=this;this.queue=this.queue.filter(function(ed){return ed!==qa}),this.processing.push(qa),this.runTask(qa.task).then(function(){Ja.processing=Ja.processing.filter(function(ed){return ed!==qa}),qa.resolve(),Ja.check()},function(ed){return qa.reject(ed)})},Ra.prototype.check=function(){var qa=this;if(!this.aborted){var Ja=this.processing.length,ed=this.limit-Ja;this.queue.slice(0,ed).forEach(function(td){qa.run(td)})}},Ra.prototype.abort=function(){this.queue=[],this.aborted=!0},Ra}(),__extends$2=function(){var Ra=function(qa,Ja){return Ra=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(ed,td){ed.__proto__=td}||function(ed,td){for(var rd in td)td.hasOwnProperty(rd)&&(ed[rd]=td[rd])},Ra(qa,Ja)};return function(qa,Ja){Ra(qa,Ja);function ed(){this.constructor=qa}qa.prototype=Ja===null?Object.create(Ja):(ed.prototype=Ja.prototype,new ed)}}(),__assign$6=function(){return __assign$6=Object.assign||function(Ra){for(var qa,Ja=1,ed=arguments.length;Ja<ed;Ja++){qa=arguments[Ja];for(var td in qa)Object.prototype.hasOwnProperty.call(qa,td)&&(Ra[td]=qa[td])}return Ra},__assign$6.apply(this,arguments)},Subscription=function(){function Ra(){this.closed=!1}return Ra.prototype.unsubscribe=function(){this.closed||(this.closed=!0,this._unsubscribe&&this._unsubscribe())},Ra.prototype.add=function(qa){this._unsubscribe=qa},Ra}(),Subscriber=function(Ra){__extends$2(qa,Ra);function qa(Ja,ed,td){var rd=Ra.call(this)||this;return rd.isStopped=!1,Ja&&typeof Ja=="object"?rd.destination=Ja:rd.destination=__assign$6(__assign$6(__assign$6({},Ja&&{next:Ja}),ed&&{error:ed}),td&&{complete:td}),rd}return qa.prototype.unsubscribe=function(){this.closed||(this.isStopped=!0,Ra.prototype.unsubscribe.call(this))},qa.prototype.next=function(Ja){!this.isStopped&&this.destination.next&&this.destination.next(Ja)},qa.prototype.error=function(Ja){!this.isStopped&&this.destination.error&&(this.isStopped=!0,this.destination.error(Ja))},qa.prototype.complete=function(Ja){!this.isStopped&&this.destination.complete&&(this.isStopped=!0,this.destination.complete(Ja))},qa}(Subscription),Observable=function(){function Ra(qa){this._subscribe=qa}return Ra.prototype.subscribe=function(qa,Ja,ed){var td=new Subscriber(qa,Ja,ed);return td.add(this._subscribe(td)),td},Ra}();function utf8Encode(Ra){if(Ra===null||typeof Ra>"u")return"";var qa=Ra+"",Ja="",ed,td,rd=0;ed=td=0,rd=qa.length;for(var sd=0;sd<rd;sd++){var od=qa.charCodeAt(sd),ld=null;if(od<128)td++;else if(od>127&&od<2048)ld=String.fromCharCode(od>>6|192,od&63|128);else if((od&63488^55296)>0)ld=String.fromCharCode(od>>12|224,od>>6&63|128,od&63|128);else{if((od&64512^55296)>0)throw new RangeError("Unmatched trail surrogate at "+sd);var cd=qa.charCodeAt(++sd);if((cd&64512^56320)>0)throw new RangeError("Unmatched lead surrogate at "+(sd-1));od=((od&1023)<<10)+(cd&1023)+65536,ld=String.fromCharCode(od>>18|240,od>>12&63|128,od>>6&63|128,od&63|128)}ld!==null&&(td>ed&&(Ja+=qa.slice(ed,td)),Ja+=ld,ed=td=sd+1)}return td>ed&&(Ja+=qa.slice(ed,rd)),Ja}function utf8Decode(Ra){var qa=[],Ja=0,ed=0,td=0;for(Ra+="";Ja<Ra.length;){ed=Ra.charCodeAt(Ja)&255,td=0,ed<=191?(ed=ed&127,td=1):ed<=223?(ed=ed&31,td=2):ed<=239?(ed=ed&15,td=3):(ed=ed&7,td=4);for(var rd=1;rd<td;++rd)ed=ed<<6|Ra.charCodeAt(rd+Ja)&63;td===4?(ed-=65536,qa.push(String.fromCharCode(55296|ed>>10&1023)),qa.push(String.fromCharCode(56320|ed&1023))):qa.push(String.fromCharCode(ed)),Ja+=td}return qa.join("")}function base64Encode(Ra){var qa="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=",Ja,ed,td,rd,sd,od,ld,cd,ud=0,_d=0,yd="",gd=[];if(!Ra)return Ra;Ra=utf8Encode(Ra+"");do Ja=Ra.charCodeAt(ud++),ed=Ra.charCodeAt(ud++),td=Ra.charCodeAt(ud++),cd=Ja<<16|ed<<8|td,rd=cd>>18&63,sd=cd>>12&63,od=cd>>6&63,ld=cd&63,gd[_d++]=qa.charAt(rd)+qa.charAt(sd)+qa.charAt(od)+qa.charAt(ld);while(ud<Ra.length);switch(yd=gd.join(""),Ra.length%3){case 1:yd=yd.slice(0,-2)+"==";break;case 2:yd=yd.slice(0,-1)+"=";break}return yd}function base64Decode(Ra){var qa="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=",Ja,ed,td,rd,sd,od,ld,cd,ud=0,_d=0,yd="",gd=[];if(!Ra)return Ra;Ra+="";do rd=qa.indexOf(Ra.charAt(ud++)),sd=qa.indexOf(Ra.charAt(ud++)),od=qa.indexOf(Ra.charAt(ud++)),ld=qa.indexOf(Ra.charAt(ud++)),cd=rd<<18|sd<<12|od<<6|ld,Ja=cd>>16&255,ed=cd>>8&255,td=cd&255,od===64?gd[_d++]=String.fromCharCode(Ja):ld===64?gd[_d++]=String.fromCharCode(Ja,ed):gd[_d++]=String.fromCharCode(Ja,ed,td);while(ud<Ra.length);return yd=gd.join(""),utf8Decode(yd)}function urlSafeBase64Encode(Ra){return Ra=base64Encode(Ra),Ra.replace(/\//g,"_").replace(/\+/g,"-")}function urlSafeBase64Decode(Ra){return Ra=Ra.replace(/_/g,"/").replace(/-/g,"+"),base64Decode(Ra)}var sparkMd5={exports:{}};(function(Ra,qa){(function(Ja){Ra.exports=Ja()})(function(Ja){var ed=["0","1","2","3","4","5","6","7","8","9","a","b","c","d","e","f"];function td(Rd,Nd){var Id=Rd[0],Md=Rd[1],Ld=Rd[2],Pd=Rd[3];Id+=(Md&Ld|~Md&Pd)+Nd[0]-680876936|0,Id=(Id<<7|Id>>>25)+Md|0,Pd+=(Id&Md|~Id&Ld)+Nd[1]-389564586|0,Pd=(Pd<<12|Pd>>>20)+Id|0,Ld+=(Pd&Id|~Pd&Md)+Nd[2]+606105819|0,Ld=(Ld<<17|Ld>>>15)+Pd|0,Md+=(Ld&Pd|~Ld&Id)+Nd[3]-1044525330|0,Md=(Md<<22|Md>>>10)+Ld|0,Id+=(Md&Ld|~Md&Pd)+Nd[4]-176418897|0,Id=(Id<<7|Id>>>25)+Md|0,Pd+=(Id&Md|~Id&Ld)+Nd[5]+1200080426|0,Pd=(Pd<<12|Pd>>>20)+Id|0,Ld+=(Pd&Id|~Pd&Md)+Nd[6]-1473231341|0,Ld=(Ld<<17|Ld>>>15)+Pd|0,Md+=(Ld&Pd|~Ld&Id)+Nd[7]-45705983|0,Md=(Md<<22|Md>>>10)+Ld|0,Id+=(Md&Ld|~Md&Pd)+Nd[8]+1770035416|0,Id=(Id<<7|Id>>>25)+Md|0,Pd+=(Id&Md|~Id&Ld)+Nd[9]-1958414417|0,Pd=(Pd<<12|Pd>>>20)+Id|0,Ld+=(Pd&Id|~Pd&Md)+Nd[10]-42063|0,Ld=(Ld<<17|Ld>>>15)+Pd|0,Md+=(Ld&Pd|~Ld&Id)+Nd[11]-1990404162|0,Md=(Md<<22|Md>>>10)+Ld|0,Id+=(Md&Ld|~Md&Pd)+Nd[12]+1804603682|0,Id=(Id<<7|Id>>>25)+Md|0,Pd+=(Id&Md|~Id&Ld)+Nd[13]-40341101|0,Pd=(Pd<<12|Pd>>>20)+Id|0,Ld+=(Pd&Id|~Pd&Md)+Nd[14]-1502002290|0,Ld=(Ld<<17|Ld>>>15)+Pd|0,Md+=(Ld&Pd|~Ld&Id)+Nd[15]+1236535329|0,Md=(Md<<22|Md>>>10)+Ld|0,Id+=(Md&Pd|Ld&~Pd)+Nd[1]-165796510|0,Id=(Id<<5|Id>>>27)+Md|0,Pd+=(Id&Ld|Md&~Ld)+Nd[6]-1069501632|0,Pd=(Pd<<9|Pd>>>23)+Id|0,Ld+=(Pd&Md|Id&~Md)+Nd[11]+643717713|0,Ld=(Ld<<14|Ld>>>18)+Pd|0,Md+=(Ld&Id|Pd&~Id)+Nd[0]-373897302|0,Md=(Md<<20|Md>>>12)+Ld|0,Id+=(Md&Pd|Ld&~Pd)+Nd[5]-701558691|0,Id=(Id<<5|Id>>>27)+Md|0,Pd+=(Id&Ld|Md&~Ld)+Nd[10]+38016083|0,Pd=(Pd<<9|Pd>>>23)+Id|0,Ld+=(Pd&Md|Id&~Md)+Nd[15]-660478335|0,Ld=(Ld<<14|Ld>>>18)+Pd|0,Md+=(Ld&Id|Pd&~Id)+Nd[4]-405537848|0,Md=(Md<<20|Md>>>12)+Ld|0,Id+=(Md&Pd|Ld&~Pd)+Nd[9]+568446438|0,Id=(Id<<5|Id>>>27)+Md|0,Pd+=(Id&Ld|Md&~Ld)+Nd[14]-1019803690|0,Pd=(Pd<<9|Pd>>>23)+Id|0,Ld+=(Pd&Md|Id&~Md)+Nd[3]-187363961|0,Ld=(Ld<<14|Ld>>>18)+Pd|0,Md+=(Ld&Id|Pd&~Id)+Nd[8]+1163531501|0,Md=(Md<<20|Md>>>12)+Ld|0,Id+=(Md&Pd|Ld&~Pd)+Nd[13]-1444681467|0,Id=(Id<<5|Id>>>27)+Md|0,Pd+=(Id&Ld|Md&~Ld)+Nd[2]-51403784|0,Pd=(Pd<<9|Pd>>>23)+Id|0,Ld+=(Pd&Md|Id&~Md)+Nd[7]+1735328473|0,Ld=(Ld<<14|Ld>>>18)+Pd|0,Md+=(Ld&Id|Pd&~Id)+Nd[12]-1926607734|0,Md=(Md<<20|Md>>>12)+Ld|0,Id+=(Md^Ld^Pd)+Nd[5]-378558|0,Id=(Id<<4|Id>>>28)+Md|0,Pd+=(Id^Md^Ld)+Nd[8]-2022574463|0,Pd=(Pd<<11|Pd>>>21)+Id|0,Ld+=(Pd^Id^Md)+Nd[11]+1839030562|0,Ld=(Ld<<16|Ld>>>16)+Pd|0,Md+=(Ld^Pd^Id)+Nd[14]-35309556|0,Md=(Md<<23|Md>>>9)+Ld|0,Id+=(Md^Ld^Pd)+Nd[1]-1530992060|0,Id=(Id<<4|Id>>>28)+Md|0,Pd+=(Id^Md^Ld)+Nd[4]+1272893353|0,Pd=(Pd<<11|Pd>>>21)+Id|0,Ld+=(Pd^Id^Md)+Nd[7]-155497632|0,Ld=(Ld<<16|Ld>>>16)+Pd|0,Md+=(Ld^Pd^Id)+Nd[10]-1094730640|0,Md=(Md<<23|Md>>>9)+Ld|0,Id+=(Md^Ld^Pd)+Nd[13]+681279174|0,Id=(Id<<4|Id>>>28)+Md|0,Pd+=(Id^Md^Ld)+Nd[0]-358537222|0,Pd=(Pd<<11|Pd>>>21)+Id|0,Ld+=(Pd^Id^Md)+Nd[3]-722521979|0,Ld=(Ld<<16|Ld>>>16)+Pd|0,Md+=(Ld^Pd^Id)+Nd[6]+76029189|0,Md=(Md<<23|Md>>>9)+Ld|0,Id+=(Md^Ld^Pd)+Nd[9]-640364487|0,Id=(Id<<4|Id>>>28)+Md|0,Pd+=(Id^Md^Ld)+Nd[12]-421815835|0,Pd=(Pd<<11|Pd>>>21)+Id|0,Ld+=(Pd^Id^Md)+Nd[15]+530742520|0,Ld=(Ld<<16|Ld>>>16)+Pd|0,Md+=(Ld^Pd^Id)+Nd[2]-995338651|0,Md=(Md<<23|Md>>>9)+Ld|0,Id+=(Ld^(Md|~Pd))+Nd[0]-198630844|0,Id=(Id<<6|Id>>>26)+Md|0,Pd+=(Md^(Id|~Ld))+Nd[7]+1126891415|0,Pd=(Pd<<10|Pd>>>22)+Id|0,Ld+=(Id^(Pd|~Md))+Nd[14]-1416354905|0,Ld=(Ld<<15|Ld>>>17)+Pd|0,Md+=(Pd^(Ld|~Id))+Nd[5]-57434055|0,Md=(Md<<21|Md>>>11)+Ld|0,Id+=(Ld^(Md|~Pd))+Nd[12]+1700485571|0,Id=(Id<<6|Id>>>26)+Md|0,Pd+=(Md^(Id|~Ld))+Nd[3]-1894986606|0,Pd=(Pd<<10|Pd>>>22)+Id|0,Ld+=(Id^(Pd|~Md))+Nd[10]-1051523|0,Ld=(Ld<<15|Ld>>>17)+Pd|0,Md+=(Pd^(Ld|~Id))+Nd[1]-2054922799|0,Md=(Md<<21|Md>>>11)+Ld|0,Id+=(Ld^(Md|~Pd))+Nd[8]+1873313359|0,Id=(Id<<6|Id>>>26)+Md|0,Pd+=(Md^(Id|~Ld))+Nd[15]-30611744|0,Pd=(Pd<<10|Pd>>>22)+Id|0,Ld+=(Id^(Pd|~Md))+Nd[6]-1560198380|0,Ld=(Ld<<15|Ld>>>17)+Pd|0,Md+=(Pd^(Ld|~Id))+Nd[13]+1309151649|0,Md=(Md<<21|Md>>>11)+Ld|0,Id+=(Ld^(Md|~Pd))+Nd[4]-145523070|0,Id=(Id<<6|Id>>>26)+Md|0,Pd+=(Md^(Id|~Ld))+Nd[11]-1120210379|0,Pd=(Pd<<10|Pd>>>22)+Id|0,Ld+=(Id^(Pd|~Md))+Nd[2]+718787259|0,Ld=(Ld<<15|Ld>>>17)+Pd|0,Md+=(Pd^(Ld|~Id))+Nd[9]-343485551|0,Md=(Md<<21|Md>>>11)+Ld|0,Rd[0]=Id+Rd[0]|0,Rd[1]=Md+Rd[1]|0,Rd[2]=Ld+Rd[2]|0,Rd[3]=Pd+Rd[3]|0}function rd(Rd){var Nd=[],Id;for(Id=0;Id<64;Id+=4)Nd[Id>>2]=Rd.charCodeAt(Id)+(Rd.charCodeAt(Id+1)<<8)+(Rd.charCodeAt(Id+2)<<16)+(Rd.charCodeAt(Id+3)<<24);return Nd}function sd(Rd){var Nd=[],Id;for(Id=0;Id<64;Id+=4)Nd[Id>>2]=Rd[Id]+(Rd[Id+1]<<8)+(Rd[Id+2]<<16)+(Rd[Id+3]<<24);return Nd}function od(Rd){var Nd=Rd.length,Id=[1732584193,-271733879,-1732584194,271733878],Md,Ld,Pd,qd,Yd,Ud;for(Md=64;Md<=Nd;Md+=64)td(Id,rd(Rd.substring(Md-64,Md)));for(Rd=Rd.substring(Md-64),Ld=Rd.length,Pd=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],Md=0;Md<Ld;Md+=1)Pd[Md>>2]|=Rd.charCodeAt(Md)<<(Md%4<<3);if(Pd[Md>>2]|=128<<(Md%4<<3),Md>55)for(td(Id,Pd),Md=0;Md<16;Md+=1)Pd[Md]=0;return qd=Nd*8,qd=qd.toString(16).match(/(.*?)(.{0,8})$/),Yd=parseInt(qd[2],16),Ud=parseInt(qd[1],16)||0,Pd[14]=Yd,Pd[15]=Ud,td(Id,Pd),Id}function ld(Rd){var Nd=Rd.length,Id=[1732584193,-271733879,-1732584194,271733878],Md,Ld,Pd,qd,Yd,Ud;for(Md=64;Md<=Nd;Md+=64)td(Id,sd(Rd.subarray(Md-64,Md)));for(Rd=Md-64<Nd?Rd.subarray(Md-64):new Uint8Array(0),Ld=Rd.length,Pd=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],Md=0;Md<Ld;Md+=1)Pd[Md>>2]|=Rd[Md]<<(Md%4<<3);if(Pd[Md>>2]|=128<<(Md%4<<3),Md>55)for(td(Id,Pd),Md=0;Md<16;Md+=1)Pd[Md]=0;return qd=Nd*8,qd=qd.toString(16).match(/(.*?)(.{0,8})$/),Yd=parseInt(qd[2],16),Ud=parseInt(qd[1],16)||0,Pd[14]=Yd,Pd[15]=Ud,td(Id,Pd),Id}function cd(Rd){var Nd="",Id;for(Id=0;Id<4;Id+=1)Nd+=ed[Rd>>Id*8+4&15]+ed[Rd>>Id*8&15];return Nd}function ud(Rd){var Nd;for(Nd=0;Nd<Rd.length;Nd+=1)Rd[Nd]=cd(Rd[Nd]);return Rd.join("")}ud(od("hello")),typeof ArrayBuffer<"u"&&!ArrayBuffer.prototype.slice&&function(){function Rd(Nd,Id){return Nd=Nd|0||0,Nd<0?Math.max(Nd+Id,0):Math.min(Nd,Id)}ArrayBuffer.prototype.slice=function(Nd,Id){var Md=this.byteLength,Ld=Rd(Nd,Md),Pd=Md,qd,Yd,Ud,Hd;return Id!==Ja&&(Pd=Rd(Id,Md)),Ld>Pd?new ArrayBuffer(0):(qd=Pd-Ld,Yd=new ArrayBuffer(qd),Ud=new Uint8Array(Yd),Hd=new Uint8Array(this,Ld,qd),Ud.set(Hd),Yd)}}();function _d(Rd){return/[\u0080-\uFFFF]/.test(Rd)&&(Rd=unescape(encodeURIComponent(Rd))),Rd}function yd(Rd,Nd){var Id=Rd.length,Md=new ArrayBuffer(Id),Ld=new Uint8Array(Md),Pd;for(Pd=0;Pd<Id;Pd+=1)Ld[Pd]=Rd.charCodeAt(Pd);return Nd?Ld:Md}function gd(Rd){return String.fromCharCode.apply(null,new Uint8Array(Rd))}function Ed(Rd,Nd,Id){var Md=new Uint8Array(Rd.byteLength+Nd.byteLength);return Md.set(new Uint8Array(Rd)),Md.set(new Uint8Array(Nd),Rd.byteLength),Md}function Td(Rd){var Nd=[],Id=Rd.length,Md;for(Md=0;Md<Id-1;Md+=2)Nd.push(parseInt(Rd.substr(Md,2),16));return String.fromCharCode.apply(String,Nd)}function kd(){this.reset()}return kd.prototype.append=function(Rd){return this.appendBinary(_d(Rd)),this},kd.prototype.appendBinary=function(Rd){this._buff+=Rd,this._length+=Rd.length;var Nd=this._buff.length,Id;for(Id=64;Id<=Nd;Id+=64)td(this._hash,rd(this._buff.substring(Id-64,Id)));return this._buff=this._buff.substring(Id-64),this},kd.prototype.end=function(Rd){var Nd=this._buff,Id=Nd.length,Md,Ld=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],Pd;for(Md=0;Md<Id;Md+=1)Ld[Md>>2]|=Nd.charCodeAt(Md)<<(Md%4<<3);return this._finish(Ld,Id),Pd=ud(this._hash),Rd&&(Pd=Td(Pd)),this.reset(),Pd},kd.prototype.reset=function(){return this._buff="",this._length=0,this._hash=[1732584193,-271733879,-1732584194,271733878],this},kd.prototype.getState=function(){return{buff:this._buff,length:this._length,hash:this._hash.slice()}},kd.prototype.setState=function(Rd){return this._buff=Rd.buff,this._length=Rd.length,this._hash=Rd.hash,this},kd.prototype.destroy=function(){delete this._hash,delete this._buff,delete this._length},kd.prototype._finish=function(Rd,Nd){var Id=Nd,Md,Ld,Pd;if(Rd[Id>>2]|=128<<(Id%4<<3),Id>55)for(td(this._hash,Rd),Id=0;Id<16;Id+=1)Rd[Id]=0;Md=this._length*8,Md=Md.toString(16).match(/(.*?)(.{0,8})$/),Ld=parseInt(Md[2],16),Pd=parseInt(Md[1],16)||0,Rd[14]=Ld,Rd[15]=Pd,td(this._hash,Rd)},kd.hash=function(Rd,Nd){return kd.hashBinary(_d(Rd),Nd)},kd.hashBinary=function(Rd,Nd){var Id=od(Rd),Md=ud(Id);return Nd?Td(Md):Md},kd.ArrayBuffer=function(){this.reset()},kd.ArrayBuffer.prototype.append=function(Rd){var Nd=Ed(this._buff.buffer,Rd),Id=Nd.length,Md;for(this._length+=Rd.byteLength,Md=64;Md<=Id;Md+=64)td(this._hash,sd(Nd.subarray(Md-64,Md)));return this._buff=Md-64<Id?new Uint8Array(Nd.buffer.slice(Md-64)):new Uint8Array(0),this},kd.ArrayBuffer.prototype.end=function(Rd){var Nd=this._buff,Id=Nd.length,Md=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],Ld,Pd;for(Ld=0;Ld<Id;Ld+=1)Md[Ld>>2]|=Nd[Ld]<<(Ld%4<<3);return this._finish(Md,Id),Pd=ud(this._hash),Rd&&(Pd=Td(Pd)),this.reset(),Pd},kd.ArrayBuffer.prototype.reset=function(){return this._buff=new Uint8Array(0),this._length=0,this._hash=[1732584193,-271733879,-1732584194,271733878],this},kd.ArrayBuffer.prototype.getState=function(){var Rd=kd.prototype.getState.call(this);return Rd.buff=gd(Rd.buff),Rd},kd.ArrayBuffer.prototype.setState=function(Rd){return Rd.buff=yd(Rd.buff,!0),kd.prototype.setState.call(this,Rd)},kd.ArrayBuffer.prototype.destroy=kd.prototype.destroy,kd.ArrayBuffer.prototype._finish=kd.prototype._finish,kd.ArrayBuffer.hash=function(Rd,Nd){var Id=ld(new Uint8Array(Rd)),Md=ud(Id);return Nd?Td(Md):Md},kd})})(sparkMd5);var sparkMd5Exports=sparkMd5.exports;const SparkMD5=getDefaultExportFromCjs(sparkMd5Exports);var __assign$5=function(){return __assign$5=Object.assign||function(Ra){for(var qa,Ja=1,ed=arguments.length;Ja<ed;Ja++){qa=arguments[Ja];for(var td in qa)Object.prototype.hasOwnProperty.call(qa,td)&&(Ra[td]=qa[td])}return Ra},__assign$5.apply(this,arguments)},__awaiter$7=function(Ra,qa,Ja,ed){function td(rd){return rd instanceof Ja?rd:new Ja(function(sd){sd(rd)})}return new(Ja||(Ja=Promise))(function(rd,sd){function od(ud){try{cd(ed.next(ud))}catch(_d){sd(_d)}}function ld(ud){try{cd(ed.throw(ud))}catch(_d){sd(_d)}}function cd(ud){ud.done?rd(ud.value):td(ud.value).then(od,ld)}cd((ed=ed.apply(Ra,qa||[])).next())})},__generator$7=function(Ra,qa){var Ja={label:0,sent:function(){if(rd[0]&1)throw rd[1];return rd[1]},trys:[],ops:[]},ed,td,rd,sd;return sd={next:od(0),throw:od(1),return:od(2)},typeof Symbol=="function"&&(sd[Symbol.iterator]=function(){return this}),sd;function od(cd){return function(ud){return ld([cd,ud])}}function ld(cd){if(ed)throw new TypeError("Generator is already executing.");for(;Ja;)try{if(ed=1,td&&(rd=cd[0]&2?td.return:cd[0]?td.throw||((rd=td.return)&&rd.call(td),0):td.next)&&!(rd=rd.call(td,cd[1])).done)return rd;switch(td=0,rd&&(cd=[cd[0]&2,rd.value]),cd[0]){case 0:case 1:rd=cd;break;case 4:return Ja.label++,{value:cd[1],done:!1};case 5:Ja.label++,td=cd[1],cd=[0];continue;case 7:cd=Ja.ops.pop(),Ja.trys.pop();continue;default:if(rd=Ja.trys,!(rd=rd.length>0&&rd[rd.length-1])&&(cd[0]===6||cd[0]===2)){Ja=0;continue}if(cd[0]===3&&(!rd||cd[1]>rd[0]&&cd[1]<rd[3])){Ja.label=cd[1];break}if(cd[0]===6&&Ja.label<rd[1]){Ja.label=rd[1],rd=cd;break}if(rd&&Ja.label<rd[2]){Ja.label=rd[2],Ja.ops.push(cd);break}rd[2]&&Ja.ops.pop(),Ja.trys.pop();continue}cd=qa.call(Ra,Ja)}catch(ud){cd=[6,ud],td=0}finally{ed=rd=0}if(cd[0]&5)throw cd[1];return{value:cd[0]?cd[1]:void 0,done:!0}}},MB=Math.pow(1024,2);function getChunks(Ra,qa){var Ja=qa*MB;if(Ja>Ra.size)Ja=Ra.size;else for(;Ra.size>Ja*1e4;)Ja*=2;for(var ed=[],td=Math.ceil(Ra.size/Ja),rd=0;rd<td;rd++){var sd=Ra.slice(Ja*rd,rd===td-1?Ra.size:Ja*(rd+1));ed.push(sd)}return ed}function isMetaDataValid(Ra){return Object.keys(Ra).every(function(qa){return qa.indexOf("x-qn-meta-")===0})}function isCustomVarsValid(Ra){return Object.keys(Ra).every(function(qa){return qa.indexOf("x:")===0})}function sum(Ra){return Ra.reduce(function(qa,Ja){return qa+Ja},0)}function setLocalFileInfo(Ra,qa,Ja){try{localStorage.setItem(Ra,JSON.stringify(qa))}catch{Ja.warn(new QiniuError(QiniuErrorName.WriteCacheFailed,"setLocalFileInfo failed: "+Ra))}}function createLocalKey(Ra,qa,Ja){var ed=qa==null?"_":"_key_"+qa+"_";return"qiniu_js_sdk_upload_file_name_"+Ra+ed+"size_"+Ja}function removeLocalFileInfo(Ra,qa){try{localStorage.removeItem(Ra)}catch{qa.warn(new QiniuError(QiniuErrorName.RemoveCacheFailed,"removeLocalFileInfo failed. key: "+Ra))}}function getLocalFileInfo(Ra,qa){var Ja=null;try{Ja=localStorage.getItem(Ra)}catch{qa.warn(new QiniuError(QiniuErrorName.ReadCacheFailed,"getLocalFileInfo failed. key: "+Ra))}if(Ja==null)return null;var ed=null;try{ed=JSON.parse(Ja)}catch{removeLocalFileInfo(Ra,qa),qa.warn(new QiniuError(QiniuErrorName.InvalidCacheData,"getLocalFileInfo failed to parse. key: "+Ra))}return ed}function getAuthHeaders(Ra){var qa="UpToken "+Ra;return{Authorization:qa}}function getHeadersForChunkUpload(Ra){var qa=getAuthHeaders(Ra);return __assign$5({"content-type":"application/octet-stream"},qa)}function getHeadersForMkFile(Ra){var qa=getAuthHeaders(Ra);return __assign$5({"content-type":"application/json"},qa)}function createXHR(){if(window.XMLHttpRequest)return new XMLHttpRequest;if(window.ActiveXObject)return new window.ActiveXObject("Microsoft.XMLHTTP");throw new QiniuError(QiniuErrorName.NotAvailableXMLHttpRequest,"the current environment does not support.")}function computeMd5(Ra){return __awaiter$7(this,void 0,void 0,function(){var qa,Ja;return __generator$7(this,function(ed){switch(ed.label){case 0:return[4,readAsArrayBuffer(Ra)];case 1:return qa=ed.sent(),Ja=new SparkMD5.ArrayBuffer,Ja.append(qa),[2,Ja.end()]}})})}function readAsArrayBuffer(Ra){return new Promise(function(qa,Ja){var ed=new FileReader;ed.onload=function(td){if(td.target){var rd=td.target.result;qa(rd)}else Ja(new QiniuError(QiniuErrorName.InvalidProgressEventTarget,"progress event target is undefined"))},ed.onerror=function(){Ja(new QiniuError(QiniuErrorName.FileReaderReadFailed,"fileReader read failed"))},ed.readAsArrayBuffer(Ra)})}function request(Ra,qa){return new Promise(function(Ja,ed){var td=createXHR();if(td.open(qa.method,Ra),qa.onCreate&&qa.onCreate(td),qa.headers){var rd=qa.headers;Object.keys(rd).forEach(function(sd){td.setRequestHeader(sd,rd[sd])})}td.upload.addEventListener("progress",function(sd){sd.lengthComputable&&qa.onProgress&&qa.onProgress({loaded:sd.loaded,total:sd.total})}),td.onreadystatechange=function(){var sd=td.responseText;if(td.readyState===4){var od=td.getResponseHeader("x-reqId")||"";if(td.status===0){ed(new QiniuNetworkError("network error.",od));return}if(td.status!==200){var ld="xhr request failed, code: "+td.status;sd&&(ld+=" response: "+sd);var cd=void 0;try{cd=JSON.parse(sd)}catch{}ed(new QiniuRequestError(td.status,od,ld,cd));return}try{Ja({data:JSON.parse(sd),reqId:od})}catch(ud){ed(ud)}}},td.send(qa.body)})}function getPortFromUrl(Ra){if(Ra&&Ra.match){var qa=Ra.match(/(^https?)/);if(!qa)return"";var Ja=qa[1];return qa=Ra.match(/^https?:\/\/([^:^/]*):(\d*)/),qa?qa[2]:Ja==="http"?"80":"443"}return""}function getDomainFromUrl(Ra){if(Ra&&Ra.match){var qa=Ra.match(/^https?:\/\/([^:^/]*)/);return qa?qa[1]:""}return""}function getPutPolicy(Ra){if(!Ra)throw new QiniuError(QiniuErrorName.InvalidToken,"invalid token.");var qa=Ra.split(":");if(qa.length===1)throw new QiniuError(QiniuErrorName.InvalidToken,"invalid token segments.");var Ja=qa.length>3?qa[1]:qa[0];if(!Ja)throw new QiniuError(QiniuErrorName.InvalidToken,"missing assess key field.");var ed=null;try{ed=JSON.parse(urlSafeBase64Decode(qa[qa.length-1]))}catch{throw new QiniuError(QiniuErrorName.InvalidToken,"token parse failed.")}if(ed==null)throw new QiniuError(QiniuErrorName.InvalidToken,"putPolicy is null.");if(ed.scope==null)throw new QiniuError(QiniuErrorName.InvalidToken,"scope field is null.");var td=ed.scope.split(":")[0];if(!td)throw new QiniuError(QiniuErrorName.InvalidToken,"resolve bucketName failed.");return{assessKey:Ja,bucketName:td,scope:ed.scope}}function createObjectURL(Ra){var qa=window.URL||window.webkitURL||window.mozURL;return qa.createObjectURL(Ra)}var _a$1,region={z0:"z0",z1:"z1",z2:"z2",na0:"na0",as0:"as0",cnEast2:"cn-east-2"},regionUphostMap=(_a$1={},_a$1[region.z0]={srcUphost:["up.qiniup.com"],cdnUphost:["upload.qiniup.com"]},_a$1[region.z1]={srcUphost:["up-z1.qiniup.com"],cdnUphost:["upload-z1.qiniup.com"]},_a$1[region.z2]={srcUphost:["up-z2.qiniup.com"],cdnUphost:["upload-z2.qiniup.com"]},_a$1[region.na0]={srcUphost:["up-na0.qiniup.com"],cdnUphost:["upload-na0.qiniup.com"]},_a$1[region.as0]={srcUphost:["up-as0.qiniup.com"],cdnUphost:["upload-as0.qiniup.com"]},_a$1[region.cnEast2]={srcUphost:["up-cn-east-2.qiniup.com"],cdnUphost:["upload-cn-east-2.qiniup.com"]},_a$1),stringifyPrimitive=function(Ra){switch(typeof Ra){case"string":return Ra;case"boolean":return Ra?"true":"false";case"number":return isFinite(Ra)?Ra:"";default:return""}},encode$2=function(Ra,qa,Ja,ed){return qa=qa||"&",Ja=Ja||"=",Ra===null&&(Ra=void 0),typeof Ra=="object"?Object.keys(Ra).map(function(td){var rd=encodeURIComponent(stringifyPrimitive(td))+Ja;return Array.isArray(Ra[td])?Ra[td].map(function(sd){return rd+encodeURIComponent(stringifyPrimitive(sd))}).join(qa):rd+encodeURIComponent(stringifyPrimitive(Ra[td]))}).filter(Boolean).join(qa):ed?encodeURIComponent(stringifyPrimitive(ed))+Ja+encodeURIComponent(stringifyPrimitive(Ra)):""},stringify;stringify=encode$2;var __assign$4=function(){return __assign$4=Object.assign||function(Ra){for(var qa,Ja=1,ed=arguments.length;Ja<ed;Ja++){qa=arguments[Ja];for(var td in qa)Object.prototype.hasOwnProperty.call(qa,td)&&(Ra[td]=qa[td])}return Ra},__assign$4.apply(this,arguments)},__awaiter$6=function(Ra,qa,Ja,ed){function td(rd){return rd instanceof Ja?rd:new Ja(function(sd){sd(rd)})}return new(Ja||(Ja=Promise))(function(rd,sd){function od(ud){try{cd(ed.next(ud))}catch(_d){sd(_d)}}function ld(ud){try{cd(ed.throw(ud))}catch(_d){sd(_d)}}function cd(ud){ud.done?rd(ud.value):td(ud.value).then(od,ld)}cd((ed=ed.apply(Ra,qa||[])).next())})},__generator$6=function(Ra,qa){var Ja={label:0,sent:function(){if(rd[0]&1)throw rd[1];return rd[1]},trys:[],ops:[]},ed,td,rd,sd;return sd={next:od(0),throw:od(1),return:od(2)},typeof Symbol=="function"&&(sd[Symbol.iterator]=function(){return this}),sd;function od(cd){return function(ud){return ld([cd,ud])}}function ld(cd){if(ed)throw new TypeError("Generator is already executing.");for(;Ja;)try{if(ed=1,td&&(rd=cd[0]&2?td.return:cd[0]?td.throw||((rd=td.return)&&rd.call(td),0):td.next)&&!(rd=rd.call(td,cd[1])).done)return rd;switch(td=0,rd&&(cd=[cd[0]&2,rd.value]),cd[0]){case 0:case 1:rd=cd;break;case 4:return Ja.label++,{value:cd[1],done:!1};case 5:Ja.label++,td=cd[1],cd=[0];continue;case 7:cd=Ja.ops.pop(),Ja.trys.pop();continue;default:if(rd=Ja.trys,!(rd=rd.length>0&&rd[rd.length-1])&&(cd[0]===6||cd[0]===2)){Ja=0;continue}if(cd[0]===3&&(!rd||cd[1]>rd[0]&&cd[1]<rd[3])){Ja.label=cd[1];break}if(cd[0]===6&&Ja.label<rd[1]){Ja.label=rd[1],rd=cd;break}if(rd&&Ja.label<rd[2]){Ja.label=rd[2],Ja.ops.push(cd);break}rd[2]&&Ja.ops.pop(),Ja.trys.pop();continue}cd=qa.call(Ra,Ja)}catch(ud){cd=[6,ud],td=0}finally{ed=rd=0}if(cd[0]&5)throw cd[1];return{value:cd[0]?cd[1]:void 0,done:!0}}};function getUpHosts(Ra,qa,Ja){return __awaiter$6(this,void 0,void 0,function(){var ed,td;return __generator$6(this,function(rd){return ed=stringify({ak:Ra,bucket:qa}),td=Ja+"://api.qiniu.com/v2/query?"+ed,[2,request(td,{method:"GET"})]})})}function getBaseUrl(Ra,qa,Ja){var ed=Ja.url,td=Ja.id;return ed+"/buckets/"+Ra+"/objects/"+(qa!=null?urlSafeBase64Encode(qa):"~")+"/uploads/"+td}function initUploadParts(Ra,qa,Ja,ed){var td=ed+"/buckets/"+qa+"/objects/"+(Ja!=null?urlSafeBase64Encode(Ja):"~")+"/uploads";return request(td,{method:"POST",headers:getAuthHeaders(Ra)})}function uploadChunk(Ra,qa,Ja,ed,td){var rd=getPutPolicy(Ra).bucketName,sd=getBaseUrl(rd,qa,ed)+("/"+Ja),od=getHeadersForChunkUpload(Ra);return td.md5&&(od["Content-MD5"]=td.md5),request(sd,__assign$4(__assign$4({},td),{method:"PUT",headers:od}))}function uploadComplete(Ra,qa,Ja,ed){var td=getPutPolicy(Ra).bucketName,rd=getBaseUrl(td,qa,Ja);return request(rd,__assign$4(__assign$4({},ed),{method:"POST",headers:getHeadersForMkFile(Ra)}))}function deleteUploadedChunks(Ra,qa,Ja){var ed=getPutPolicy(Ra).bucketName,td=getBaseUrl(ed,qa,Ja);return request(td,{method:"DELETE",headers:getAuthHeaders(Ra)})}function direct(Ra,qa,Ja){return request(Ra,__assign$4({method:"POST",body:qa},Ja))}function getUploadUrl(Ra,qa){return __awaiter$6(this,void 0,void 0,function(){var Ja,ed,td,rd,sd;return __generator$6(this,function(od){switch(od.label){case 0:return Ja=normalizeUploadConfig(Ra),ed=Ja.upprotocol,Ja.uphost.length>0?[2,ed+"://"+Ja.uphost[0]]:(td=getPutPolicy(qa),[4,getUpHosts(td.assessKey,td.bucketName,ed)]);case 1:return rd=od.sent(),sd=rd.data.up.acc.main,[2,ed+"://"+sd[0]]}})})}var __assign$3=function(){return __assign$3=Object.assign||function(Ra){for(var qa,Ja=1,ed=arguments.length;Ja<ed;Ja++){qa=arguments[Ja];for(var td in qa)Object.prototype.hasOwnProperty.call(qa,td)&&(Ra[td]=qa[td])}return Ra},__assign$3.apply(this,arguments)},__awaiter$5=function(Ra,qa,Ja,ed){function td(rd){return rd instanceof Ja?rd:new Ja(function(sd){sd(rd)})}return new(Ja||(Ja=Promise))(function(rd,sd){function od(ud){try{cd(ed.next(ud))}catch(_d){sd(_d)}}function ld(ud){try{cd(ed.throw(ud))}catch(_d){sd(_d)}}function cd(ud){ud.done?rd(ud.value):td(ud.value).then(od,ld)}cd((ed=ed.apply(Ra,qa||[])).next())})},__generator$5=function(Ra,qa){var Ja={label:0,sent:function(){if(rd[0]&1)throw rd[1];return rd[1]},trys:[],ops:[]},ed,td,rd,sd;return sd={next:od(0),throw:od(1),return:od(2)},typeof Symbol=="function"&&(sd[Symbol.iterator]=function(){return this}),sd;function od(cd){return function(ud){return ld([cd,ud])}}function ld(cd){if(ed)throw new TypeError("Generator is already executing.");for(;Ja;)try{if(ed=1,td&&(rd=cd[0]&2?td.return:cd[0]?td.throw||((rd=td.return)&&rd.call(td),0):td.next)&&!(rd=rd.call(td,cd[1])).done)return rd;switch(td=0,rd&&(cd=[cd[0]&2,rd.value]),cd[0]){case 0:case 1:rd=cd;break;case 4:return Ja.label++,{value:cd[1],done:!1};case 5:Ja.label++,td=cd[1],cd=[0];continue;case 7:cd=Ja.ops.pop(),Ja.trys.pop();continue;default:if(rd=Ja.trys,!(rd=rd.length>0&&rd[rd.length-1])&&(cd[0]===6||cd[0]===2)){Ja=0;continue}if(cd[0]===3&&(!rd||cd[1]>rd[0]&&cd[1]<rd[3])){Ja.label=cd[1];break}if(cd[0]===6&&Ja.label<rd[1]){Ja.label=rd[1],rd=cd;break}if(rd&&Ja.label<rd[2]){Ja.label=rd[2],Ja.ops.push(cd);break}rd[2]&&Ja.ops.pop(),Ja.trys.pop();continue}cd=qa.call(Ra,Ja)}catch(ud){cd=[6,ud],td=0}finally{ed=rd=0}if(cd[0]&5)throw cd[1];return{value:cd[0]?cd[1]:void 0,done:!0}}},__read$3=function(Ra,qa){var Ja=typeof Symbol=="function"&&Ra[Symbol.iterator];if(!Ja)return Ra;var ed=Ja.call(Ra),td,rd=[],sd;try{for(;(qa===void 0||qa-- >0)&&!(td=ed.next()).done;)rd.push(td.value)}catch(od){sd={error:od}}finally{try{td&&!td.done&&(Ja=ed.return)&&Ja.call(ed)}finally{if(sd)throw sd.error}}return rd},__spread$3=function(){for(var Ra=[],qa=0;qa<arguments.length;qa++)Ra=Ra.concat(__read$3(arguments[qa]));return Ra},DEFAULT_CHUNK_SIZE$1=4,FREEZE_CODE_LIST=[0,502,503,504,599],RETRY_CODE_LIST=__spread$3(FREEZE_CODE_LIST,[612]),GB=Math.pow(1024,3),Base=function(){function Ra(qa,Ja,ed,td){this.hostPool=ed,this.logger=td,this.aborted=!1,this.retryCount=0,this.xhrList=[],this.config=qa.config,td.info("config inited.",this.config),this.putExtra=__assign$3({fname:""},qa.putExtra),td.info("putExtra inited.",this.putExtra),this.key=qa.key,this.file=qa.file,this.token=qa.token,this.onData=Ja.onData,this.onError=Ja.onError,this.onComplete=Ja.onComplete;try{var rd=getPutPolicy(this.token);this.bucketName=rd.bucketName,this.assessKey=rd.assessKey}catch(sd){td.error("get putPolicy from token failed.",sd),this.onError(sd)}}return Ra.prototype.checkAndUpdateUploadHost=function(){return __awaiter$5(this,void 0,void 0,function(){var qa;return __generator$5(this,function(Ja){switch(Ja.label){case 0:return this.logger.info("get available upload host."),[4,this.hostPool.getUp(this.assessKey,this.bucketName,this.config.upprotocol)];case 1:if(qa=Ja.sent(),qa==null)throw new QiniuError(QiniuErrorName.NotAvailableUploadHost,"no available upload host.");return this.uploadHost!=null&&this.uploadHost.host!==qa.host?this.logger.warn("host switches from "+this.uploadHost.host+" to "+qa.host+"."):this.logger.info("use host "+qa.host+"."),this.uploadHost=qa,[2]}})})},Ra.prototype.checkAndUnfreezeHost=function(){this.logger.info("check unfreeze host."),this.uploadHost!=null&&this.uploadHost.isFrozen()&&(this.logger.warn(this.uploadHost.host+" will be unfrozen."),this.uploadHost.unfreeze())},Ra.prototype.checkAndFreezeHost=function(qa){this.logger.info("check freeze host."),qa instanceof QiniuRequestError&&this.uploadHost!=null&&FREEZE_CODE_LIST.includes(qa.code)&&(this.logger.warn(this.uploadHost.host+" will be temporarily frozen."),this.uploadHost.freeze())},Ra.prototype.handleError=function(qa){this.logger.error(qa.message),this.onError(qa)},Ra.prototype.putFile=function(){return __awaiter$5(this,void 0,void 0,function(){var qa,Ja,ed,td;return __generator$5(this,function(rd){switch(rd.label){case 0:if(this.aborted=!1,this.putExtra.fname||(this.logger.info("use file.name as fname."),this.putExtra.fname=this.file.name),this.file.size>1e4*GB)return this.handleError(new QiniuError(QiniuErrorName.InvalidFile,"file size exceed maximum value 10000G")),[2];if(this.putExtra.customVars&&!isCustomVarsValid(this.putExtra.customVars))return this.handleError(new QiniuError(QiniuErrorName.InvalidCustomVars,"customVars key should start width x:")),[2];if(this.putExtra.metadata&&!isMetaDataValid(this.putExtra.metadata))return this.handleError(new QiniuError(QiniuErrorName.InvalidMetadata,"metadata key should start with x-qn-meta-")),[2];rd.label=1;case 1:return rd.trys.push([1,4,,5]),this.uploadAt=new Date().getTime(),[4,this.checkAndUpdateUploadHost()];case 2:return rd.sent(),[4,this.run()];case 3:return qa=rd.sent(),this.onComplete(qa.data),this.checkAndUnfreezeHost(),this.sendLog(qa.reqId,200),[2];case 4:return Ja=rd.sent(),this.aborted?(this.logger.warn("upload is aborted."),this.sendLog("",-2),[2]):(this.clear(),this.logger.error(Ja),Ja instanceof QiniuRequestError&&(this.sendLog(Ja.reqId,Ja.code),this.checkAndFreezeHost(Ja),ed=++this.retryCount<=this.config.retryCount,td=RETRY_CODE_LIST.includes(Ja.code),td&&ed)?(this.logger.warn("error auto retry: "+this.retryCount+"/"+this.config.retryCount+"."),this.putFile(),[2]):(this.onError(Ja),[3,5]));case 5:return[2]}})})},Ra.prototype.clear=function(){this.xhrList.forEach(function(qa){qa.onreadystatechange=null,qa.abort()}),this.xhrList=[],this.logger.info("cleanup uploading xhr.")},Ra.prototype.stop=function(){this.logger.info("aborted."),this.clear(),this.aborted=!0},Ra.prototype.addXhr=function(qa){this.xhrList.push(qa)},Ra.prototype.sendLog=function(qa,Ja){var ed,td;this.logger.report({code:Ja,reqId:qa,remoteIp:"",upType:"jssdk-h5",size:this.file.size,time:Math.floor(this.uploadAt/1e3),port:getPortFromUrl((ed=this.uploadHost)===null||ed===void 0?void 0:ed.getUrl()),host:getDomainFromUrl((td=this.uploadHost)===null||td===void 0?void 0:td.getUrl()),bytesSent:this.progress?this.progress.total.loaded:0,duration:Math.floor((new Date().getTime()-this.uploadAt)/1e3)})},Ra.prototype.getProgressInfoItem=function(qa,Ja,ed){return __assign$3({size:Ja,loaded:qa,percent:qa/Ja*100},ed==null?{}:{fromCache:ed})},Ra}(),__extends$1=function(){var Ra=function(qa,Ja){return Ra=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(ed,td){ed.__proto__=td}||function(ed,td){for(var rd in td)td.hasOwnProperty(rd)&&(ed[rd]=td[rd])},Ra(qa,Ja)};return function(qa,Ja){Ra(qa,Ja);function ed(){this.constructor=qa}qa.prototype=Ja===null?Object.create(Ja):(ed.prototype=Ja.prototype,new ed)}}(),__assign$2=function(){return __assign$2=Object.assign||function(Ra){for(var qa,Ja=1,ed=arguments.length;Ja<ed;Ja++){qa=arguments[Ja];for(var td in qa)Object.prototype.hasOwnProperty.call(qa,td)&&(Ra[td]=qa[td])}return Ra},__assign$2.apply(this,arguments)},__awaiter$4=function(Ra,qa,Ja,ed){function td(rd){return rd instanceof Ja?rd:new Ja(function(sd){sd(rd)})}return new(Ja||(Ja=Promise))(function(rd,sd){function od(ud){try{cd(ed.next(ud))}catch(_d){sd(_d)}}function ld(ud){try{cd(ed.throw(ud))}catch(_d){sd(_d)}}function cd(ud){ud.done?rd(ud.value):td(ud.value).then(od,ld)}cd((ed=ed.apply(Ra,qa||[])).next())})},__generator$4=function(Ra,qa){var Ja={label:0,sent:function(){if(rd[0]&1)throw rd[1];return rd[1]},trys:[],ops:[]},ed,td,rd,sd;return sd={next:od(0),throw:od(1),return:od(2)},typeof Symbol=="function"&&(sd[Symbol.iterator]=function(){return this}),sd;function od(cd){return function(ud){return ld([cd,ud])}}function ld(cd){if(ed)throw new TypeError("Generator is already executing.");for(;Ja;)try{if(ed=1,td&&(rd=cd[0]&2?td.return:cd[0]?td.throw||((rd=td.return)&&rd.call(td),0):td.next)&&!(rd=rd.call(td,cd[1])).done)return rd;switch(td=0,rd&&(cd=[cd[0]&2,rd.value]),cd[0]){case 0:case 1:rd=cd;break;case 4:return Ja.label++,{value:cd[1],done:!1};case 5:Ja.label++,td=cd[1],cd=[0];continue;case 7:cd=Ja.ops.pop(),Ja.trys.pop();continue;default:if(rd=Ja.trys,!(rd=rd.length>0&&rd[rd.length-1])&&(cd[0]===6||cd[0]===2)){Ja=0;continue}if(cd[0]===3&&(!rd||cd[1]>rd[0]&&cd[1]<rd[3])){Ja.label=cd[1];break}if(cd[0]===6&&Ja.label<rd[1]){Ja.label=rd[1],rd=cd;break}if(rd&&Ja.label<rd[2]){Ja.label=rd[2],Ja.ops.push(cd);break}rd[2]&&Ja.ops.pop(),Ja.trys.pop();continue}cd=qa.call(Ra,Ja)}catch(ud){cd=[6,ud],td=0}finally{ed=rd=0}if(cd[0]&5)throw cd[1];return{value:cd[0]?cd[1]:void 0,done:!0}}};function isPositiveInteger(Ra){var qa=/^[1-9]\d*$/;return qa.test(String(Ra))}var Resume=function(Ra){__extends$1(qa,Ra);function qa(){return Ra!==null&&Ra.apply(this,arguments)||this}return qa.prototype.run=function(){return __awaiter$4(this,void 0,void 0,function(){var Ja,ed,td,rd,sd,od=this;return __generator$4(this,function(ld){switch(ld.label){case 0:if(this.logger.info("start run Resume."),!this.config.chunkSize||!isPositiveInteger(this.config.chunkSize))throw new QiniuError(QiniuErrorName.InvalidChunkSize,"chunkSize must be a positive integer");if(this.config.chunkSize>1024)throw new QiniuError(QiniuErrorName.InvalidChunkSize,"chunkSize maximum value is 1024");return[4,this.initBeforeUploadChunks()];case 1:ld.sent(),Ja=new Pool(function(cd){return __awaiter$4(od,void 0,void 0,function(){return __generator$4(this,function(ud){switch(ud.label){case 0:if(this.aborted)throw Ja.abort(),new Error("pool is aborted");return[4,this.uploadChunk(cd)];case 1:return ud.sent(),[2]}})})},this.config.concurrentRequestLimit),ed=null,td=this.getLocalKey(),rd=this.chunks.map(function(cd,ud){return Ja.enqueue({chunk:cd,index:ud})}),ld.label=2;case 2:return ld.trys.push([2,5,,6]),[4,Promise.all(rd)];case 3:return ld.sent(),[4,this.mkFileReq()];case 4:return ed=ld.sent(),[3,6];case 5:throw sd=ld.sent(),sd instanceof QiniuRequestError&&(sd.code===612||sd.code===400)&&removeLocalFileInfo(td,this.logger),sd;case 6:return removeLocalFileInfo(td,this.logger),[2,ed]}})})},qa.prototype.uploadChunk=function(Ja){return __awaiter$4(this,void 0,void 0,function(){var ed,td,rd,sd,od,ld,cd,ud,_d,yd=this;return __generator$4(this,function(gd){switch(gd.label){case 0:return ed=Ja.index,td=Ja.chunk,rd=this.cachedUploadedList[ed],this.logger.info("upload part "+ed+", cache:",rd),sd=this.config.checkByMD5,od=function(){yd.usedCacheList[ed]=!0,yd.updateChunkProgress(td.size,ed),yd.uploadedList[ed]=rd,yd.updateLocalCache()},rd&&!sd?(od(),[2]):[4,computeMd5(td)];case 1:return ld=gd.sent(),this.logger.info("computed part md5.",ld),rd&&ld===rd.md5?(od(),[2]):(this.usedCacheList[ed]=!1,cd=function(Ed){yd.updateChunkProgress(Ed.loaded,ed)},ud={body:td,md5:this.config.checkByServer?ld:void 0,onProgress:cd,onCreate:function(Ed){return yd.addXhr(Ed)}},this.logger.info("part "+ed+" start uploading."),[4,uploadChunk(this.token,this.key,Ja.index+1,this.getUploadInfo(),ud)]);case 2:return _d=gd.sent(),this.logger.info("part "+ed+" upload completed."),cd({loaded:td.size,total:td.size}),this.uploadedList[ed]={etag:_d.data.etag,md5:_d.data.md5,size:td.size},this.updateLocalCache(),[2]}})})},qa.prototype.mkFileReq=function(){return __awaiter$4(this,void 0,void 0,function(){var Ja,ed,td=this;return __generator$4(this,function(rd){switch(rd.label){case 0:return Ja=__assign$2(__assign$2(__assign$2({parts:this.uploadedList.map(function(sd,od){return{etag:sd.etag,partNumber:od+1}}),fname:this.putExtra.fname},this.putExtra.mimeType&&{mimeType:this.putExtra.mimeType}),this.putExtra.customVars&&{customVars:this.putExtra.customVars}),this.putExtra.metadata&&{metadata:this.putExtra.metadata}),this.logger.info("parts upload completed, make file.",Ja),[4,uploadComplete(this.token,this.key,this.getUploadInfo(),{onCreate:function(sd){return td.addXhr(sd)},body:JSON.stringify(Ja)})];case 1:return ed=rd.sent(),this.logger.info("finish Resume Progress."),this.updateMkFileProgress(1),[2,ed]}})})},qa.prototype.initBeforeUploadChunks=function(){return __awaiter$4(this,void 0,void 0,function(){var Ja,ed,td;return __generator$4(this,function(rd){switch(rd.label){case 0:return this.uploadedList=[],this.usedCacheList=[],Ja=getLocalFileInfo(this.getLocalKey(),this.logger),Ja?[3,2]:(this.logger.info("init upload parts from api."),[4,initUploadParts(this.token,this.bucketName,this.key,this.uploadHost.getUrl())]);case 1:return ed=rd.sent(),this.logger.info("initd upload parts of id: "+ed.data.uploadId+"."),this.uploadId=ed.data.uploadId,this.cachedUploadedList=[],[3,3];case 2:td=["resume upload parts from local cache,","total "+Ja.data.length+" part,","id is "+Ja.id+"."],this.logger.info(td.join(" ")),this.cachedUploadedList=Ja.data,this.uploadId=Ja.id,rd.label=3;case 3:return this.chunks=getChunks(this.file,this.config.chunkSize),this.loaded={mkFileProgress:0,chunks:this.chunks.map(function(sd){return 0})},this.notifyResumeProgress(),[2]}})})},qa.prototype.getUploadInfo=function(){return{id:this.uploadId,url:this.uploadHost.getUrl()}},qa.prototype.getLocalKey=function(){return createLocalKey(this.file.name,this.key,this.file.size)},qa.prototype.updateLocalCache=function(){setLocalFileInfo(this.getLocalKey(),{id:this.uploadId,data:this.uploadedList},this.logger)},qa.prototype.updateChunkProgress=function(Ja,ed){this.loaded.chunks[ed]=Ja,this.notifyResumeProgress()},qa.prototype.updateMkFileProgress=function(Ja){this.loaded.mkFileProgress=Ja,this.notifyResumeProgress()},qa.prototype.notifyResumeProgress=function(){var Ja=this;this.progress={total:this.getProgressInfoItem(sum(this.loaded.chunks)+this.loaded.mkFileProgress,this.file.size+1),chunks:this.chunks.map(function(ed,td){var rd=Ja.usedCacheList[td];return Ja.getProgressInfoItem(Ja.loaded.chunks[td],ed.size,rd)}),uploadInfo:{id:this.uploadId,url:this.uploadHost.getUrl()}},this.onData(this.progress)},qa}(Base),__awaiter$3=function(Ra,qa,Ja,ed){function td(rd){return rd instanceof Ja?rd:new Ja(function(sd){sd(rd)})}return new(Ja||(Ja=Promise))(function(rd,sd){function od(ud){try{cd(ed.next(ud))}catch(_d){sd(_d)}}function ld(ud){try{cd(ed.throw(ud))}catch(_d){sd(_d)}}function cd(ud){ud.done?rd(ud.value):td(ud.value).then(od,ld)}cd((ed=ed.apply(Ra,qa||[])).next())})},__generator$3=function(Ra,qa){var Ja={label:0,sent:function(){if(rd[0]&1)throw rd[1];return rd[1]},trys:[],ops:[]},ed,td,rd,sd;return sd={next:od(0),throw:od(1),return:od(2)},typeof Symbol=="function"&&(sd[Symbol.iterator]=function(){return this}),sd;function od(cd){return function(ud){return ld([cd,ud])}}function ld(cd){if(ed)throw new TypeError("Generator is already executing.");for(;Ja;)try{if(ed=1,td&&(rd=cd[0]&2?td.return:cd[0]?td.throw||((rd=td.return)&&rd.call(td),0):td.next)&&!(rd=rd.call(td,cd[1])).done)return rd;switch(td=0,rd&&(cd=[cd[0]&2,rd.value]),cd[0]){case 0:case 1:rd=cd;break;case 4:return Ja.label++,{value:cd[1],done:!1};case 5:Ja.label++,td=cd[1],cd=[0];continue;case 7:cd=Ja.ops.pop(),Ja.trys.pop();continue;default:if(rd=Ja.trys,!(rd=rd.length>0&&rd[rd.length-1])&&(cd[0]===6||cd[0]===2)){Ja=0;continue}if(cd[0]===3&&(!rd||cd[1]>rd[0]&&cd[1]<rd[3])){Ja.label=cd[1];break}if(cd[0]===6&&Ja.label<rd[1]){Ja.label=rd[1],rd=cd;break}if(rd&&Ja.label<rd[2]){Ja.label=rd[2],Ja.ops.push(cd);break}rd[2]&&Ja.ops.pop(),Ja.trys.pop();continue}cd=qa.call(Ra,Ja)}catch(ud){cd=[6,ud],td=0}finally{ed=rd=0}if(cd[0]&5)throw cd[1];return{value:cd[0]?cd[1]:void 0,done:!0}}},CRC32=function(){function Ra(){this.crc=-1,this.table=this.makeTable()}return Ra.prototype.makeTable=function(){for(var qa=new Array,Ja=0;Ja<256;Ja++){for(var ed=Ja,td=0;td<8;td++)ed&1?ed=ed>>>1^3988292384:ed>>>=1;qa[Ja]=ed}return qa},Ra.prototype.append=function(qa){for(var Ja=this.crc,ed=0;ed<qa.byteLength;ed++)Ja=Ja>>>8^this.table[(Ja^qa[ed])&255];this.crc=Ja},Ra.prototype.compute=function(){return(this.crc^-1)>>>0},Ra.prototype.readAsUint8Array=function(qa){return __awaiter$3(this,void 0,void 0,function(){var Ja;return __generator$3(this,function(ed){switch(ed.label){case 0:return typeof qa.arrayBuffer!="function"?[3,2]:(Ja=Uint8Array.bind,[4,qa.arrayBuffer()]);case 1:return[2,new(Ja.apply(Uint8Array,[void 0,ed.sent()]))];case 2:return[2,new Promise(function(td,rd){var sd=new FileReader;sd.onload=function(){if(sd.result==null){rd();return}if(typeof sd.result=="string"){rd();return}td(new Uint8Array(sd.result))},sd.readAsArrayBuffer(qa)})]}})})},Ra.prototype.file=function(qa){return __awaiter$3(this,void 0,void 0,function(){var Ja,ed,td,rd,sd,od;return __generator$3(this,function(ld){switch(ld.label){case 0:return qa.size<=MB?(Ja=this.append,[4,this.readAsUint8Array(qa)]):[3,2];case 1:return Ja.apply(this,[ld.sent()]),[2,this.compute()];case 2:ed=Math.ceil(qa.size/MB),td=0,ld.label=3;case 3:return td<ed?(rd=td*MB,sd=td===ed-1?qa.size:rd+MB,[4,this.readAsUint8Array(qa.slice(rd,sd))]):[3,6];case 4:od=ld.sent(),this.append(new Uint8Array(od)),ld.label=5;case 5:return td++,[3,3];case 6:return[2,this.compute()]}})})},Ra.file=function(qa){var Ja=new Ra;return Ja.file(qa)},Ra}(),__extends=function(){var Ra=function(qa,Ja){return Ra=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(ed,td){ed.__proto__=td}||function(ed,td){for(var rd in td)td.hasOwnProperty(rd)&&(ed[rd]=td[rd])},Ra(qa,Ja)};return function(qa,Ja){Ra(qa,Ja);function ed(){this.constructor=qa}qa.prototype=Ja===null?Object.create(Ja):(ed.prototype=Ja.prototype,new ed)}}(),__awaiter$2=function(Ra,qa,Ja,ed){function td(rd){return rd instanceof Ja?rd:new Ja(function(sd){sd(rd)})}return new(Ja||(Ja=Promise))(function(rd,sd){function od(ud){try{cd(ed.next(ud))}catch(_d){sd(_d)}}function ld(ud){try{cd(ed.throw(ud))}catch(_d){sd(_d)}}function cd(ud){ud.done?rd(ud.value):td(ud.value).then(od,ld)}cd((ed=ed.apply(Ra,qa||[])).next())})},__generator$2=function(Ra,qa){var Ja={label:0,sent:function(){if(rd[0]&1)throw rd[1];return rd[1]},trys:[],ops:[]},ed,td,rd,sd;return sd={next:od(0),throw:od(1),return:od(2)},typeof Symbol=="function"&&(sd[Symbol.iterator]=function(){return this}),sd;function od(cd){return function(ud){return ld([cd,ud])}}function ld(cd){if(ed)throw new TypeError("Generator is already executing.");for(;Ja;)try{if(ed=1,td&&(rd=cd[0]&2?td.return:cd[0]?td.throw||((rd=td.return)&&rd.call(td),0):td.next)&&!(rd=rd.call(td,cd[1])).done)return rd;switch(td=0,rd&&(cd=[cd[0]&2,rd.value]),cd[0]){case 0:case 1:rd=cd;break;case 4:return Ja.label++,{value:cd[1],done:!1};case 5:Ja.label++,td=cd[1],cd=[0];continue;case 7:cd=Ja.ops.pop(),Ja.trys.pop();continue;default:if(rd=Ja.trys,!(rd=rd.length>0&&rd[rd.length-1])&&(cd[0]===6||cd[0]===2)){Ja=0;continue}if(cd[0]===3&&(!rd||cd[1]>rd[0]&&cd[1]<rd[3])){Ja.label=cd[1];break}if(cd[0]===6&&Ja.label<rd[1]){Ja.label=rd[1],rd=cd;break}if(rd&&Ja.label<rd[2]){Ja.label=rd[2],Ja.ops.push(cd);break}rd[2]&&Ja.ops.pop(),Ja.trys.pop();continue}cd=qa.call(Ra,Ja)}catch(ud){cd=[6,ud],td=0}finally{ed=rd=0}if(cd[0]&5)throw cd[1];return{value:cd[0]?cd[1]:void 0,done:!0}}},Direct=function(Ra){__extends(qa,Ra);function qa(){return Ra!==null&&Ra.apply(this,arguments)||this}return qa.prototype.run=function(){return __awaiter$2(this,void 0,void 0,function(){var Ja,ed,td,rd,sd,od=this;return __generator$2(this,function(ld){switch(ld.label){case 0:return this.logger.info("start run Direct."),Ja=new FormData,Ja.append("file",this.file),Ja.append("token",this.token),this.key!=null&&Ja.append("key",this.key),Ja.append("fname",this.putExtra.fname),this.config.checkByServer?[4,CRC32.file(this.file)]:[3,2];case 1:ed=ld.sent(),Ja.append("crc32",ed.toString()),ld.label=2;case 2:return this.putExtra.customVars&&(this.logger.info("init customVars."),td=this.putExtra.customVars,Object.keys(td).forEach(function(cd){return Ja.append(cd,td[cd].toString())}),this.logger.info("customVars inited.")),this.putExtra.metadata&&(this.logger.info("init metadata."),rd=this.putExtra.metadata,Object.keys(rd).forEach(function(cd){return Ja.append(cd,rd[cd].toString())})),this.logger.info("formData inited."),[4,direct(this.uploadHost.getUrl(),Ja,{onProgress:function(cd){od.updateDirectProgress(cd.loaded,cd.total)},onCreate:function(cd){return od.addXhr(cd)}})];case 3:return sd=ld.sent(),this.logger.info("Direct progress finish."),this.finishDirectProgress(),[2,sd]}})})},qa.prototype.updateDirectProgress=function(Ja,ed){this.progress={total:this.getProgressInfoItem(Ja,ed+1)},this.onData(this.progress)},qa.prototype.finishDirectProgress=function(){if(!this.progress){this.logger.warn("progress is null."),this.progress={total:this.getProgressInfoItem(this.file.size,this.file.size)},this.onData(this.progress);return}var Ja=this.progress.total;this.progress={total:this.getProgressInfoItem(Ja.loaded+1,Ja.size)},this.onData(this.progress)},qa}(Base);function reportV3(Ra,qa,Ja){Ja===void 0&&(Ja=3);var ed=createXHR();ed.open("POST","https://uplog.qbox.me/log/3"),ed.setRequestHeader("Content-type","application/x-www-form-urlencoded"),ed.setRequestHeader("Authorization",getAuthHeaders(Ra).Authorization),ed.onreadystatechange=function(){ed.readyState===4&&ed.status!==200&&Ja>0&&reportV3(Ra,qa,Ja-1)};var td=[qa.code||"",qa.reqId||"",qa.host||"",qa.remoteIp||"",qa.port||"",qa.duration||"",qa.time||"",qa.bytesSent||"",qa.upType||"",qa.size||""].join(",");ed.send(td)}var __read$2=function(Ra,qa){var Ja=typeof Symbol=="function"&&Ra[Symbol.iterator];if(!Ja)return Ra;var ed=Ja.call(Ra),td,rd=[],sd;try{for(;(qa===void 0||qa-- >0)&&!(td=ed.next()).done;)rd.push(td.value)}catch(od){sd={error:od}}finally{try{td&&!td.done&&(Ja=ed.return)&&Ja.call(ed)}finally{if(sd)throw sd.error}}return rd},__spread$2=function(){for(var Ra=[],qa=0;qa<arguments.length;qa++)Ra=Ra.concat(__read$2(arguments[qa]));return Ra},Logger=function(){function Ra(qa,Ja,ed,td){Ja===void 0&&(Ja=!0),ed===void 0&&(ed="OFF"),td===void 0&&(td="UPLOAD"),this.token=qa,this.disableReport=Ja,this.level=ed,this.prefix=td,this.id=++Ra.id}return Ra.prototype.getPrintPrefix=function(qa){return"Qiniu-JS-SDK ["+qa+"]["+this.prefix+"#"+this.id+"]:"},Ra.prototype.report=function(qa,Ja){if(!this.disableReport)try{reportV3(this.token,qa,Ja)}catch(ed){this.warn(ed)}},Ra.prototype.info=function(){for(var qa=[],Ja=0;Ja<arguments.length;Ja++)qa[Ja]=arguments[Ja];var ed=["INFO"];ed.includes(this.level)&&console.log.apply(console,__spread$2([this.getPrintPrefix("INFO")],qa))},Ra.prototype.warn=function(){for(var qa=[],Ja=0;Ja<arguments.length;Ja++)qa[Ja]=arguments[Ja];var ed=["INFO","WARN"];ed.includes(this.level)&&console.warn.apply(console,__spread$2([this.getPrintPrefix("WARN")],qa))},Ra.prototype.error=function(){for(var qa=[],Ja=0;Ja<arguments.length;Ja++)qa[Ja]=arguments[Ja];var ed=["INFO","WARN","ERROR"];ed.includes(this.level)&&console.error.apply(console,__spread$2([this.getPrintPrefix("ERROR")],qa))},Ra.id=0,Ra}(),__awaiter$1=function(Ra,qa,Ja,ed){function td(rd){return rd instanceof Ja?rd:new Ja(function(sd){sd(rd)})}return new(Ja||(Ja=Promise))(function(rd,sd){function od(ud){try{cd(ed.next(ud))}catch(_d){sd(_d)}}function ld(ud){try{cd(ed.throw(ud))}catch(_d){sd(_d)}}function cd(ud){ud.done?rd(ud.value):td(ud.value).then(od,ld)}cd((ed=ed.apply(Ra,qa||[])).next())})},__generator$1=function(Ra,qa){var Ja={label:0,sent:function(){if(rd[0]&1)throw rd[1];return rd[1]},trys:[],ops:[]},ed,td,rd,sd;return sd={next:od(0),throw:od(1),return:od(2)},typeof Symbol=="function"&&(sd[Symbol.iterator]=function(){return this}),sd;function od(cd){return function(ud){return ld([cd,ud])}}function ld(cd){if(ed)throw new TypeError("Generator is already executing.");for(;Ja;)try{if(ed=1,td&&(rd=cd[0]&2?td.return:cd[0]?td.throw||((rd=td.return)&&rd.call(td),0):td.next)&&!(rd=rd.call(td,cd[1])).done)return rd;switch(td=0,rd&&(cd=[cd[0]&2,rd.value]),cd[0]){case 0:case 1:rd=cd;break;case 4:return Ja.label++,{value:cd[1],done:!1};case 5:Ja.label++,td=cd[1],cd=[0];continue;case 7:cd=Ja.ops.pop(),Ja.trys.pop();continue;default:if(rd=Ja.trys,!(rd=rd.length>0&&rd[rd.length-1])&&(cd[0]===6||cd[0]===2)){Ja=0;continue}if(cd[0]===3&&(!rd||cd[1]>rd[0]&&cd[1]<rd[3])){Ja.label=cd[1];break}if(cd[0]===6&&Ja.label<rd[1]){Ja.label=rd[1],rd=cd;break}if(rd&&Ja.label<rd[2]){Ja.label=rd[2],Ja.ops.push(cd);break}rd[2]&&Ja.ops.pop(),Ja.trys.pop();continue}cd=qa.call(Ra,Ja)}catch(ud){cd=[6,ud],td=0}finally{ed=rd=0}if(cd[0]&5)throw cd[1];return{value:cd[0]?cd[1]:void 0,done:!0}}},__read$1=function(Ra,qa){var Ja=typeof Symbol=="function"&&Ra[Symbol.iterator];if(!Ja)return Ra;var ed=Ja.call(Ra),td,rd=[],sd;try{for(;(qa===void 0||qa-- >0)&&!(td=ed.next()).done;)rd.push(td.value)}catch(od){sd={error:od}}finally{try{td&&!td.done&&(Ja=ed.return)&&Ja.call(ed)}finally{if(sd)throw sd.error}}return rd},__spread$1=function(){for(var Ra=[],qa=0;qa<arguments.length;qa++)Ra=Ra.concat(__read$1(arguments[qa]));return Ra},unfreezeTimeMap=new Map,Host=function(){function Ra(qa,Ja){this.host=qa,this.protocol=Ja}return Ra.prototype.isFrozen=function(){var qa=new Date().getTime(),Ja=unfreezeTimeMap.get(this.host);return Ja!=null&&Ja>=qa},Ra.prototype.freeze=function(qa){qa===void 0&&(qa=20);var Ja=new Date().getTime()+qa*1e3;unfreezeTimeMap.set(this.host,Ja)},Ra.prototype.unfreeze=function(){unfreezeTimeMap.delete(this.host)},Ra.prototype.getUrl=function(){return this.protocol+"://"+this.host},Ra.prototype.getUnfreezeTime=function(){return unfreezeTimeMap.get(this.host)},Ra}(),HostPool=function(){function Ra(qa){qa===void 0&&(qa=[]),this.initHosts=qa,this.cachedHostsMap=new Map}return Ra.prototype.register=function(qa,Ja,ed,td){this.cachedHostsMap.set(qa+"@"+Ja,ed.map(function(rd){return new Host(rd,td)}))},Ra.prototype.refresh=function(qa,Ja,ed){var td,rd,sd,od;return __awaiter$1(this,void 0,void 0,function(){var ld,cd,ud;return __generator$1(this,function(_d){switch(_d.label){case 0:return ld=this.cachedHostsMap.get(qa+"@"+Ja)||[],ld.length>0?[2]:this.initHosts.length>0?(this.register(qa,Ja,this.initHosts,ed),[2]):[4,getUpHosts(qa,Ja,ed)];case 1:return cd=_d.sent(),(cd==null?void 0:cd.data)!=null&&(ud=__spread$1(((rd=(td=cd.data.up)===null||td===void 0?void 0:td.acc)===null||rd===void 0?void 0:rd.main)||[],((od=(sd=cd.data.up)===null||sd===void 0?void 0:sd.acc)===null||od===void 0?void 0:od.backup)||[]),this.register(qa,Ja,ud,ed)),[2]}})})},Ra.prototype.getUp=function(qa,Ja,ed){return __awaiter$1(this,void 0,void 0,function(){var td,rd,sd;return __generator$1(this,function(od){switch(od.label){case 0:return[4,this.refresh(qa,Ja,ed)];case 1:return od.sent(),td=this.cachedHostsMap.get(qa+"@"+Ja)||[],td.length===0?[2,null]:(rd=td.filter(function(ld){return!ld.isFrozen()}),rd.length>0?[2,rd[0]]:(sd=td.slice().sort(function(ld,cd){return(ld.getUnfreezeTime()||0)-(cd.getUnfreezeTime()||0)}),[2,sd[0]]))}})})},Ra}();function createUploadManager(Ra,qa,Ja,ed){return Ra.config&&Ra.config.forceDirect?(ed.info("ues forceDirect mode."),new Direct(Ra,qa,Ja,ed)):Ra.file.size>4*MB?(ed.info("file size over 4M, use Resume."),new Resume(Ra,qa,Ja,ed)):(ed.info("file size less or equal than 4M, use Direct."),new Direct(Ra,qa,Ja,ed))}function upload(Ra,qa,Ja,ed,td){var rd=new Logger(Ja,td==null?void 0:td.disableStatisticsReport,td==null?void 0:td.debugLogLevel,Ra.name),sd={file:Ra,key:qa,token:Ja,putExtra:ed,config:normalizeUploadConfig(td,rd)},od=new HostPool(sd.config.uphost);return new Observable(function(ld){var cd=createUploadManager(sd,{onData:function(ud){return ld.next(ud)},onError:function(ud){return ld.error(ud)},onComplete:function(ud){return ld.complete(ud)}},od,rd);return cd.putFile(),cd.stop.bind(cd)})}var __assign$1=function(){return __assign$1=Object.assign||function(Ra){for(var qa,Ja=1,ed=arguments.length;Ja<ed;Ja++){qa=arguments[Ja];for(var td in qa)Object.prototype.hasOwnProperty.call(qa,td)&&(Ra[td]=qa[td])}return Ra},__assign$1.apply(this,arguments)},__rest=function(Ra,qa){var Ja={};for(var ed in Ra)Object.prototype.hasOwnProperty.call(Ra,ed)&&qa.indexOf(ed)<0&&(Ja[ed]=Ra[ed]);if(Ra!=null&&typeof Object.getOwnPropertySymbols=="function")for(var td=0,ed=Object.getOwnPropertySymbols(Ra);td<ed.length;td++)qa.indexOf(ed[td])<0&&Object.prototype.propertyIsEnumerable.call(Ra,ed[td])&&(Ja[ed[td]]=Ra[ed[td]]);return Ja},__read=function(Ra,qa){var Ja=typeof Symbol=="function"&&Ra[Symbol.iterator];if(!Ja)return Ra;var ed=Ja.call(Ra),td,rd=[],sd;try{for(;(qa===void 0||qa-- >0)&&!(td=ed.next()).done;)rd.push(td.value)}catch(od){sd={error:od}}finally{try{td&&!td.done&&(Ja=ed.return)&&Ja.call(ed)}finally{if(sd)throw sd.error}}return rd},__spread=function(){for(var Ra=[],qa=0;qa<arguments.length;qa++)Ra=Ra.concat(__read(arguments[qa]));return Ra};function normalizeUploadConfig(Ra,qa){var Ja=__assign$1({},Ra),ed=Ja.upprotocol,td=Ja.uphost,rd=__rest(Ja,["upprotocol","uphost"]),sd=__assign$1({uphost:[],retryCount:3,checkByMD5:!1,forceDirect:!1,useCdnDomain:!0,checkByServer:!1,concurrentRequestLimit:3,chunkSize:DEFAULT_CHUNK_SIZE$1,upprotocol:"https",debugLogLevel:"OFF",disableStatisticsReport:!1},rd);ed&&(sd.upprotocol=ed.replace(/:$/,""));var od=[];if(qa&&(Ra==null?void 0:Ra.uphost)!=null&&(Ra==null?void 0:Ra.region)!=null&&qa.warn("do not use both the uphost and region config."),td)Array.isArray(td)?od.push.apply(od,__spread(td)):od.push(td);else if(sd!=null&&sd.region){var ld=regionUphostMap[sd==null?void 0:sd.region];sd.useCdnDomain?od.push.apply(od,__spread(ld.cdnUphost)):od.push.apply(od,__spread(ld.srcUphost))}return __assign$1(__assign$1({},sd),{uphost:od.filter(Boolean)})}var __assign=function(){return __assign=Object.assign||function(Ra){for(var qa,Ja=1,ed=arguments.length;Ja<ed;Ja++){qa=arguments[Ja];for(var td in qa)Object.prototype.hasOwnProperty.call(qa,td)&&(Ra[td]=qa[td])}return Ra},__assign.apply(this,arguments)},__awaiter=function(Ra,qa,Ja,ed){function td(rd){return rd instanceof Ja?rd:new Ja(function(sd){sd(rd)})}return new(Ja||(Ja=Promise))(function(rd,sd){function od(ud){try{cd(ed.next(ud))}catch(_d){sd(_d)}}function ld(ud){try{cd(ed.throw(ud))}catch(_d){sd(_d)}}function cd(ud){ud.done?rd(ud.value):td(ud.value).then(od,ld)}cd((ed=ed.apply(Ra,[])).next())})},__generator=function(Ra,qa){var Ja={label:0,sent:function(){if(rd[0]&1)throw rd[1];return rd[1]},trys:[],ops:[]},ed,td,rd,sd;return sd={next:od(0),throw:od(1),return:od(2)},typeof Symbol=="function"&&(sd[Symbol.iterator]=function(){return this}),sd;function od(cd){return function(ud){return ld([cd,ud])}}function ld(cd){if(ed)throw new TypeError("Generator is already executing.");for(;Ja;)try{if(ed=1,td&&(rd=cd[0]&2?td.return:cd[0]?td.throw||((rd=td.return)&&rd.call(td),0):td.next)&&!(rd=rd.call(td,cd[1])).done)return rd;switch(td=0,rd&&(cd=[cd[0]&2,rd.value]),cd[0]){case 0:case 1:rd=cd;break;case 4:return Ja.label++,{value:cd[1],done:!1};case 5:Ja.label++,td=cd[1],cd=[0];continue;case 7:cd=Ja.ops.pop(),Ja.trys.pop();continue;default:if(rd=Ja.trys,!(rd=rd.length>0&&rd[rd.length-1])&&(cd[0]===6||cd[0]===2)){Ja=0;continue}if(cd[0]===3&&(!rd||cd[1]>rd[0]&&cd[1]<rd[3])){Ja.label=cd[1];break}if(cd[0]===6&&Ja.label<rd[1]){Ja.label=rd[1],rd=cd;break}if(rd&&Ja.label<rd[2]){Ja.label=rd[2],Ja.ops.push(cd);break}rd[2]&&Ja.ops.pop(),Ja.trys.pop();continue}cd=qa.call(Ra,Ja)}catch(ud){cd=[6,ud],td=0}finally{ed=rd=0}if(cd[0]&5)throw cd[1];return{value:cd[0]?cd[1]:void 0,done:!0}}},mimeTypes={PNG:"image/png",JPEG:"image/jpeg",WEBP:"image/webp",BMP:"image/bmp"},maxSteps=4,scaleFactor=Math.log(2),supportMimeTypes=Object.keys(mimeTypes).map(function(Ra){return mimeTypes[Ra]}),defaultType=mimeTypes.JPEG;function isSupportedType(Ra){return supportMimeTypes.includes(Ra)}var Compress=function(){function Ra(qa,Ja){this.file=qa,this.config=Ja,this.config=__assign({quality:.92,noCompressIfLarger:!1},this.config)}return Ra.prototype.process=function(){return __awaiter(this,void 0,void 0,function(){var qa,Ja,ed,td,rd,sd;return __generator(this,function(od){switch(od.label){case 0:if(this.outputType=this.file.type,qa={},!isSupportedType(this.file.type))throw new QiniuError(QiniuErrorName.UnsupportedFileType,"unsupported file type: "+this.file.type);return[4,this.getOriginImage()];case 1:return Ja=od.sent(),[4,this.getCanvas(Ja)];case 2:return ed=od.sent(),td=1,this.config.maxWidth&&(td=Math.min(1,this.config.maxWidth/ed.width)),this.config.maxHeight&&(td=Math.min(1,td,this.config.maxHeight/ed.height)),qa.width=ed.width,qa.height=ed.height,[4,this.doScale(ed,td)];case 3:return rd=od.sent(),sd=this.toBlob(rd),sd.size>this.file.size&&this.config.noCompressIfLarger?[2,{dist:this.file,width:qa.width,height:qa.height}]:[2,{dist:sd,width:rd.width,height:rd.height}]}})})},Ra.prototype.clear=function(qa,Ja,ed){this.outputType===defaultType?(qa.fillStyle="#fff",qa.fillRect(0,0,Ja,ed)):qa.clearRect(0,0,Ja,ed)},Ra.prototype.getOriginImage=function(){var qa=this;return new Promise(function(Ja,ed){var td=createObjectURL(qa.file),rd=new Image;rd.onload=function(){Ja(rd)},rd.onerror=function(){ed("image load error")},rd.src=td})},Ra.prototype.getCanvas=function(qa){var Ja=this;return new Promise(function(ed,td){var rd=document.createElement("canvas"),sd=rd.getContext("2d");if(!sd){td(new QiniuError(QiniuErrorName.GetCanvasContextFailed,"context is null"));return}var od=qa.width,ld=qa.height;rd.height=ld,rd.width=od,Ja.clear(sd,od,ld),sd.drawImage(qa,0,0),ed(rd)})},Ra.prototype.doScale=function(qa,Ja){return __awaiter(this,void 0,void 0,function(){var ed,td,rd,sd,od,ld,cd,ud,_d,yd,gd,Ed,Td,kd,Rd,Nd;return __generator(this,function(Id){if(Ja===1)return[2,qa];if(ed=qa.getContext("2d"),td=Math.min(maxSteps,Math.ceil(1/Ja/scaleFactor)),rd=Math.pow(Ja,1/td),sd=document.createElement("canvas"),od=sd.getContext("2d"),ld=qa.width,cd=qa.height,ud=ld,_d=cd,sd.width=ld,sd.height=cd,!od||!ed)throw new QiniuError(QiniuErrorName.GetCanvasContextFailed,"mctx or sctx can't be null");for(Ed=0;Ed<td;Ed++)Td=ld*rd|0,kd=cd*rd|0,Ed===td-1&&(Td=ud*Ja,kd=_d*Ja),Ed%2===0?(yd=qa,gd=od):(yd=sd,gd=ed),this.clear(gd,ld,cd),gd.drawImage(yd,0,0,ld,cd,0,0,Td,kd),ld=Td,cd=kd;return Rd=yd===qa?sd:qa,Nd=gd.getImageData(0,0,ld,cd),Rd.width=ld,Rd.height=cd,gd.putImageData(Nd,0,0),[2,Rd]})})},Ra.prototype.toBlob=function(qa){var Ja=qa.toDataURL(this.outputType,this.config.quality),ed=atob(Ja.split(",")[1]).split("").map(function(rd){return rd.charCodeAt(0)}),td=new Blob([new Uint8Array(ed)],{type:this.outputType});return td},Ra}(),compressImage=function(Ra,qa){return new Compress(Ra,qa).process()};function getImageUrl(Ra,qa){return Ra=encodeURIComponent(Ra),qa.slice(qa.length-1)!=="/"&&(qa+="/"),qa+Ra}function imageView2(Ra,qa,Ja){if(!/^\d$/.test(String(Ra.mode)))throw"mode should be number in imageView2";var ed=Ra.mode,td=Ra.w,rd=Ra.h,sd=Ra.q,od=Ra.format;if(!td&&!rd)throw"param w and h is empty in imageView2";var ld="imageView2/"+encodeURIComponent(ed);return ld+=td?"/w/"+encodeURIComponent(td):"",ld+=rd?"/h/"+encodeURIComponent(rd):"",ld+=sd?"/q/"+encodeURIComponent(sd):"",ld+=od?"/format/"+encodeURIComponent(od):"",ld}function imageMogr2(Ra,qa,Ja){var ed=Ra["auto-orient"],td=Ra.thumbnail,rd=Ra.strip,sd=Ra.gravity,od=Ra.crop,ld=Ra.quality,cd=Ra.rotate,ud=Ra.format,_d=Ra.blur,yd="imageMogr2";return yd+=ed?"/auto-orient":"",yd+=td?"/thumbnail/"+encodeURIComponent(td):"",yd+=rd?"/strip":"",yd+=sd?"/gravity/"+encodeURIComponent(sd):"",yd+=ld?"/quality/"+encodeURIComponent(ld):"",yd+=od?"/crop/"+encodeURIComponent(od):"",yd+=cd?"/rotate/"+encodeURIComponent(cd):"",yd+=ud?"/format/"+encodeURIComponent(ud):"",yd+=_d?"/blur/"+encodeURIComponent(_d):"",qa&&Ja&&(yd=getImageUrl(qa,Ja)+"?"+yd),yd}function watermark(Ra,qa,Ja){var ed=Ra.mode;if(!ed)throw"mode can't be empty in watermark";var td="watermark/"+ed;if(ed!==1&&ed!==2)throw"mode is wrong";if(ed===1){var rd=Ra.image;if(!rd)throw"image can't be empty in watermark";td+=rd?"/image/"+urlSafeBase64Encode(rd):""}if(ed===2){var sd=Ra.text,od=Ra.font,ld=Ra.fontsize,cd=Ra.fill;if(!sd)throw"text can't be empty in watermark";td+=sd?"/text/"+urlSafeBase64Encode(sd):"",td+=od?"/font/"+urlSafeBase64Encode(od):"",td+=ld?"/fontsize/"+ld:"",td+=cd?"/fill/"+urlSafeBase64Encode(cd):""}var ud=Ra.dissolve,_d=Ra.gravity,yd=Ra.dx,gd=Ra.dy;return td+=ud?"/dissolve/"+encodeURIComponent(ud):"",td+=_d?"/gravity/"+encodeURIComponent(_d):"",td+=yd?"/dx/"+encodeURIComponent(yd):"",td+=gd?"/dy/"+encodeURIComponent(gd):"",qa&&Ja&&(td=getImageUrl(qa,Ja)+"?"+td),td}function imageInfo(Ra,qa){var Ja=getImageUrl(Ra,qa)+"?imageInfo";return request(Ja,{method:"GET"})}function exif(Ra,qa){var Ja=getImageUrl(Ra,qa)+"?exif";return request(Ja,{method:"GET"})}function pipeline(Ra,qa,Ja){var ed=Object.prototype.toString.call(Ra)==="[object Array]",td,rd=!1,sd="";if(ed){for(var od=0,ld=Ra.length;od<ld;od++){if(td=Ra[od],!td.fop)throw"fop can't be empty in pipeline";switch(td.fop){case"watermark":sd+=watermark(td)+"|";break;case"imageView2":sd+=imageView2(td)+"|";break;case"imageMogr2":sd+=imageMogr2(td)+"|";break;default:rd=!0;break}if(rd)throw"fop is wrong in pipeline"}if(qa&&Ja){sd=getImageUrl(qa,Ja)+"?"+sd;var cd=sd.length;sd.slice(cd-1)==="|"&&(sd=sd.slice(0,cd-1))}return sd}throw"pipeline's first param should be array"}const qiniu=Object.freeze(Object.defineProperty({__proto__:null,QiniuError,get QiniuErrorName(){return QiniuErrorName},QiniuNetworkError,QiniuRequestError,compressImage,deleteUploadedChunks,exif,getHeadersForChunkUpload,getHeadersForMkFile,getUploadUrl,imageInfo,imageMogr2,pipeline,region,upload,urlSafeBase64Decode,urlSafeBase64Encode,watermark},Symbol.toStringTag,{value:"Module"}));function bind(Ra,qa){return function(){return Ra.apply(qa,arguments)}}var define_process_default={version:"v20.17.0",versions:{node:"20.17.0",acorn:"8.11.3",ada:"2.9.0",ares:"1.32.3",base64:"0.5.2",brotli:"1.1.0",cjs_module_lexer:"1.2.2",cldr:"45.0",icu:"75.1",llhttp:"8.1.2",modules:"115",napi:"9",nghttp2:"1.61.0",nghttp3:"0.7.0",ngtcp2:"1.1.0",openssl:"3.0.13+quic",simdutf:"5.3.0",tz:"2024a",undici:"6.19.2",unicode:"15.1",uv:"1.46.0",uvwasi:"0.0.21",v8:"11.3.244.8-node.23",zlib:"1.3.0.1-motley-209717d"},arch:"x64",platform:"linux",release:{name:"node",lts:"Iron",sourceUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0.tar.gz",headersUrl:"https://nodejs.org/download/release/v20.17.0/node-v20.17.0-headers.tar.gz"},moduleLoadList:["Internal Binding builtins","Internal Binding module_wrap","Internal Binding errors","NativeModule internal/assert","Internal Binding util","NativeModule internal/errors","Internal Binding config","Internal Binding timers","Internal Binding async_wrap","Internal Binding task_queue","Internal Binding symbols","NativeModule internal/async_hooks","Internal Binding constants","Internal Binding types","Internal Binding options","NativeModule internal/options","Internal Binding string_decoder","NativeModule internal/util","NativeModule internal/util/types","NativeModule internal/validators","NativeModule internal/linkedlist","NativeModule internal/priority_queue","Internal Binding icu","NativeModule internal/util/inspect","NativeModule internal/util/debuglog","NativeModule internal/timers","NativeModule internal/events/abort_listener","NativeModule events","Internal Binding buffer","NativeModule internal/buffer","NativeModule buffer","Internal Binding messaging","NativeModule internal/worker/js_transferable","NativeModule internal/querystring","NativeModule internal/constants","NativeModule path","NativeModule querystring","Internal Binding url","NativeModule internal/url","NativeModule internal/fs/utils","Internal Binding process_methods","NativeModule internal/process/per_thread","Internal Binding credentials","NativeModule internal/process/promises","NativeModule internal/fixed_queue","NativeModule async_hooks","NativeModule internal/process/task_queues","NativeModule timers","Internal Binding trace_events","Internal Binding contextify","NativeModule internal/vm","NativeModule internal/process/execution","NativeModule internal/process/warning","NativeModule internal/source_map/source_map_cache","Internal Binding fs","Internal Binding blob","Internal Binding encoding_binding","NativeModule internal/encoding","NativeModule util","NativeModule internal/webstreams/util","NativeModule internal/webstreams/queuingstrategies","NativeModule internal/blob","Internal Binding permission","NativeModule internal/process/permission","NativeModule fs","NativeModule internal/modules/helpers","NativeModule internal/console/constructor","NativeModule internal/console/global","NativeModule internal/util/inspector","Internal Binding inspector","NativeModule internal/webidl","Internal Binding performance","NativeModule internal/perf/utils","NativeModule internal/event_target","Internal Binding wasm_web_api","Internal Binding mksnapshot","NativeModule internal/v8/startup_snapshot","NativeModule internal/process/signal","NativeModule internal/idna","NativeModule url","NativeModule internal/modules/package_json_reader","NativeModule internal/modules/cjs/loader","NativeModule internal/process/pre_execution","NativeModule internal/modules/esm/utils","NativeModule internal/inspector_async_hook","Internal Binding worker","NativeModule internal/modules/run_main","NativeModule internal/net","NativeModule internal/dns/utils","NativeModule internal/modules/esm/assert","NativeModule internal/modules/esm/loader","NativeModule internal/modules/esm/module_map","NativeModule internal/modules/esm/translators","NativeModule internal/modules/esm/package_config","NativeModule internal/modules/esm/formats","NativeModule internal/modules/esm/get_format","NativeModule internal/modules/esm/resolve","NativeModule internal/modules/esm/module_job","NativeModule internal/modules/esm/load","Internal Binding fs_dir","NativeModule internal/fs/dir","NativeModule string_decoder","Internal Binding fs_event_wrap","Internal Binding uv","NativeModule internal/fs/watchers","NativeModule internal/fs/recursive_watch","NativeModule internal/streams/utils","NativeModule internal/readline/utils","NativeModule internal/readline/callbacks","NativeModule internal/readline/interface","NativeModule internal/fs/promises","NativeModule internal/perf/performance_entry","NativeModule internal/perf/observe","NativeModule internal/perf/resource_timing","NativeModule internal/perf/nodetiming","NativeModule internal/perf/usertiming","NativeModule internal/perf/event_loop_utilization","NativeModule internal/histogram","NativeModule internal/perf/timerify","NativeModule internal/perf/performance","NativeModule internal/perf/event_loop_delay","NativeModule perf_hooks","NativeModule internal/modules/esm/initialize_import_meta","NativeModule internal/streams/destroy","NativeModule internal/abort_controller","NativeModule internal/streams/end-of-stream","NativeModule internal/streams/legacy","NativeModule internal/streams/add-abort-signal","NativeModule internal/streams/state","NativeModule internal/streams/from","NativeModule internal/streams/readable","NativeModule internal/streams/writable","NativeModule internal/streams/duplex","NativeModule internal/streams/pipeline","NativeModule internal/streams/compose","NativeModule internal/streams/operators","NativeModule stream/promises","NativeModule internal/streams/transform","NativeModule internal/streams/passthrough","NativeModule internal/streams/duplexpair","NativeModule stream","NativeModule internal/fs/streams","NativeModule fs/promises","NativeModule internal/util/parse_args/utils","NativeModule internal/util/parse_args/parse_args","NativeModule internal/mime","NativeModule internal/source_map/source_map","NativeModule module","Internal Binding stream_wrap","Internal Binding tcp_wrap","Internal Binding pipe_wrap","NativeModule internal/stream_base_commons","NativeModule diagnostics_channel","NativeModule net","Internal Binding tty_wrap","NativeModule internal/tty","NativeModule tty","NativeModule internal/deps/cjs-module-lexer/dist/lexer","Internal Binding udp_wrap","NativeModule internal/dgram","NativeModule dgram","Internal Binding process_wrap","NativeModule internal/socket_list","Internal Binding spawn_sync","NativeModule internal/child_process","NativeModule child_process","NativeModule _http_agent","Internal Binding http_parser","NativeModule internal/freelist","NativeModule _http_incoming","NativeModule _http_common","NativeModule internal/http","NativeModule _http_outgoing","NativeModule _http_client","NativeModule _http_server","NativeModule http","Internal Binding crypto","Internal Binding cares_wrap","NativeModule internal/crypto/hashnames","NativeModule internal/crypto/util","NativeModule internal/tls/secure-context","NativeModule _tls_common","NativeModule internal/crypto/random","NativeModule internal/crypto/pbkdf2","NativeModule internal/crypto/scrypt","NativeModule internal/crypto/keys","NativeModule internal/crypto/hkdf","NativeModule internal/crypto/keygen","NativeModule internal/crypto/diffiehellman","NativeModule internal/streams/lazy_transform","NativeModule internal/crypto/cipher","NativeModule internal/crypto/sig","NativeModule internal/crypto/hash","NativeModule internal/crypto/x509","NativeModule internal/crypto/certificate","NativeModule crypto","Internal Binding js_stream","NativeModule internal/js_stream_socket","Internal Binding tls_wrap","NativeModule _tls_wrap","NativeModule internal/tls/secure-pair","NativeModule tls","NativeModule https","Internal Binding block_list","NativeModule internal/socketaddress","NativeModule internal/blocklist","Internal Binding os","NativeModule os","NativeModule internal/crypto/webcrypto","NativeModule internal/dns/callback_resolver","NativeModule dns","NativeModule internal/dns/promises","NativeModule internal/util/colors","NativeModule internal/assert/assertion_error","NativeModule internal/assert/calltracker","NativeModule assert","Internal Binding serdes","Internal Binding profiler","Internal Binding heap_utils","Internal Binding internal_only_v8","NativeModule internal/heap_utils","NativeModule internal/promise_hooks","Internal Binding v8","NativeModule v8","NativeModule internal/worker/io","NativeModule internal/error_serdes","NativeModule internal/worker","NativeModule worker_threads","NativeModule internal/file","NativeModule internal/readline/emitKeypressEvents","NativeModule internal/readline/promises","NativeModule readline/promises","NativeModule readline","Internal Binding zlib","NativeModule zlib","NativeModule process","Internal Binding report","NativeModule internal/process/report","NativeModule constants"],_events:{newListener:[null,null],removeListener:[null,null]},_eventsCount:3,domain:null,_exiting:!1,config:{target_defaults:{cflags:[],default_configuration:"Release",defines:["NODE_OPENSSL_CONF_NAME=nodejs_conf","NODE_OPENSSL_HAS_QUIC","ICU_NO_USER_DATA_OVERRIDE"],include_dirs:[],libraries:[]},variables:{asan:0,coverage:!1,dcheck_always_on:0,debug_nghttp2:!1,debug_node:!1,enable_lto:!1,enable_pgo_generate:!1,enable_pgo_use:!1,error_on_warn:!1,force_dynamic_crt:0,gas_version:"2.35",host_arch:"x64",icu_data_in:"../../deps/icu-tmp/icudt75l.dat",icu_endianness:"l",icu_gyp_path:"tools/icu/icu-generic.gyp",icu_path:"deps/icu-small",icu_small:!1,icu_ver_major:"75",is_debug:0,libdir:"lib",llvm_version:"0.0",napi_build_version:"9",node_builtin_shareable_builtins:["deps/cjs-module-lexer/lexer.js","deps/cjs-module-lexer/dist/lexer.js","deps/undici/undici.js"],node_byteorder:"little",node_debug_lib:!1,node_enable_d8:!1,node_enable_v8_vtunejit:!1,node_fipsinstall:!1,node_install_corepack:!0,node_install_npm:!0,node_library_files:["lib/_http_agent.js","lib/_http_client.js","lib/_http_common.js","lib/_http_incoming.js","lib/_http_outgoing.js","lib/_http_server.js","lib/_stream_duplex.js","lib/_stream_passthrough.js","lib/_stream_readable.js","lib/_stream_transform.js","lib/_stream_wrap.js","lib/_stream_writable.js","lib/_tls_common.js","lib/_tls_wrap.js","lib/assert.js","lib/assert/strict.js","lib/async_hooks.js","lib/buffer.js","lib/child_process.js","lib/cluster.js","lib/console.js","lib/constants.js","lib/crypto.js","lib/dgram.js","lib/diagnostics_channel.js","lib/dns.js","lib/dns/promises.js","lib/domain.js","lib/events.js","lib/fs.js","lib/fs/promises.js","lib/http.js","lib/http2.js","lib/https.js","lib/inspector.js","lib/inspector/promises.js","lib/internal/abort_controller.js","lib/internal/assert.js","lib/internal/assert/assertion_error.js","lib/internal/assert/calltracker.js","lib/internal/async_hooks.js","lib/internal/blob.js","lib/internal/blocklist.js","lib/internal/bootstrap/node.js","lib/internal/bootstrap/realm.js","lib/internal/bootstrap/shadow_realm.js","lib/internal/bootstrap/switches/does_not_own_process_state.js","lib/internal/bootstrap/switches/does_own_process_state.js","lib/internal/bootstrap/switches/is_main_thread.js","lib/internal/bootstrap/switches/is_not_main_thread.js","lib/internal/bootstrap/web/exposed-wildcard.js","lib/internal/bootstrap/web/exposed-window-or-worker.js","lib/internal/buffer.js","lib/internal/child_process.js","lib/internal/child_process/serialization.js","lib/internal/cli_table.js","lib/internal/cluster/child.js","lib/internal/cluster/primary.js","lib/internal/cluster/round_robin_handle.js","lib/internal/cluster/shared_handle.js","lib/internal/cluster/utils.js","lib/internal/cluster/worker.js","lib/internal/console/constructor.js","lib/internal/console/global.js","lib/internal/constants.js","lib/internal/crypto/aes.js","lib/internal/crypto/certificate.js","lib/internal/crypto/cfrg.js","lib/internal/crypto/cipher.js","lib/internal/crypto/diffiehellman.js","lib/internal/crypto/ec.js","lib/internal/crypto/hash.js","lib/internal/crypto/hashnames.js","lib/internal/crypto/hkdf.js","lib/internal/crypto/keygen.js","lib/internal/crypto/keys.js","lib/internal/crypto/mac.js","lib/internal/crypto/pbkdf2.js","lib/internal/crypto/random.js","lib/internal/crypto/rsa.js","lib/internal/crypto/scrypt.js","lib/internal/crypto/sig.js","lib/internal/crypto/util.js","lib/internal/crypto/webcrypto.js","lib/internal/crypto/webidl.js","lib/internal/crypto/x509.js","lib/internal/debugger/inspect.js","lib/internal/debugger/inspect_client.js","lib/internal/debugger/inspect_repl.js","lib/internal/dgram.js","lib/internal/dns/callback_resolver.js","lib/internal/dns/promises.js","lib/internal/dns/utils.js","lib/internal/encoding.js","lib/internal/error_serdes.js","lib/internal/errors.js","lib/internal/event_target.js","lib/internal/events/abort_listener.js","lib/internal/events/symbols.js","lib/internal/file.js","lib/internal/fixed_queue.js","lib/internal/freelist.js","lib/internal/freeze_intrinsics.js","lib/internal/fs/cp/cp-sync.js","lib/internal/fs/cp/cp.js","lib/internal/fs/dir.js","lib/internal/fs/promises.js","lib/internal/fs/read/context.js","lib/internal/fs/recursive_watch.js","lib/internal/fs/rimraf.js","lib/internal/fs/streams.js","lib/internal/fs/sync_write_stream.js","lib/internal/fs/utils.js","lib/internal/fs/watchers.js","lib/internal/heap_utils.js","lib/internal/histogram.js","lib/internal/http.js","lib/internal/http2/compat.js","lib/internal/http2/core.js","lib/internal/http2/util.js","lib/internal/idna.js","lib/internal/inspector_async_hook.js","lib/internal/js_stream_socket.js","lib/internal/legacy/processbinding.js","lib/internal/linkedlist.js","lib/internal/main/check_syntax.js","lib/internal/main/embedding.js","lib/internal/main/eval_stdin.js","lib/internal/main/eval_string.js","lib/internal/main/inspect.js","lib/internal/main/mksnapshot.js","lib/internal/main/print_help.js","lib/internal/main/prof_process.js","lib/internal/main/repl.js","lib/internal/main/run_main_module.js","lib/internal/main/test_runner.js","lib/internal/main/watch_mode.js","lib/internal/main/worker_thread.js","lib/internal/mime.js","lib/internal/modules/cjs/loader.js","lib/internal/modules/esm/assert.js","lib/internal/modules/esm/create_dynamic_module.js","lib/internal/modules/esm/fetch_module.js","lib/internal/modules/esm/formats.js","lib/internal/modules/esm/get_format.js","lib/internal/modules/esm/hooks.js","lib/internal/modules/esm/initialize_import_meta.js","lib/internal/modules/esm/load.js","lib/internal/modules/esm/loader.js","lib/internal/modules/esm/module_job.js","lib/internal/modules/esm/module_map.js","lib/internal/modules/esm/package_config.js","lib/internal/modules/esm/resolve.js","lib/internal/modules/esm/shared_constants.js","lib/internal/modules/esm/translators.js","lib/internal/modules/esm/utils.js","lib/internal/modules/esm/worker.js","lib/internal/modules/helpers.js","lib/internal/modules/package_json_reader.js","lib/internal/modules/run_main.js","lib/internal/navigator.js","lib/internal/net.js","lib/internal/options.js","lib/internal/per_context/domexception.js","lib/internal/per_context/messageport.js","lib/internal/per_context/primordials.js","lib/internal/perf/event_loop_delay.js","lib/internal/perf/event_loop_utilization.js","lib/internal/perf/nodetiming.js","lib/internal/perf/observe.js","lib/internal/perf/performance.js","lib/internal/perf/performance_entry.js","lib/internal/perf/resource_timing.js","lib/internal/perf/timerify.js","lib/internal/perf/usertiming.js","lib/internal/perf/utils.js","lib/internal/policy/manifest.js","lib/internal/policy/sri.js","lib/internal/priority_queue.js","lib/internal/process/execution.js","lib/internal/process/per_thread.js","lib/internal/process/permission.js","lib/internal/process/policy.js","lib/internal/process/pre_execution.js","lib/internal/process/promises.js","lib/internal/process/report.js","lib/internal/process/signal.js","lib/internal/process/task_queues.js","lib/internal/process/warning.js","lib/internal/process/worker_thread_only.js","lib/internal/promise_hooks.js","lib/internal/querystring.js","lib/internal/readline/callbacks.js","lib/internal/readline/emitKeypressEvents.js","lib/internal/readline/interface.js","lib/internal/readline/promises.js","lib/internal/readline/utils.js","lib/internal/repl.js","lib/internal/repl/await.js","lib/internal/repl/history.js","lib/internal/repl/utils.js","lib/internal/socket_list.js","lib/internal/socketaddress.js","lib/internal/source_map/prepare_stack_trace.js","lib/internal/source_map/source_map.js","lib/internal/source_map/source_map_cache.js","lib/internal/stream_base_commons.js","lib/internal/streams/add-abort-signal.js","lib/internal/streams/compose.js","lib/internal/streams/destroy.js","lib/internal/streams/duplex.js","lib/internal/streams/duplexify.js","lib/internal/streams/duplexpair.js","lib/internal/streams/end-of-stream.js","lib/internal/streams/from.js","lib/internal/streams/lazy_transform.js","lib/internal/streams/legacy.js","lib/internal/streams/operators.js","lib/internal/streams/passthrough.js","lib/internal/streams/pipeline.js","lib/internal/streams/readable.js","lib/internal/streams/state.js","lib/internal/streams/transform.js","lib/internal/streams/utils.js","lib/internal/streams/writable.js","lib/internal/test/binding.js","lib/internal/test/transfer.js","lib/internal/test_runner/coverage.js","lib/internal/test_runner/harness.js","lib/internal/test_runner/mock/mock.js","lib/internal/test_runner/mock/mock_timers.js","lib/internal/test_runner/reporter/dot.js","lib/internal/test_runner/reporter/junit.js","lib/internal/test_runner/reporter/lcov.js","lib/internal/test_runner/reporter/spec.js","lib/internal/test_runner/reporter/tap.js","lib/internal/test_runner/reporter/v8-serializer.js","lib/internal/test_runner/runner.js","lib/internal/test_runner/test.js","lib/internal/test_runner/tests_stream.js","lib/internal/test_runner/utils.js","lib/internal/timers.js","lib/internal/tls/secure-context.js","lib/internal/tls/secure-pair.js","lib/internal/trace_events_async_hooks.js","lib/internal/tty.js","lib/internal/url.js","lib/internal/util.js","lib/internal/util/colors.js","lib/internal/util/comparisons.js","lib/internal/util/debuglog.js","lib/internal/util/embedding.js","lib/internal/util/inspect.js","lib/internal/util/inspector.js","lib/internal/util/iterable_weak_map.js","lib/internal/util/parse_args/parse_args.js","lib/internal/util/parse_args/utils.js","lib/internal/util/types.js","lib/internal/v8/startup_snapshot.js","lib/internal/v8_prof_polyfill.js","lib/internal/v8_prof_processor.js","lib/internal/validators.js","lib/internal/vm.js","lib/internal/vm/module.js","lib/internal/wasm_web_api.js","lib/internal/watch_mode/files_watcher.js","lib/internal/watchdog.js","lib/internal/webidl.js","lib/internal/webstreams/adapters.js","lib/internal/webstreams/compression.js","lib/internal/webstreams/encoding.js","lib/internal/webstreams/queuingstrategies.js","lib/internal/webstreams/readablestream.js","lib/internal/webstreams/transfer.js","lib/internal/webstreams/transformstream.js","lib/internal/webstreams/util.js","lib/internal/webstreams/writablestream.js","lib/internal/worker.js","lib/internal/worker/io.js","lib/internal/worker/js_transferable.js","lib/module.js","lib/net.js","lib/os.js","lib/path.js","lib/path/posix.js","lib/path/win32.js","lib/perf_hooks.js","lib/process.js","lib/punycode.js","lib/querystring.js","lib/readline.js","lib/readline/promises.js","lib/repl.js","lib/sea.js","lib/stream.js","lib/stream/consumers.js","lib/stream/promises.js","lib/stream/web.js","lib/string_decoder.js","lib/sys.js","lib/test.js","lib/test/reporters.js","lib/timers.js","lib/timers/promises.js","lib/tls.js","lib/trace_events.js","lib/tty.js","lib/url.js","lib/util.js","lib/util/types.js","lib/v8.js","lib/vm.js","lib/wasi.js","lib/worker_threads.js","lib/zlib.js"],node_module_version:115,node_no_browser_globals:!1,node_prefix:"/",node_release_urlbase:"https://nodejs.org/download/release/",node_section_ordering_info:"",node_shared:!1,node_shared_ada:!1,node_shared_brotli:!1,node_shared_cares:!1,node_shared_http_parser:!1,node_shared_libuv:!1,node_shared_nghttp2:!1,node_shared_nghttp3:!1,node_shared_ngtcp2:!1,node_shared_openssl:!1,node_shared_simdjson:!1,node_shared_simdutf:!1,node_shared_zlib:!1,node_tag:"",node_target_type:"executable",node_use_bundled_v8:!0,node_use_node_code_cache:!0,node_use_node_snapshot:!0,node_use_openssl:!0,node_use_v8_platform:!0,node_with_ltcg:!1,node_without_node_options:!1,node_write_snapshot_as_array_literals:!1,openssl_is_fips:!1,openssl_quic:!0,ossfuzz:!1,shlib_suffix:"so.115",single_executable_application:!0,target_arch:"x64",ubsan:0,use_prefix_to_find_headers:!1,v8_enable_31bit_smis_on_64bit_arch:0,v8_enable_extensible_ro_snapshot:0,v8_enable_gdbjit:0,v8_enable_hugepage:0,v8_enable_i18n_support:1,v8_enable_inspector:1,v8_enable_javascript_promise_hooks:1,v8_enable_lite_mode:0,v8_enable_maglev:0,v8_enable_object_print:1,v8_enable_pointer_compression:0,v8_enable_sandbox:0,v8_enable_shared_ro_heap:1,v8_enable_short_builtin_calls:1,v8_enable_v8_checks:0,v8_enable_webassembly:1,v8_no_strict_aliasing:1,v8_optimized_debug:1,v8_promise_internal_field_count:1,v8_random_seed:0,v8_trace_maps:0,v8_use_siphash:1,want_separate_host_toolset:0}},allowedNodeEnvironmentFlags:{},features:{inspector:!0,debug:!1,uv:!0,ipv6:!0,tls_alpn:!0,tls_sni:!0,tls_ocsp:!0,tls:!0,cached_builtins:!0},sourceMapsEnabled:!1,stdout:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:1,_isStdio:!0},stdin:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:2,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,fd:0},stderr:{connecting:!1,_hadError:!1,_parent:null,_host:null,_closeAfterHandlingError:!1,_events:{},_readableState:{highWaterMark:16384,buffer:[],bufferIndex:0,length:0,pipes:[],awaitDrainWriters:null,readable:!1},_writableState:{highWaterMark:16384,length:0,corked:0,writelen:0,bufferedIndex:0,pendingcb:0},allowHalfOpen:!1,_eventsCount:1,_sockname:null,_pendingData:null,_pendingEncoding:"",server:null,_server:null,_type:"pipe",fd:2,_isStdio:!0},env:{GITHUB_STATE:"/home/runner/work/_temp/_runner_file_commands/save_state_f666e380-f4c8-4838-adfc-d5096f92842f",STATS_TRP:"true",DEPLOYMENT_BASEPATH:"/opt/runner",DOTNET_NOLOGO:"1",USER:"runner",npm_config_user_agent:"npm/10.8.2 node/v20.17.0 linux x64 workspaces/false ci/github-actions",CI:"true",RUNNER_ENVIRONMENT:"github-hosted",GITHUB_ENV:"/home/runner/work/_temp/_runner_file_commands/set_env_f666e380-f4c8-4838-adfc-d5096f92842f",PIPX_HOME:"/opt/pipx",npm_node_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",JAVA_HOME_8_X64:"/usr/lib/jvm/temurin-8-jdk-amd64",SHLVL:"1",npm_config_noproxy:"",HOME:"/home/runner",RUNNER_TEMP:"/home/runner/work/_temp",GITHUB_EVENT_PATH:"/home/runner/work/_temp/_github_workflow/event.json",npm_package_json:"/home/runner/work/md/md/package.json",JAVA_HOME_11_X64:"/usr/lib/jvm/temurin-11-jdk-amd64",PIPX_BIN_DIR:"/opt/pipx_bin",GITHUB_REPOSITORY_OWNER:"doocs",GRADLE_HOME:"/usr/share/gradle-8.10",ANDROID_NDK_LATEST_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",JAVA_HOME_21_X64:"/usr/lib/jvm/temurin-21-jdk-amd64",STATS_RDCL:"true",GITHUB_RETENTION_DAYS:"90",GITHUB_REPOSITORY_OWNER_ID:"43716716",POWERSHELL_DISTRIBUTION_CHANNEL:"GitHub-Actions-ubuntu22",AZURE_EXTENSION_DIR:"/opt/az/azcliextensions",GITHUB_HEAD_REF:"",npm_config_userconfig:"/home/runner/.npmrc",npm_config_local_prefix:"/home/runner/work/md/md",SYSTEMD_EXEC_PID:"602",GITHUB_GRAPHQL_URL:"https://api.github.com/graphql",COLOR:"0",GOROOT_1_20_X64:"/opt/hostedtoolcache/go/1.20.14/x64",NVM_DIR:"/home/runner/.nvm",DOTNET_SKIP_FIRST_TIME_EXPERIENCE:"1",GOROOT_1_21_X64:"/opt/hostedtoolcache/go/1.21.13/x64",JAVA_HOME_17_X64:"/usr/lib/jvm/temurin-17-jdk-amd64",ImageVersion:"20240901.1.0",RUNNER_OS:"Linux",GITHUB_API_URL:"https://api.github.com",GOROOT_1_22_X64:"/opt/hostedtoolcache/go/1.22.6/x64",SWIFT_PATH:"/usr/share/swift/usr/bin",RUNNER_USER:"runner",STATS_V3PS:"true",CHROMEWEBDRIVER:"/usr/local/share/chromedriver-linux64",JOURNAL_STREAM:"8:19719",GITHUB_WORKFLOW:"Build and Deploy",_:"/opt/hostedtoolcache/node/20.17.0/x64/bin/npm",npm_config_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",npm_config_npm_version:"10.8.2",ACTIONS_RUNNER_ACTION_ARCHIVE_CACHE:"/opt/actionarchivecache",STATS_D:"true",GITHUB_RUN_ID:"10695387826",STATS_VMFE:"true",npm_config_cache:"/home/runner/.npm",GITHUB_REF_TYPE:"branch",BOOTSTRAP_HASKELL_NONINTERACTIVE:"1",GITHUB_WORKFLOW_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_BASE_REF:"",ImageOS:"ubuntu22",GITHUB_WORKFLOW_REF:"doocs/md/.github/workflows/build.yml@refs/heads/main",PERFLOG_LOCATION_SETTING:"RUNNER_PERFLOG",GITHUB_ACTION_REPOSITORY:"",npm_config_node_gyp:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js",PATH:"/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/home/runner/work/md/md/node_modules/.bin:/home/runner/work/md/node_modules/.bin:/home/runner/work/node_modules/.bin:/home/runner/node_modules/.bin:/home/node_modules/.bin:/node_modules/.bin:/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/node_modules/@npmcli/run-script/lib/node-gyp-bin:/opt/hostedtoolcache/node/20.17.0/x64/bin:/snap/bin:/home/runner/.local/bin:/opt/pipx_bin:/home/runner/.cargo/bin:/home/runner/.config/composer/vendor/bin:/usr/local/.ghcup/bin:/home/runner/.dotnet/tools:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/usr/local/games:/snap/bin",ANT_HOME:"/usr/share/ant",DOTNET_MULTILEVEL_LOOKUP:"0",RUNNER_TRACKING_ID:"github_9bafcb64-4db9-480f-8ff9-9a57619ffb1d",INVOCATION_ID:"d15733fb1db14118b857dafd8eaecef5",RUNNER_TOOL_CACHE:"/opt/hostedtoolcache",NODE:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",npm_package_name:"md",GITHUB_ACTION:"__run_2",GITHUB_RUN_NUMBER:"416",GITHUB_TRIGGERING_ACTOR:"yanglbme",RUNNER_ARCH:"X64",XDG_RUNTIME_DIR:"/run/user/1001",AGENT_TOOLSDIRECTORY:"/opt/hostedtoolcache",LANG:"C.UTF-8",VCPKG_INSTALLATION_ROOT:"/usr/local/share/vcpkg",CONDA:"/usr/share/miniconda",RUNNER_NAME:"GitHub Actions 3",XDG_CONFIG_HOME:"/home/runner/.config",STATS_VMD:"true",GITHUB_REF_NAME:"main",GITHUB_REPOSITORY:"doocs/md",STATS_D_D:"true",npm_lifecycle_script:"vite build",STATS_UE:"true",ANDROID_NDK_ROOT:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_ACTION_REF:"",DEBIAN_FRONTEND:"noninteractive",GITHUB_REPOSITORY_ID:"218952803",GITHUB_ACTIONS:"true",npm_package_version:"1.6.0",npm_lifecycle_event:"build:only",GITHUB_REF_PROTECTED:"true",GITHUB_WORKSPACE:"/home/runner/work/md/md",ACCEPT_EULA:"Y",GITHUB_JOB:"build-and-deploy",RUNNER_PERFLOG:"/home/runner/perflog",GITHUB_SHA:"2d40869fc2a33865f07be0850b263e407d0890d3",GITHUB_RUN_ATTEMPT:"1",GITHUB_REF:"refs/heads/main",GITHUB_ACTOR:"yanglbme",ANDROID_SDK_ROOT:"/usr/local/lib/android/sdk",LEIN_HOME:"/usr/local/lib/lein",npm_config_globalconfig:"/opt/hostedtoolcache/node/20.17.0/x64/etc/npmrc",npm_config_init_module:"/home/runner/.npm-init.js",GITHUB_PATH:"/home/runner/work/_temp/_runner_file_commands/add_path_f666e380-f4c8-4838-adfc-d5096f92842f",JAVA_HOME:"/usr/lib/jvm/temurin-11-jdk-amd64",PWD:"/home/runner/work/md/md",GITHUB_ACTOR_ID:"21008209",RUNNER_WORKSPACE:"/home/runner/work/md",npm_execpath:"/opt/hostedtoolcache/node/20.17.0/x64/lib/node_modules/npm/bin/npm-cli.js",HOMEBREW_CLEANUP_PERIODIC_FULL_DAYS:"3650",GITHUB_EVENT_NAME:"push",HOMEBREW_NO_AUTO_UPDATE:"1",ANDROID_HOME:"/usr/local/lib/android/sdk",GITHUB_SERVER_URL:"https://github.com",GECKOWEBDRIVER:"/usr/local/share/gecko_driver",LEIN_JAR:"/usr/local/lib/lein/self-installs/leiningen-2.11.2-standalone.jar",GHCUP_INSTALL_BASE_PREFIX:"/usr/local",GITHUB_OUTPUT:"/home/runner/work/_temp/_runner_file_commands/set_output_f666e380-f4c8-4838-adfc-d5096f92842f",npm_config_global_prefix:"/opt/hostedtoolcache/node/20.17.0/x64",EDGEWEBDRIVER:"/usr/local/share/edge_driver",STATS_EXT:"true",npm_command:"run-script",ANDROID_NDK:"/usr/local/lib/android/sdk/ndk/27.0.12077973",SGX_AESM_ADDR:"1",CHROME_BIN:"/usr/bin/google-chrome",SELENIUM_JAR_PATH:"/usr/share/java/selenium-server.jar",STATS_EXTP:"https://provjobdsettingscdn.blob.core.windows.net/settings/provjobdsettings-0.5.181+6/provjobd.data",ANDROID_NDK_HOME:"/usr/local/lib/android/sdk/ndk/27.0.12077973",GITHUB_STEP_SUMMARY:"/home/runner/work/_temp/_runner_file_commands/step_summary_f666e380-f4c8-4838-adfc-d5096f92842f",INIT_CWD:"/home/runner/work/md/md",EDITOR:"vi",NODE_ENV:"production",LAUNCH_EDITOR:"code"},title:"node",argv:["/opt/hostedtoolcache/node/20.17.0/x64/bin/node","/home/runner/work/md/md/node_modules/.bin/vite","build"],execArgv:[],pid:2024,ppid:2022,execPath:"/opt/hostedtoolcache/node/20.17.0/x64/bin/node",debugPort:9229,argv0:"node",_preload_modules:[],report:{directory:"",filename:"",compact:!1,excludeNetwork:!1,signal:"SIGUSR2",reportOnFatalError:!1,reportOnSignal:!1,reportOnUncaughtException:!1}};const{toString}=Object.prototype,{getPrototypeOf}=Object,kindOf=(Ra=>qa=>{const Ja=toString.call(qa);return Ra[Ja]||(Ra[Ja]=Ja.slice(8,-1).toLowerCase())})(Object.create(null)),kindOfTest=Ra=>(Ra=Ra.toLowerCase(),qa=>kindOf(qa)===Ra),typeOfTest=Ra=>qa=>typeof qa===Ra,{isArray}=Array,isUndefined=typeOfTest("undefined");function isBuffer(Ra){return Ra!==null&&!isUndefined(Ra)&&Ra.constructor!==null&&!isUndefined(Ra.constructor)&&isFunction(Ra.constructor.isBuffer)&&Ra.constructor.isBuffer(Ra)}const isArrayBuffer=kindOfTest("ArrayBuffer");function isArrayBufferView(Ra){let qa;return typeof ArrayBuffer<"u"&&ArrayBuffer.isView?qa=ArrayBuffer.isView(Ra):qa=Ra&&Ra.buffer&&isArrayBuffer(Ra.buffer),qa}const isString=typeOfTest("string"),isFunction=typeOfTest("function"),isNumber$1=typeOfTest("number"),isObject=Ra=>Ra!==null&&typeof Ra=="object",isBoolean=Ra=>Ra===!0||Ra===!1,isPlainObject=Ra=>{if(kindOf(Ra)!=="object")return!1;const qa=getPrototypeOf(Ra);return(qa===null||qa===Object.prototype||Object.getPrototypeOf(qa)===null)&&!(Symbol.toStringTag in Ra)&&!(Symbol.iterator in Ra)},isDate=kindOfTest("Date"),isFile=kindOfTest("File"),isBlob=kindOfTest("Blob"),isFileList=kindOfTest("FileList"),isStream=Ra=>isObject(Ra)&&isFunction(Ra.pipe),isFormData=Ra=>{let qa;return Ra&&(typeof FormData=="function"&&Ra instanceof FormData||isFunction(Ra.append)&&((qa=kindOf(Ra))==="formdata"||qa==="object"&&isFunction(Ra.toString)&&Ra.toString()==="[object FormData]"))},isURLSearchParams=kindOfTest("URLSearchParams"),[isReadableStream,isRequest,isResponse,isHeaders]=["ReadableStream","Request","Response","Headers"].map(kindOfTest),trim=Ra=>Ra.trim?Ra.trim():Ra.replace(/^[\s\uFEFF\xA0]+|[\s\uFEFF\xA0]+$/g,"");function forEach(Ra,qa,{allOwnKeys:Ja=!1}={}){if(Ra===null||typeof Ra>"u")return;let ed,td;if(typeof Ra!="object"&&(Ra=[Ra]),isArray(Ra))for(ed=0,td=Ra.length;ed<td;ed++)qa.call(null,Ra[ed],ed,Ra);else{const rd=Ja?Object.getOwnPropertyNames(Ra):Object.keys(Ra),sd=rd.length;let od;for(ed=0;ed<sd;ed++)od=rd[ed],qa.call(null,Ra[od],od,Ra)}}function findKey(Ra,qa){qa=qa.toLowerCase();const Ja=Object.keys(Ra);let ed=Ja.length,td;for(;ed-- >0;)if(td=Ja[ed],qa===td.toLowerCase())return td;return null}const _global=typeof globalThis<"u"?globalThis:typeof self<"u"?self:typeof window<"u"?window:global$1,isContextDefined=Ra=>!isUndefined(Ra)&&Ra!==_global;function merge(){const{caseless:Ra}=isContextDefined(this)&&this||{},qa={},Ja=(ed,td)=>{const rd=Ra&&findKey(qa,td)||td;isPlainObject(qa[rd])&&isPlainObject(ed)?qa[rd]=merge(qa[rd],ed):isPlainObject(ed)?qa[rd]=merge({},ed):isArray(ed)?qa[rd]=ed.slice():qa[rd]=ed};for(let ed=0,td=arguments.length;ed<td;ed++)arguments[ed]&&forEach(arguments[ed],Ja);return qa}const extend=(Ra,qa,Ja,{allOwnKeys:ed}={})=>(forEach(qa,(td,rd)=>{Ja&&isFunction(td)?Ra[rd]=bind(td,Ja):Ra[rd]=td},{allOwnKeys:ed}),Ra),stripBOM=Ra=>(Ra.charCodeAt(0)===65279&&(Ra=Ra.slice(1)),Ra),inherits=(Ra,qa,Ja,ed)=>{Ra.prototype=Object.create(qa.prototype,ed),Ra.prototype.constructor=Ra,Object.defineProperty(Ra,"super",{value:qa.prototype}),Ja&&Object.assign(Ra.prototype,Ja)},toFlatObject=(Ra,qa,Ja,ed)=>{let td,rd,sd;const od={};if(qa=qa||{},Ra==null)return qa;do{for(td=Object.getOwnPropertyNames(Ra),rd=td.length;rd-- >0;)sd=td[rd],(!ed||ed(sd,Ra,qa))&&!od[sd]&&(qa[sd]=Ra[sd],od[sd]=!0);Ra=Ja!==!1&&getPrototypeOf(Ra)}while(Ra&&(!Ja||Ja(Ra,qa))&&Ra!==Object.prototype);return qa},endsWith=(Ra,qa,Ja)=>{Ra=String(Ra),(Ja===void 0||Ja>Ra.length)&&(Ja=Ra.length),Ja-=qa.length;const ed=Ra.indexOf(qa,Ja);return ed!==-1&&ed===Ja},toArray=Ra=>{if(!Ra)return null;if(isArray(Ra))return Ra;let qa=Ra.length;if(!isNumber$1(qa))return null;const Ja=new Array(qa);for(;qa-- >0;)Ja[qa]=Ra[qa];return Ja},isTypedArray=(Ra=>qa=>Ra&&qa instanceof Ra)(typeof Uint8Array<"u"&&getPrototypeOf(Uint8Array)),forEachEntry=(Ra,qa)=>{const ed=(Ra&&Ra[Symbol.iterator]).call(Ra);let td;for(;(td=ed.next())&&!td.done;){const rd=td.value;qa.call(Ra,rd[0],rd[1])}},matchAll=(Ra,qa)=>{let Ja;const ed=[];for(;(Ja=Ra.exec(qa))!==null;)ed.push(Ja);return ed},isHTMLForm=kindOfTest("HTMLFormElement"),toCamelCase=Ra=>Ra.toLowerCase().replace(/[-_\s]([a-z\d])(\w*)/g,function(Ja,ed,td){return ed.toUpperCase()+td}),hasOwnProperty$1=(({hasOwnProperty:Ra})=>(qa,Ja)=>Ra.call(qa,Ja))(Object.prototype),isRegExp=kindOfTest("RegExp"),reduceDescriptors=(Ra,qa)=>{const Ja=Object.getOwnPropertyDescriptors(Ra),ed={};forEach(Ja,(td,rd)=>{let sd;(sd=qa(td,rd,Ra))!==!1&&(ed[rd]=sd||td)}),Object.defineProperties(Ra,ed)},freezeMethods=Ra=>{reduceDescriptors(Ra,(qa,Ja)=>{if(isFunction(Ra)&&["arguments","caller","callee"].indexOf(Ja)!==-1)return!1;const ed=Ra[Ja];if(isFunction(ed)){if(qa.enumerable=!1,"writable"in qa){qa.writable=!1;return}qa.set||(qa.set=()=>{throw Error("Can not rewrite read-only method '"+Ja+"'")})}})},toObjectSet=(Ra,qa)=>{const Ja={},ed=td=>{td.forEach(rd=>{Ja[rd]=!0})};return isArray(Ra)?ed(Ra):ed(String(Ra).split(qa)),Ja},noop=()=>{},toFiniteNumber=(Ra,qa)=>Ra!=null&&Number.isFinite(Ra=+Ra)?Ra:qa,ALPHA="abcdefghijklmnopqrstuvwxyz",DIGIT="0123456789",ALPHABET={DIGIT,ALPHA,ALPHA_DIGIT:ALPHA+ALPHA.toUpperCase()+DIGIT},generateString=(Ra=16,qa=ALPHABET.ALPHA_DIGIT)=>{let Ja="";const{length:ed}=qa;for(;Ra--;)Ja+=qa[Math.random()*ed|0];return Ja};function isSpecCompliantForm(Ra){return!!(Ra&&isFunction(Ra.append)&&Ra[Symbol.toStringTag]==="FormData"&&Ra[Symbol.iterator])}const toJSONObject=Ra=>{const qa=new Array(10),Ja=(ed,td)=>{if(isObject(ed)){if(qa.indexOf(ed)>=0)return;if(!("toJSON"in ed)){qa[td]=ed;const rd=isArray(ed)?[]:{};return forEach(ed,(sd,od)=>{const ld=Ja(sd,td+1);!isUndefined(ld)&&(rd[od]=ld)}),qa[td]=void 0,rd}}return ed};return Ja(Ra,0)},isAsyncFn=kindOfTest("AsyncFunction"),isThenable=Ra=>Ra&&(isObject(Ra)||isFunction(Ra))&&isFunction(Ra.then)&&isFunction(Ra.catch),_setImmediate=((Ra,qa)=>Ra?setImmediate:qa?((Ja,ed)=>(_global.addEventListener("message",({source:td,data:rd})=>{td===_global&&rd===Ja&&ed.length&&ed.shift()()},!1),td=>{ed.push(td),_global.postMessage(Ja,"*")}))(`axios@${Math.random()}`,[]):Ja=>setTimeout(Ja))(typeof setImmediate=="function",isFunction(_global.postMessage)),asap=typeof queueMicrotask<"u"?queueMicrotask.bind(_global):typeof define_process_default<"u"&&define_process_default.nextTick||_setImmediate,utils$1={isArray,isArrayBuffer,isBuffer,isFormData,isArrayBufferView,isString,isNumber:isNumber$1,isBoolean,isObject,isPlainObject,isReadableStream,isRequest,isResponse,isHeaders,isUndefined,isDate,isFile,isBlob,isRegExp,isFunction,isStream,isURLSearchParams,isTypedArray,isFileList,forEach,merge,extend,trim,stripBOM,inherits,toFlatObject,kindOf,kindOfTest,endsWith,toArray,forEachEntry,matchAll,isHTMLForm,hasOwnProperty:hasOwnProperty$1,hasOwnProp:hasOwnProperty$1,reduceDescriptors,freezeMethods,toObjectSet,toCamelCase,noop,toFiniteNumber,findKey,global:_global,isContextDefined,ALPHABET,generateString,isSpecCompliantForm,toJSONObject,isAsyncFn,isThenable,setImmediate:_setImmediate,asap};function AxiosError(Ra,qa,Ja,ed,td){Error.call(this),Error.captureStackTrace?Error.captureStackTrace(this,this.constructor):this.stack=new Error().stack,this.message=Ra,this.name="AxiosError",qa&&(this.code=qa),Ja&&(this.config=Ja),ed&&(this.request=ed),td&&(this.response=td,this.status=td.status?td.status:null)}utils$1.inherits(AxiosError,Error,{toJSON:function(){return{message:this.message,name:this.name,description:this.description,number:this.number,fileName:this.fileName,lineNumber:this.lineNumber,columnNumber:this.columnNumber,stack:this.stack,config:utils$1.toJSONObject(this.config),code:this.code,status:this.status}}});const prototype$1=AxiosError.prototype,descriptors={};["ERR_BAD_OPTION_VALUE","ERR_BAD_OPTION","ECONNABORTED","ETIMEDOUT","ERR_NETWORK","ERR_FR_TOO_MANY_REDIRECTS","ERR_DEPRECATED","ERR_BAD_RESPONSE","ERR_BAD_REQUEST","ERR_CANCELED","ERR_NOT_SUPPORT","ERR_INVALID_URL"].forEach(Ra=>{descriptors[Ra]={value:Ra}});Object.defineProperties(AxiosError,descriptors);Object.defineProperty(prototype$1,"isAxiosError",{value:!0});AxiosError.from=(Ra,qa,Ja,ed,td,rd)=>{const sd=Object.create(prototype$1);return utils$1.toFlatObject(Ra,sd,function(ld){return ld!==Error.prototype},od=>od!=="isAxiosError"),AxiosError.call(sd,Ra.message,qa,Ja,ed,td),sd.cause=Ra,sd.name=Ra.name,rd&&Object.assign(sd,rd),sd};const httpAdapter=null;function isVisitable(Ra){return utils$1.isPlainObject(Ra)||utils$1.isArray(Ra)}function removeBrackets(Ra){return utils$1.endsWith(Ra,"[]")?Ra.slice(0,-2):Ra}function renderKey(Ra,qa,Ja){return Ra?Ra.concat(qa).map(function(td,rd){return td=removeBrackets(td),!Ja&&rd?"["+td+"]":td}).join(Ja?".":""):qa}function isFlatArray(Ra){return utils$1.isArray(Ra)&&!Ra.some(isVisitable)}const predicates=utils$1.toFlatObject(utils$1,{},null,function(qa){return/^is[A-Z]/.test(qa)});function toFormData(Ra,qa,Ja){if(!utils$1.isObject(Ra))throw new TypeError("target must be an object");qa=qa||new FormData,Ja=utils$1.toFlatObject(Ja,{metaTokens:!0,dots:!1,indexes:!1},!1,function(Td,kd){return!utils$1.isUndefined(kd[Td])});const ed=Ja.metaTokens,td=Ja.visitor||ud,rd=Ja.dots,sd=Ja.indexes,ld=(Ja.Blob||typeof Blob<"u"&&Blob)&&utils$1.isSpecCompliantForm(qa);if(!utils$1.isFunction(td))throw new TypeError("visitor must be a function");function cd(Ed){if(Ed===null)return"";if(utils$1.isDate(Ed))return Ed.toISOString();if(!ld&&utils$1.isBlob(Ed))throw new AxiosError("Blob is not supported. Use a Buffer instead.");return utils$1.isArrayBuffer(Ed)||utils$1.isTypedArray(Ed)?ld&&typeof Blob=="function"?new Blob([Ed]):Buffer$2.from(Ed):Ed}function ud(Ed,Td,kd){let Rd=Ed;if(Ed&&!kd&&typeof Ed=="object"){if(utils$1.endsWith(Td,"{}"))Td=ed?Td:Td.slice(0,-2),Ed=JSON.stringify(Ed);else if(utils$1.isArray(Ed)&&isFlatArray(Ed)||(utils$1.isFileList(Ed)||utils$1.endsWith(Td,"[]"))&&(Rd=utils$1.toArray(Ed)))return Td=removeBrackets(Td),Rd.forEach(function(Id,Md){!(utils$1.isUndefined(Id)||Id===null)&&qa.append(sd===!0?renderKey([Td],Md,rd):sd===null?Td:Td+"[]",cd(Id))}),!1}return isVisitable(Ed)?!0:(qa.append(renderKey(kd,Td,rd),cd(Ed)),!1)}const _d=[],yd=Object.assign(predicates,{defaultVisitor:ud,convertValue:cd,isVisitable});function gd(Ed,Td){if(!utils$1.isUndefined(Ed)){if(_d.indexOf(Ed)!==-1)throw Error("Circular reference detected in "+Td.join("."));_d.push(Ed),utils$1.forEach(Ed,function(Rd,Nd){(!(utils$1.isUndefined(Rd)||Rd===null)&&td.call(qa,Rd,utils$1.isString(Nd)?Nd.trim():Nd,Td,yd))===!0&&gd(Rd,Td?Td.concat(Nd):[Nd])}),_d.pop()}}if(!utils$1.isObject(Ra))throw new TypeError("data must be an object");return gd(Ra),qa}function encode$1(Ra){const qa={"!":"%21","'":"%27","(":"%28",")":"%29","~":"%7E","%20":"+","%00":"\0"};return encodeURIComponent(Ra).replace(/[!'()~]|%20|%00/g,function(ed){return qa[ed]})}function AxiosURLSearchParams(Ra,qa){this._pairs=[],Ra&&toFormData(Ra,this,qa)}const prototype=AxiosURLSearchParams.prototype;prototype.append=function(qa,Ja){this._pairs.push([qa,Ja])};prototype.toString=function(qa){const Ja=qa?function(ed){return qa.call(this,ed,encode$1)}:encode$1;return this._pairs.map(function(td){return Ja(td[0])+"="+Ja(td[1])},"").join("&")};function encode(Ra){return encodeURIComponent(Ra).replace(/%3A/gi,":").replace(/%24/g,"$").replace(/%2C/gi,",").replace(/%20/g,"+").replace(/%5B/gi,"[").replace(/%5D/gi,"]")}function buildURL(Ra,qa,Ja){if(!qa)return Ra;const ed=Ja&&Ja.encode||encode,td=Ja&&Ja.serialize;let rd;if(td?rd=td(qa,Ja):rd=utils$1.isURLSearchParams(qa)?qa.toString():new AxiosURLSearchParams(qa,Ja).toString(ed),rd){const sd=Ra.indexOf("#");sd!==-1&&(Ra=Ra.slice(0,sd)),Ra+=(Ra.indexOf("?")===-1?"?":"&")+rd}return Ra}class InterceptorManager{constructor(){this.handlers=[]}use(qa,Ja,ed){return this.handlers.push({fulfilled:qa,rejected:Ja,synchronous:ed?ed.synchronous:!1,runWhen:ed?ed.runWhen:null}),this.handlers.length-1}eject(qa){this.handlers[qa]&&(this.handlers[qa]=null)}clear(){this.handlers&&(this.handlers=[])}forEach(qa){utils$1.forEach(this.handlers,function(ed){ed!==null&&qa(ed)})}}const transitionalDefaults={silentJSONParsing:!0,forcedJSONParsing:!0,clarifyTimeoutError:!1},URLSearchParams$1=typeof URLSearchParams<"u"?URLSearchParams:AxiosURLSearchParams,FormData$1=typeof FormData<"u"?FormData:null,Blob$1=typeof Blob<"u"?Blob:null,platform$1={isBrowser:!0,classes:{URLSearchParams:URLSearchParams$1,FormData:FormData$1,Blob:Blob$1},protocols:["http","https","file","blob","url","data"]},hasBrowserEnv=typeof window<"u"&&typeof document<"u",_navigator=typeof navigator=="object"&&navigator||void 0,hasStandardBrowserEnv=hasBrowserEnv&&(!_navigator||["ReactNative","NativeScript","NS"].indexOf(_navigator.product)<0),hasStandardBrowserWebWorkerEnv=typeof WorkerGlobalScope<"u"&&self instanceof WorkerGlobalScope&&typeof self.importScripts=="function",origin=hasBrowserEnv&&window.location.href||"http://localhost",utils=Object.freeze(Object.defineProperty({__proto__:null,hasBrowserEnv,hasStandardBrowserEnv,hasStandardBrowserWebWorkerEnv,navigator:_navigator,origin},Symbol.toStringTag,{value:"Module"})),platform={...utils,...platform$1};function toURLEncodedForm(Ra,qa){return toFormData(Ra,new platform.classes.URLSearchParams,Object.assign({visitor:function(Ja,ed,td,rd){return platform.isNode&&utils$1.isBuffer(Ja)?(this.append(ed,Ja.toString("base64")),!1):rd.defaultVisitor.apply(this,arguments)}},qa))}function parsePropPath(Ra){return utils$1.matchAll(/\w+|\[(\w*)]/g,Ra).map(qa=>qa[0]==="[]"?"":qa[1]||qa[0])}function arrayToObject(Ra){const qa={},Ja=Object.keys(Ra);let ed;const td=Ja.length;let rd;for(ed=0;ed<td;ed++)rd=Ja[ed],qa[rd]=Ra[rd];return qa}function formDataToJSON(Ra){function qa(Ja,ed,td,rd){let sd=Ja[rd++];if(sd==="__proto__")return!0;const od=Number.isFinite(+sd),ld=rd>=Ja.length;return sd=!sd&&utils$1.isArray(td)?td.length:sd,ld?(utils$1.hasOwnProp(td,sd)?td[sd]=[td[sd],ed]:td[sd]=ed,!od):((!td[sd]||!utils$1.isObject(td[sd]))&&(td[sd]=[]),qa(Ja,ed,td[sd],rd)&&utils$1.isArray(td[sd])&&(td[sd]=arrayToObject(td[sd])),!od)}if(utils$1.isFormData(Ra)&&utils$1.isFunction(Ra.entries)){const Ja={};return utils$1.forEachEntry(Ra,(ed,td)=>{qa(parsePropPath(ed),td,Ja,0)}),Ja}return null}function stringifySafely(Ra,qa,Ja){if(utils$1.isString(Ra))try{return(qa||JSON.parse)(Ra),utils$1.trim(Ra)}catch(ed){if(ed.name!=="SyntaxError")throw ed}return(Ja||JSON.stringify)(Ra)}const defaults={transitional:transitionalDefaults,adapter:["xhr","http","fetch"],transformRequest:[function(qa,Ja){const ed=Ja.getContentType()||"",td=ed.indexOf("application/json")>-1,rd=utils$1.isObject(qa);if(rd&&utils$1.isHTMLForm(qa)&&(qa=new FormData(qa)),utils$1.isFormData(qa))return td?JSON.stringify(formDataToJSON(qa)):qa;if(utils$1.isArrayBuffer(qa)||utils$1.isBuffer(qa)||utils$1.isStream(qa)||utils$1.isFile(qa)||utils$1.isBlob(qa)||utils$1.isReadableStream(qa))return qa;if(utils$1.isArrayBufferView(qa))return qa.buffer;if(utils$1.isURLSearchParams(qa))return Ja.setContentType("application/x-www-form-urlencoded;charset=utf-8",!1),qa.toString();let od;if(rd){if(ed.indexOf("application/x-www-form-urlencoded")>-1)return toURLEncodedForm(qa,this.formSerializer).toString();if((od=utils$1.isFileList(qa))||ed.indexOf("multipart/form-data")>-1){const ld=this.env&&this.env.FormData;return toFormData(od?{"files[]":qa}:qa,ld&&new ld,this.formSerializer)}}return rd||td?(Ja.setContentType("application/json",!1),stringifySafely(qa)):qa}],transformResponse:[function(qa){const Ja=this.transitional||defaults.transitional,ed=Ja&&Ja.forcedJSONParsing,td=this.responseType==="json";if(utils$1.isResponse(qa)||utils$1.isReadableStream(qa))return qa;if(qa&&utils$1.isString(qa)&&(ed&&!this.responseType||td)){const sd=!(Ja&&Ja.silentJSONParsing)&&td;try{return JSON.parse(qa)}catch(od){if(sd)throw od.name==="SyntaxError"?AxiosError.from(od,AxiosError.ERR_BAD_RESPONSE,this,null,this.response):od}}return qa}],timeout:0,xsrfCookieName:"XSRF-TOKEN",xsrfHeaderName:"X-XSRF-TOKEN",maxContentLength:-1,maxBodyLength:-1,env:{FormData:platform.classes.FormData,Blob:platform.classes.Blob},validateStatus:function(qa){return qa>=200&&qa<300},headers:{common:{Accept:"application/json, text/plain, */*","Content-Type":void 0}}};utils$1.forEach(["delete","get","head","post","put","patch"],Ra=>{defaults.headers[Ra]={}});const ignoreDuplicateOf=utils$1.toObjectSet(["age","authorization","content-length","content-type","etag","expires","from","host","if-modified-since","if-unmodified-since","last-modified","location","max-forwards","proxy-authorization","referer","retry-after","user-agent"]),parseHeaders=Ra=>{const qa={};let Ja,ed,td;return Ra&&Ra.split(`
|
||
`).forEach(function(sd){td=sd.indexOf(":"),Ja=sd.substring(0,td).trim().toLowerCase(),ed=sd.substring(td+1).trim(),!(!Ja||qa[Ja]&&ignoreDuplicateOf[Ja])&&(Ja==="set-cookie"?qa[Ja]?qa[Ja].push(ed):qa[Ja]=[ed]:qa[Ja]=qa[Ja]?qa[Ja]+", "+ed:ed)}),qa},$internals=Symbol("internals");function normalizeHeader(Ra){return Ra&&String(Ra).trim().toLowerCase()}function normalizeValue(Ra){return Ra===!1||Ra==null?Ra:utils$1.isArray(Ra)?Ra.map(normalizeValue):String(Ra)}function parseTokens(Ra){const qa=Object.create(null),Ja=/([^\s,;=]+)\s*(?:=\s*([^,;]+))?/g;let ed;for(;ed=Ja.exec(Ra);)qa[ed[1]]=ed[2];return qa}const isValidHeaderName=Ra=>/^[-_a-zA-Z0-9^`|~,!#$%&'*+.]+$/.test(Ra.trim());function matchHeaderValue(Ra,qa,Ja,ed,td){if(utils$1.isFunction(ed))return ed.call(this,qa,Ja);if(td&&(qa=Ja),!!utils$1.isString(qa)){if(utils$1.isString(ed))return qa.indexOf(ed)!==-1;if(utils$1.isRegExp(ed))return ed.test(qa)}}function formatHeader(Ra){return Ra.trim().toLowerCase().replace(/([a-z\d])(\w*)/g,(qa,Ja,ed)=>Ja.toUpperCase()+ed)}function buildAccessors(Ra,qa){const Ja=utils$1.toCamelCase(" "+qa);["get","set","has"].forEach(ed=>{Object.defineProperty(Ra,ed+Ja,{value:function(td,rd,sd){return this[ed].call(this,qa,td,rd,sd)},configurable:!0})})}class AxiosHeaders{constructor(qa){qa&&this.set(qa)}set(qa,Ja,ed){const td=this;function rd(od,ld,cd){const ud=normalizeHeader(ld);if(!ud)throw new Error("header name must be a non-empty string");const _d=utils$1.findKey(td,ud);(!_d||td[_d]===void 0||cd===!0||cd===void 0&&td[_d]!==!1)&&(td[_d||ld]=normalizeValue(od))}const sd=(od,ld)=>utils$1.forEach(od,(cd,ud)=>rd(cd,ud,ld));if(utils$1.isPlainObject(qa)||qa instanceof this.constructor)sd(qa,Ja);else if(utils$1.isString(qa)&&(qa=qa.trim())&&!isValidHeaderName(qa))sd(parseHeaders(qa),Ja);else if(utils$1.isHeaders(qa))for(const[od,ld]of qa.entries())rd(ld,od,ed);else qa!=null&&rd(Ja,qa,ed);return this}get(qa,Ja){if(qa=normalizeHeader(qa),qa){const ed=utils$1.findKey(this,qa);if(ed){const td=this[ed];if(!Ja)return td;if(Ja===!0)return parseTokens(td);if(utils$1.isFunction(Ja))return Ja.call(this,td,ed);if(utils$1.isRegExp(Ja))return Ja.exec(td);throw new TypeError("parser must be boolean|regexp|function")}}}has(qa,Ja){if(qa=normalizeHeader(qa),qa){const ed=utils$1.findKey(this,qa);return!!(ed&&this[ed]!==void 0&&(!Ja||matchHeaderValue(this,this[ed],ed,Ja)))}return!1}delete(qa,Ja){const ed=this;let td=!1;function rd(sd){if(sd=normalizeHeader(sd),sd){const od=utils$1.findKey(ed,sd);od&&(!Ja||matchHeaderValue(ed,ed[od],od,Ja))&&(delete ed[od],td=!0)}}return utils$1.isArray(qa)?qa.forEach(rd):rd(qa),td}clear(qa){const Ja=Object.keys(this);let ed=Ja.length,td=!1;for(;ed--;){const rd=Ja[ed];(!qa||matchHeaderValue(this,this[rd],rd,qa,!0))&&(delete this[rd],td=!0)}return td}normalize(qa){const Ja=this,ed={};return utils$1.forEach(this,(td,rd)=>{const sd=utils$1.findKey(ed,rd);if(sd){Ja[sd]=normalizeValue(td),delete Ja[rd];return}const od=qa?formatHeader(rd):String(rd).trim();od!==rd&&delete Ja[rd],Ja[od]=normalizeValue(td),ed[od]=!0}),this}concat(...qa){return this.constructor.concat(this,...qa)}toJSON(qa){const Ja=Object.create(null);return utils$1.forEach(this,(ed,td)=>{ed!=null&&ed!==!1&&(Ja[td]=qa&&utils$1.isArray(ed)?ed.join(", "):ed)}),Ja}[Symbol.iterator](){return Object.entries(this.toJSON())[Symbol.iterator]()}toString(){return Object.entries(this.toJSON()).map(([qa,Ja])=>qa+": "+Ja).join(`
|
||
`)}get[Symbol.toStringTag](){return"AxiosHeaders"}static from(qa){return qa instanceof this?qa:new this(qa)}static concat(qa,...Ja){const ed=new this(qa);return Ja.forEach(td=>ed.set(td)),ed}static accessor(qa){const ed=(this[$internals]=this[$internals]={accessors:{}}).accessors,td=this.prototype;function rd(sd){const od=normalizeHeader(sd);ed[od]||(buildAccessors(td,sd),ed[od]=!0)}return utils$1.isArray(qa)?qa.forEach(rd):rd(qa),this}}AxiosHeaders.accessor(["Content-Type","Content-Length","Accept","Accept-Encoding","User-Agent","Authorization"]);utils$1.reduceDescriptors(AxiosHeaders.prototype,({value:Ra},qa)=>{let Ja=qa[0].toUpperCase()+qa.slice(1);return{get:()=>Ra,set(ed){this[Ja]=ed}}});utils$1.freezeMethods(AxiosHeaders);function transformData(Ra,qa){const Ja=this||defaults,ed=qa||Ja,td=AxiosHeaders.from(ed.headers);let rd=ed.data;return utils$1.forEach(Ra,function(od){rd=od.call(Ja,rd,td.normalize(),qa?qa.status:void 0)}),td.normalize(),rd}function isCancel(Ra){return!!(Ra&&Ra.__CANCEL__)}function CanceledError(Ra,qa,Ja){AxiosError.call(this,Ra??"canceled",AxiosError.ERR_CANCELED,qa,Ja),this.name="CanceledError"}utils$1.inherits(CanceledError,AxiosError,{__CANCEL__:!0});function settle(Ra,qa,Ja){const ed=Ja.config.validateStatus;!Ja.status||!ed||ed(Ja.status)?Ra(Ja):qa(new AxiosError("Request failed with status code "+Ja.status,[AxiosError.ERR_BAD_REQUEST,AxiosError.ERR_BAD_RESPONSE][Math.floor(Ja.status/100)-4],Ja.config,Ja.request,Ja))}function parseProtocol(Ra){const qa=/^([-+\w]{1,25})(:?\/\/|:)/.exec(Ra);return qa&&qa[1]||""}function speedometer(Ra,qa){Ra=Ra||10;const Ja=new Array(Ra),ed=new Array(Ra);let td=0,rd=0,sd;return qa=qa!==void 0?qa:1e3,function(ld){const cd=Date.now(),ud=ed[rd];sd||(sd=cd),Ja[td]=ld,ed[td]=cd;let _d=rd,yd=0;for(;_d!==td;)yd+=Ja[_d++],_d=_d%Ra;if(td=(td+1)%Ra,td===rd&&(rd=(rd+1)%Ra),cd-sd<qa)return;const gd=ud&&cd-ud;return gd?Math.round(yd*1e3/gd):void 0}}function throttle(Ra,qa){let Ja=0,ed=1e3/qa,td,rd;const sd=(cd,ud=Date.now())=>{Ja=ud,td=null,rd&&(clearTimeout(rd),rd=null),Ra.apply(null,cd)};return[(...cd)=>{const ud=Date.now(),_d=ud-Ja;_d>=ed?sd(cd,ud):(td=cd,rd||(rd=setTimeout(()=>{rd=null,sd(td)},ed-_d)))},()=>td&&sd(td)]}const progressEventReducer=(Ra,qa,Ja=3)=>{let ed=0;const td=speedometer(50,250);return throttle(rd=>{const sd=rd.loaded,od=rd.lengthComputable?rd.total:void 0,ld=sd-ed,cd=td(ld),ud=sd<=od;ed=sd;const _d={loaded:sd,total:od,progress:od?sd/od:void 0,bytes:ld,rate:cd||void 0,estimated:cd&&od&&ud?(od-sd)/cd:void 0,event:rd,lengthComputable:od!=null,[qa?"download":"upload"]:!0};Ra(_d)},Ja)},progressEventDecorator=(Ra,qa)=>{const Ja=Ra!=null;return[ed=>qa[0]({lengthComputable:Ja,total:Ra,loaded:ed}),qa[1]]},asyncDecorator=Ra=>(...qa)=>utils$1.asap(()=>Ra(...qa)),isURLSameOrigin=platform.hasStandardBrowserEnv?function(){const qa=platform.navigator&&/(msie|trident)/i.test(platform.navigator.userAgent),Ja=document.createElement("a");let ed;function td(rd){let sd=rd;return qa&&(Ja.setAttribute("href",sd),sd=Ja.href),Ja.setAttribute("href",sd),{href:Ja.href,protocol:Ja.protocol?Ja.protocol.replace(/:$/,""):"",host:Ja.host,search:Ja.search?Ja.search.replace(/^\?/,""):"",hash:Ja.hash?Ja.hash.replace(/^#/,""):"",hostname:Ja.hostname,port:Ja.port,pathname:Ja.pathname.charAt(0)==="/"?Ja.pathname:"/"+Ja.pathname}}return ed=td(window.location.href),function(sd){const od=utils$1.isString(sd)?td(sd):sd;return od.protocol===ed.protocol&&od.host===ed.host}}():function(){return function(){return!0}}(),cookies=platform.hasStandardBrowserEnv?{write(Ra,qa,Ja,ed,td,rd){const sd=[Ra+"="+encodeURIComponent(qa)];utils$1.isNumber(Ja)&&sd.push("expires="+new Date(Ja).toGMTString()),utils$1.isString(ed)&&sd.push("path="+ed),utils$1.isString(td)&&sd.push("domain="+td),rd===!0&&sd.push("secure"),document.cookie=sd.join("; ")},read(Ra){const qa=document.cookie.match(new RegExp("(^|;\\s*)("+Ra+")=([^;]*)"));return qa?decodeURIComponent(qa[3]):null},remove(Ra){this.write(Ra,"",Date.now()-864e5)}}:{write(){},read(){return null},remove(){}};function isAbsoluteURL(Ra){return/^([a-z][a-z\d+\-.]*:)?\/\//i.test(Ra)}function combineURLs(Ra,qa){return qa?Ra.replace(/\/?\/$/,"")+"/"+qa.replace(/^\/+/,""):Ra}function buildFullPath(Ra,qa){return Ra&&!isAbsoluteURL(qa)?combineURLs(Ra,qa):qa}const headersToObject=Ra=>Ra instanceof AxiosHeaders?{...Ra}:Ra;function mergeConfig(Ra,qa){qa=qa||{};const Ja={};function ed(cd,ud,_d){return utils$1.isPlainObject(cd)&&utils$1.isPlainObject(ud)?utils$1.merge.call({caseless:_d},cd,ud):utils$1.isPlainObject(ud)?utils$1.merge({},ud):utils$1.isArray(ud)?ud.slice():ud}function td(cd,ud,_d){if(utils$1.isUndefined(ud)){if(!utils$1.isUndefined(cd))return ed(void 0,cd,_d)}else return ed(cd,ud,_d)}function rd(cd,ud){if(!utils$1.isUndefined(ud))return ed(void 0,ud)}function sd(cd,ud){if(utils$1.isUndefined(ud)){if(!utils$1.isUndefined(cd))return ed(void 0,cd)}else return ed(void 0,ud)}function od(cd,ud,_d){if(_d in qa)return ed(cd,ud);if(_d in Ra)return ed(void 0,cd)}const ld={url:rd,method:rd,data:rd,baseURL:sd,transformRequest:sd,transformResponse:sd,paramsSerializer:sd,timeout:sd,timeoutMessage:sd,withCredentials:sd,withXSRFToken:sd,adapter:sd,responseType:sd,xsrfCookieName:sd,xsrfHeaderName:sd,onUploadProgress:sd,onDownloadProgress:sd,decompress:sd,maxContentLength:sd,maxBodyLength:sd,beforeRedirect:sd,transport:sd,httpAgent:sd,httpsAgent:sd,cancelToken:sd,socketPath:sd,responseEncoding:sd,validateStatus:od,headers:(cd,ud)=>td(headersToObject(cd),headersToObject(ud),!0)};return utils$1.forEach(Object.keys(Object.assign({},Ra,qa)),function(ud){const _d=ld[ud]||td,yd=_d(Ra[ud],qa[ud],ud);utils$1.isUndefined(yd)&&_d!==od||(Ja[ud]=yd)}),Ja}const resolveConfig=Ra=>{const qa=mergeConfig({},Ra);let{data:Ja,withXSRFToken:ed,xsrfHeaderName:td,xsrfCookieName:rd,headers:sd,auth:od}=qa;qa.headers=sd=AxiosHeaders.from(sd),qa.url=buildURL(buildFullPath(qa.baseURL,qa.url),Ra.params,Ra.paramsSerializer),od&&sd.set("Authorization","Basic "+btoa((od.username||"")+":"+(od.password?unescape(encodeURIComponent(od.password)):"")));let ld;if(utils$1.isFormData(Ja)){if(platform.hasStandardBrowserEnv||platform.hasStandardBrowserWebWorkerEnv)sd.setContentType(void 0);else if((ld=sd.getContentType())!==!1){const[cd,...ud]=ld?ld.split(";").map(_d=>_d.trim()).filter(Boolean):[];sd.setContentType([cd||"multipart/form-data",...ud].join("; "))}}if(platform.hasStandardBrowserEnv&&(ed&&utils$1.isFunction(ed)&&(ed=ed(qa)),ed||ed!==!1&&isURLSameOrigin(qa.url))){const cd=td&&rd&&cookies.read(rd);cd&&sd.set(td,cd)}return qa},isXHRAdapterSupported=typeof XMLHttpRequest<"u",xhrAdapter=isXHRAdapterSupported&&function(Ra){return new Promise(function(Ja,ed){const td=resolveConfig(Ra);let rd=td.data;const sd=AxiosHeaders.from(td.headers).normalize();let{responseType:od,onUploadProgress:ld,onDownloadProgress:cd}=td,ud,_d,yd,gd,Ed;function Td(){gd&&gd(),Ed&&Ed(),td.cancelToken&&td.cancelToken.unsubscribe(ud),td.signal&&td.signal.removeEventListener("abort",ud)}let kd=new XMLHttpRequest;kd.open(td.method.toUpperCase(),td.url,!0),kd.timeout=td.timeout;function Rd(){if(!kd)return;const Id=AxiosHeaders.from("getAllResponseHeaders"in kd&&kd.getAllResponseHeaders()),Ld={data:!od||od==="text"||od==="json"?kd.responseText:kd.response,status:kd.status,statusText:kd.statusText,headers:Id,config:Ra,request:kd};settle(function(qd){Ja(qd),Td()},function(qd){ed(qd),Td()},Ld),kd=null}"onloadend"in kd?kd.onloadend=Rd:kd.onreadystatechange=function(){!kd||kd.readyState!==4||kd.status===0&&!(kd.responseURL&&kd.responseURL.indexOf("file:")===0)||setTimeout(Rd)},kd.onabort=function(){kd&&(ed(new AxiosError("Request aborted",AxiosError.ECONNABORTED,Ra,kd)),kd=null)},kd.onerror=function(){ed(new AxiosError("Network Error",AxiosError.ERR_NETWORK,Ra,kd)),kd=null},kd.ontimeout=function(){let Md=td.timeout?"timeout of "+td.timeout+"ms exceeded":"timeout exceeded";const Ld=td.transitional||transitionalDefaults;td.timeoutErrorMessage&&(Md=td.timeoutErrorMessage),ed(new AxiosError(Md,Ld.clarifyTimeoutError?AxiosError.ETIMEDOUT:AxiosError.ECONNABORTED,Ra,kd)),kd=null},rd===void 0&&sd.setContentType(null),"setRequestHeader"in kd&&utils$1.forEach(sd.toJSON(),function(Md,Ld){kd.setRequestHeader(Ld,Md)}),utils$1.isUndefined(td.withCredentials)||(kd.withCredentials=!!td.withCredentials),od&&od!=="json"&&(kd.responseType=td.responseType),cd&&([yd,Ed]=progressEventReducer(cd,!0),kd.addEventListener("progress",yd)),ld&&kd.upload&&([_d,gd]=progressEventReducer(ld),kd.upload.addEventListener("progress",_d),kd.upload.addEventListener("loadend",gd)),(td.cancelToken||td.signal)&&(ud=Id=>{kd&&(ed(!Id||Id.type?new CanceledError(null,Ra,kd):Id),kd.abort(),kd=null)},td.cancelToken&&td.cancelToken.subscribe(ud),td.signal&&(td.signal.aborted?ud():td.signal.addEventListener("abort",ud)));const Nd=parseProtocol(td.url);if(Nd&&platform.protocols.indexOf(Nd)===-1){ed(new AxiosError("Unsupported protocol "+Nd+":",AxiosError.ERR_BAD_REQUEST,Ra));return}kd.send(rd||null)})},composeSignals=(Ra,qa)=>{const{length:Ja}=Ra=Ra?Ra.filter(Boolean):[];if(qa||Ja){let ed=new AbortController,td;const rd=function(cd){if(!td){td=!0,od();const ud=cd instanceof Error?cd:this.reason;ed.abort(ud instanceof AxiosError?ud:new CanceledError(ud instanceof Error?ud.message:ud))}};let sd=qa&&setTimeout(()=>{sd=null,rd(new AxiosError(`timeout ${qa} of ms exceeded`,AxiosError.ETIMEDOUT))},qa);const od=()=>{Ra&&(sd&&clearTimeout(sd),sd=null,Ra.forEach(cd=>{cd.unsubscribe?cd.unsubscribe(rd):cd.removeEventListener("abort",rd)}),Ra=null)};Ra.forEach(cd=>cd.addEventListener("abort",rd));const{signal:ld}=ed;return ld.unsubscribe=()=>utils$1.asap(od),ld}},streamChunk=function*(Ra,qa){let Ja=Ra.byteLength;if(!qa||Ja<qa){yield Ra;return}let ed=0,td;for(;ed<Ja;)td=ed+qa,yield Ra.slice(ed,td),ed=td},readBytes=async function*(Ra,qa){for await(const Ja of readStream(Ra))yield*streamChunk(Ja,qa)},readStream=async function*(Ra){if(Ra[Symbol.asyncIterator]){yield*Ra;return}const qa=Ra.getReader();try{for(;;){const{done:Ja,value:ed}=await qa.read();if(Ja)break;yield ed}}finally{await qa.cancel()}},trackStream=(Ra,qa,Ja,ed)=>{const td=readBytes(Ra,qa);let rd=0,sd,od=ld=>{sd||(sd=!0,ed&&ed(ld))};return new ReadableStream({async pull(ld){try{const{done:cd,value:ud}=await td.next();if(cd){od(),ld.close();return}let _d=ud.byteLength;if(Ja){let yd=rd+=_d;Ja(yd)}ld.enqueue(new Uint8Array(ud))}catch(cd){throw od(cd),cd}},cancel(ld){return od(ld),td.return()}},{highWaterMark:2})},isFetchSupported=typeof fetch=="function"&&typeof Request=="function"&&typeof Response=="function",isReadableStreamSupported=isFetchSupported&&typeof ReadableStream=="function",encodeText=isFetchSupported&&(typeof TextEncoder=="function"?(Ra=>qa=>Ra.encode(qa))(new TextEncoder):async Ra=>new Uint8Array(await new Response(Ra).arrayBuffer())),test=(Ra,...qa)=>{try{return!!Ra(...qa)}catch{return!1}},supportsRequestStream=isReadableStreamSupported&&test(()=>{let Ra=!1;const qa=new Request(platform.origin,{body:new ReadableStream,method:"POST",get duplex(){return Ra=!0,"half"}}).headers.has("Content-Type");return Ra&&!qa}),DEFAULT_CHUNK_SIZE=64*1024,supportsResponseStream=isReadableStreamSupported&&test(()=>utils$1.isReadableStream(new Response("").body)),resolvers={stream:supportsResponseStream&&(Ra=>Ra.body)};isFetchSupported&&(Ra=>{["text","arrayBuffer","blob","formData","stream"].forEach(qa=>{!resolvers[qa]&&(resolvers[qa]=utils$1.isFunction(Ra[qa])?Ja=>Ja[qa]():(Ja,ed)=>{throw new AxiosError(`Response type '${qa}' is not supported`,AxiosError.ERR_NOT_SUPPORT,ed)})})})(new Response);const getBodyLength=async Ra=>{if(Ra==null)return 0;if(utils$1.isBlob(Ra))return Ra.size;if(utils$1.isSpecCompliantForm(Ra))return(await new Request(platform.origin,{method:"POST",body:Ra}).arrayBuffer()).byteLength;if(utils$1.isArrayBufferView(Ra)||utils$1.isArrayBuffer(Ra))return Ra.byteLength;if(utils$1.isURLSearchParams(Ra)&&(Ra=Ra+""),utils$1.isString(Ra))return(await encodeText(Ra)).byteLength},resolveBodyLength=async(Ra,qa)=>{const Ja=utils$1.toFiniteNumber(Ra.getContentLength());return Ja??getBodyLength(qa)},fetchAdapter=isFetchSupported&&(async Ra=>{let{url:qa,method:Ja,data:ed,signal:td,cancelToken:rd,timeout:sd,onDownloadProgress:od,onUploadProgress:ld,responseType:cd,headers:ud,withCredentials:_d="same-origin",fetchOptions:yd}=resolveConfig(Ra);cd=cd?(cd+"").toLowerCase():"text";let gd=composeSignals([td,rd&&rd.toAbortSignal()],sd),Ed;const Td=gd&&gd.unsubscribe&&(()=>{gd.unsubscribe()});let kd;try{if(ld&&supportsRequestStream&&Ja!=="get"&&Ja!=="head"&&(kd=await resolveBodyLength(ud,ed))!==0){let Ld=new Request(qa,{method:"POST",body:ed,duplex:"half"}),Pd;if(utils$1.isFormData(ed)&&(Pd=Ld.headers.get("content-type"))&&ud.setContentType(Pd),Ld.body){const[qd,Yd]=progressEventDecorator(kd,progressEventReducer(asyncDecorator(ld)));ed=trackStream(Ld.body,DEFAULT_CHUNK_SIZE,qd,Yd)}}utils$1.isString(_d)||(_d=_d?"include":"omit");const Rd="credentials"in Request.prototype;Ed=new Request(qa,{...yd,signal:gd,method:Ja.toUpperCase(),headers:ud.normalize().toJSON(),body:ed,duplex:"half",credentials:Rd?_d:void 0});let Nd=await fetch(Ed);const Id=supportsResponseStream&&(cd==="stream"||cd==="response");if(supportsResponseStream&&(od||Id&&Td)){const Ld={};["status","statusText","headers"].forEach(Ud=>{Ld[Ud]=Nd[Ud]});const Pd=utils$1.toFiniteNumber(Nd.headers.get("content-length")),[qd,Yd]=od&&progressEventDecorator(Pd,progressEventReducer(asyncDecorator(od),!0))||[];Nd=new Response(trackStream(Nd.body,DEFAULT_CHUNK_SIZE,qd,()=>{Yd&&Yd(),Td&&Td()}),Ld)}cd=cd||"text";let Md=await resolvers[utils$1.findKey(resolvers,cd)||"text"](Nd,Ra);return!Id&&Td&&Td(),await new Promise((Ld,Pd)=>{settle(Ld,Pd,{data:Md,headers:AxiosHeaders.from(Nd.headers),status:Nd.status,statusText:Nd.statusText,config:Ra,request:Ed})})}catch(Rd){throw Td&&Td(),Rd&&Rd.name==="TypeError"&&/fetch/i.test(Rd.message)?Object.assign(new AxiosError("Network Error",AxiosError.ERR_NETWORK,Ra,Ed),{cause:Rd.cause||Rd}):AxiosError.from(Rd,Rd&&Rd.code,Ra,Ed)}}),knownAdapters={http:httpAdapter,xhr:xhrAdapter,fetch:fetchAdapter};utils$1.forEach(knownAdapters,(Ra,qa)=>{if(Ra){try{Object.defineProperty(Ra,"name",{value:qa})}catch{}Object.defineProperty(Ra,"adapterName",{value:qa})}});const renderReason=Ra=>`- ${Ra}`,isResolvedHandle=Ra=>utils$1.isFunction(Ra)||Ra===null||Ra===!1,adapters={getAdapter:Ra=>{Ra=utils$1.isArray(Ra)?Ra:[Ra];const{length:qa}=Ra;let Ja,ed;const td={};for(let rd=0;rd<qa;rd++){Ja=Ra[rd];let sd;if(ed=Ja,!isResolvedHandle(Ja)&&(ed=knownAdapters[(sd=String(Ja)).toLowerCase()],ed===void 0))throw new AxiosError(`Unknown adapter '${sd}'`);if(ed)break;td[sd||"#"+rd]=ed}if(!ed){const rd=Object.entries(td).map(([od,ld])=>`adapter ${od} `+(ld===!1?"is not supported by the environment":"is not available in the build"));let sd=qa?rd.length>1?`since :
|
||
`+rd.map(renderReason).join(`
|
||
`):" "+renderReason(rd[0]):"as no adapter specified";throw new AxiosError("There is no suitable adapter to dispatch the request "+sd,"ERR_NOT_SUPPORT")}return ed},adapters:knownAdapters};function throwIfCancellationRequested(Ra){if(Ra.cancelToken&&Ra.cancelToken.throwIfRequested(),Ra.signal&&Ra.signal.aborted)throw new CanceledError(null,Ra)}function dispatchRequest(Ra){return throwIfCancellationRequested(Ra),Ra.headers=AxiosHeaders.from(Ra.headers),Ra.data=transformData.call(Ra,Ra.transformRequest),["post","put","patch"].indexOf(Ra.method)!==-1&&Ra.headers.setContentType("application/x-www-form-urlencoded",!1),adapters.getAdapter(Ra.adapter||defaults.adapter)(Ra).then(function(ed){return throwIfCancellationRequested(Ra),ed.data=transformData.call(Ra,Ra.transformResponse,ed),ed.headers=AxiosHeaders.from(ed.headers),ed},function(ed){return isCancel(ed)||(throwIfCancellationRequested(Ra),ed&&ed.response&&(ed.response.data=transformData.call(Ra,Ra.transformResponse,ed.response),ed.response.headers=AxiosHeaders.from(ed.response.headers))),Promise.reject(ed)})}const VERSION="1.7.7",validators$1={};["object","boolean","number","function","string","symbol"].forEach((Ra,qa)=>{validators$1[Ra]=function(ed){return typeof ed===Ra||"a"+(qa<1?"n ":" ")+Ra}});const deprecatedWarnings={};validators$1.transitional=function(qa,Ja,ed){function td(rd,sd){return"[Axios v"+VERSION+"] Transitional option '"+rd+"'"+sd+(ed?". "+ed:"")}return(rd,sd,od)=>{if(qa===!1)throw new AxiosError(td(sd," has been removed"+(Ja?" in "+Ja:"")),AxiosError.ERR_DEPRECATED);return Ja&&!deprecatedWarnings[sd]&&(deprecatedWarnings[sd]=!0,console.warn(td(sd," has been deprecated since v"+Ja+" and will be removed in the near future"))),qa?qa(rd,sd,od):!0}};function assertOptions(Ra,qa,Ja){if(typeof Ra!="object")throw new AxiosError("options must be an object",AxiosError.ERR_BAD_OPTION_VALUE);const ed=Object.keys(Ra);let td=ed.length;for(;td-- >0;){const rd=ed[td],sd=qa[rd];if(sd){const od=Ra[rd],ld=od===void 0||sd(od,rd,Ra);if(ld!==!0)throw new AxiosError("option "+rd+" must be "+ld,AxiosError.ERR_BAD_OPTION_VALUE);continue}if(Ja!==!0)throw new AxiosError("Unknown option "+rd,AxiosError.ERR_BAD_OPTION)}}const validator={assertOptions,validators:validators$1},validators=validator.validators;class Axios{constructor(qa){this.defaults=qa,this.interceptors={request:new InterceptorManager,response:new InterceptorManager}}async request(qa,Ja){try{return await this._request(qa,Ja)}catch(ed){if(ed instanceof Error){let td;Error.captureStackTrace?Error.captureStackTrace(td={}):td=new Error;const rd=td.stack?td.stack.replace(/^.+\n/,""):"";try{ed.stack?rd&&!String(ed.stack).endsWith(rd.replace(/^.+\n.+\n/,""))&&(ed.stack+=`
|
||
`+rd):ed.stack=rd}catch{}}throw ed}}_request(qa,Ja){typeof qa=="string"?(Ja=Ja||{},Ja.url=qa):Ja=qa||{},Ja=mergeConfig(this.defaults,Ja);const{transitional:ed,paramsSerializer:td,headers:rd}=Ja;ed!==void 0&&validator.assertOptions(ed,{silentJSONParsing:validators.transitional(validators.boolean),forcedJSONParsing:validators.transitional(validators.boolean),clarifyTimeoutError:validators.transitional(validators.boolean)},!1),td!=null&&(utils$1.isFunction(td)?Ja.paramsSerializer={serialize:td}:validator.assertOptions(td,{encode:validators.function,serialize:validators.function},!0)),Ja.method=(Ja.method||this.defaults.method||"get").toLowerCase();let sd=rd&&utils$1.merge(rd.common,rd[Ja.method]);rd&&utils$1.forEach(["delete","get","head","post","put","patch","common"],Ed=>{delete rd[Ed]}),Ja.headers=AxiosHeaders.concat(sd,rd);const od=[];let ld=!0;this.interceptors.request.forEach(function(Td){typeof Td.runWhen=="function"&&Td.runWhen(Ja)===!1||(ld=ld&&Td.synchronous,od.unshift(Td.fulfilled,Td.rejected))});const cd=[];this.interceptors.response.forEach(function(Td){cd.push(Td.fulfilled,Td.rejected)});let ud,_d=0,yd;if(!ld){const Ed=[dispatchRequest.bind(this),void 0];for(Ed.unshift.apply(Ed,od),Ed.push.apply(Ed,cd),yd=Ed.length,ud=Promise.resolve(Ja);_d<yd;)ud=ud.then(Ed[_d++],Ed[_d++]);return ud}yd=od.length;let gd=Ja;for(_d=0;_d<yd;){const Ed=od[_d++],Td=od[_d++];try{gd=Ed(gd)}catch(kd){Td.call(this,kd);break}}try{ud=dispatchRequest.call(this,gd)}catch(Ed){return Promise.reject(Ed)}for(_d=0,yd=cd.length;_d<yd;)ud=ud.then(cd[_d++],cd[_d++]);return ud}getUri(qa){qa=mergeConfig(this.defaults,qa);const Ja=buildFullPath(qa.baseURL,qa.url);return buildURL(Ja,qa.params,qa.paramsSerializer)}}utils$1.forEach(["delete","get","head","options"],function(qa){Axios.prototype[qa]=function(Ja,ed){return this.request(mergeConfig(ed||{},{method:qa,url:Ja,data:(ed||{}).data}))}});utils$1.forEach(["post","put","patch"],function(qa){function Ja(ed){return function(rd,sd,od){return this.request(mergeConfig(od||{},{method:qa,headers:ed?{"Content-Type":"multipart/form-data"}:{},url:rd,data:sd}))}}Axios.prototype[qa]=Ja(),Axios.prototype[qa+"Form"]=Ja(!0)});class CancelToken{constructor(qa){if(typeof qa!="function")throw new TypeError("executor must be a function.");let Ja;this.promise=new Promise(function(rd){Ja=rd});const ed=this;this.promise.then(td=>{if(!ed._listeners)return;let rd=ed._listeners.length;for(;rd-- >0;)ed._listeners[rd](td);ed._listeners=null}),this.promise.then=td=>{let rd;const sd=new Promise(od=>{ed.subscribe(od),rd=od}).then(td);return sd.cancel=function(){ed.unsubscribe(rd)},sd},qa(function(rd,sd,od){ed.reason||(ed.reason=new CanceledError(rd,sd,od),Ja(ed.reason))})}throwIfRequested(){if(this.reason)throw this.reason}subscribe(qa){if(this.reason){qa(this.reason);return}this._listeners?this._listeners.push(qa):this._listeners=[qa]}unsubscribe(qa){if(!this._listeners)return;const Ja=this._listeners.indexOf(qa);Ja!==-1&&this._listeners.splice(Ja,1)}toAbortSignal(){const qa=new AbortController,Ja=ed=>{qa.abort(ed)};return this.subscribe(Ja),qa.signal.unsubscribe=()=>this.unsubscribe(Ja),qa.signal}static source(){let qa;return{token:new CancelToken(function(td){qa=td}),cancel:qa}}}function spread(Ra){return function(Ja){return Ra.apply(null,Ja)}}function isAxiosError(Ra){return utils$1.isObject(Ra)&&Ra.isAxiosError===!0}const HttpStatusCode={Continue:100,SwitchingProtocols:101,Processing:102,EarlyHints:103,Ok:200,Created:201,Accepted:202,NonAuthoritativeInformation:203,NoContent:204,ResetContent:205,PartialContent:206,MultiStatus:207,AlreadyReported:208,ImUsed:226,MultipleChoices:300,MovedPermanently:301,Found:302,SeeOther:303,NotModified:304,UseProxy:305,Unused:306,TemporaryRedirect:307,PermanentRedirect:308,BadRequest:400,Unauthorized:401,PaymentRequired:402,Forbidden:403,NotFound:404,MethodNotAllowed:405,NotAcceptable:406,ProxyAuthenticationRequired:407,RequestTimeout:408,Conflict:409,Gone:410,LengthRequired:411,PreconditionFailed:412,PayloadTooLarge:413,UriTooLong:414,UnsupportedMediaType:415,RangeNotSatisfiable:416,ExpectationFailed:417,ImATeapot:418,MisdirectedRequest:421,UnprocessableEntity:422,Locked:423,FailedDependency:424,TooEarly:425,UpgradeRequired:426,PreconditionRequired:428,TooManyRequests:429,RequestHeaderFieldsTooLarge:431,UnavailableForLegalReasons:451,InternalServerError:500,NotImplemented:501,BadGateway:502,ServiceUnavailable:503,GatewayTimeout:504,HttpVersionNotSupported:505,VariantAlsoNegotiates:506,InsufficientStorage:507,LoopDetected:508,NotExtended:510,NetworkAuthenticationRequired:511};Object.entries(HttpStatusCode).forEach(([Ra,qa])=>{HttpStatusCode[qa]=Ra});function createInstance(Ra){const qa=new Axios(Ra),Ja=bind(Axios.prototype.request,qa);return utils$1.extend(Ja,Axios.prototype,qa,{allOwnKeys:!0}),utils$1.extend(Ja,qa,null,{allOwnKeys:!0}),Ja.create=function(td){return createInstance(mergeConfig(Ra,td))},Ja}const axios=createInstance(defaults);axios.Axios=Axios;axios.CanceledError=CanceledError;axios.CancelToken=CancelToken;axios.isCancel=isCancel;axios.VERSION=VERSION;axios.toFormData=toFormData;axios.AxiosError=AxiosError;axios.Cancel=axios.CanceledError;axios.all=function(qa){return Promise.all(qa)};axios.spread=spread;axios.isAxiosError=isAxiosError;axios.mergeConfig=mergeConfig;axios.AxiosHeaders=AxiosHeaders;axios.formToJSON=Ra=>formDataToJSON(utils$1.isHTMLForm(Ra)?new FormData(Ra):Ra);axios.getAdapter=adapters.getAdapter;axios.HttpStatusCode=HttpStatusCode;axios.default=axios;const service=axios.create({baseURL:"",timeout:30*1e3});service.interceptors.request.use(Ra=>(/^(?:post|put|delete)$/i.test(Ra.method)&&Ra.data&&Ra.data.upload&&(Ra.headers["Content-Type"]="multipart/form-data"),Ra),Ra=>{Promise.reject(Ra)});service.interceptors.response.use(Ra=>Ra.data?Ra.data:Promise.reject(Ra),Ra=>Promise.reject(Ra));function utf16to8(Ra){let qa="";const Ja=Ra.length;for(let ed=0;ed<Ja;ed++){const td=Ra.charCodeAt(ed);td>=1&&td<=127?qa+=Ra.charAt(ed):td>2047?(qa+=String.fromCharCode(224|td>>12&15),qa+=String.fromCharCode(128|td>>6&63),qa+=String.fromCharCode(128|td&63)):(qa+=String.fromCharCode(192|td>>6&31),qa+=String.fromCharCode(128|td&63))}return qa}function utf8to16(Ra){let qa="",Ja=0;const ed=Ra.length;for(;Ja<ed;){const td=Ra.charCodeAt(Ja++);let rd,sd;switch(td>>4){case 0:case 1:case 2:case 3:case 4:case 5:case 6:case 7:qa+=Ra.charAt(Ja-1);break;case 12:case 13:rd=Ra.charCodeAt(Ja++),qa+=String.fromCharCode((td&31)<<6|rd&63);break;case 14:rd=Ra.charCodeAt(Ja++),sd=Ra.charCodeAt(Ja++),qa+=String.fromCharCode((td&15)<<12|(rd&63)<<6|sd&63);break}}return qa}const base64EncodeChars="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789-_",base64DecodeChars=[-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,62,-1,-1,-1,63,52,53,54,55,56,57,58,59,60,61,-1,-1,-1,-1,-1,-1,-1,0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,-1,-1,-1,-1,-1,-1,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,-1,-1,-1,-1,-1];function base64encode(Ra){let qa="",Ja=0;const ed=Ra.length;for(;Ja<ed;){const td=Ra.charCodeAt(Ja++)&255;if(Ja===ed){qa+=base64EncodeChars.charAt(td>>2),qa+=base64EncodeChars.charAt((td&3)<<4),qa+="==";break}const rd=Ra.charCodeAt(Ja++);if(Ja===ed){qa+=base64EncodeChars.charAt(td>>2),qa+=base64EncodeChars.charAt((td&3)<<4|(rd&240)>>4),qa+=base64EncodeChars.charAt((rd&15)<<2),qa+="=";break}const sd=Ra.charCodeAt(Ja++);qa+=base64EncodeChars.charAt(td>>2),qa+=base64EncodeChars.charAt((td&3)<<4|(rd&240)>>4),qa+=base64EncodeChars.charAt((rd&15)<<2|(sd&192)>>6),qa+=base64EncodeChars.charAt(sd&63)}return qa}function base64decode(Ra){let qa,Ja,ed,td,rd=0;const sd=Ra.length;let od="";for(;rd<sd;){do qa=base64DecodeChars[Ra.charCodeAt(rd++)&255];while(rd<sd&&qa===-1);if(qa===-1)break;do Ja=base64DecodeChars[Ra.charCodeAt(rd++)&255];while(rd<sd&&Ja===-1);if(Ja===-1)break;od+=String.fromCharCode(qa<<2|(Ja&48)>>4);do{if(ed=Ra.charCodeAt(rd++)&255,ed===61)return od;ed=base64DecodeChars[ed]}while(rd<sd&&ed===-1);if(ed===-1)break;od+=String.fromCharCode((Ja&15)<<4|(ed&60)>>2);do{if(td=Ra.charCodeAt(rd++)&255,td===61)return od;td=base64DecodeChars[td]}while(rd<sd&&td===-1);if(td===-1)break;od+=String.fromCharCode((ed&3)<<6|td)}return od}function safe64(Ra){return Ra=Ra.replace(/\+/g,"-"),Ra=Ra.replace(/\//g,"_"),Ra}const tokenTools=Object.freeze(Object.defineProperty({__proto__:null,base64decode,base64encode,safe64,utf16to8,utf8to16},Symbol.toStringTag,{value:"Module"}));function getConfig(Ra,qa){if(Ra){const td=qa==="github"?githubConfig:giteeConfig,{username:rd,repoList:sd,branch:od,accessTokenList:ld}=td,cd=Math.floor(Math.random()*ld.length),ud=ld[cd].replace("doocsmd",""),_d=Math.floor(Math.random()*sd.length),yd=sd[_d];return{username:rd,repo:yd,branch:od,accessToken:ud}}const Ja=JSON.parse(localStorage.getItem(`${qa}Config`)),ed=Ja.repo.replace(`https://${qa}.com/`,"").replace(`http://${qa}.com/`,"").replace(`${qa}.com/`,"").split("/");return{username:ed[0],repo:ed[1],branch:Ja.branch||"master",accessToken:Ja.accessToken}}function getDir(){const Ra=new Date,qa=Ra.getFullYear(),Ja=(Ra.getMonth()+1).toString().padStart(2,"0"),ed=Ra.getDate().toString().padStart(2,"0");return`${qa}/${Ja}/${ed}`}function getDateFilename(Ra){const qa=new Date().getTime(),Ja=Ra.split(".")[1];return`${qa}-${v4()}.${Ja}`}async function ghFileUpload(Ra,qa){var gd;const Ja=localStorage.getItem("imgHost")==="default",{username:ed,repo:td,branch:rd,accessToken:sd}=getConfig(Ja,"github"),od=getDir(),ld=`https://api.github.com/repos/${ed}/${td}/contents/${od}/`,cd=getDateFilename(qa),ud=await service({url:ld+cd,method:"put",headers:{Authorization:`token ${sd}`},data:{content:Ra,branch:rd,message:`Upload by ${window.location.href}`}}),_d=`raw.githubusercontent.com/${ed}/${td}/${rd}/`,yd=`fastly.jsdelivr.net/gh/${ed}/${td}@${rd}/`;return ud.content=((gd=ud.data)==null?void 0:gd.content)||ud.content,Ja?ud.content.download_url.replace(_d,yd):ud.content.download_url}async function giteeUpload(Ra,qa){var _d;const Ja=localStorage.getItem("imgHost")==="default",{username:ed,repo:td,branch:rd,accessToken:sd}=getConfig(Ja,"gitee"),od=getDir(),ld=getDateFilename(qa),cd=`https://gitee.com/api/v5/repos/${ed}/${td}/contents/${od}/${ld}`,ud=await service({url:cd,method:"POST",data:{content:Ra,branch:rd,access_token:sd,message:`Upload by ${window.location.href}`}});return ud.content=((_d=ud.data)==null?void 0:_d.content)||ud.content,encodeURI(ud.content.download_url)}function getQiniuToken(Ra,qa,Ja){const ed=JSON.stringify(Ja),td=base64encode(utf16to8(ed)),sd=CryptoJS.HmacSHA1(td,qa).toString(CryptoJS.enc.Base64);return`${Ra}:${safe64(sd)}:${td}`}async function qiniuUpload(Ra){const{accessKey:qa,secretKey:Ja,bucket:ed,region:td,path:rd,domain:sd}=JSON.parse(localStorage.getItem("qiniuConfig")),od=getQiniuToken(qa,Ja,{scope:ed,deadline:Math.trunc(new Date().getTime()/1e3)+3600}),cd=(rd?`${rd}/`:"")+getDateFilename(Ra.name),ud=upload(Ra,cd,od,{},{region:td});return new Promise((_d,yd)=>{ud.subscribe({next:gd=>{console.log(gd)},error:gd=>{yd(gd.message)},complete:gd=>{_d(`${sd}/${gd.key}`)}})})}async function aliOSSFileUpload(Ra){const qa=getDateFilename(Ra.name),{region:Ja,bucket:ed,accessKeyId:td,accessKeySecret:rd,useSSL:sd,cdnHost:od,path:ld}=JSON.parse(localStorage.getItem("aliOSSConfig")),cd=ld?`${ld}/${qa}`:qa,ud=sd===void 0||sd,_d=ud?"https":"http",yd=new OSS({region:Ja,bucket:ed,accessKeyId:td,accessKeySecret:rd,secure:ud});try{return await yd.put(cd,Ra),od?`${od}/${cd}`:`${_d}://${ed}.${Ja}.aliyuncs.com/${cd}`}catch(gd){return Promise.reject(gd)}}async function txCOSFileUpload(Ra){const qa=getDateFilename(Ra.name),{secretId:Ja,secretKey:ed,bucket:td,region:rd,path:sd,cdnHost:od}=JSON.parse(localStorage.getItem("txCOSConfig")),ld=new COS({SecretId:Ja,SecretKey:ed});return new Promise((cd,ud)=>{ld.putObject({Bucket:td,Region:rd,Key:`${sd}/${qa}`,Body:Ra},(_d,yd)=>{_d?ud(_d):cd(od?sd===""?`${od}/${qa}`:`${od}/${sd}/${qa}`:`https://${yd.Location}`)})})}async function minioFileUpload(Ra,qa){const Ja=getDateFilename(qa),{endpoint:ed,port:td,useSSL:rd,bucket:sd,accessKey:od,secretKey:ld}=JSON.parse(localStorage.getItem("minioConfig")),cd=Buffer(Ra,"base64"),ud={endPoint:ed,useSSL:rd,accessKey:od,secretKey:ld},_d=Number(td||0),yd=_d>0&&_d!==80&&_d!==443;return yd&&(ud.port=_d),new Promise((gd,Ed)=>{const Td=new Client(ud);try{Td.putObject(sd,Ja,cd,kd=>{kd&&Ed(kd);const Nd=`${`${rd?"https://":"http://"}${ed}${yd?`:${td}`:""}`}/${sd}/${Ja}`;gd(Nd)})}catch(kd){Ed(kd)}})}async function formCustomUpload(content,file){const str=`
|
||
async (CUSTOM_ARG) => {
|
||
${localStorage.getItem("formCustomConfig")}
|
||
}
|
||
`;return new Promise((resolve,reject)=>{const exportObj={content,file,util:{axios:service,CryptoJS,OSS,COS,Buffer,uuidv4:v4,qiniu,tokenTools,getDir,getDateFilename},okCb:resolve,errCb:reject};eval(str)(exportObj).catch(Ra=>{console.error(Ra),reject(Ra)})})}function fileUpload(Ra,qa){const Ja=localStorage.getItem("imgHost");switch(!Ja&&localStorage.setItem("imgHost","default"),Ja){case"aliOSS":return aliOSSFileUpload(qa);case"minio":return minioFileUpload(Ra,qa.name);case"txCOS":return txCOSFileUpload(qa);case"qiniu":return qiniuUpload(qa);case"gitee":return giteeUpload(Ra,qa.name);case"github":return ghFileUpload(Ra,qa.name);case"formCustom":return formCustomUpload(Ra,qa);default:return ghFileUpload(Ra,qa.name)}}const fileApi={fileUpload},_sfc_main$v={__name:"PostInfo",setup(Ra){const qa=useStore(),{output:Ja}=storeToRefs(qa),ed=ref$1(!1),td=ref$1({title:"",desc:"",thumb:"",content:""});function rd(){var ld;let od={};try{od={thumb:(ld=document.querySelector("#output img"))==null?void 0:ld.src,title:[1,2,3,4,5,6].map(cd=>document.querySelector(`#output h${cd}`)).filter(cd=>cd)[0].textContent,desc:document.querySelector("#output p").textContent,content:Ja.value}}catch(cd){console.log("error",cd)}td.value={...od,auto:od},ed.value=!0}function sd(){ed.value=!1,window.syncPost({thumb:td.value.thumb||td.value.auto.thumb,title:td.value.title||td.value.auto.title,desc:td.value.desc||td.value.auto.desc,content:td.value.content||td.value.auto.content})}return(od,ld)=>{const cd=ElButton,ud=ElAlert,_d=ElInput,yd=ElFormItem,gd=ElForm,Ed=ElDialog;return openBlock(),createElementBlock(Fragment,null,[createVNode(cd,{plain:"",type:"primary",onClick:rd},{default:withCtx(()=>[createTextVNode(" 发布 ")]),_:1}),createVNode(Ed,{title:"发布","model-value":ed.value,onClose:ld[4]||(ld[4]=Td=>ed.value=!1)},{footer:withCtx(()=>[createVNode(cd,{onClick:ld[3]||(ld[3]=Td=>ed.value=!1)},{default:withCtx(()=>[createTextVNode(" 取 消 ")]),_:1}),createVNode(cd,{type:"primary",onClick:sd},{default:withCtx(()=>[createTextVNode(" 确 定 ")]),_:1})]),default:withCtx(()=>[createVNode(ud,{class:"mb-4",title:"注:此功能由第三方浏览器插件支持,本平台不保证安全性。",type:"info","show-icon":""}),createVNode(gd,{class:"postInfo","label-width":"50",model:td.value},{default:withCtx(()=>[createVNode(yd,{label:"封面"},{default:withCtx(()=>[createVNode(_d,{modelValue:td.value.thumb,"onUpdate:modelValue":ld[0]||(ld[0]=Td=>td.value.thumb=Td),placeholder:"自动提取第一张图"},null,8,["modelValue"])]),_:1}),createVNode(yd,{label:"标题"},{default:withCtx(()=>[createVNode(_d,{modelValue:td.value.title,"onUpdate:modelValue":ld[1]||(ld[1]=Td=>td.value.title=Td),placeholder:"自动提取第一个标题"},null,8,["modelValue"])]),_:1}),createVNode(yd,{label:"描述"},{default:withCtx(()=>[createVNode(_d,{modelValue:td.value.desc,"onUpdate:modelValue":ld[2]||(ld[2]=Td=>td.value.desc=Td),type:"textarea",rows:4,placeholder:"自动提取第一个段落"},null,8,["modelValue"])]),_:1})]),_:1},8,["model"])]),_:1},8,["model-value"])],64)}}};function isComponentPublicInstance(Ra){return Ra!=null&&typeof Ra=="object"&&"$el"in Ra}function unwrapElement(Ra){if(isComponentPublicInstance(Ra)){const qa=Ra.$el;return isNode$1(qa)&&getNodeName(qa)==="#comment"?null:qa}return Ra}function toValue$1(Ra){return typeof Ra=="function"?Ra():unref(Ra)}function arrow(Ra){return{name:"arrow",options:Ra,fn(qa){const Ja=unwrapElement(toValue$1(Ra.element));return Ja==null?{}:arrow$1({element:Ja,padding:Ra.padding}).fn(qa)}}}function getDPR(Ra){return typeof window>"u"?1:(Ra.ownerDocument.defaultView||window).devicePixelRatio||1}function roundByDPR(Ra,qa){const Ja=getDPR(Ra);return Math.round(qa*Ja)/Ja}function useFloating(Ra,qa,Ja){Ja===void 0&&(Ja={});const ed=Ja.whileElementsMounted,td=computed(()=>{var qd;return(qd=toValue$1(Ja.open))!=null?qd:!0}),rd=computed(()=>toValue$1(Ja.middleware)),sd=computed(()=>{var qd;return(qd=toValue$1(Ja.placement))!=null?qd:"bottom"}),od=computed(()=>{var qd;return(qd=toValue$1(Ja.strategy))!=null?qd:"absolute"}),ld=computed(()=>{var qd;return(qd=toValue$1(Ja.transform))!=null?qd:!0}),cd=computed(()=>unwrapElement(Ra.value)),ud=computed(()=>unwrapElement(qa.value)),_d=ref$1(0),yd=ref$1(0),gd=ref$1(od.value),Ed=ref$1(sd.value),Td=shallowRef({}),kd=ref$1(!1),Rd=computed(()=>{const qd={position:gd.value,left:"0",top:"0"};if(!ud.value)return qd;const Yd=roundByDPR(ud.value,_d.value),Ud=roundByDPR(ud.value,yd.value);return ld.value?{...qd,transform:"translate("+Yd+"px, "+Ud+"px)",...getDPR(ud.value)>=1.5&&{willChange:"transform"}}:{position:gd.value,left:Yd+"px",top:Ud+"px"}});let Nd;function Id(){cd.value==null||ud.value==null||computePosition(cd.value,ud.value,{middleware:rd.value,placement:sd.value,strategy:od.value}).then(qd=>{_d.value=qd.x,yd.value=qd.y,gd.value=qd.strategy,Ed.value=qd.placement,Td.value=qd.middlewareData,kd.value=!0})}function Md(){typeof Nd=="function"&&(Nd(),Nd=void 0)}function Ld(){if(Md(),ed===void 0){Id();return}if(cd.value!=null&&ud.value!=null){Nd=ed(cd.value,ud.value,Id);return}}function Pd(){td.value||(kd.value=!1)}return watch([rd,sd,od],Id,{flush:"sync"}),watch([cd,ud],Ld,{flush:"sync"}),watch(td,Pd,{flush:"sync"}),getCurrentScope()&&onScopeDispose(Md),{x:shallowReadonly(_d),y:shallowReadonly(yd),strategy:shallowReadonly(gd),placement:shallowReadonly(Ed),middlewareData:shallowReadonly(Td),isPositioned:shallowReadonly(kd),floatingStyles:Rd,update:Id}}function Q(Ra,qa){const Ja=typeof Ra=="string"&&!qa?`${Ra}Context`:qa,ed=Symbol(Ja);return[td=>{const rd=inject(ed,td);if(rd||rd===null)return rd;throw new Error(`Injection \`${ed.toString()}\` not found. Component must be used within ${Array.isArray(Ra)?`one of the following components: ${Ra.join(", ")}`:`\`${Ra}\``}`)},td=>(provide(ed,td),td)]}function Kt(Ra,qa,Ja){const ed=Ja.originalEvent.target,td=new CustomEvent(Ra,{bubbles:!1,cancelable:!0,detail:Ja});qa&&ed.addEventListener(Ra,qa,{once:!0}),ed.dispatchEvent(td)}function Yr(Ra,qa){var Ja;const ed=shallowRef();return watchEffect(()=>{ed.value=Ra()},{...qa,flush:(Ja=void 0)!=null?Ja:"sync"}),readonly(ed)}function mt(Ra){return getCurrentScope()?(onScopeDispose(Ra),!0):!1}function ia(){const Ra=new Set,qa=Ja=>{Ra.delete(Ja)};return{on:Ja=>{Ra.add(Ja);const ed=()=>qa(Ja);return mt(ed),{off:ed}},off:qa,trigger:(...Ja)=>Promise.all(Array.from(Ra).map(ed=>ed(...Ja)))}}function Zr(Ra){let qa=!1,Ja;const ed=effectScope(!0);return(...td)=>(qa||(Ja=ed.run(()=>Ra(...td)),qa=!0),Ja)}function wl(Ra){let qa=0,Ja,ed;const td=()=>{qa-=1,ed&&qa<=0&&(ed.stop(),Ja=void 0,ed=void 0)};return(...rd)=>(qa+=1,Ja||(ed=effectScope(!0),Ja=ed.run(()=>Ra(...rd))),mt(td),Ja)}function Ke(Ra){return typeof Ra=="function"?Ra():unref(Ra)}const qe=typeof window<"u"&&typeof document<"u";typeof WorkerGlobalScope<"u"&&globalThis instanceof WorkerGlobalScope;const Qr=Ra=>typeof Ra<"u",ti=Object.prototype.toString,ai=Ra=>ti.call(Ra)==="[object Object]",Va=()=>{},Lo=ni();function ni(){var Ra,qa;return qe&&((Ra=window==null?void 0:window.navigator)==null?void 0:Ra.userAgent)&&(/iP(?:ad|hone|od)/.test(window.navigator.userAgent)||((qa=window==null?void 0:window.navigator)==null?void 0:qa.maxTouchPoints)>2&&/iPad|Macintosh/.test(window==null?void 0:window.navigator.userAgent))}function xl(Ra,qa){function Ja(...ed){return new Promise((td,rd)=>{Promise.resolve(Ra(()=>qa.apply(this,ed),{fn:qa,thisArg:this,args:ed})).then(td).catch(rd)})}return Ja}const Sl=Ra=>Ra();function li(Ra=Sl){const qa=ref$1(!0);function Ja(){qa.value=!1}function ed(){qa.value=!0}const td=(...rd)=>{qa.value&&Ra(...rd)};return{isActive:readonly(qa),pause:Ja,resume:ed,eventFilter:td}}function El(Ra){return getCurrentInstance()}function $t(Ra,qa=1e4){return customRef((Ja,ed)=>{let td=Ke(Ra),rd;const sd=()=>setTimeout(()=>{td=Ke(Ra),ed()},Ke(qa));return mt(()=>{clearTimeout(rd)}),{get(){return Ja(),td},set(od){td=od,ed(),clearTimeout(rd),rd=sd()}}})}function si(Ra,qa,Ja={}){const{eventFilter:ed=Sl,...td}=Ja;return watch(Ra,xl(ed,qa),td)}function zo(Ra,qa,Ja={}){const{eventFilter:ed,...td}=Ja,{eventFilter:rd,pause:sd,resume:od,isActive:ld}=li(ed);return{stop:si(Ra,qa,{...td,eventFilter:rd}),pause:sd,resume:od,isActive:ld}}function ri(Ra,qa,...[Ja]){const{flush:ed="sync",deep:td=!1,immediate:rd=!0,direction:sd="both",transform:od={}}=Ja||{},ld=[],cd="ltr"in od&&od.ltr||(_d=>_d),ud="rtl"in od&&od.rtl||(_d=>_d);return(sd==="both"||sd==="ltr")&&ld.push(zo(Ra,_d=>{ld.forEach(yd=>yd.pause()),qa.value=cd(_d),ld.forEach(yd=>yd.resume())},{flush:ed,deep:td,immediate:rd})),(sd==="both"||sd==="rtl")&&ld.push(zo(qa,_d=>{ld.forEach(yd=>yd.pause()),Ra.value=ud(_d),ld.forEach(yd=>yd.resume())},{flush:ed,deep:td,immediate:rd})),()=>{ld.forEach(_d=>_d.stop())}}function ii(Ra,qa){El()&&onBeforeUnmount(Ra,qa)}function $e(Ra){var qa;const Ja=Ke(Ra);return(qa=Ja==null?void 0:Ja.$el)!=null?qa:Ja}const Gt=qe?window:void 0;function Le(...Ra){let qa,Ja,ed,td;if(typeof Ra[0]=="string"||Array.isArray(Ra[0])?([Ja,ed,td]=Ra,qa=Gt):[qa,Ja,ed,td]=Ra,!qa)return Va;Array.isArray(Ja)||(Ja=[Ja]),Array.isArray(ed)||(ed=[ed]);const rd=[],sd=()=>{rd.forEach(ud=>ud()),rd.length=0},od=(ud,_d,yd,gd)=>(ud.addEventListener(_d,yd,gd),()=>ud.removeEventListener(_d,yd,gd)),ld=watch(()=>[$e(qa),Ke(td)],([ud,_d])=>{if(sd(),!ud)return;const yd=ai(_d)?{..._d}:_d;rd.push(...Ja.flatMap(gd=>ed.map(Ed=>od(ud,gd,Ed,yd))))},{immediate:!0,flush:"post"}),cd=()=>{ld(),sd()};return mt(cd),cd}function pi(Ra){return typeof Ra=="function"?Ra:typeof Ra=="string"?qa=>qa.key===Ra:Array.isArray(Ra)?qa=>Ra.includes(qa.key):()=>!0}function jn(...Ra){let qa,Ja,ed={};Ra.length===3?(qa=Ra[0],Ja=Ra[1],ed=Ra[2]):Ra.length===2?typeof Ra[1]=="object"?(qa=!0,Ja=Ra[0],ed=Ra[1]):(qa=Ra[0],Ja=Ra[1]):(qa=!0,Ja=Ra[0]);const{target:td=Gt,eventName:rd="keydown",passive:sd=!1,dedupe:od=!1}=ed,ld=pi(qa);return Le(td,rd,cd=>{cd.repeat&&Ke(od)||ld(cd)&&Ja(cd)},sd)}function ja(){const Ra=ref$1(!1),qa=getCurrentInstance();return qa&&onMounted(()=>{Ra.value=!0},qa),Ra}function vi(Ra){return JSON.parse(JSON.stringify(Ra))}function ne(Ra,qa,Ja,ed={}){var td,rd,sd;const{clone:od=!1,passive:ld=!1,eventName:cd,deep:ud=!1,defaultValue:_d,shouldEmit:yd}=ed,gd=getCurrentInstance(),Ed=Ja||(gd==null?void 0:gd.emit)||((td=gd==null?void 0:gd.$emit)==null?void 0:td.bind(gd))||((sd=(rd=gd==null?void 0:gd.proxy)==null?void 0:rd.$emit)==null?void 0:sd.bind(gd==null?void 0:gd.proxy));let Td=cd;qa||(qa="modelValue"),Td=Td||`update:${qa.toString()}`;const kd=Id=>od?typeof od=="function"?od(Id):vi(Id):Id,Rd=()=>Qr(Ra[qa])?kd(Ra[qa]):_d,Nd=Id=>{yd?yd(Id)&&Ed(Td,Id):Ed(Td,Id)};if(ld){const Id=Rd(),Md=ref$1(Id);let Ld=!1;return watch(()=>Ra[qa],Pd=>{Ld||(Ld=!0,Md.value=kd(Pd),nextTick$1(()=>Ld=!1))}),watch(Md,Pd=>{!Ld&&(Pd!==Ra[qa]||ud)&&Nd(Pd)},{deep:ud}),Md}else return computed({get(){return Rd()},set(Id){Nd(Id)}})}function Ua(Ra){return Ra?Ra.flatMap(qa=>qa.type===Fragment?Ua(qa.children):[qa]):[]}const yi=["INPUT","TEXTAREA"];function Bt(Ra,qa,Ja,ed={}){if(!qa||ed.enableIgnoredElement&&yi.includes(qa.nodeName))return null;const{arrowKeyOptions:td="both",attributeName:rd="[data-radix-vue-collection-item]",itemsArray:sd=[],loop:od=!0,dir:ld="ltr",preventScroll:cd=!0,focus:ud=!1}=ed,[_d,yd,gd,Ed,Td,kd]=[Ra.key==="ArrowRight",Ra.key==="ArrowLeft",Ra.key==="ArrowUp",Ra.key==="ArrowDown",Ra.key==="Home",Ra.key==="End"],Rd=gd||Ed,Nd=_d||yd;if(!Td&&!kd&&(!Rd&&!Nd||td==="vertical"&&Nd||td==="horizontal"&&Rd))return null;const Id=Ja?Array.from(Ja.querySelectorAll(rd)):sd;if(!Id.length)return null;cd&&Ra.preventDefault();let Md=null;return Nd||Rd?Md=Il(Id,qa,{goForward:Rd?Ed:ld==="ltr"?_d:yd,loop:od}):Td?Md=Id.at(0)||null:kd&&(Md=Id.at(-1)||null),ud&&(Md==null||Md.focus()),Md}function Il(Ra,qa,Ja,ed=Ra.length){if(--ed===0)return null;const td=Ra.indexOf(qa),rd=Ja.goForward?td+1:td-1;if(!Ja.loop&&(rd<0||rd>=Ra.length))return null;const sd=(rd+Ra.length)%Ra.length,od=Ra[sd];return od?od.hasAttribute("disabled")&&od.getAttribute("disabled")!=="false"?Il(Ra,od,Ja,ed):od:null}function gn(Ra){if(Ra===null||typeof Ra!="object")return!1;const qa=Object.getPrototypeOf(Ra);return qa!==null&&qa!==Object.prototype&&Object.getPrototypeOf(qa)!==null||Symbol.iterator in Ra?!1:Symbol.toStringTag in Ra?Object.prototype.toString.call(Ra)==="[object Module]":!0}function Pn(Ra,qa,Ja=".",ed){if(!gn(qa))return Pn(Ra,{},Ja);const td=Object.assign({},qa);for(const rd in Ra){if(rd==="__proto__"||rd==="constructor")continue;const sd=Ra[rd];sd!=null&&(Array.isArray(sd)&&Array.isArray(td[rd])?td[rd]=[...sd,...td[rd]]:gn(sd)&&gn(td[rd])?td[rd]=Pn(sd,td[rd],(Ja?`${Ja}.`:"")+rd.toString()):td[rd]=sd)}return td}function gi(Ra){return(...qa)=>qa.reduce((Ja,ed)=>Pn(Ja,ed,""),{})}const bi=gi(),[Ga,Ci]=Q("ConfigProvider");let wi="useandom-26T198340PX75pxJACKVERYMINDBUSHWOLF_GQZbfghjklqvwyzrict",_i=(Ra=21)=>{let qa="",Ja=Ra;for(;Ja--;)qa+=wi[Math.random()*64|0];return qa};const xi=wl(()=>{const Ra=ref$1(new Map),qa=ref$1(),Ja=computed(()=>{for(const sd of Ra.value.values())if(sd)return!0;return!1}),ed=Ga({scrollBody:ref$1(!0)});let td=null;const rd=()=>{document.body.style.paddingRight="",document.body.style.marginRight="",document.body.style.pointerEvents="",document.body.style.removeProperty("--scrollbar-width"),document.body.style.overflow=qa.value??"",Lo&&(td==null||td()),qa.value=void 0};return watch(Ja,(sd,od)=>{var ld;if(!qe)return;if(!sd){od&&rd();return}qa.value===void 0&&(qa.value=document.body.style.overflow);const cd=window.innerWidth-document.documentElement.clientWidth,ud={padding:cd,margin:0},_d=(ld=ed.scrollBody)!=null&&ld.value?typeof ed.scrollBody.value=="object"?bi({padding:ed.scrollBody.value.padding===!0?cd:ed.scrollBody.value.padding,margin:ed.scrollBody.value.margin===!0?cd:ed.scrollBody.value.margin},ud):ud:{padding:0,margin:0};cd>0&&(document.body.style.paddingRight=`${_d.padding}px`,document.body.style.marginRight=`${_d.margin}px`,document.body.style.setProperty("--scrollbar-width",`${cd}px`),document.body.style.overflow="hidden"),Lo&&(td=Le(document,"touchmove",yd=>{var gd;yd.target===document.documentElement&&(yd.touches.length>1||(gd=yd.preventDefault)==null||gd.call(yd))},{passive:!1})),nextTick$1(()=>{document.body.style.pointerEvents="none",document.body.style.overflow="hidden"})},{immediate:!0,flush:"sync"}),Ra});function ha(Ra){const qa=_i(6),Ja=xi();Ja.value.set(qa,Ra??!1);const ed=computed({get:()=>Ja.value.get(qa)??!1,set:td=>Ja.value.set(qa,td)});return ii(()=>{Ja.value.delete(qa)}),ed}const Si="data-radix-vue-collection-item";function Me(Ra,qa=Si){const Ja=Symbol();return{createCollection:ed=>{const td=ref$1([]);function rd(){const sd=$e(ed);return sd?td.value=Array.from(sd.querySelectorAll(`[${qa}]:not([data-disabled])`)):td.value=[]}return onBeforeUpdate(()=>{td.value=[]}),onMounted(rd),onUpdated(rd),watch(()=>ed==null?void 0:ed.value,rd,{immediate:!0}),provide(Ja,td),td},injectCollection:()=>inject(Ja,ref$1([]))}}function be(Ra){const qa=Ga({dir:ref$1("ltr")});return computed(()=>{var Ja;return(Ra==null?void 0:Ra.value)||((Ja=qa.dir)==null?void 0:Ja.value)||"ltr"})}function Re(Ra){const qa=getCurrentInstance(),Ja=qa==null?void 0:qa.type.emits,ed={};return Ja!=null&&Ja.length||console.warn(`No emitted event found. Please check component: ${qa==null?void 0:qa.type.__name}`),Ja==null||Ja.forEach(td=>{ed[toHandlerKey(camelize(td))]=(...rd)=>Ra(td,...rd)}),ed}let bn=0;function Gn(){watchEffect(Ra=>{if(!qe)return;const qa=document.querySelectorAll("[data-radix-focus-guard]");document.body.insertAdjacentElement("afterbegin",qa[0]??Ko()),document.body.insertAdjacentElement("beforeend",qa[1]??Ko()),bn++,Ra(()=>{bn===1&&document.querySelectorAll("[data-radix-focus-guard]").forEach(Ja=>Ja.remove()),bn--})})}function Ko(){const Ra=document.createElement("span");return Ra.setAttribute("data-radix-focus-guard",""),Ra.tabIndex=0,Ra.style.cssText="outline: none; opacity: 0; position: fixed; pointer-events: none",Ra}function It(Ra){const qa=getCurrentInstance(),Ja=Object.keys((qa==null?void 0:qa.type.props)??{}).reduce((td,rd)=>{const sd=(qa==null?void 0:qa.type.props[rd]).default;return sd!==void 0&&(td[rd]=sd),td},{}),ed=toRef$1(Ra);return computed(()=>{const td={},rd=(qa==null?void 0:qa.vnode.props)??{};return Object.keys(rd).forEach(sd=>{td[camelize(sd)]=rd[sd]}),Object.keys({...Ja,...td}).reduce((sd,od)=>(ed.value[od]!==void 0&&(sd[od]=ed.value[od]),sd),{})})}function xe(Ra,qa){const Ja=It(Ra),ed=qa?Re(qa):{};return computed(()=>({...Ja.value,...ed}))}function T(){const Ra=getCurrentInstance(),qa=ref$1(),Ja=computed(()=>{var sd,od;return["#text","#comment"].includes((sd=qa.value)==null?void 0:sd.$el.nodeName)?(od=qa.value)==null?void 0:od.$el.nextElementSibling:$e(qa)}),ed=Object.assign({},Ra.exposed),td={};for(const sd in Ra.props)Object.defineProperty(td,sd,{enumerable:!0,configurable:!0,get:()=>Ra.props[sd]});if(Object.keys(ed).length>0)for(const sd in ed)Object.defineProperty(td,sd,{enumerable:!0,configurable:!0,get:()=>ed[sd]});Object.defineProperty(td,"$el",{enumerable:!0,configurable:!0,get:()=>Ra.vnode.el}),Ra.exposed=td;function rd(sd){qa.value=sd,!(sd instanceof Element||!sd)&&(Object.defineProperty(td,"$el",{enumerable:!0,configurable:!0,get:()=>sd.$el}),Ra.exposed=td)}return{forwardRef:rd,currentRef:qa,currentElement:Ja}}function Tl(Ra,qa){const Ja=$t(!1,300),ed=ref$1(null),td=ia();function rd(){ed.value=null,Ja.value=!1}function sd(od,ld){const cd=od.currentTarget,ud={x:od.clientX,y:od.clientY},_d=Ei(ud,cd.getBoundingClientRect()),yd=Pi(ud,_d),gd=Di(ld.getBoundingClientRect()),Ed=Bi([...yd,...gd]);ed.value=Ed,Ja.value=!0}return watchEffect(od=>{if(Ra.value&&qa.value){const ld=ud=>sd(ud,qa.value),cd=ud=>sd(ud,Ra.value);Ra.value.addEventListener("pointerleave",ld),qa.value.addEventListener("pointerleave",cd),od(()=>{var ud,_d;(ud=Ra.value)==null||ud.removeEventListener("pointerleave",ld),(_d=qa.value)==null||_d.removeEventListener("pointerleave",cd)})}}),watchEffect(od=>{if(ed.value){const ld=cd=>{var ud,_d;if(!ed.value)return;const yd=cd.target,gd={x:cd.clientX,y:cd.clientY},Ed=((ud=Ra.value)==null?void 0:ud.contains(yd))||((_d=qa.value)==null?void 0:_d.contains(yd)),Td=!$i(gd,ed.value),kd=yd.hasAttribute("data-grace-area-trigger");Ed?rd():(Td||kd)&&(rd(),td.trigger())};document.addEventListener("pointermove",ld),od(()=>document.removeEventListener("pointermove",ld))}}),{isPointerInTransit:Ja,onPointerExit:td.on}}function Ei(Ra,qa){const Ja=Math.abs(qa.top-Ra.y),ed=Math.abs(qa.bottom-Ra.y),td=Math.abs(qa.right-Ra.x),rd=Math.abs(qa.left-Ra.x);switch(Math.min(Ja,ed,td,rd)){case rd:return"left";case td:return"right";case Ja:return"top";case ed:return"bottom";default:throw new Error("unreachable")}}function Pi(Ra,qa,Ja=5){const ed=[];switch(qa){case"top":ed.push({x:Ra.x-Ja,y:Ra.y+Ja},{x:Ra.x+Ja,y:Ra.y+Ja});break;case"bottom":ed.push({x:Ra.x-Ja,y:Ra.y-Ja},{x:Ra.x+Ja,y:Ra.y-Ja});break;case"left":ed.push({x:Ra.x+Ja,y:Ra.y-Ja},{x:Ra.x+Ja,y:Ra.y+Ja});break;case"right":ed.push({x:Ra.x-Ja,y:Ra.y-Ja},{x:Ra.x-Ja,y:Ra.y+Ja});break}return ed}function Di(Ra){const{top:qa,right:Ja,bottom:ed,left:td}=Ra;return[{x:td,y:qa},{x:Ja,y:qa},{x:Ja,y:ed},{x:td,y:ed}]}function $i(Ra,qa){const{x:Ja,y:ed}=Ra;let td=!1;for(let rd=0,sd=qa.length-1;rd<qa.length;sd=rd++){const od=qa[rd].x,ld=qa[rd].y,cd=qa[sd].x,ud=qa[sd].y;ld>ed!=ud>ed&&Ja<(cd-od)*(ed-ld)/(ud-ld)+od&&(td=!td)}return td}function Bi(Ra){const qa=Ra.slice();return qa.sort((Ja,ed)=>Ja.x<ed.x?-1:Ja.x>ed.x?1:Ja.y<ed.y?-1:Ja.y>ed.y?1:0),Ii(qa)}function Ii(Ra){if(Ra.length<=1)return Ra.slice();const qa=[];for(let ed=0;ed<Ra.length;ed++){const td=Ra[ed];for(;qa.length>=2;){const rd=qa[qa.length-1],sd=qa[qa.length-2];if((rd.x-sd.x)*(td.y-sd.y)>=(rd.y-sd.y)*(td.x-sd.x))qa.pop();else break}qa.push(td)}qa.pop();const Ja=[];for(let ed=Ra.length-1;ed>=0;ed--){const td=Ra[ed];for(;Ja.length>=2;){const rd=Ja[Ja.length-1],sd=Ja[Ja.length-2];if((rd.x-sd.x)*(td.y-sd.y)>=(rd.y-sd.y)*(td.x-sd.x))Ja.pop();else break}Ja.push(td)}return Ja.pop(),qa.length===1&&Ja.length===1&&qa[0].x===Ja[0].x&&qa[0].y===Ja[0].y?qa:qa.concat(Ja)}var Ti=function(Ra){if(typeof document>"u")return null;var qa=Array.isArray(Ra)?Ra[0]:Ra;return qa.ownerDocument.body},Ft=new WeakMap,Pa=new WeakMap,Da={},Cn=0,Rl=function(Ra){return Ra&&(Ra.host||Rl(Ra.parentNode))},Ri=function(Ra,qa){return qa.map(function(Ja){if(Ra.contains(Ja))return Ja;var ed=Rl(Ja);return ed&&Ra.contains(ed)?ed:(console.error("aria-hidden",Ja,"in not contained inside",Ra,". Doing nothing"),null)}).filter(function(Ja){return!!Ja})},Ai=function(Ra,qa,Ja,ed){var td=Ri(qa,Array.isArray(Ra)?Ra:[Ra]);Da[Ja]||(Da[Ja]=new WeakMap);var rd=Da[Ja],sd=[],od=new Set,ld=new Set(td),cd=function(_d){!_d||od.has(_d)||(od.add(_d),cd(_d.parentNode))};td.forEach(cd);var ud=function(_d){!_d||ld.has(_d)||Array.prototype.forEach.call(_d.children,function(yd){if(od.has(yd))ud(yd);else try{var gd=yd.getAttribute(ed),Ed=gd!==null&&gd!=="false",Td=(Ft.get(yd)||0)+1,kd=(rd.get(yd)||0)+1;Ft.set(yd,Td),rd.set(yd,kd),sd.push(yd),Td===1&&Ed&&Pa.set(yd,!0),kd===1&&yd.setAttribute(Ja,"true"),Ed||yd.setAttribute(ed,"true")}catch(Rd){console.error("aria-hidden: cannot operate on ",yd,Rd)}})};return ud(qa),od.clear(),Cn++,function(){sd.forEach(function(_d){var yd=Ft.get(_d)-1,gd=rd.get(_d)-1;Ft.set(_d,yd),rd.set(_d,gd),yd||(Pa.has(_d)||_d.removeAttribute(ed),Pa.delete(_d)),gd||_d.removeAttribute(Ja)}),Cn--,Cn||(Ft=new WeakMap,Ft=new WeakMap,Pa=new WeakMap,Da={})}},Oi=function(Ra,qa,Ja){Ja===void 0&&(Ja="data-aria-hidden");var ed=Array.from(Array.isArray(Ra)?Ra:[Ra]),td=Ti(Ra);return td?(ed.push.apply(ed,Array.from(td.querySelectorAll("[aria-live]"))),Ai(ed,td,Ja,"aria-hidden")):function(){return null}};function ya(Ra){let qa;watch(()=>$e(Ra),Ja=>{Ja?qa=Oi(Ja):qa&&qa()}),onUnmounted(()=>{qa&&qa()})}let ki=0;function he(Ra,qa="radix"){const{useId:Ja}=Ga({useId:void 0});return Ja&&typeof Ja=="function"?`${qa}-${Ja()}`:`${qa}-${++ki}`}function Al(Ra){const qa=ref$1(),Ja=computed(()=>{var td;return((td=qa.value)==null?void 0:td.width)??0}),ed=computed(()=>{var td;return((td=qa.value)==null?void 0:td.height)??0});return onMounted(()=>{const td=$e(Ra);if(td){qa.value={width:td.offsetWidth,height:td.offsetHeight};const rd=new ResizeObserver(sd=>{if(!Array.isArray(sd)||!sd.length)return;const od=sd[0];let ld,cd;if("borderBoxSize"in od){const ud=od.borderBoxSize,_d=Array.isArray(ud)?ud[0]:ud;ld=_d.inlineSize,cd=_d.blockSize}else ld=td.offsetWidth,cd=td.offsetHeight;qa.value={width:ld,height:cd}});return rd.observe(td,{box:"border-box"}),()=>rd.unobserve(td)}else qa.value=void 0}),{width:Ja,height:ed}}function Ol(Ra,qa){const Ja=ref$1(Ra);function ed(td){return qa[Ja.value][td]??Ja.value}return{state:Ja,dispatch:td=>{Ja.value=ed(td)}}}function ga(Ra){const qa=$t("",1e3);return{search:qa,handleTypeaheadSearch:(Ja,ed)=>{var td,rd;if(!(Ra!=null&&Ra.value)&&!ed)return;qa.value=qa.value+Ja;const sd=(Ra==null?void 0:Ra.value)??ed,od=document.activeElement,ld=((rd=(td=sd.find(yd=>yd===od))==null?void 0:td.textContent)==null?void 0:rd.trim())??"",cd=sd.map(yd=>{var gd;return((gd=yd.textContent)==null?void 0:gd.trim())??""}),ud=Yn(cd,qa.value,ld),_d=sd.find(yd=>{var gd;return((gd=yd.textContent)==null?void 0:gd.trim())===ud});return _d&&_d.focus(),_d},resetTypeahead:()=>{qa.value=""}}}function qn(Ra,qa){return Ra.map((Ja,ed)=>Ra[(qa+ed)%Ra.length])}function Yn(Ra,qa,Ja){const ed=qa.length>1&&Array.from(qa).every(od=>od===qa[0])?qa[0]:qa,td=Ja?Ra.indexOf(Ja):-1;let rd=qn(Ra,Math.max(td,0));ed.length===1&&(rd=rd.filter(od=>od!==Ja));const sd=rd.find(od=>od.toLowerCase().startsWith(ed.toLowerCase()));return sd!==Ja?sd:void 0}const Xn=defineComponent({name:"PrimitiveSlot",inheritAttrs:!1,setup(Ra,{attrs:qa,slots:Ja}){return()=>{var ed,td;if(!Ja.default)return null;const rd=Ua(Ja.default()),sd=rd.findIndex(ud=>ud.type!==Comment);if(sd===-1)return rd;const od=rd[sd];(ed=od.props)==null||delete ed.ref;const ld=od.props?mergeProps(qa,od.props):qa;qa.class&&(td=od.props)!=null&&td.class&&delete od.props.class;const cd=cloneVNode(od,ld);for(const ud in ld)ud.startsWith("on")&&(cd.props||(cd.props={}),cd.props[ud]=ld[ud]);return rd.length===1?cd:(rd[sd]=cd,rd)}}}),O=defineComponent({name:"Primitive",inheritAttrs:!1,props:{asChild:{type:Boolean,default:!1},as:{type:[String,Object],default:"div"}},setup(Ra,{attrs:qa,slots:Ja}){const ed=Ra.asChild?"template":Ra.as;return typeof ed=="string"&&["area","img","input"].includes(ed)?()=>h$1(ed,qa):ed!=="template"?()=>h$1(Ra.as,qa,{default:Ja.default}):()=>h$1(Xn,qa,{default:Ja.default})}});function ke(){const Ra=ref$1(),qa=computed(()=>{var Ja,ed;return["#text","#comment"].includes((Ja=Ra.value)==null?void 0:Ja.$el.nodeName)?(ed=Ra.value)==null?void 0:ed.$el.nextElementSibling:$e(Ra)});return{primitiveElement:Ra,currentElement:qa}}function Li(Ra,qa){const Ja=ref$1({}),ed=ref$1("none"),td=Ra.value?"mounted":"unmounted",{state:rd,dispatch:sd}=Ol(td,{mounted:{UNMOUNT:"unmounted",ANIMATION_OUT:"unmountSuspended"},unmountSuspended:{MOUNT:"mounted",ANIMATION_END:"unmounted"},unmounted:{MOUNT:"mounted"}}),od=yd=>{var gd;if(qe){const Ed=new CustomEvent(yd,{bubbles:!1,cancelable:!1});(gd=qa.value)==null||gd.dispatchEvent(Ed)}};watch(Ra,async(yd,gd)=>{var Ed;const Td=gd!==yd;if(await nextTick$1(),Td){const kd=ed.value,Rd=$a(qa.value);yd?(sd("MOUNT"),od("enter"),Rd==="none"&&od("after-enter")):Rd==="none"||((Ed=Ja.value)==null?void 0:Ed.display)==="none"?(sd("UNMOUNT"),od("leave"),od("after-leave")):gd&&kd!==Rd?(sd("ANIMATION_OUT"),od("leave")):(sd("UNMOUNT"),od("after-leave"))}},{immediate:!0});const ld=yd=>{const gd=$a(qa.value),Ed=gd.includes(yd.animationName),Td=rd.value==="mounted"?"enter":"leave";yd.target===qa.value&&Ed&&(od(`after-${Td}`),sd("ANIMATION_END")),yd.target===qa.value&&gd==="none"&&sd("ANIMATION_END")},cd=yd=>{yd.target===qa.value&&(ed.value=$a(qa.value))},ud=watch(qa,(yd,gd)=>{yd?(Ja.value=getComputedStyle(yd),yd.addEventListener("animationstart",cd),yd.addEventListener("animationcancel",ld),yd.addEventListener("animationend",ld)):(sd("ANIMATION_END"),gd==null||gd.removeEventListener("animationstart",cd),gd==null||gd.removeEventListener("animationcancel",ld),gd==null||gd.removeEventListener("animationend",ld))},{immediate:!0}),_d=watch(rd,()=>{const yd=$a(qa.value);ed.value=rd.value==="mounted"?yd:"none"});return onUnmounted(()=>{ud(),_d()}),{isPresent:computed(()=>["mounted","unmountSuspended"].includes(rd.value))}}function $a(Ra){return Ra&&getComputedStyle(Ra).animationName||"none"}const Pe=defineComponent({name:"Presence",props:{present:{type:Boolean,required:!0},forceMount:{type:Boolean}},slots:{},setup(Ra,{slots:qa,expose:Ja}){var ed;const{present:td,forceMount:rd}=toRefs(Ra),sd=ref$1(),{isPresent:od}=Li(td,sd);Ja({present:od});let ld=qa.default({present:od});ld=Ua(ld||[]);const cd=getCurrentInstance();if(ld&&(ld==null?void 0:ld.length)>1){const ud=(ed=cd==null?void 0:cd.parent)!=null&&ed.type.name?`<${cd.parent.type.name} />`:"component";throw new Error([`Detected an invalid children for \`${ud}\` for \`Presence\` component.`,"","Note: Presence works similarly to `v-if` directly, but it waits for animation/transition to finished before unmounting. So it expect only one direct child of valid VNode type.","You can apply a few solutions:",["Provide a single child element so that `presence` directive attach correctly.","Ensure the first child is an actual element instead of a raw text node or comment node."].map(_d=>` - ${_d}`).join(`
|
||
`)].join(`
|
||
`))}return()=>rd.value||td.value||od.value?h$1(qa.default({present:od})[0],{ref:ud=>{const _d=$e(ud);return typeof(_d==null?void 0:_d.hasAttribute)>"u"||(_d!=null&&_d.hasAttribute("data-radix-popper-content-wrapper")?sd.value=_d.firstElementChild:sd.value=_d),_d}}):null}}),ht=defineComponent({__name:"Teleport",props:{to:{default:"body"},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=ja();return(Ja,ed)=>unref(qa)||Ja.forceMount?(openBlock(),createBlock(Teleport$1,{key:0,to:Ja.to,disabled:Ja.disabled},[renderSlot(Ja.$slots,"default")],8,["to","disabled"])):createCommentVNode("",!0)}}),Yi="dismissableLayer.pointerDownOutside",Xi="dismissableLayer.focusOutside";function Fl(Ra,qa){const Ja=qa.closest("[data-dismissable-layer]"),ed=Ra.dataset.dismissableLayer===""?Ra:Ra.querySelector("[data-dismissable-layer]"),td=Array.from(Ra.ownerDocument.querySelectorAll("[data-dismissable-layer]"));return!!(Ja&&ed===Ja||td.indexOf(ed)<td.indexOf(Ja))}function Nl(Ra,qa){var Ja;const ed=((Ja=qa==null?void 0:qa.value)==null?void 0:Ja.ownerDocument)??(globalThis==null?void 0:globalThis.document),td=ref$1(!1),rd=ref$1(()=>{});return watchEffect(sd=>{if(!qe)return;const od=async cd=>{const ud=cd.target;if(qa!=null&&qa.value){if(Fl(qa.value,ud)){td.value=!1;return}if(cd.target&&!td.value){let _d=function(){Kt(Yi,Ra,yd)};const yd={originalEvent:cd};cd.pointerType==="touch"?(ed.removeEventListener("click",rd.value),rd.value=_d,ed.addEventListener("click",rd.value,{once:!0})):_d()}else ed.removeEventListener("click",rd.value);td.value=!1}},ld=window.setTimeout(()=>{ed.addEventListener("pointerdown",od)},0);sd(()=>{window.clearTimeout(ld),ed.removeEventListener("pointerdown",od),ed.removeEventListener("click",rd.value)})}),{onPointerDownCapture:()=>td.value=!0}}function Ll(Ra,qa){var Ja;const ed=((Ja=qa==null?void 0:qa.value)==null?void 0:Ja.ownerDocument)??(globalThis==null?void 0:globalThis.document),td=ref$1(!1);return watchEffect(rd=>{if(!qe)return;const sd=async od=>{qa!=null&&qa.value&&(await nextTick$1(),!(!qa.value||Fl(qa.value,od.target))&&od.target&&!td.value&&Kt(Xi,Ra,{originalEvent:od}))};ed.addEventListener("focusin",sd),rd(()=>ed.removeEventListener("focusin",sd))}),{onFocusCapture:()=>td.value=!0,onBlurCapture:()=>td.value=!1}}const je=reactive({layersRoot:new Set,layersWithOutsidePointerEventsDisabled:new Set,branches:new Set}),yt=defineComponent({__name:"DismissableLayer",props:{disableOutsidePointerEvents:{type:Boolean,default:!1},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","dismiss"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,{forwardRef:td,currentElement:rd}=T(),sd=computed(()=>{var Ed;return((Ed=rd.value)==null?void 0:Ed.ownerDocument)??globalThis.document}),od=computed(()=>je.layersRoot),ld=computed(()=>rd.value?Array.from(od.value).indexOf(rd.value):-1),cd=computed(()=>je.layersWithOutsidePointerEventsDisabled.size>0),ud=computed(()=>{const Ed=Array.from(od.value),[Td]=[...je.layersWithOutsidePointerEventsDisabled].slice(-1),kd=Ed.indexOf(Td);return ld.value>=kd}),_d=Nl(async Ed=>{const Td=[...je.branches].some(kd=>kd.contains(Ed.target));!ud.value||Td||(ed("pointerDownOutside",Ed),ed("interactOutside",Ed),await nextTick$1(),Ed.defaultPrevented||ed("dismiss"))},rd),yd=Ll(Ed=>{[...je.branches].some(Td=>Td.contains(Ed.target))||(ed("focusOutside",Ed),ed("interactOutside",Ed),Ed.defaultPrevented||ed("dismiss"))},rd);jn("Escape",Ed=>{ld.value===od.value.size-1&&(ed("escapeKeyDown",Ed),Ed.defaultPrevented||ed("dismiss"))});let gd;return watchEffect(Ed=>{rd.value&&(Ja.disableOutsidePointerEvents&&(je.layersWithOutsidePointerEventsDisabled.size===0&&(gd=sd.value.body.style.pointerEvents,sd.value.body.style.pointerEvents="none"),je.layersWithOutsidePointerEventsDisabled.add(rd.value)),od.value.add(rd.value),Ed(()=>{Ja.disableOutsidePointerEvents&&je.layersWithOutsidePointerEventsDisabled.size===1&&(sd.value.body.style.pointerEvents=gd)}))}),watchEffect(Ed=>{Ed(()=>{rd.value&&(od.value.delete(rd.value),je.layersWithOutsidePointerEventsDisabled.delete(rd.value))})}),(Ed,Td)=>(openBlock(),createBlock(unref(O),{ref:unref(td),"as-child":Ed.asChild,as:Ed.as,"data-dismissable-layer":"",style:normalizeStyle({pointerEvents:cd.value?ud.value?"auto":"none":void 0}),onFocusCapture:unref(yd).onFocusCapture,onBlurCapture:unref(yd).onBlurCapture,onPointerdownCapture:unref(_d).onPointerDownCapture},{default:withCtx(()=>[renderSlot(Ed.$slots,"default")]),_:3},8,["as-child","as","style","onFocusCapture","onBlurCapture","onPointerdownCapture"]))}}),wn="focusScope.autoFocusOnMount",_n="focusScope.autoFocusOnUnmount",Ho={bubbles:!1,cancelable:!0};function Oa(Ra,{select:qa=!1}={}){const Ja=document.activeElement;for(const ed of Ra)if(dt(ed,{select:qa}),document.activeElement!==Ja)return!0}function Ji(Ra){const qa=Jn(Ra),Ja=Wo(qa,Ra),ed=Wo(qa.reverse(),Ra);return[Ja,ed]}function Jn(Ra){const qa=[],Ja=document.createTreeWalker(Ra,NodeFilter.SHOW_ELEMENT,{acceptNode:ed=>{const td=ed.tagName==="INPUT"&&ed.type==="hidden";return ed.disabled||ed.hidden||td?NodeFilter.FILTER_SKIP:ed.tabIndex>=0?NodeFilter.FILTER_ACCEPT:NodeFilter.FILTER_SKIP}});for(;Ja.nextNode();)qa.push(Ja.currentNode);return qa}function Wo(Ra,qa){for(const Ja of Ra)if(!Qi(Ja,{upTo:qa}))return Ja}function Qi(Ra,{upTo:qa}){if(getComputedStyle(Ra).visibility==="hidden")return!0;for(;Ra;){if(qa!==void 0&&Ra===qa)return!1;if(getComputedStyle(Ra).display==="none")return!0;Ra=Ra.parentElement}return!1}function eu(Ra){return Ra instanceof HTMLInputElement&&"select"in Ra}function dt(Ra,{select:qa=!1}={}){if(Ra&&Ra.focus){const Ja=document.activeElement;Ra.focus({preventScroll:!0}),Ra!==Ja&&eu(Ra)&&qa&&Ra.select()}}const tu=Zr(()=>ref$1([]));function au(){const Ra=tu();return{add(qa){const Ja=Ra.value[0];qa!==Ja&&(Ja==null||Ja.pause()),Ra.value=jo(Ra.value,qa),Ra.value.unshift(qa)},remove(qa){var Ja;Ra.value=jo(Ra.value,qa),(Ja=Ra.value[0])==null||Ja.resume()}}}function jo(Ra,qa){const Ja=[...Ra],ed=Ja.indexOf(qa);return ed!==-1&&Ja.splice(ed,1),Ja}function nu(Ra){return Ra.filter(qa=>qa.tagName!=="A")}const Ya=defineComponent({__name:"FocusScope",props:{loop:{type:Boolean,default:!1},trapped:{type:Boolean,default:!1},asChild:{type:Boolean},as:{}},emits:["mountAutoFocus","unmountAutoFocus"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,{currentRef:td,currentElement:rd}=T(),sd=ref$1(null),od=au(),ld=reactive({paused:!1,pause(){this.paused=!0},resume(){this.paused=!1}});watchEffect(ud=>{if(!qe)return;const _d=rd.value;if(!Ja.trapped)return;function yd(kd){if(ld.paused||!_d)return;const Rd=kd.target;_d.contains(Rd)?sd.value=Rd:dt(sd.value,{select:!0})}function gd(kd){if(ld.paused||!_d)return;const Rd=kd.relatedTarget;Rd!==null&&(_d.contains(Rd)||dt(sd.value,{select:!0}))}function Ed(kd){_d.contains(sd.value)||dt(_d)}document.addEventListener("focusin",yd),document.addEventListener("focusout",gd);const Td=new MutationObserver(Ed);_d&&Td.observe(_d,{childList:!0,subtree:!0}),ud(()=>{document.removeEventListener("focusin",yd),document.removeEventListener("focusout",gd),Td.disconnect()})}),watchEffect(async ud=>{const _d=rd.value;if(await nextTick$1(),!_d)return;od.add(ld);const yd=document.activeElement;if(!_d.contains(yd)){const gd=new CustomEvent(wn,Ho);_d.addEventListener(wn,Ed=>ed("mountAutoFocus",Ed)),_d.dispatchEvent(gd),gd.defaultPrevented||(Oa(nu(Jn(_d)),{select:!0}),document.activeElement===yd&&dt(_d))}ud(()=>{_d.removeEventListener(wn,Td=>ed("mountAutoFocus",Td));const gd=new CustomEvent(_n,Ho),Ed=Td=>{ed("unmountAutoFocus",Td)};_d.addEventListener(_n,Ed),_d.dispatchEvent(gd),setTimeout(()=>{gd.defaultPrevented||dt(yd??document.body,{select:!0}),_d.removeEventListener(_n,Ed),od.remove(ld)},0)})});function cd(ud){if(!Ja.loop&&!Ja.trapped||ld.paused)return;const _d=ud.key==="Tab"&&!ud.altKey&&!ud.ctrlKey&&!ud.metaKey,yd=document.activeElement;if(_d&&yd){const gd=ud.currentTarget,[Ed,Td]=Ji(gd);Ed&&Td?!ud.shiftKey&&yd===Td?(ud.preventDefault(),Ja.loop&&dt(Ed,{select:!0})):ud.shiftKey&&yd===Ed&&(ud.preventDefault(),Ja.loop&&dt(Td,{select:!0})):yd===gd&&ud.preventDefault()}}return(ud,_d)=>(openBlock(),createBlock(unref(O),{ref_key:"currentRef",ref:td,tabindex:"-1","as-child":ud.asChild,as:ud.as,onKeydown:cd},{default:withCtx(()=>[renderSlot(ud.$slots,"default")]),_:3},8,["as-child","as"]))}}),ou="menu.itemSelect",Dn=["Enter"," "],lu=["ArrowDown","PageUp","Home"],zl=["ArrowUp","PageDown","End"],su=[...lu,...zl],ru={ltr:[...Dn,"ArrowRight"],rtl:[...Dn,"ArrowLeft"]},iu={ltr:["ArrowLeft"],rtl:["ArrowRight"]};function Qn(Ra){return Ra?"open":"closed"}function $n(Ra){const qa=document.activeElement;for(const Ja of Ra)if(Ja===qa||(Ja.focus(),document.activeElement!==qa))return}function uu(Ra,qa){const{x:Ja,y:ed}=Ra;let td=!1;for(let rd=0,sd=qa.length-1;rd<qa.length;sd=rd++){const od=qa[rd].x,ld=qa[rd].y,cd=qa[sd].x,ud=qa[sd].y;ld>ed!=ud>ed&&Ja<(cd-od)*(ed-ld)/(ud-ld)+od&&(td=!td)}return td}function du(Ra,qa){if(!qa)return!1;const Ja={x:Ra.clientX,y:Ra.clientY};return uu(Ja,qa)}function ua(Ra){return Ra.pointerType==="mouse"}const[Gl,qu]=Q("PopperRoot"),Tt=defineComponent({__name:"PopperRoot",setup(Ra){const qa=ref$1();return qu({anchor:qa,onAnchorChange:Ja=>qa.value=Ja}),(Ja,ed)=>renderSlot(Ja.$slots,"default")}}),Rt=defineComponent({__name:"PopperAnchor",props:{element:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra,{forwardRef:Ja,currentElement:ed}=T(),td=Gl();return watchEffect(()=>{td.onAnchorChange(qa.element??ed.value)}),(rd,sd)=>(openBlock(),createBlock(unref(O),{ref:unref(Ja),as:rd.as,"as-child":rd.asChild},{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},8,["as","as-child"]))}});function Yu(Ra){return Ra!==null}function Xu(Ra){return{name:"transformOrigin",options:Ra,fn(qa){var Ja,ed,td;const{placement:rd,rects:sd,middlewareData:od}=qa,ld=((Ja=od.arrow)==null?void 0:Ja.centerOffset)!==0,cd=ld?0:Ra.arrowWidth,ud=ld?0:Ra.arrowHeight,[_d,yd]=Bn(rd),gd={start:"0%",center:"50%",end:"100%"}[yd],Ed=(((ed=od.arrow)==null?void 0:ed.x)??0)+cd/2,Td=(((td=od.arrow)==null?void 0:td.y)??0)+ud/2;let kd="",Rd="";return _d==="bottom"?(kd=ld?gd:`${Ed}px`,Rd=`${-ud}px`):_d==="top"?(kd=ld?gd:`${Ed}px`,Rd=`${sd.floating.height+ud}px`):_d==="right"?(kd=`${-ud}px`,Rd=ld?gd:`${Td}px`):_d==="left"&&(kd=`${sd.floating.width+ud}px`,Rd=ld?gd:`${Td}px`),{data:{x:kd,y:Rd}}}}}function Bn(Ra){const[qa,Ja="center"]=Ra.split("-");return[qa,Ja]}const ql={side:"bottom",sideOffset:0,align:"center",alignOffset:0,arrowPadding:0,avoidCollisions:!0,collisionBoundary:()=>[],collisionPadding:0,sticky:"partial",hideWhenDetached:!1,updatePositionStrategy:"optimized",prioritizePosition:!1},[Zu,Ju]=Q("PopperContent"),Dt=defineComponent({inheritAttrs:!1,__name:"PopperContent",props:mergeDefaults({side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},{...ql}),emits:["placed"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,td=Gl(),{forwardRef:rd,currentElement:sd}=T(),od=ref$1(),ld=ref$1(),{width:cd,height:ud}=Al(ld),_d=computed(()=>Ja.side+(Ja.align!=="center"?`-${Ja.align}`:"")),yd=computed(()=>typeof Ja.collisionPadding=="number"?Ja.collisionPadding:{top:0,right:0,bottom:0,left:0,...Ja.collisionPadding}),gd=computed(()=>Array.isArray(Ja.collisionBoundary)?Ja.collisionBoundary:[Ja.collisionBoundary]),Ed=computed(()=>({padding:yd.value,boundary:gd.value.filter(Yu),altBoundary:gd.value.length>0})),Td=Yr(()=>[offset({mainAxis:Ja.sideOffset+ud.value,alignmentAxis:Ja.alignOffset}),Ja.prioritizePosition&&Ja.avoidCollisions&&flip({...Ed.value}),Ja.avoidCollisions&&shift({mainAxis:!0,crossAxis:!!Ja.prioritizePosition,limiter:Ja.sticky==="partial"?limitShift():void 0,...Ed.value}),!Ja.prioritizePosition&&Ja.avoidCollisions&&flip({...Ed.value}),size({...Ed.value,apply:({elements:Hd,rects:Vd,availableWidth:Jd,availableHeight:Zd})=>{const{width:pf,height:Xd}=Vd.reference,hf=Hd.floating.style;hf.setProperty("--radix-popper-available-width",`${Jd}px`),hf.setProperty("--radix-popper-available-height",`${Zd}px`),hf.setProperty("--radix-popper-anchor-width",`${pf}px`),hf.setProperty("--radix-popper-anchor-height",`${Xd}px`)}}),ld.value&&arrow({element:ld.value,padding:Ja.arrowPadding}),Xu({arrowWidth:cd.value,arrowHeight:ud.value}),Ja.hideWhenDetached&&hide({strategy:"referenceHidden",...Ed.value})]),{floatingStyles:kd,placement:Rd,isPositioned:Nd,middlewareData:Id}=useFloating(td.anchor,od,{strategy:"fixed",placement:_d,whileElementsMounted:(...Hd)=>autoUpdate(...Hd,{animationFrame:Ja.updatePositionStrategy==="always"}),middleware:Td}),Md=computed(()=>Bn(Rd.value)[0]),Ld=computed(()=>Bn(Rd.value)[1]);watchPostEffect(()=>{Nd.value&&ed("placed")});const Pd=computed(()=>{var Hd;return((Hd=Id.value.arrow)==null?void 0:Hd.centerOffset)!==0}),qd=ref$1("");watchEffect(()=>{sd.value&&(qd.value=window.getComputedStyle(sd.value).zIndex)});const Yd=computed(()=>{var Hd;return((Hd=Id.value.arrow)==null?void 0:Hd.x)??0}),Ud=computed(()=>{var Hd;return((Hd=Id.value.arrow)==null?void 0:Hd.y)??0});return Ju({placedSide:Md,onArrowChange:Hd=>ld.value=Hd,arrowX:Yd,arrowY:Ud,shouldHideArrow:Pd}),(Hd,Vd)=>{var Jd,Zd,pf;return openBlock(),createElementBlock("div",{ref_key:"floatingRef",ref:od,"data-radix-popper-content-wrapper":"",style:normalizeStyle({...unref(kd),transform:unref(Nd)?unref(kd).transform:"translate(0, -200%)",minWidth:"max-content",zIndex:qd.value,"--radix-popper-transform-origin":[(Jd=unref(Id).transformOrigin)==null?void 0:Jd.x,(Zd=unref(Id).transformOrigin)==null?void 0:Zd.y].join(" "),...((pf=unref(Id).hide)==null?void 0:pf.referenceHidden)&&{visibility:"hidden",pointerEvents:"none"}})},[createVNode(unref(O),mergeProps({ref:unref(rd)},Hd.$attrs,{"as-child":Ja.asChild,as:Hd.as,"data-side":Md.value,"data-align":Ld.value,style:{animation:unref(Nd)?void 0:"none"}}),{default:withCtx(()=>[renderSlot(Hd.$slots,"default")]),_:3},16,["as-child","as","data-side","data-align","style"])],4)}}}),ad="data-radix-vue-collection-item",[ao,nd]=Q("CollectionProvider");function ba(Ra=ad){const qa=ref$1(new Map),Ja=ref$1(),ed=nd({collectionRef:Ja,itemMap:qa,attrName:Ra}),{getItems:td}=Jt(ed),rd=computed(()=>Array.from(ed.itemMap.value.values())),sd=computed(()=>ed.itemMap.value.size);return{getItems:td,reactiveItems:rd,itemMapSize:sd}}const Ca=defineComponent({name:"CollectionSlot",setup(Ra,{slots:qa}){const Ja=ao(),{primitiveElement:ed,currentElement:td}=ke();return watch(td,()=>{Ja.collectionRef.value=td.value}),()=>h$1(Xn,{ref:ed},qa)}}),Zt=defineComponent({name:"CollectionItem",inheritAttrs:!1,props:{value:{validator:()=>!0}},setup(Ra,{slots:qa,attrs:Ja}){const ed=ao(),{primitiveElement:td,currentElement:rd}=ke();return watchEffect(sd=>{if(rd.value){const od=markRaw(rd.value);ed.itemMap.value.set(od,{ref:rd.value,value:Ra.value}),sd(()=>ed.itemMap.value.delete(od))}}),()=>h$1(Xn,{...Ja,[ed.attrName]:"",ref:td},qa)}});function Jt(Ra){const qa=Ra??ao();return{getItems:()=>{const Ja=qa.collectionRef.value;if(!Ja)return[];const ed=Array.from(Ja.querySelectorAll(`[${qa.attrName}]`));return Array.from(qa.itemMap.value.values()).sort((td,rd)=>ed.indexOf(td.ref)-ed.indexOf(rd.ref))}}}const Za=defineComponent({__name:"MenuAnchor",props:{element:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return(Ja,ed)=>(openBlock(),createBlock(unref(Rt),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(Ja.$slots,"default")]),_:3},16))}});function pd(){const Ra=ref$1(!1);return onMounted(()=>{Le("keydown",()=>{Ra.value=!0},{capture:!0,passive:!0}),Le(["pointerdown","pointermove"],()=>{Ra.value=!1},{capture:!0,passive:!0})}),Ra}const fd=wl(pd),[At,Xl]=Q(["MenuRoot","MenuSub"],"MenuContext"),[wa,vd]=Q("MenuRoot"),oo=defineComponent({__name:"MenuRoot",props:{open:{type:Boolean,default:!1},dir:{},modal:{type:Boolean,default:!0}},emits:["update:open"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,{modal:td,dir:rd}=toRefs(Ja),sd=be(rd),od=ne(Ja,"open",ed),ld=ref$1(),cd=fd();return Xl({open:od,onOpenChange:ud=>{od.value=ud},content:ld,onContentChange:ud=>{ld.value=ud}}),vd({onClose:()=>{od.value=!1},isUsingKeyboardRef:cd,dir:sd,modal:td}),(ud,_d)=>(openBlock(),createBlock(unref(Tt),null,{default:withCtx(()=>[renderSlot(ud.$slots,"default")]),_:3}))}}),md="rovingFocusGroup.onEntryFocus",hd={bubbles:!1,cancelable:!0};function Jl(Ra,qa=!1){const Ja=document.activeElement;for(const ed of Ra)if(ed===Ja||(ed.focus({preventScroll:qa}),document.activeElement!==Ja))return}const[bd,Cd]=Q("RovingFocusGroup"),Ot=defineComponent({__name:"RovingFocusGroup",props:{orientation:{default:void 0},dir:{},loop:{type:Boolean,default:!1},currentTabStopId:{},defaultCurrentTabStopId:{},preventScrollOnEntryFocus:{type:Boolean,default:!1},asChild:{type:Boolean},as:{}},emits:["entryFocus","update:currentTabStopId"],setup(Ra,{expose:qa,emit:Ja}){const ed=Ra,td=Ja,{loop:rd,orientation:sd,dir:od}=toRefs(ed),ld=be(od),cd=ne(ed,"currentTabStopId",td,{defaultValue:ed.defaultCurrentTabStopId,passive:ed.currentTabStopId===void 0}),ud=ref$1(!1),_d=ref$1(!1),yd=ref$1(0),{getItems:gd}=ba();function Ed(Td){const kd=!_d.value;if(Td.currentTarget&&Td.target===Td.currentTarget&&kd&&!ud.value){const Rd=new CustomEvent(md,hd);if(Td.currentTarget.dispatchEvent(Rd),td("entryFocus",Rd),!Rd.defaultPrevented){const Nd=gd().map(Pd=>Pd.ref).filter(Pd=>Pd.dataset.disabled!==""),Id=Nd.find(Pd=>Pd.getAttribute("data-active")==="true"),Md=Nd.find(Pd=>Pd.id===cd.value),Ld=[Id,Md,...Nd].filter(Boolean);Jl(Ld,ed.preventScrollOnEntryFocus)}}_d.value=!1}return qa({getItems:gd}),Cd({loop:rd,dir:ld,orientation:sd,currentTabStopId:cd,onItemFocus:Td=>{cd.value=Td},onItemShiftTab:()=>{ud.value=!0},onFocusableItemAdd:()=>{yd.value++},onFocusableItemRemove:()=>{yd.value--}}),(Td,kd)=>(openBlock(),createBlock(unref(Ca),null,{default:withCtx(()=>[createVNode(unref(O),{tabindex:ud.value||yd.value===0?-1:0,"data-orientation":unref(sd),as:Td.as,"as-child":Td.asChild,dir:unref(ld),style:{outline:"none"},onMousedown:kd[0]||(kd[0]=Rd=>_d.value=!0),onFocus:Ed,onBlur:kd[1]||(kd[1]=Rd=>ud.value=!1)},{default:withCtx(()=>[renderSlot(Td.$slots,"default")]),_:3},8,["tabindex","data-orientation","as","as-child","dir"])]),_:3}))}}),[lo,wd]=Q("MenuContent"),so=defineComponent({__name:"MenuContentImpl",props:mergeDefaults({loop:{type:Boolean},disableOutsidePointerEvents:{type:Boolean},disableOutsideScroll:{type:Boolean},trapFocus:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},{...ql}),emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus","dismiss"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,td=At(),rd=wa(),{trapFocus:sd,disableOutsidePointerEvents:od,loop:ld}=toRefs(Ja);Gn(),ha(od.value);const cd=ref$1(""),ud=ref$1(0),_d=ref$1(0),yd=ref$1(null),gd=ref$1("right"),Ed=ref$1(0),Td=ref$1(null),{createCollection:kd}=Me(),{forwardRef:Rd,currentElement:Nd}=T(),Id=kd(Nd);watch(Nd,Hd=>{td.onContentChange(Hd)});const{handleTypeaheadSearch:Md}=ga(Id);onUnmounted(()=>{window.clearTimeout(ud.value)});function Ld(Hd){var Vd,Jd;return gd.value===((Vd=yd.value)==null?void 0:Vd.side)&&du(Hd,(Jd=yd.value)==null?void 0:Jd.area)}async function Pd(Hd){var Vd;ed("openAutoFocus",Hd),!Hd.defaultPrevented&&(Hd.preventDefault(),(Vd=Nd.value)==null||Vd.focus({preventScroll:!0}))}function qd(Hd){if(Hd.defaultPrevented)return;const Vd=Hd.target.closest("[data-radix-menu-content]")===Hd.currentTarget,Jd=Hd.ctrlKey||Hd.altKey||Hd.metaKey,Zd=Hd.key.length===1,pf=Bt(Hd,document.activeElement,Nd.value,{loop:ld.value,arrowKeyOptions:"vertical",dir:rd==null?void 0:rd.dir.value,focus:!0,attributeName:"[data-radix-vue-collection-item]:not([data-disabled])"});if(pf)return pf==null?void 0:pf.focus();if(Hd.code==="Space"||(Vd&&(Hd.key==="Tab"&&Hd.preventDefault(),!Jd&&Zd&&Md(Hd.key)),Hd.target!==Nd.value)||!su.includes(Hd.key))return;Hd.preventDefault();const Xd=Id.value;zl.includes(Hd.key)&&Xd.reverse(),$n(Xd)}function Yd(Hd){var Vd,Jd;(Jd=(Vd=Hd==null?void 0:Hd.currentTarget)==null?void 0:Vd.contains)!=null&&Jd.call(Vd,Hd.target)||(window.clearTimeout(ud.value),cd.value="")}function Ud(Hd){var Vd;if(!ua(Hd))return;const Jd=Hd.target,Zd=Ed.value!==Hd.clientX;if((Vd=Hd==null?void 0:Hd.currentTarget)!=null&&Vd.contains(Jd)&&Zd){const pf=Hd.clientX>Ed.value?"right":"left";gd.value=pf,Ed.value=Hd.clientX}}return wd({onItemEnter:Hd=>!!Ld(Hd),onItemLeave:Hd=>{var Vd;Ld(Hd)||((Vd=Nd.value)==null||Vd.focus(),Td.value=null)},onTriggerLeave:Hd=>!!Ld(Hd),searchRef:cd,pointerGraceTimerRef:_d,onPointerGraceIntentChange:Hd=>{yd.value=Hd}}),(Hd,Vd)=>(openBlock(),createBlock(unref(Ya),{"as-child":"",trapped:unref(sd),onMountAutoFocus:Pd,onUnmountAutoFocus:Vd[7]||(Vd[7]=Jd=>ed("closeAutoFocus",Jd))},{default:withCtx(()=>[createVNode(unref(yt),{"as-child":"","disable-outside-pointer-events":unref(od),onEscapeKeyDown:Vd[2]||(Vd[2]=Jd=>ed("escapeKeyDown",Jd)),onPointerDownOutside:Vd[3]||(Vd[3]=Jd=>ed("pointerDownOutside",Jd)),onFocusOutside:Vd[4]||(Vd[4]=Jd=>ed("focusOutside",Jd)),onInteractOutside:Vd[5]||(Vd[5]=Jd=>ed("interactOutside",Jd)),onDismiss:Vd[6]||(Vd[6]=Jd=>ed("dismiss"))},{default:withCtx(()=>[createVNode(unref(Ot),{"current-tab-stop-id":Td.value,"onUpdate:currentTabStopId":Vd[0]||(Vd[0]=Jd=>Td.value=Jd),"as-child":"",orientation:"vertical",dir:unref(rd).dir.value,loop:unref(ld),onEntryFocus:Vd[1]||(Vd[1]=Jd=>{ed("entryFocus",Jd),unref(rd).isUsingKeyboardRef.value||Jd.preventDefault()})},{default:withCtx(()=>[createVNode(unref(Dt),{ref:unref(Rd),role:"menu",as:Hd.as,"as-child":Hd.asChild,"aria-orientation":"vertical","data-radix-menu-content":"","data-state":unref(Qn)(unref(td).open.value),dir:unref(rd).dir.value,side:Hd.side,"side-offset":Hd.sideOffset,align:Hd.align,"align-offset":Hd.alignOffset,"avoid-collisions":Hd.avoidCollisions,"collision-boundary":Hd.collisionBoundary,"collision-padding":Hd.collisionPadding,"arrow-padding":Hd.arrowPadding,"prioritize-position":Hd.prioritizePosition,sticky:Hd.sticky,"hide-when-detached":Hd.hideWhenDetached,onKeydown:qd,onBlur:Yd,onPointermove:Ud},{default:withCtx(()=>[renderSlot(Hd.$slots,"default")]),_:3},8,["as","as-child","data-state","dir","side","side-offset","align","align-offset","avoid-collisions","collision-boundary","collision-padding","arrow-padding","prioritize-position","sticky","hide-when-detached"])]),_:3},8,["current-tab-stop-id","dir","loop"])]),_:3},8,["disable-outside-pointer-events"])]),_:3},8,["trapped"]))}}),Ql=defineComponent({inheritAttrs:!1,__name:"MenuItemImpl",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra,Ja=lo(),{forwardRef:ed}=T(),td=ref$1(!1);async function rd(od){if(!od.defaultPrevented&&ua(od)){if(qa.disabled)Ja.onItemLeave(od);else if(!Ja.onItemEnter(od)){const ld=od.currentTarget;ld==null||ld.focus({preventScroll:!0})}}}async function sd(od){await nextTick$1(),!od.defaultPrevented&&ua(od)&&Ja.onItemLeave(od)}return(od,ld)=>(openBlock(),createBlock(unref(Zt),null,{default:withCtx(()=>[createVNode(unref(O),mergeProps({ref:unref(ed),role:"menuitem",tabindex:"-1"},od.$attrs,{as:od.as,"as-child":od.asChild,"data-radix-vue-collection-item":"","aria-disabled":od.disabled||void 0,"data-disabled":od.disabled?"":void 0,"data-highlighted":td.value?"":void 0,onPointermove:rd,onPointerleave:sd,onFocus:ld[0]||(ld[0]=async cd=>{await nextTick$1(),!(cd.defaultPrevented||od.disabled)&&(td.value=!0)}),onBlur:ld[1]||(ld[1]=async cd=>{await nextTick$1(),!cd.defaultPrevented&&(td.value=!1)})}),{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3},16,["as","as-child","aria-disabled","data-disabled","data-highlighted"])]),_:3}))}}),_a=defineComponent({__name:"MenuItem",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},emits:["select"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,{forwardRef:td,currentElement:rd}=T(),sd=wa(),od=lo(),ld=ref$1(!1);async function cd(){const ud=rd.value;if(!Ja.disabled&&ud){const _d=new CustomEvent(ou,{bubbles:!0,cancelable:!0});ed("select",_d),await nextTick$1(),_d.defaultPrevented?ld.value=!1:sd.onClose()}}return(ud,_d)=>(openBlock(),createBlock(Ql,mergeProps(Ja,{ref:unref(td),onClick:cd,onPointerdown:_d[0]||(_d[0]=()=>{ld.value=!0}),onPointerup:_d[1]||(_d[1]=async yd=>{var gd;await nextTick$1(),!yd.defaultPrevented&&(ld.value||(gd=yd.currentTarget)==null||gd.click())}),onKeydown:_d[2]||(_d[2]=async yd=>{const gd=unref(od).searchRef.value!=="";ud.disabled||gd&&yd.key===" "||unref(Dn).includes(yd.key)&&(yd.currentTarget.click(),yd.preventDefault())})}),{default:withCtx(()=>[renderSlot(ud.$slots,"default")]),_:3},16))}}),xd=defineComponent({__name:"MenuRootContentModal",props:{loop:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,td=xe(Ja,ed),rd=At(),{forwardRef:sd,currentElement:od}=T();return ya(od),(ld,cd)=>(openBlock(),createBlock(so,mergeProps(unref(td),{ref:unref(sd),"trap-focus":unref(rd).open.value,"disable-outside-pointer-events":unref(rd).open.value,"disable-outside-scroll":!0,onDismiss:cd[0]||(cd[0]=ud=>unref(rd).onOpenChange(!1)),onFocusOutside:cd[1]||(cd[1]=withModifiers(ud=>ed("focusOutside",ud),["prevent"]))}),{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},16,["trap-focus","disable-outside-pointer-events"]))}}),Sd=defineComponent({__name:"MenuRootContentNonModal",props:{loop:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const Ja=xe(Ra,qa),ed=At();return(td,rd)=>(openBlock(),createBlock(so,mergeProps(unref(Ja),{"trap-focus":!1,"disable-outside-pointer-events":!1,"disable-outside-scroll":!1,onDismiss:rd[0]||(rd[0]=sd=>unref(ed).onOpenChange(!1))}),{default:withCtx(()=>[renderSlot(td.$slots,"default")]),_:3},16))}}),uo=defineComponent({__name:"MenuContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const Ja=xe(Ra,qa),ed=At(),td=wa();return(rd,sd)=>(openBlock(),createBlock(unref(Pe),{present:rd.forceMount||unref(ed).open.value},{default:withCtx(()=>[unref(td).modal.value?(openBlock(),createBlock(xd,normalizeProps(mergeProps({key:0},{...rd.$attrs,...unref(Ja)})),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16)):(openBlock(),createBlock(Sd,normalizeProps(mergeProps({key:1},{...rd.$attrs,...unref(Ja)})),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16))]),_:3},8,["present"]))}}),po=defineComponent({__name:"MenuPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(Ja,ed)=>(openBlock(),createBlock(unref(ht),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(Ja.$slots,"default")]),_:3},16))}}),mo=defineComponent({__name:"MenuSeparator",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return(Ja,ed)=>(openBlock(),createBlock(unref(O),mergeProps(qa,{role:"separator","aria-orientation":"horizontal"}),{default:withCtx(()=>[renderSlot(Ja.$slots,"default")]),_:3},16))}}),[ts,Dd]=Q("MenuSub"),ho=defineComponent({__name:"MenuSub",props:{open:{type:Boolean,default:void 0}},emits:["update:open"],setup(Ra,{emit:qa}){const Ja=Ra,ed=ne(Ja,"open",qa,{defaultValue:!1,passive:Ja.open===void 0}),td=At(),rd=ref$1(),sd=ref$1();return watchEffect(od=>{(td==null?void 0:td.open.value)===!1&&(ed.value=!1),od(()=>ed.value=!1)}),Xl({open:ed,onOpenChange:od=>{ed.value=od},content:sd,onContentChange:od=>{sd.value=od}}),Dd({triggerId:"",contentId:"",trigger:rd,onTriggerChange:od=>{rd.value=od}}),(od,ld)=>(openBlock(),createBlock(unref(Tt),null,{default:withCtx(()=>[renderSlot(od.$slots,"default")]),_:3}))}}),yo=defineComponent({__name:"MenuSubContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},sideOffset:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean,default:!0},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const Ja=xe(Ra,qa),ed=At(),td=wa(),rd=ts(),{forwardRef:sd,currentElement:od}=T();return rd.contentId||(rd.contentId=he(void 0,"radix-vue-menu-sub-content")),(ld,cd)=>(openBlock(),createBlock(unref(Pe),{present:ld.forceMount||unref(ed).open.value},{default:withCtx(()=>[createVNode(so,mergeProps(unref(Ja),{id:unref(rd).contentId,ref:unref(sd),"aria-labelledby":unref(rd).triggerId,align:"start",side:unref(td).dir.value==="rtl"?"left":"right","disable-outside-pointer-events":!1,"disable-outside-scroll":!1,"trap-focus":!1,onOpenAutoFocus:cd[0]||(cd[0]=withModifiers(ud=>{var _d;unref(td).isUsingKeyboardRef.value&&((_d=unref(od))==null||_d.focus())},["prevent"])),onCloseAutoFocus:cd[1]||(cd[1]=withModifiers(()=>{},["prevent"])),onFocusOutside:cd[2]||(cd[2]=ud=>{ud.defaultPrevented||ud.target!==unref(rd).trigger.value&&unref(ed).onOpenChange(!1)}),onEscapeKeyDown:cd[3]||(cd[3]=ud=>{unref(td).onClose(),ud.preventDefault()}),onKeydown:cd[4]||(cd[4]=ud=>{var _d,yd;const gd=(_d=ud.currentTarget)==null?void 0:_d.contains(ud.target),Ed=unref(iu)[unref(td).dir.value].includes(ud.key);gd&&Ed&&(unref(ed).onOpenChange(!1),(yd=unref(rd).trigger.value)==null||yd.focus(),ud.preventDefault())})}),{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},16,["id","aria-labelledby","side"])]),_:3},8,["present"]))}}),go=defineComponent({__name:"MenuSubTrigger",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra,Ja=At(),ed=wa(),td=ts(),rd=lo(),sd=ref$1(null);td.triggerId||(td.triggerId=he(void 0,"radix-vue-menu-sub-trigger"));function od(){sd.value&&window.clearTimeout(sd.value),sd.value=null}onUnmounted(()=>{od()});function ld(_d){!ua(_d)||rd.onItemEnter(_d)||!qa.disabled&&!Ja.open.value&&!sd.value&&(rd.onPointerGraceIntentChange(null),sd.value=window.setTimeout(()=>{Ja.onOpenChange(!0),od()},100))}async function cd(_d){var yd,gd;if(!ua(_d))return;od();const Ed=(yd=Ja.content.value)==null?void 0:yd.getBoundingClientRect();if(Ed!=null&&Ed.width){const Td=(gd=Ja.content.value)==null?void 0:gd.dataset.side,kd=Td==="right",Rd=kd?-5:5,Nd=Ed[kd?"left":"right"],Id=Ed[kd?"right":"left"];rd.onPointerGraceIntentChange({area:[{x:_d.clientX+Rd,y:_d.clientY},{x:Nd,y:Ed.top},{x:Id,y:Ed.top},{x:Id,y:Ed.bottom},{x:Nd,y:Ed.bottom}],side:Td}),window.clearTimeout(rd.pointerGraceTimerRef.value),rd.pointerGraceTimerRef.value=window.setTimeout(()=>rd.onPointerGraceIntentChange(null),300)}else{if(rd.onTriggerLeave(_d))return;rd.onPointerGraceIntentChange(null)}}async function ud(_d){var yd;const gd=rd.searchRef.value!=="";qa.disabled||gd&&_d.key===" "||ru[ed.dir.value].includes(_d.key)&&(Ja.onOpenChange(!0),await nextTick$1(),(yd=Ja.content.value)==null||yd.focus(),_d.preventDefault())}return(_d,yd)=>(openBlock(),createBlock(Za,{"as-child":""},{default:withCtx(()=>[createVNode(Ql,mergeProps(qa,{id:unref(td).triggerId,ref:gd=>{var Ed;(Ed=unref(td))==null||Ed.onTriggerChange(gd==null?void 0:gd.$el)},"aria-haspopup":"menu","aria-expanded":unref(Ja).open.value,"aria-controls":unref(td).contentId,"data-state":unref(Qn)(unref(Ja).open.value),onClick:yd[0]||(yd[0]=async gd=>{qa.disabled||gd.defaultPrevented||(gd.currentTarget.focus(),unref(Ja).open.value||unref(Ja).onOpenChange(!0))}),onPointermove:ld,onPointerleave:cd,onKeydown:ud}),{default:withCtx(()=>[renderSlot(_d.$slots,"default")]),_:3},16,["id","aria-expanded","aria-controls","data-state"])]),_:3}))}}),[as,$d]=Q("ContextMenuRoot"),Jv=defineComponent({inheritAttrs:!1,__name:"ContextMenuRoot",props:{dir:{},modal:{type:Boolean,default:!0}},emits:["update:open"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,{dir:td,modal:rd}=toRefs(Ja);T();const sd=be(td),od=ref$1(!1);return $d({open:od,onOpenChange:ld=>{od.value=ld},dir:sd,modal:rd}),watch(od,ld=>{ed("update:open",ld)}),(ld,cd)=>(openBlock(),createBlock(unref(oo),{open:od.value,"onUpdate:open":cd[0]||(cd[0]=ud=>od.value=ud),dir:unref(sd),modal:unref(rd)},{default:withCtx(()=>[renderSlot(ld.$slots,"default")]),_:3},8,["open","dir","modal"]))}});function Uo(Ra){return Ra.pointerType!=="mouse"}const Qv=defineComponent({inheritAttrs:!1,__name:"ContextMenuTrigger",props:{disabled:{type:Boolean,default:!1},asChild:{type:Boolean},as:{default:"span"}},setup(Ra){const qa=Ra,{disabled:Ja}=toRefs(qa),{forwardRef:ed}=T(),td=as(),rd=ref$1({x:0,y:0}),sd=computed(()=>({getBoundingClientRect:()=>({width:0,height:0,left:rd.value.x,right:rd.value.x,top:rd.value.y,bottom:rd.value.y,...rd.value})})),od=ref$1(0);function ld(){window.clearTimeout(od.value)}function cd(gd){rd.value={x:gd.clientX,y:gd.clientY},td.onOpenChange(!0)}async function ud(gd){Ja.value||(await nextTick$1(),gd.defaultPrevented||(ld(),cd(gd),gd.preventDefault()))}async function _d(gd){Ja.value||(await nextTick$1(),Uo(gd)&&!gd.defaultPrevented&&(ld(),od.value=window.setTimeout(()=>cd(gd),700)))}async function yd(gd){Ja.value||(await nextTick$1(),Uo(gd)&&!gd.defaultPrevented&&ld())}return(gd,Ed)=>(openBlock(),createElementBlock(Fragment,null,[createVNode(unref(Za),{as:"template",element:sd.value},null,8,["element"]),createVNode(unref(O),mergeProps({ref:unref(ed),as:gd.as,"as-child":gd.asChild,"data-state":unref(td).open.value?"open":"closed","data-disabled":unref(Ja)?"":void 0,style:{WebkitTouchCallout:"none"}},gd.$attrs,{onContextmenu:ud,onPointerdown:_d,onPointermove:yd,onPointercancel:yd,onPointerup:yd}),{default:withCtx(()=>[renderSlot(gd.$slots,"default")]),_:3},16,["as","as-child","data-state","data-disabled"])],64))}}),em=defineComponent({__name:"ContextMenuPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(Ja,ed)=>(openBlock(),createBlock(unref(po),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(Ja.$slots,"default")]),_:3},16))}}),tm=defineComponent({__name:"ContextMenuContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},alignOffset:{default:0},avoidCollisions:{type:Boolean,default:!0},collisionBoundary:{default:()=>[]},collisionPadding:{default:0},sticky:{default:"partial"},hideWhenDetached:{type:Boolean,default:!1},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","closeAutoFocus"],setup(Ra,{emit:qa}){const Ja=xe(Ra,qa);T();const ed=as(),td=ref$1(!1);return(rd,sd)=>(openBlock(),createBlock(unref(uo),mergeProps(unref(Ja),{side:"right","side-offset":2,align:"start",style:{"--radix-context-menu-content-transform-origin":"var(--radix-popper-transform-origin)","--radix-context-menu-content-available-width":"var(--radix-popper-available-width)","--radix-context-menu-content-available-height":"var(--radix-popper-available-height)","--radix-context-menu-trigger-width":"var(--radix-popper-anchor-width)","--radix-context-menu-trigger-height":"var(--radix-popper-anchor-height)"},onCloseAutoFocus:sd[0]||(sd[0]=od=>{!od.defaultPrevented&&td.value&&od.preventDefault(),td.value=!1}),onInteractOutside:sd[1]||(sd[1]=od=>{!od.defaultPrevented&&!unref(ed).modal.value&&(td.value=!0)})}),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16))}}),nm=defineComponent({__name:"ContextMenuItem",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},emits:["select"],setup(Ra,{emit:qa}){const Ja=Ra,ed=Re(qa);return T(),(td,rd)=>(openBlock(),createBlock(unref(_a),normalizeProps(guardReactiveProps({...Ja,...unref(ed)})),{default:withCtx(()=>[renderSlot(td.$slots,"default")]),_:3},16))}}),lm=defineComponent({__name:"ContextMenuSeparator",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return T(),(Ja,ed)=>(openBlock(),createBlock(unref(mo),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(Ja.$slots,"default")]),_:3},16))}}),[ls,ec]=Q("DropdownMenuRoot"),eh=defineComponent({__name:"DropdownMenuRoot",props:{defaultOpen:{type:Boolean},open:{type:Boolean,default:void 0},dir:{},modal:{type:Boolean,default:!0}},emits:["update:open"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa;T();const td=ne(Ja,"open",ed,{defaultValue:Ja.defaultOpen,passive:Ja.open===void 0}),rd=ref$1(),{modal:sd,dir:od}=toRefs(Ja),ld=be(od);return ec({open:td,onOpenChange:cd=>{td.value=cd},onOpenToggle:()=>{td.value=!td.value},triggerId:"",triggerElement:rd,contentId:"",modal:sd,dir:ld}),(cd,ud)=>(openBlock(),createBlock(unref(oo),{open:unref(td),"onUpdate:open":ud[0]||(ud[0]=_d=>isRef(td)?td.value=_d:null),dir:unref(ld),modal:unref(sd)},{default:withCtx(()=>[renderSlot(cd.$slots,"default",{open:unref(td)})]),_:3},8,["open","dir","modal"]))}}),th=defineComponent({__name:"DropdownMenuTrigger",props:{disabled:{type:Boolean},asChild:{type:Boolean},as:{default:"button"}},setup(Ra){const qa=Ra,Ja=ls(),{forwardRef:ed,currentElement:td}=T();return onMounted(()=>{Ja.triggerElement=td}),Ja.triggerId||(Ja.triggerId=he(void 0,"radix-vue-dropdown-menu-trigger")),(rd,sd)=>(openBlock(),createBlock(unref(Za),{"as-child":""},{default:withCtx(()=>[createVNode(unref(O),{id:unref(Ja).triggerId,ref:unref(ed),type:rd.as==="button"?"button":void 0,"as-child":qa.asChild,as:rd.as,"aria-haspopup":"menu","aria-expanded":unref(Ja).open.value,"aria-controls":unref(Ja).open.value?unref(Ja).contentId:void 0,"data-disabled":rd.disabled?"":void 0,disabled:rd.disabled,"data-state":unref(Ja).open.value?"open":"closed",onClick:sd[0]||(sd[0]=async od=>{var ld;!rd.disabled&&od.button===0&&od.ctrlKey===!1&&((ld=unref(Ja))==null||ld.onOpenToggle(),await nextTick$1(),unref(Ja).open.value&&od.preventDefault())}),onKeydown:sd[1]||(sd[1]=withKeys(od=>{rd.disabled||(["Enter"," "].includes(od.key)&&unref(Ja).onOpenToggle(),od.key==="ArrowDown"&&unref(Ja).onOpenChange(!0),["Enter"," ","ArrowDown"].includes(od.key)&&od.preventDefault())},["enter","space","arrow-down"]))},{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},8,["id","type","as-child","as","aria-expanded","aria-controls","data-disabled","disabled","data-state"])]),_:3}))}}),ah=defineComponent({__name:"DropdownMenuPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(Ja,ed)=>(openBlock(),createBlock(unref(po),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(Ja.$slots,"default")]),_:3},16))}}),nh=defineComponent({__name:"DropdownMenuContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","closeAutoFocus"],setup(Ra,{emit:qa}){const Ja=xe(Ra,qa);T();const ed=ls(),td=ref$1(!1);function rd(sd){sd.defaultPrevented||(td.value||setTimeout(()=>{var od;(od=ed.triggerElement.value)==null||od.focus()},0),td.value=!1,sd.preventDefault())}return ed.contentId||(ed.contentId=he(void 0,"radix-vue-dropdown-menu-content")),(sd,od)=>{var ld;return openBlock(),createBlock(unref(uo),mergeProps(unref(Ja),{id:unref(ed).contentId,"aria-labelledby":(ld=unref(ed))==null?void 0:ld.triggerId,style:{"--radix-dropdown-menu-content-transform-origin":"var(--radix-popper-transform-origin)","--radix-dropdown-menu-content-available-width":"var(--radix-popper-available-width)","--radix-dropdown-menu-content-available-height":"var(--radix-popper-available-height)","--radix-dropdown-menu-trigger-width":"var(--radix-popper-anchor-width)","--radix-dropdown-menu-trigger-height":"var(--radix-popper-anchor-height)"},onCloseAutoFocus:rd,onInteractOutside:od[0]||(od[0]=cd=>{var ud;if(cd.defaultPrevented)return;const _d=cd.detail.originalEvent,yd=_d.button===0&&_d.ctrlKey===!0,gd=_d.button===2||yd;(!unref(ed).modal.value||gd)&&(td.value=!0),(ud=unref(ed).triggerElement.value)!=null&&ud.contains(cd.target)&&cd.preventDefault()})}),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16,["id","aria-labelledby"])}}}),lh=defineComponent({__name:"DropdownMenuItem",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},emits:["select"],setup(Ra,{emit:qa}){const Ja=Ra,ed=Re(qa);return T(),(td,rd)=>(openBlock(),createBlock(unref(_a),normalizeProps(guardReactiveProps({...Ja,...unref(ed)})),{default:withCtx(()=>[renderSlot(td.$slots,"default")]),_:3},16))}}),rh=defineComponent({__name:"DropdownMenuSeparator",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return T(),(Ja,ed)=>(openBlock(),createBlock(unref(mo),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(Ja.$slots,"default")]),_:3},16))}}),fh=defineComponent({__name:"DropdownMenuSub",props:{defaultOpen:{type:Boolean},open:{type:Boolean,default:void 0}},emits:["update:open"],setup(Ra,{emit:qa}){const Ja=Ra,ed=ne(Ja,"open",qa,{passive:Ja.open===void 0,defaultValue:Ja.defaultOpen??!1});return T(),(td,rd)=>(openBlock(),createBlock(unref(ho),{open:unref(ed),"onUpdate:open":rd[0]||(rd[0]=sd=>isRef(ed)?ed.value=sd:null)},{default:withCtx(()=>[renderSlot(td.$slots,"default",{open:unref(ed)})]),_:3},8,["open"]))}}),vh=defineComponent({__name:"DropdownMenuSubContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},sideOffset:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const Ja=xe(Ra,qa);return T(),(ed,td)=>(openBlock(),createBlock(unref(yo),mergeProps(unref(Ja),{style:{"--radix-dropdown-menu-content-transform-origin":"var(--radix-popper-transform-origin)","--radix-dropdown-menu-content-available-width":"var(--radix-popper-available-width)","--radix-dropdown-menu-content-available-height":"var(--radix-popper-available-height)","--radix-dropdown-menu-trigger-width":"var(--radix-popper-anchor-width)","--radix-dropdown-menu-trigger-height":"var(--radix-popper-anchor-height)"}}),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),mh=defineComponent({__name:"DropdownMenuSubTrigger",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return T(),(Ja,ed)=>(openBlock(),createBlock(unref(go),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(Ja.$slots,"default")]),_:3},16))}}),[wo,nc]=Q("HoverCardRoot"),xh=defineComponent({__name:"HoverCardRoot",props:{defaultOpen:{type:Boolean,default:!1},open:{type:Boolean,default:void 0},openDelay:{default:700},closeDelay:{default:300}},emits:["update:open"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,{openDelay:td,closeDelay:rd}=toRefs(Ja);T();const sd=ne(Ja,"open",ed,{defaultValue:Ja.defaultOpen,passive:Ja.open===void 0}),od=ref$1(0),ld=ref$1(0),cd=ref$1(!1),ud=ref$1(!1),_d=ref$1(!1),yd=ref$1();function gd(){clearTimeout(ld.value),od.value=window.setTimeout(()=>sd.value=!0,td.value)}function Ed(){clearTimeout(od.value),!cd.value&&!ud.value&&(ld.value=window.setTimeout(()=>sd.value=!1,rd.value))}function Td(){sd.value=!1}return nc({open:sd,onOpenChange(kd){sd.value=kd},onOpen:gd,onClose:Ed,onDismiss:Td,hasSelectionRef:cd,isPointerDownOnContentRef:ud,isPointerInTransitRef:_d,triggerElement:yd}),(kd,Rd)=>(openBlock(),createBlock(unref(Tt),null,{default:withCtx(()=>[renderSlot(kd.$slots,"default",{open:unref(sd)})]),_:3}))}});function Tn(Ra){return qa=>qa.pointerType==="touch"?void 0:Ra()}function oc(Ra){const qa=[],Ja=document.createTreeWalker(Ra,NodeFilter.SHOW_ELEMENT,{acceptNode:ed=>ed.tabIndex>=0?NodeFilter.FILTER_ACCEPT:NodeFilter.FILTER_SKIP});for(;Ja.nextNode();)qa.push(Ja.currentNode);return qa}const Sh=defineComponent({__name:"HoverCardTrigger",props:{asChild:{type:Boolean},as:{default:"a"}},setup(Ra){const{forwardRef:qa,currentElement:Ja}=T(),ed=wo();ed.triggerElement=Ja;function td(){setTimeout(()=>{!ed.isPointerInTransitRef.value&&!ed.open.value&&ed.onClose()},0)}return(rd,sd)=>(openBlock(),createBlock(unref(Rt),{"as-child":""},{default:withCtx(()=>[createVNode(unref(O),{ref:unref(qa),"as-child":rd.asChild,as:rd.as,"data-state":unref(ed).open.value?"open":"closed","data-grace-area-trigger":"",onPointerenter:sd[0]||(sd[0]=od=>unref(Tn)(unref(ed).onOpen)(od)),onPointerleave:sd[1]||(sd[1]=od=>unref(Tn)(td)(od)),onFocus:sd[2]||(sd[2]=od=>unref(ed).onOpen()),onBlur:sd[3]||(sd[3]=od=>unref(ed).onClose())},{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},8,["as-child","as","data-state"])]),_:3}))}}),Eh=defineComponent({__name:"HoverCardPortal",props:{to:{},disabled:{type:Boolean},forceMount:{type:Boolean}},setup(Ra){const qa=Ra;return(Ja,ed)=>(openBlock(),createBlock(unref(ht),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(Ja.$slots,"default")]),_:3},16))}}),lc=defineComponent({__name:"HoverCardContentImpl",props:{side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,td=It(Ja),{forwardRef:rd,currentElement:sd}=T(),od=wo(),{isPointerInTransit:ld,onPointerExit:cd}=Tl(od.triggerElement,sd);ri(od.isPointerInTransitRef,ld,{direction:"rtl"}),cd(()=>{od.onClose()});const ud=ref$1(!1);let _d;watchEffect(gd=>{if(ud.value){const Ed=document.body;_d=Ed.style.userSelect||Ed.style.webkitUserSelect,Ed.style.userSelect="none",Ed.style.webkitUserSelect="none",gd(()=>{Ed.style.userSelect=_d,Ed.style.webkitUserSelect=_d})}});function yd(){ud.value=!1,od.isPointerDownOnContentRef.value=!1,nextTick$1(()=>{var gd;((gd=document.getSelection())==null?void 0:gd.toString())!==""&&(od.hasSelectionRef.value=!0)})}return onMounted(()=>{sd.value&&(document.addEventListener("pointerup",yd),oc(sd.value).forEach(gd=>gd.setAttribute("tabindex","-1")))}),onUnmounted(()=>{document.removeEventListener("pointerup",yd),od.hasSelectionRef.value=!1,od.isPointerDownOnContentRef.value=!1}),(gd,Ed)=>(openBlock(),createBlock(unref(yt),{"as-child":"","disable-outside-pointer-events":!1,onEscapeKeyDown:Ed[1]||(Ed[1]=Td=>ed("escapeKeyDown",Td)),onPointerDownOutside:Ed[2]||(Ed[2]=Td=>ed("pointerDownOutside",Td)),onFocusOutside:Ed[3]||(Ed[3]=withModifiers(Td=>ed("focusOutside",Td),["prevent"])),onDismiss:unref(od).onDismiss},{default:withCtx(()=>[createVNode(unref(Dt),mergeProps({...unref(td),...gd.$attrs},{ref:unref(rd),"data-state":unref(od).open.value?"open":"closed",style:{userSelect:ud.value?"text":void 0,WebkitUserSelect:ud.value?"text":void 0,"--radix-hover-card-content-transform-origin":"var(--radix-popper-transform-origin)","--radix-hover-card-content-available-width":"var(--radix-popper-available-width)","--radix-hover-card-content-available-height":"var(--radix-popper-available-height)","--radix-hover-card-trigger-width":"var(--radix-popper-anchor-width)","--radix-hover-card-trigger-height":"var(--radix-popper-anchor-height)"},onPointerdown:Ed[0]||(Ed[0]=Td=>{Td.currentTarget.contains(Td.target)&&(ud.value=!0),unref(od).hasSelectionRef.value=!1,unref(od).isPointerDownOnContentRef.value=!0})}),{default:withCtx(()=>[renderSlot(gd.$slots,"default")]),_:3},16,["data-state","style"])]),_:3},8,["onDismiss"]))}}),Ph=defineComponent({__name:"HoverCardContent",props:{forceMount:{type:Boolean},side:{},sideOffset:{},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside"],setup(Ra,{emit:qa}){const Ja=xe(Ra,qa),{forwardRef:ed}=T(),td=wo();return(rd,sd)=>(openBlock(),createBlock(unref(Pe),{present:rd.forceMount||unref(td).open.value},{default:withCtx(()=>[createVNode(lc,mergeProps(unref(Ja),{ref:unref(ed),onPointerenter:sd[0]||(sd[0]=od=>unref(Tn)(unref(td).onOpen)(od))}),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16)]),_:3},8,["present"]))}});function vf(){if(typeof matchMedia=="function")return matchMedia("(pointer:coarse)").matches?"coarse":"fine"}vf();const _sfc_main$u=defineComponent({__name:"DropdownMenu",props:{defaultOpen:{type:Boolean},open:{type:Boolean},dir:{},modal:{type:Boolean}},emits:["update:open"],setup(Ra,{emit:qa}){const td=xe(Ra,qa);return(rd,sd)=>(openBlock(),createBlock(unref(eh),normalizeProps(guardReactiveProps(unref(td))),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16))}}),_sfc_main$t=defineComponent({__name:"DropdownMenuTrigger",props:{disabled:{type:Boolean},asChild:{type:Boolean},as:{}},setup(Ra){const Ja=It(Ra);return(ed,td)=>(openBlock(),createBlock(unref(th),mergeProps({class:"outline-none"},unref(Ja)),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}});function r(Ra){var qa,Ja,ed="";if(typeof Ra=="string"||typeof Ra=="number")ed+=Ra;else if(typeof Ra=="object")if(Array.isArray(Ra)){var td=Ra.length;for(qa=0;qa<td;qa++)Ra[qa]&&(Ja=r(Ra[qa]))&&(ed&&(ed+=" "),ed+=Ja)}else for(Ja in Ra)Ra[Ja]&&(ed&&(ed+=" "),ed+=Ja);return ed}function clsx(){for(var Ra,qa,Ja=0,ed="",td=arguments.length;Ja<td;Ja++)(Ra=arguments[Ja])&&(qa=r(Ra))&&(ed&&(ed+=" "),ed+=qa);return ed}const CLASS_PART_SEPARATOR="-",createClassGroupUtils=Ra=>{const qa=createClassMap(Ra),{conflictingClassGroups:Ja,conflictingClassGroupModifiers:ed}=Ra;return{getClassGroupId:sd=>{const od=sd.split(CLASS_PART_SEPARATOR);return od[0]===""&&od.length!==1&&od.shift(),getGroupRecursive(od,qa)||getGroupIdForArbitraryProperty(sd)},getConflictingClassGroupIds:(sd,od)=>{const ld=Ja[sd]||[];return od&&ed[sd]?[...ld,...ed[sd]]:ld}}},getGroupRecursive=(Ra,qa)=>{var sd;if(Ra.length===0)return qa.classGroupId;const Ja=Ra[0],ed=qa.nextPart.get(Ja),td=ed?getGroupRecursive(Ra.slice(1),ed):void 0;if(td)return td;if(qa.validators.length===0)return;const rd=Ra.join(CLASS_PART_SEPARATOR);return(sd=qa.validators.find(({validator:od})=>od(rd)))==null?void 0:sd.classGroupId},arbitraryPropertyRegex=/^\[(.+)\]$/,getGroupIdForArbitraryProperty=Ra=>{if(arbitraryPropertyRegex.test(Ra)){const qa=arbitraryPropertyRegex.exec(Ra)[1],Ja=qa==null?void 0:qa.substring(0,qa.indexOf(":"));if(Ja)return"arbitrary.."+Ja}},createClassMap=Ra=>{const{theme:qa,prefix:Ja}=Ra,ed={nextPart:new Map,validators:[]};return getPrefixedClassGroupEntries(Object.entries(Ra.classGroups),Ja).forEach(([rd,sd])=>{processClassesRecursively(sd,ed,rd,qa)}),ed},processClassesRecursively=(Ra,qa,Ja,ed)=>{Ra.forEach(td=>{if(typeof td=="string"){const rd=td===""?qa:getPart(qa,td);rd.classGroupId=Ja;return}if(typeof td=="function"){if(isThemeGetter(td)){processClassesRecursively(td(ed),qa,Ja,ed);return}qa.validators.push({validator:td,classGroupId:Ja});return}Object.entries(td).forEach(([rd,sd])=>{processClassesRecursively(sd,getPart(qa,rd),Ja,ed)})})},getPart=(Ra,qa)=>{let Ja=Ra;return qa.split(CLASS_PART_SEPARATOR).forEach(ed=>{Ja.nextPart.has(ed)||Ja.nextPart.set(ed,{nextPart:new Map,validators:[]}),Ja=Ja.nextPart.get(ed)}),Ja},isThemeGetter=Ra=>Ra.isThemeGetter,getPrefixedClassGroupEntries=(Ra,qa)=>qa?Ra.map(([Ja,ed])=>{const td=ed.map(rd=>typeof rd=="string"?qa+rd:typeof rd=="object"?Object.fromEntries(Object.entries(rd).map(([sd,od])=>[qa+sd,od])):rd);return[Ja,td]}):Ra,createLruCache=Ra=>{if(Ra<1)return{get:()=>{},set:()=>{}};let qa=0,Ja=new Map,ed=new Map;const td=(rd,sd)=>{Ja.set(rd,sd),qa++,qa>Ra&&(qa=0,ed=Ja,Ja=new Map)};return{get(rd){let sd=Ja.get(rd);if(sd!==void 0)return sd;if((sd=ed.get(rd))!==void 0)return td(rd,sd),sd},set(rd,sd){Ja.has(rd)?Ja.set(rd,sd):td(rd,sd)}}},IMPORTANT_MODIFIER="!",createParseClassName=Ra=>{const{separator:qa,experimentalParseClassName:Ja}=Ra,ed=qa.length===1,td=qa[0],rd=qa.length,sd=od=>{const ld=[];let cd=0,ud=0,_d;for(let kd=0;kd<od.length;kd++){let Rd=od[kd];if(cd===0){if(Rd===td&&(ed||od.slice(kd,kd+rd)===qa)){ld.push(od.slice(ud,kd)),ud=kd+rd;continue}if(Rd==="/"){_d=kd;continue}}Rd==="["?cd++:Rd==="]"&&cd--}const yd=ld.length===0?od:od.substring(ud),gd=yd.startsWith(IMPORTANT_MODIFIER),Ed=gd?yd.substring(1):yd,Td=_d&&_d>ud?_d-ud:void 0;return{modifiers:ld,hasImportantModifier:gd,baseClassName:Ed,maybePostfixModifierPosition:Td}};return Ja?od=>Ja({className:od,parseClassName:sd}):sd},sortModifiers=Ra=>{if(Ra.length<=1)return Ra;const qa=[];let Ja=[];return Ra.forEach(ed=>{ed[0]==="["?(qa.push(...Ja.sort(),ed),Ja=[]):Ja.push(ed)}),qa.push(...Ja.sort()),qa},createConfigUtils=Ra=>({cache:createLruCache(Ra.cacheSize),parseClassName:createParseClassName(Ra),...createClassGroupUtils(Ra)}),SPLIT_CLASSES_REGEX=/\s+/,mergeClassList=(Ra,qa)=>{const{parseClassName:Ja,getClassGroupId:ed,getConflictingClassGroupIds:td}=qa,rd=[],sd=Ra.trim().split(SPLIT_CLASSES_REGEX);let od="";for(let ld=sd.length-1;ld>=0;ld-=1){const cd=sd[ld],{modifiers:ud,hasImportantModifier:_d,baseClassName:yd,maybePostfixModifierPosition:gd}=Ja(cd);let Ed=!!gd,Td=ed(Ed?yd.substring(0,gd):yd);if(!Td){if(!Ed){od=cd+(od.length>0?" "+od:od);continue}if(Td=ed(yd),!Td){od=cd+(od.length>0?" "+od:od);continue}Ed=!1}const kd=sortModifiers(ud).join(":"),Rd=_d?kd+IMPORTANT_MODIFIER:kd,Nd=Rd+Td;if(rd.includes(Nd))continue;rd.push(Nd);const Id=td(Td,Ed);for(let Md=0;Md<Id.length;++Md){const Ld=Id[Md];rd.push(Rd+Ld)}od=cd+(od.length>0?" "+od:od)}return od};function twJoin(){let Ra=0,qa,Ja,ed="";for(;Ra<arguments.length;)(qa=arguments[Ra++])&&(Ja=toValue(qa))&&(ed&&(ed+=" "),ed+=Ja);return ed}const toValue=Ra=>{if(typeof Ra=="string")return Ra;let qa,Ja="";for(let ed=0;ed<Ra.length;ed++)Ra[ed]&&(qa=toValue(Ra[ed]))&&(Ja&&(Ja+=" "),Ja+=qa);return Ja};function createTailwindMerge(Ra,...qa){let Ja,ed,td,rd=sd;function sd(ld){const cd=qa.reduce((ud,_d)=>_d(ud),Ra());return Ja=createConfigUtils(cd),ed=Ja.cache.get,td=Ja.cache.set,rd=od,od(ld)}function od(ld){const cd=ed(ld);if(cd)return cd;const ud=mergeClassList(ld,Ja);return td(ld,ud),ud}return function(){return rd(twJoin.apply(null,arguments))}}const fromTheme=Ra=>{const qa=Ja=>Ja[Ra]||[];return qa.isThemeGetter=!0,qa},arbitraryValueRegex=/^\[(?:([a-z-]+):)?(.+)\]$/i,fractionRegex=/^\d+\/\d+$/,stringLengths=new Set(["px","full","screen"]),tshirtUnitRegex=/^(\d+(\.\d+)?)?(xs|sm|md|lg|xl)$/,lengthUnitRegex=/\d+(%|px|r?em|[sdl]?v([hwib]|min|max)|pt|pc|in|cm|mm|cap|ch|ex|r?lh|cq(w|h|i|b|min|max))|\b(calc|min|max|clamp)\(.+\)|^0$/,colorFunctionRegex=/^(rgba?|hsla?|hwb|(ok)?(lab|lch))\(.+\)$/,shadowRegex=/^(inset_)?-?((\d+)?\.?(\d+)[a-z]+|0)_-?((\d+)?\.?(\d+)[a-z]+|0)/,imageRegex=/^(url|image|image-set|cross-fade|element|(repeating-)?(linear|radial|conic)-gradient)\(.+\)$/,isLength=Ra=>isNumber(Ra)||stringLengths.has(Ra)||fractionRegex.test(Ra),isArbitraryLength=Ra=>getIsArbitraryValue(Ra,"length",isLengthOnly),isNumber=Ra=>!!Ra&&!Number.isNaN(Number(Ra)),isArbitraryNumber=Ra=>getIsArbitraryValue(Ra,"number",isNumber),isInteger=Ra=>!!Ra&&Number.isInteger(Number(Ra)),isPercent=Ra=>Ra.endsWith("%")&&isNumber(Ra.slice(0,-1)),isArbitraryValue=Ra=>arbitraryValueRegex.test(Ra),isTshirtSize=Ra=>tshirtUnitRegex.test(Ra),sizeLabels=new Set(["length","size","percentage"]),isArbitrarySize=Ra=>getIsArbitraryValue(Ra,sizeLabels,isNever),isArbitraryPosition=Ra=>getIsArbitraryValue(Ra,"position",isNever),imageLabels=new Set(["image","url"]),isArbitraryImage=Ra=>getIsArbitraryValue(Ra,imageLabels,isImage),isArbitraryShadow=Ra=>getIsArbitraryValue(Ra,"",isShadow),isAny=()=>!0,getIsArbitraryValue=(Ra,qa,Ja)=>{const ed=arbitraryValueRegex.exec(Ra);return ed?ed[1]?typeof qa=="string"?ed[1]===qa:qa.has(ed[1]):Ja(ed[2]):!1},isLengthOnly=Ra=>lengthUnitRegex.test(Ra)&&!colorFunctionRegex.test(Ra),isNever=()=>!1,isShadow=Ra=>shadowRegex.test(Ra),isImage=Ra=>imageRegex.test(Ra),getDefaultConfig=()=>{const Ra=fromTheme("colors"),qa=fromTheme("spacing"),Ja=fromTheme("blur"),ed=fromTheme("brightness"),td=fromTheme("borderColor"),rd=fromTheme("borderRadius"),sd=fromTheme("borderSpacing"),od=fromTheme("borderWidth"),ld=fromTheme("contrast"),cd=fromTheme("grayscale"),ud=fromTheme("hueRotate"),_d=fromTheme("invert"),yd=fromTheme("gap"),gd=fromTheme("gradientColorStops"),Ed=fromTheme("gradientColorStopPositions"),Td=fromTheme("inset"),kd=fromTheme("margin"),Rd=fromTheme("opacity"),Nd=fromTheme("padding"),Id=fromTheme("saturate"),Md=fromTheme("scale"),Ld=fromTheme("sepia"),Pd=fromTheme("skew"),qd=fromTheme("space"),Yd=fromTheme("translate"),Ud=()=>["auto","contain","none"],Hd=()=>["auto","hidden","clip","visible","scroll"],Vd=()=>["auto",isArbitraryValue,qa],Jd=()=>[isArbitraryValue,qa],Zd=()=>["",isLength,isArbitraryLength],pf=()=>["auto",isNumber,isArbitraryValue],Xd=()=>["bottom","center","left","left-bottom","left-top","right","right-bottom","right-top","top"],hf=()=>["solid","dashed","dotted","double","none"],_f=()=>["normal","multiply","screen","overlay","darken","lighten","color-dodge","color-burn","hard-light","soft-light","difference","exclusion","hue","saturation","color","luminosity"],xf=()=>["start","end","center","between","around","evenly","stretch"],Lf=()=>["","0",isArbitraryValue],Wf=()=>["auto","avoid","all","avoid-page","page","left","right","column"],Yf=()=>[isNumber,isArbitraryValue];return{cacheSize:500,separator:":",theme:{colors:[isAny],spacing:[isLength,isArbitraryLength],blur:["none","",isTshirtSize,isArbitraryValue],brightness:Yf(),borderColor:[Ra],borderRadius:["none","","full",isTshirtSize,isArbitraryValue],borderSpacing:Jd(),borderWidth:Zd(),contrast:Yf(),grayscale:Lf(),hueRotate:Yf(),invert:Lf(),gap:Jd(),gradientColorStops:[Ra],gradientColorStopPositions:[isPercent,isArbitraryLength],inset:Vd(),margin:Vd(),opacity:Yf(),padding:Jd(),saturate:Yf(),scale:Yf(),sepia:Lf(),skew:Yf(),space:Jd(),translate:Jd()},classGroups:{aspect:[{aspect:["auto","square","video",isArbitraryValue]}],container:["container"],columns:[{columns:[isTshirtSize]}],"break-after":[{"break-after":Wf()}],"break-before":[{"break-before":Wf()}],"break-inside":[{"break-inside":["auto","avoid","avoid-page","avoid-column"]}],"box-decoration":[{"box-decoration":["slice","clone"]}],box:[{box:["border","content"]}],display:["block","inline-block","inline","flex","inline-flex","table","inline-table","table-caption","table-cell","table-column","table-column-group","table-footer-group","table-header-group","table-row-group","table-row","flow-root","grid","inline-grid","contents","list-item","hidden"],float:[{float:["right","left","none","start","end"]}],clear:[{clear:["left","right","both","none","start","end"]}],isolation:["isolate","isolation-auto"],"object-fit":[{object:["contain","cover","fill","none","scale-down"]}],"object-position":[{object:[...Xd(),isArbitraryValue]}],overflow:[{overflow:Hd()}],"overflow-x":[{"overflow-x":Hd()}],"overflow-y":[{"overflow-y":Hd()}],overscroll:[{overscroll:Ud()}],"overscroll-x":[{"overscroll-x":Ud()}],"overscroll-y":[{"overscroll-y":Ud()}],position:["static","fixed","absolute","relative","sticky"],inset:[{inset:[Td]}],"inset-x":[{"inset-x":[Td]}],"inset-y":[{"inset-y":[Td]}],start:[{start:[Td]}],end:[{end:[Td]}],top:[{top:[Td]}],right:[{right:[Td]}],bottom:[{bottom:[Td]}],left:[{left:[Td]}],visibility:["visible","invisible","collapse"],z:[{z:["auto",isInteger,isArbitraryValue]}],basis:[{basis:Vd()}],"flex-direction":[{flex:["row","row-reverse","col","col-reverse"]}],"flex-wrap":[{flex:["wrap","wrap-reverse","nowrap"]}],flex:[{flex:["1","auto","initial","none",isArbitraryValue]}],grow:[{grow:Lf()}],shrink:[{shrink:Lf()}],order:[{order:["first","last","none",isInteger,isArbitraryValue]}],"grid-cols":[{"grid-cols":[isAny]}],"col-start-end":[{col:["auto",{span:["full",isInteger,isArbitraryValue]},isArbitraryValue]}],"col-start":[{"col-start":pf()}],"col-end":[{"col-end":pf()}],"grid-rows":[{"grid-rows":[isAny]}],"row-start-end":[{row:["auto",{span:[isInteger,isArbitraryValue]},isArbitraryValue]}],"row-start":[{"row-start":pf()}],"row-end":[{"row-end":pf()}],"grid-flow":[{"grid-flow":["row","col","dense","row-dense","col-dense"]}],"auto-cols":[{"auto-cols":["auto","min","max","fr",isArbitraryValue]}],"auto-rows":[{"auto-rows":["auto","min","max","fr",isArbitraryValue]}],gap:[{gap:[yd]}],"gap-x":[{"gap-x":[yd]}],"gap-y":[{"gap-y":[yd]}],"justify-content":[{justify:["normal",...xf()]}],"justify-items":[{"justify-items":["start","end","center","stretch"]}],"justify-self":[{"justify-self":["auto","start","end","center","stretch"]}],"align-content":[{content:["normal",...xf(),"baseline"]}],"align-items":[{items:["start","end","center","baseline","stretch"]}],"align-self":[{self:["auto","start","end","center","stretch","baseline"]}],"place-content":[{"place-content":[...xf(),"baseline"]}],"place-items":[{"place-items":["start","end","center","baseline","stretch"]}],"place-self":[{"place-self":["auto","start","end","center","stretch"]}],p:[{p:[Nd]}],px:[{px:[Nd]}],py:[{py:[Nd]}],ps:[{ps:[Nd]}],pe:[{pe:[Nd]}],pt:[{pt:[Nd]}],pr:[{pr:[Nd]}],pb:[{pb:[Nd]}],pl:[{pl:[Nd]}],m:[{m:[kd]}],mx:[{mx:[kd]}],my:[{my:[kd]}],ms:[{ms:[kd]}],me:[{me:[kd]}],mt:[{mt:[kd]}],mr:[{mr:[kd]}],mb:[{mb:[kd]}],ml:[{ml:[kd]}],"space-x":[{"space-x":[qd]}],"space-x-reverse":["space-x-reverse"],"space-y":[{"space-y":[qd]}],"space-y-reverse":["space-y-reverse"],w:[{w:["auto","min","max","fit","svw","lvw","dvw",isArbitraryValue,qa]}],"min-w":[{"min-w":[isArbitraryValue,qa,"min","max","fit"]}],"max-w":[{"max-w":[isArbitraryValue,qa,"none","full","min","max","fit","prose",{screen:[isTshirtSize]},isTshirtSize]}],h:[{h:[isArbitraryValue,qa,"auto","min","max","fit","svh","lvh","dvh"]}],"min-h":[{"min-h":[isArbitraryValue,qa,"min","max","fit","svh","lvh","dvh"]}],"max-h":[{"max-h":[isArbitraryValue,qa,"min","max","fit","svh","lvh","dvh"]}],size:[{size:[isArbitraryValue,qa,"auto","min","max","fit"]}],"font-size":[{text:["base",isTshirtSize,isArbitraryLength]}],"font-smoothing":["antialiased","subpixel-antialiased"],"font-style":["italic","not-italic"],"font-weight":[{font:["thin","extralight","light","normal","medium","semibold","bold","extrabold","black",isArbitraryNumber]}],"font-family":[{font:[isAny]}],"fvn-normal":["normal-nums"],"fvn-ordinal":["ordinal"],"fvn-slashed-zero":["slashed-zero"],"fvn-figure":["lining-nums","oldstyle-nums"],"fvn-spacing":["proportional-nums","tabular-nums"],"fvn-fraction":["diagonal-fractions","stacked-fractons"],tracking:[{tracking:["tighter","tight","normal","wide","wider","widest",isArbitraryValue]}],"line-clamp":[{"line-clamp":["none",isNumber,isArbitraryNumber]}],leading:[{leading:["none","tight","snug","normal","relaxed","loose",isLength,isArbitraryValue]}],"list-image":[{"list-image":["none",isArbitraryValue]}],"list-style-type":[{list:["none","disc","decimal",isArbitraryValue]}],"list-style-position":[{list:["inside","outside"]}],"placeholder-color":[{placeholder:[Ra]}],"placeholder-opacity":[{"placeholder-opacity":[Rd]}],"text-alignment":[{text:["left","center","right","justify","start","end"]}],"text-color":[{text:[Ra]}],"text-opacity":[{"text-opacity":[Rd]}],"text-decoration":["underline","overline","line-through","no-underline"],"text-decoration-style":[{decoration:[...hf(),"wavy"]}],"text-decoration-thickness":[{decoration:["auto","from-font",isLength,isArbitraryLength]}],"underline-offset":[{"underline-offset":["auto",isLength,isArbitraryValue]}],"text-decoration-color":[{decoration:[Ra]}],"text-transform":["uppercase","lowercase","capitalize","normal-case"],"text-overflow":["truncate","text-ellipsis","text-clip"],"text-wrap":[{text:["wrap","nowrap","balance","pretty"]}],indent:[{indent:Jd()}],"vertical-align":[{align:["baseline","top","middle","bottom","text-top","text-bottom","sub","super",isArbitraryValue]}],whitespace:[{whitespace:["normal","nowrap","pre","pre-line","pre-wrap","break-spaces"]}],break:[{break:["normal","words","all","keep"]}],hyphens:[{hyphens:["none","manual","auto"]}],content:[{content:["none",isArbitraryValue]}],"bg-attachment":[{bg:["fixed","local","scroll"]}],"bg-clip":[{"bg-clip":["border","padding","content","text"]}],"bg-opacity":[{"bg-opacity":[Rd]}],"bg-origin":[{"bg-origin":["border","padding","content"]}],"bg-position":[{bg:[...Xd(),isArbitraryPosition]}],"bg-repeat":[{bg:["no-repeat",{repeat:["","x","y","round","space"]}]}],"bg-size":[{bg:["auto","cover","contain",isArbitrarySize]}],"bg-image":[{bg:["none",{"gradient-to":["t","tr","r","br","b","bl","l","tl"]},isArbitraryImage]}],"bg-color":[{bg:[Ra]}],"gradient-from-pos":[{from:[Ed]}],"gradient-via-pos":[{via:[Ed]}],"gradient-to-pos":[{to:[Ed]}],"gradient-from":[{from:[gd]}],"gradient-via":[{via:[gd]}],"gradient-to":[{to:[gd]}],rounded:[{rounded:[rd]}],"rounded-s":[{"rounded-s":[rd]}],"rounded-e":[{"rounded-e":[rd]}],"rounded-t":[{"rounded-t":[rd]}],"rounded-r":[{"rounded-r":[rd]}],"rounded-b":[{"rounded-b":[rd]}],"rounded-l":[{"rounded-l":[rd]}],"rounded-ss":[{"rounded-ss":[rd]}],"rounded-se":[{"rounded-se":[rd]}],"rounded-ee":[{"rounded-ee":[rd]}],"rounded-es":[{"rounded-es":[rd]}],"rounded-tl":[{"rounded-tl":[rd]}],"rounded-tr":[{"rounded-tr":[rd]}],"rounded-br":[{"rounded-br":[rd]}],"rounded-bl":[{"rounded-bl":[rd]}],"border-w":[{border:[od]}],"border-w-x":[{"border-x":[od]}],"border-w-y":[{"border-y":[od]}],"border-w-s":[{"border-s":[od]}],"border-w-e":[{"border-e":[od]}],"border-w-t":[{"border-t":[od]}],"border-w-r":[{"border-r":[od]}],"border-w-b":[{"border-b":[od]}],"border-w-l":[{"border-l":[od]}],"border-opacity":[{"border-opacity":[Rd]}],"border-style":[{border:[...hf(),"hidden"]}],"divide-x":[{"divide-x":[od]}],"divide-x-reverse":["divide-x-reverse"],"divide-y":[{"divide-y":[od]}],"divide-y-reverse":["divide-y-reverse"],"divide-opacity":[{"divide-opacity":[Rd]}],"divide-style":[{divide:hf()}],"border-color":[{border:[td]}],"border-color-x":[{"border-x":[td]}],"border-color-y":[{"border-y":[td]}],"border-color-t":[{"border-t":[td]}],"border-color-r":[{"border-r":[td]}],"border-color-b":[{"border-b":[td]}],"border-color-l":[{"border-l":[td]}],"divide-color":[{divide:[td]}],"outline-style":[{outline:["",...hf()]}],"outline-offset":[{"outline-offset":[isLength,isArbitraryValue]}],"outline-w":[{outline:[isLength,isArbitraryLength]}],"outline-color":[{outline:[Ra]}],"ring-w":[{ring:Zd()}],"ring-w-inset":["ring-inset"],"ring-color":[{ring:[Ra]}],"ring-opacity":[{"ring-opacity":[Rd]}],"ring-offset-w":[{"ring-offset":[isLength,isArbitraryLength]}],"ring-offset-color":[{"ring-offset":[Ra]}],shadow:[{shadow:["","inner","none",isTshirtSize,isArbitraryShadow]}],"shadow-color":[{shadow:[isAny]}],opacity:[{opacity:[Rd]}],"mix-blend":[{"mix-blend":[..._f(),"plus-lighter","plus-darker"]}],"bg-blend":[{"bg-blend":_f()}],filter:[{filter:["","none"]}],blur:[{blur:[Ja]}],brightness:[{brightness:[ed]}],contrast:[{contrast:[ld]}],"drop-shadow":[{"drop-shadow":["","none",isTshirtSize,isArbitraryValue]}],grayscale:[{grayscale:[cd]}],"hue-rotate":[{"hue-rotate":[ud]}],invert:[{invert:[_d]}],saturate:[{saturate:[Id]}],sepia:[{sepia:[Ld]}],"backdrop-filter":[{"backdrop-filter":["","none"]}],"backdrop-blur":[{"backdrop-blur":[Ja]}],"backdrop-brightness":[{"backdrop-brightness":[ed]}],"backdrop-contrast":[{"backdrop-contrast":[ld]}],"backdrop-grayscale":[{"backdrop-grayscale":[cd]}],"backdrop-hue-rotate":[{"backdrop-hue-rotate":[ud]}],"backdrop-invert":[{"backdrop-invert":[_d]}],"backdrop-opacity":[{"backdrop-opacity":[Rd]}],"backdrop-saturate":[{"backdrop-saturate":[Id]}],"backdrop-sepia":[{"backdrop-sepia":[Ld]}],"border-collapse":[{border:["collapse","separate"]}],"border-spacing":[{"border-spacing":[sd]}],"border-spacing-x":[{"border-spacing-x":[sd]}],"border-spacing-y":[{"border-spacing-y":[sd]}],"table-layout":[{table:["auto","fixed"]}],caption:[{caption:["top","bottom"]}],transition:[{transition:["none","all","","colors","opacity","shadow","transform",isArbitraryValue]}],duration:[{duration:Yf()}],ease:[{ease:["linear","in","out","in-out",isArbitraryValue]}],delay:[{delay:Yf()}],animate:[{animate:["none","spin","ping","pulse","bounce",isArbitraryValue]}],transform:[{transform:["","gpu","none"]}],scale:[{scale:[Md]}],"scale-x":[{"scale-x":[Md]}],"scale-y":[{"scale-y":[Md]}],rotate:[{rotate:[isInteger,isArbitraryValue]}],"translate-x":[{"translate-x":[Yd]}],"translate-y":[{"translate-y":[Yd]}],"skew-x":[{"skew-x":[Pd]}],"skew-y":[{"skew-y":[Pd]}],"transform-origin":[{origin:["center","top","top-right","right","bottom-right","bottom","bottom-left","left","top-left",isArbitraryValue]}],accent:[{accent:["auto",Ra]}],appearance:[{appearance:["none","auto"]}],cursor:[{cursor:["auto","default","pointer","wait","text","move","help","not-allowed","none","context-menu","progress","cell","crosshair","vertical-text","alias","copy","no-drop","grab","grabbing","all-scroll","col-resize","row-resize","n-resize","e-resize","s-resize","w-resize","ne-resize","nw-resize","se-resize","sw-resize","ew-resize","ns-resize","nesw-resize","nwse-resize","zoom-in","zoom-out",isArbitraryValue]}],"caret-color":[{caret:[Ra]}],"pointer-events":[{"pointer-events":["none","auto"]}],resize:[{resize:["none","y","x",""]}],"scroll-behavior":[{scroll:["auto","smooth"]}],"scroll-m":[{"scroll-m":Jd()}],"scroll-mx":[{"scroll-mx":Jd()}],"scroll-my":[{"scroll-my":Jd()}],"scroll-ms":[{"scroll-ms":Jd()}],"scroll-me":[{"scroll-me":Jd()}],"scroll-mt":[{"scroll-mt":Jd()}],"scroll-mr":[{"scroll-mr":Jd()}],"scroll-mb":[{"scroll-mb":Jd()}],"scroll-ml":[{"scroll-ml":Jd()}],"scroll-p":[{"scroll-p":Jd()}],"scroll-px":[{"scroll-px":Jd()}],"scroll-py":[{"scroll-py":Jd()}],"scroll-ps":[{"scroll-ps":Jd()}],"scroll-pe":[{"scroll-pe":Jd()}],"scroll-pt":[{"scroll-pt":Jd()}],"scroll-pr":[{"scroll-pr":Jd()}],"scroll-pb":[{"scroll-pb":Jd()}],"scroll-pl":[{"scroll-pl":Jd()}],"snap-align":[{snap:["start","end","center","align-none"]}],"snap-stop":[{snap:["normal","always"]}],"snap-type":[{snap:["none","x","y","both"]}],"snap-strictness":[{snap:["mandatory","proximity"]}],touch:[{touch:["auto","none","manipulation"]}],"touch-x":[{"touch-pan":["x","left","right"]}],"touch-y":[{"touch-pan":["y","up","down"]}],"touch-pz":["touch-pinch-zoom"],select:[{select:["none","text","all","auto"]}],"will-change":[{"will-change":["auto","scroll","contents","transform",isArbitraryValue]}],fill:[{fill:[Ra,"none"]}],"stroke-w":[{stroke:[isLength,isArbitraryLength,isArbitraryNumber]}],stroke:[{stroke:[Ra,"none"]}],sr:["sr-only","not-sr-only"],"forced-color-adjust":[{"forced-color-adjust":["auto","none"]}]},conflictingClassGroups:{overflow:["overflow-x","overflow-y"],overscroll:["overscroll-x","overscroll-y"],inset:["inset-x","inset-y","start","end","top","right","bottom","left"],"inset-x":["right","left"],"inset-y":["top","bottom"],flex:["basis","grow","shrink"],gap:["gap-x","gap-y"],p:["px","py","ps","pe","pt","pr","pb","pl"],px:["pr","pl"],py:["pt","pb"],m:["mx","my","ms","me","mt","mr","mb","ml"],mx:["mr","ml"],my:["mt","mb"],size:["w","h"],"font-size":["leading"],"fvn-normal":["fvn-ordinal","fvn-slashed-zero","fvn-figure","fvn-spacing","fvn-fraction"],"fvn-ordinal":["fvn-normal"],"fvn-slashed-zero":["fvn-normal"],"fvn-figure":["fvn-normal"],"fvn-spacing":["fvn-normal"],"fvn-fraction":["fvn-normal"],"line-clamp":["display","overflow"],rounded:["rounded-s","rounded-e","rounded-t","rounded-r","rounded-b","rounded-l","rounded-ss","rounded-se","rounded-ee","rounded-es","rounded-tl","rounded-tr","rounded-br","rounded-bl"],"rounded-s":["rounded-ss","rounded-es"],"rounded-e":["rounded-se","rounded-ee"],"rounded-t":["rounded-tl","rounded-tr"],"rounded-r":["rounded-tr","rounded-br"],"rounded-b":["rounded-br","rounded-bl"],"rounded-l":["rounded-tl","rounded-bl"],"border-spacing":["border-spacing-x","border-spacing-y"],"border-w":["border-w-s","border-w-e","border-w-t","border-w-r","border-w-b","border-w-l"],"border-w-x":["border-w-r","border-w-l"],"border-w-y":["border-w-t","border-w-b"],"border-color":["border-color-t","border-color-r","border-color-b","border-color-l"],"border-color-x":["border-color-r","border-color-l"],"border-color-y":["border-color-t","border-color-b"],"scroll-m":["scroll-mx","scroll-my","scroll-ms","scroll-me","scroll-mt","scroll-mr","scroll-mb","scroll-ml"],"scroll-mx":["scroll-mr","scroll-ml"],"scroll-my":["scroll-mt","scroll-mb"],"scroll-p":["scroll-px","scroll-py","scroll-ps","scroll-pe","scroll-pt","scroll-pr","scroll-pb","scroll-pl"],"scroll-px":["scroll-pr","scroll-pl"],"scroll-py":["scroll-pt","scroll-pb"],touch:["touch-x","touch-y","touch-pz"],"touch-x":["touch"],"touch-y":["touch"],"touch-pz":["touch"]},conflictingClassGroupModifiers:{"font-size":["leading"]}}},twMerge=createTailwindMerge(getDefaultConfig);function cn(...Ra){return twMerge(clsx(Ra))}const _sfc_main$s=defineComponent({__name:"DropdownMenuContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},side:{},sideOffset:{default:4},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","closeAutoFocus"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,td=computed(()=>{const{class:sd,...od}=Ja;return od}),rd=xe(td,ed);return(sd,od)=>(openBlock(),createBlock(unref(ah),null,{default:withCtx(()=>[createVNode(unref(nh),mergeProps(unref(rd),{class:unref(cn)("z-50 min-w-32 overflow-hidden rounded-md border bg-popover p-1 text-popover-foreground shadow-md data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[side=bottom]:slide-in-from-top-2 data-[side=left]:slide-in-from-right-2 data-[side=right]:slide-in-from-left-2 data-[side=top]:slide-in-from-bottom-2",Ja.class)}),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16,["class"])]),_:3}))}}),_sfc_main$r=defineComponent({__name:"DropdownMenuItem",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{},class:{},inset:{type:Boolean}},setup(Ra){const qa=Ra,Ja=computed(()=>{const{class:td,...rd}=qa;return rd}),ed=It(Ja);return(td,rd)=>(openBlock(),createBlock(unref(lh),mergeProps(unref(ed),{class:unref(cn)("relative flex cursor-default select-none items-center rounded-sm px-2 py-1.5 text-sm outline-none transition-colors focus:bg-accent focus:text-accent-foreground data-[disabled]:pointer-events-none data-[disabled]:opacity-50",td.inset&&"pl-8",qa.class)}),{default:withCtx(()=>[renderSlot(td.$slots,"default")]),_:3},16,["class"]))}});/**
|
||
* @license lucide-vue-next v0.428.0 - ISC
|
||
*
|
||
* This source code is licensed under the ISC license.
|
||
* See the LICENSE file in the root directory of this source tree.
|
||
*/const toKebabCase=Ra=>Ra.replace(/([a-z0-9])([A-Z])/g,"$1-$2").toLowerCase();/**
|
||
* @license lucide-vue-next v0.428.0 - ISC
|
||
*
|
||
* This source code is licensed under the ISC license.
|
||
* See the LICENSE file in the root directory of this source tree.
|
||
*/var defaultAttributes={xmlns:"http://www.w3.org/2000/svg",width:24,height:24,viewBox:"0 0 24 24",fill:"none",stroke:"currentColor","stroke-width":2,"stroke-linecap":"round","stroke-linejoin":"round"};/**
|
||
* @license lucide-vue-next v0.428.0 - ISC
|
||
*
|
||
* This source code is licensed under the ISC license.
|
||
* See the LICENSE file in the root directory of this source tree.
|
||
*/const Icon=({size:Ra,strokeWidth:qa=2,absoluteStrokeWidth:Ja,color:ed,iconNode:td,name:rd,class:sd,...od},{slots:ld})=>h$1("svg",{...defaultAttributes,width:Ra||defaultAttributes.width,height:Ra||defaultAttributes.height,stroke:ed||defaultAttributes.stroke,"stroke-width":Ja?Number(qa)*24/Number(Ra):qa,class:["lucide",`lucide-${toKebabCase(rd??"icon")}`],...od},[...td.map(cd=>h$1(...cd)),...ld.default?[ld.default()]:[]]);/**
|
||
* @license lucide-vue-next v0.428.0 - ISC
|
||
*
|
||
* This source code is licensed under the ISC license.
|
||
* See the LICENSE file in the root directory of this source tree.
|
||
*/const createLucideIcon=(Ra,qa)=>(Ja,{slots:ed})=>h$1(Icon,{...Ja,iconNode:qa,name:Ra},ed);/**
|
||
* @license lucide-vue-next v0.428.0 - ISC
|
||
*
|
||
* This source code is licensed under the ISC license.
|
||
* See the LICENSE file in the root directory of this source tree.
|
||
*/const ChevronRight=createLucideIcon("ChevronRightIcon",[["path",{d:"m9 18 6-6-6-6",key:"mthhwq"}]]),_sfc_main$q=defineComponent({__name:"DropdownMenuShortcut",props:{class:{}},setup(Ra){const qa=Ra;return(Ja,ed)=>(openBlock(),createElementBlock("span",{class:normalizeClass(unref(cn)("ml-auto text-xs tracking-widest opacity-60",qa.class))},[renderSlot(Ja.$slots,"default")],2))}}),_sfc_main$p=defineComponent({__name:"DropdownMenuSeparator",props:{asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,Ja=computed(()=>{const{class:ed,...td}=qa;return td});return(ed,td)=>(openBlock(),createBlock(unref(rh),mergeProps(Ja.value,{class:unref(cn)("-mx-1 my-1 h-px bg-muted",qa.class)}),null,16,["class"]))}}),_sfc_main$o=defineComponent({__name:"DropdownMenuSub",props:{defaultOpen:{type:Boolean},open:{type:Boolean}},emits:["update:open"],setup(Ra,{emit:qa}){const td=xe(Ra,qa);return(rd,sd)=>(openBlock(),createBlock(unref(fh),normalizeProps(guardReactiveProps(unref(td))),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16))}}),_sfc_main$n=defineComponent({__name:"DropdownMenuSubTrigger",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,Ja=computed(()=>{const{class:td,...rd}=qa;return rd}),ed=It(Ja);return(td,rd)=>(openBlock(),createBlock(unref(mh),mergeProps(unref(ed),{class:unref(cn)("flex cursor-default select-none items-center rounded-sm px-2 py-1.5 text-sm outline-none focus:bg-accent data-[state=open]:bg-accent",qa.class)}),{default:withCtx(()=>[renderSlot(td.$slots,"default"),createVNode(unref(ChevronRight),{class:"ml-auto h-4 w-4"})]),_:3},16,["class"]))}}),_sfc_main$m=defineComponent({__name:"DropdownMenuSubContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},sideOffset:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","entryFocus","openAutoFocus","closeAutoFocus"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,td=computed(()=>{const{class:sd,...od}=Ja;return od}),rd=xe(td,ed);return(sd,od)=>(openBlock(),createBlock(unref(vh),mergeProps(unref(rd),{class:unref(cn)("z-50 min-w-32 overflow-hidden rounded-md border bg-popover p-1 text-popover-foreground shadow-lg data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[side=bottom]:slide-in-from-top-2 data-[side=left]:slide-in-from-right-2 data-[side=right]:slide-in-from-left-2 data-[side=top]:slide-in-from-bottom-2",Ja.class)}),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16,["class"]))}}),_sfc_main$l={__name:"FileDropdown",props:["isOpen","clickTrigger","openDropdown","updateOpen"],setup(Ra){const qa=Ra,Ja=useStore(),{isDark:ed,isEditOnLeft:td}=storeToRefs(Ja),{toggleDark:rd,toggleEditOnLeft:sd,exportEditorContent2HTML:od,exportEditorContent2MD:ld,importMarkdownContent:cd}=Ja;return(ud,_d)=>{const yd=upload_default,gd=ElIcon,Ed=download_default,Td=document_default,kd=check_default;return openBlock(),createBlock(unref(_sfc_main$u),{open:qa.isOpen,"onUpdate:open":qa.updateOpen},{default:withCtx(()=>[createVNode(unref(_sfc_main$t),{class:normalizeClass(["flex items-center p-2 px-4 hover:bg-gray-2 dark:hover:bg-stone-9",{"bg-gray-2":qa.isOpen,"dark:bg-stone-9":qa.isOpen}]),onClick:_d[0]||(_d[0]=Rd=>qa.clickTrigger()),onMouseenter:_d[1]||(_d[1]=Rd=>qa.openDropdown())},{default:withCtx(()=>[createTextVNode(" 文件 ")]),_:1},8,["class"]),createVNode(unref(_sfc_main$s),{align:"start"},{default:withCtx(()=>[createVNode(unref(_sfc_main$r),{onClick:_d[2]||(_d[2]=Rd=>unref(cd)())},{default:withCtx(()=>[createVNode(gd,{class:"mr-2 h-4 w-4"},{default:withCtx(()=>[createVNode(yd)]),_:1}),createTextVNode(" 导入 .md ")]),_:1}),createVNode(unref(_sfc_main$r),{onClick:_d[3]||(_d[3]=Rd=>unref(ld)())},{default:withCtx(()=>[createVNode(gd,{class:"mr-2 h-4 w-4"},{default:withCtx(()=>[createVNode(Ed)]),_:1}),createTextVNode(" 导出 .md ")]),_:1}),createVNode(unref(_sfc_main$r),{onClick:_d[4]||(_d[4]=Rd=>unref(od)())},{default:withCtx(()=>[createVNode(gd,{class:"mr-2 h-4 w-4"},{default:withCtx(()=>[createVNode(Td)]),_:1}),createTextVNode(" 导出 .html ")]),_:1}),createVNode(unref(_sfc_main$p)),createVNode(unref(_sfc_main$r),{onClick:_d[5]||(_d[5]=Rd=>unref(rd)())},{default:withCtx(()=>[createVNode(gd,{class:normalizeClass(["mr-2 h-4 w-4",{"opacity-0":!unref(ed)}])},{default:withCtx(()=>[createVNode(kd)]),_:1},8,["class"]),createTextVNode(" 深色模式 ")]),_:1}),createVNode(unref(_sfc_main$p)),createVNode(unref(_sfc_main$r),{onClick:_d[6]||(_d[6]=Rd=>unref(sd)())},{default:withCtx(()=>[createVNode(gd,{class:normalizeClass(["mr-2 h-4 w-4",{"opacity-0":!unref(td)}])},{default:withCtx(()=>[createVNode(kd)]),_:1},8,["class"]),createTextVNode(" 左侧编辑 ")]),_:1})]),_:1})]),_:1},8,["open","onUpdate:open"])}}},_hoisted_1$7=createBaseVNode("div",{class:"text-center"},[createBaseVNode("h3",null,"一款高度简洁的微信 Markdown 编辑器"),createBaseVNode("p",null,"扫码关注公众号 Doocs,原创技术文章第一时间推送!"),createBaseVNode("img",{class:"mx-auto my-5",src:"https://cdn-doocs.oss-cn-shenzhen.aliyuncs.com/gh/doocs/md/images/1648303220922-7e14aefa-816e-44c1-8604-ade709ca1c69.png",alt:"Doocs Markdown 编辑器",style:{width:"40%"}})],-1),_sfc_main$k={__name:"AboutDialog",props:{visible:{type:Boolean,default:!1}},emits:["close"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,td=[{label:"GitHub 仓库",url:"https://github.com/doocs/md"},{label:"Gitee 仓库",url:"https://gitee.com/doocs/md"},{label:"GitCode 仓库",url:"https://gitcode.com/doocs/md"}];function rd(sd){window.open(sd,"_blank")}return(sd,od)=>{const ld=ElButton,cd=ElDialog;return openBlock(),createBlock(cd,{title:"关于",class:"about__dialog","model-value":Ja.visible,width:"520",center:"",onClose:od[0]||(od[0]=ud=>ed("close"))},{footer:withCtx(()=>[(openBlock(),createElementBlock(Fragment,null,renderList(td,ud=>createVNode(ld,{key:ud.url,type:"primary",plain:"",onClick:_d=>rd(ud.url)},{default:withCtx(()=>[createTextVNode(toDisplayString(ud.label),1)]),_:2},1032,["onClick"])),64))]),default:withCtx(()=>[_hoisted_1$7]),_:1},8,["model-value"])}}},_hoisted_1$6=createBaseVNode("span",null,"关于",-1),_sfc_main$j={__name:"HelpDropdown",props:["isOpen","clickTrigger","openDropdown","updateOpen"],setup(Ra){const qa=Ra,Ja=ref$1(!1);return(ed,td)=>{const rd=ElIcon;return openBlock(),createElementBlock(Fragment,null,[createVNode(unref(_sfc_main$u),{open:qa.isOpen,"onUpdate:open":qa.updateOpen},{default:withCtx(()=>[createVNode(unref(_sfc_main$t),{class:normalizeClass(["flex items-center p-2 px-4 hover:bg-gray-2 dark:hover:bg-stone-9",{"bg-gray-2":qa.isOpen,"dark:bg-stone-9":qa.isOpen}]),onClick:td[0]||(td[0]=sd=>qa.clickTrigger()),onMouseenter:td[1]||(td[1]=sd=>qa.openDropdown())},{default:withCtx(()=>[createTextVNode(" 帮助 ")]),_:1},8,["class"]),createVNode(unref(_sfc_main$s),{align:"start"},{default:withCtx(()=>[createVNode(unref(_sfc_main$r),{onClick:td[2]||(td[2]=sd=>Ja.value=!0)},{default:withCtx(()=>[createVNode(rd,{class:"mr-2 h-4 w-4"}),_hoisted_1$6]),_:1})]),_:1})]),_:1},8,["open","onUpdate:open"]),createVNode(_sfc_main$k,{visible:Ja.value,onClose:td[3]||(td[3]=sd=>Ja.value=!1)},null,8,["visible"])],64)}}},_sfc_main$i={__name:"StyleOptionMenu",props:{title:{type:String,required:!0},options:{type:Array,required:!0},current:{type:String,required:!0},change:{type:Function,required:!0}},setup(Ra){const qa=Ra;function Ja(ed,td){switch(ed){case"字体":return{fontFamily:td};case"字号":return{fontSize:td};case"主题色":return{color:td};default:return{}}}return(ed,td)=>{const rd=ElIcon,sd=check_default;return openBlock(),createBlock(unref(_sfc_main$o),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$n),null,{default:withCtx(()=>[createVNode(rd,{class:"mr-2 h-4 w-4"}),createBaseVNode("span",null,toDisplayString(qa.title),1)]),_:1}),createVNode(unref(ah),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$m),{class:"max-h-56 overflow-auto"},{default:withCtx(()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(Ra.options,({label:od,value:ld,desc:cd})=>(openBlock(),createBlock(unref(_sfc_main$r),{key:ld,label:od,"model-value":ld,class:"w-50",onClick:ud=>Ra.change(ld)},{default:withCtx(()=>[createVNode(rd,{class:"mr-2 h-4 w-4",style:normalizeStyle({opacity:+(Ra.current===ld)})},{default:withCtx(()=>[createVNode(sd)]),_:2},1032,["style"]),createTextVNode(" "+toDisplayString(od)+" ",1),createVNode(unref(_sfc_main$q),{style:normalizeStyle(Ja(Ra.title,ld))},{default:withCtx(()=>[createTextVNode(toDisplayString(cd),1)]),_:2},1032,["style"])]),_:2},1032,["label","model-value","onClick"]))),128))]),_:1})]),_:1})]),_:1})}}},_sfc_main$h=defineComponent({__name:"HoverCard",props:{defaultOpen:{type:Boolean},open:{type:Boolean},openDelay:{},closeDelay:{}},emits:["update:open"],setup(Ra,{emit:qa}){const td=xe(Ra,qa);return(rd,sd)=>(openBlock(),createBlock(unref(xh),normalizeProps(guardReactiveProps(unref(td))),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16))}}),_sfc_main$g=defineComponent({__name:"HoverCardTrigger",props:{asChild:{type:Boolean},as:{}},setup(Ra){const qa=Ra;return(Ja,ed)=>(openBlock(),createBlock(unref(Sh),normalizeProps(guardReactiveProps(qa)),{default:withCtx(()=>[renderSlot(Ja.$slots,"default")]),_:3},16))}}),_sfc_main$f=defineComponent({__name:"HoverCardContent",props:{forceMount:{type:Boolean},side:{},sideOffset:{default:4},align:{},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},arrowPadding:{},sticky:{},hideWhenDetached:{type:Boolean},updatePositionStrategy:{},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,Ja=computed(()=>{const{class:td,...rd}=qa;return rd}),ed=It(Ja);return(td,rd)=>(openBlock(),createBlock(unref(Eh),null,{default:withCtx(()=>[createVNode(unref(Ph),mergeProps(unref(ed),{class:unref(cn)("z-50 w-64 rounded-md border bg-popover p-4 text-popover-foreground shadow-md outline-none data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[side=bottom]:slide-in-from-top-2 data-[side=left]:slide-in-from-right-2 data-[side=right]:slide-in-from-left-2 data-[side=top]:slide-in-from-bottom-2",qa.class)}),{default:withCtx(()=>[renderSlot(td.$slots,"default")]),_:3},16,["class"])]),_:3}))}}),_sfc_main$e={__name:"StyleDropdown",props:["isOpen","clickTrigger","openDropdown","updateOpen"],setup(Ra){const qa=Ra,Ja=useStore(),{theme:ed,fontFamily:td,fontSize:rd,fontColor:sd,codeBlockTheme:od,legend:ld,isMacCodeBlock:cd,cssEditor:ud}=storeToRefs(Ja),{resetStyleConfirm:_d,themeChanged:yd,fontChanged:gd,sizeChanged:Ed,colorChanged:Td,codeBlockThemeChanged:kd,legendChanged:Rd,macCodeBlockChanged:Nd,toggleShowCssEditor:Id}=Ja,Md=ref$1(null);function Ld(){Md.value.show()}function Pd(){Id(),nextTick$1(()=>{ud.value||ud.value.refresh()}),setTimeout(()=>{ud.value.refresh()},50)}return(qd,Yd)=>{const Ud=ElIcon,Hd=ElColorPicker,Vd=check_default;return openBlock(),createBlock(unref(_sfc_main$u),{open:qa.isOpen,"onUpdate:open":qa.updateOpen},{default:withCtx(()=>[createVNode(unref(_sfc_main$t),{class:normalizeClass(["flex items-center p-2 px-4 hover:bg-gray-2 dark:hover:bg-stone-9",{"bg-gray-2":qa.isOpen,"dark:bg-stone-9":qa.isOpen}]),onClick:Yd[0]||(Yd[0]=Jd=>qa.clickTrigger()),onMouseenter:Yd[1]||(Yd[1]=Jd=>qa.openDropdown())},{default:withCtx(()=>[createTextVNode(" 样式 ")]),_:1},8,["class"]),createVNode(unref(_sfc_main$s),{class:"w-56",align:"start"},{default:withCtx(()=>[createVNode(_sfc_main$i,{title:"主题",options:unref(themeOptions),current:unref(ed),change:unref(yd)},null,8,["options","current","change"]),createVNode(unref(_sfc_main$p)),createVNode(_sfc_main$i,{title:"字体",options:unref(fontFamilyOptions),current:unref(td),change:unref(gd)},null,8,["options","current","change"]),createVNode(_sfc_main$i,{title:"字号",options:unref(fontSizeOptions),current:unref(rd),change:unref(Ed)},null,8,["options","current","change"]),createVNode(_sfc_main$i,{title:"主题色",options:unref(colorOptions),current:unref(sd),change:unref(Td)},null,8,["options","current","change"]),createVNode(_sfc_main$i,{title:"代码块主题",options:unref(codeBlockThemeOptions),current:unref(od),change:unref(kd)},null,8,["options","current","change"]),createVNode(_sfc_main$i,{title:"图注格式",options:unref(legendOptions),current:unref(ld),change:unref(Rd)},null,8,["options","current","change"]),createVNode(unref(_sfc_main$p)),createVNode(unref(_sfc_main$r),{onClick:withModifiers(Ld,["self","prevent"])},{default:withCtx(()=>[createVNode(unref(_sfc_main$h),{"open-delay":100},{default:withCtx(()=>[createVNode(unref(_sfc_main$g),{class:"w-full flex"},{default:withCtx(()=>[createVNode(Ud,{class:"mr-2 h-4 w-4"}),createTextVNode(" 自定义主题色 ")]),_:1}),createVNode(unref(_sfc_main$f),{side:"right",class:"w-min"},{default:withCtx(()=>[createVNode(Hd,{ref_key:"colorPicker",ref:Md,modelValue:unref(sd),"onUpdate:modelValue":Yd[2]||(Yd[2]=Jd=>isRef(sd)?sd.value=Jd:null),teleported:!1,"show-alpha":"",class:"ml-auto",style:{height:"2em"},onChange:unref(Td),onClick:Ld},null,8,["modelValue","onChange"])]),_:1})]),_:1})]),_:1}),createVNode(unref(_sfc_main$r),{onClick:Pd},{default:withCtx(()=>[createVNode(Ud,{class:"mr-2 h-4 w-4"}),createTextVNode(" 自定义 CSS ")]),_:1}),createVNode(unref(_sfc_main$p)),createVNode(unref(_sfc_main$r),{onClick:unref(Nd)},{default:withCtx(()=>[createVNode(Ud,{class:normalizeClass(["mr-2 h-4 w-4",{"opacity-0":!unref(cd)}])},{default:withCtx(()=>[createVNode(Vd)]),_:1},8,["class"]),createTextVNode(" Mac 代码块 ")]),_:1},8,["onClick"]),createVNode(unref(_sfc_main$p)),createVNode(unref(_sfc_main$r),{divided:"",onClick:unref(_d)},{default:withCtx(()=>[createVNode(Ud,{class:"mr-2 h-4 w-4"}),createTextVNode(" 重置 ")]),_:1},8,["onClick"])]),_:1})]),_:1},8,["open","onUpdate:open"])}}},_sfc_main$d={__name:"EditDropdown",props:["isOpen","clickTrigger","openDropdown","updateOpen"],setup(Ra){const qa=Ra,Ja=useStore(),{toggleShowInsertFormDialog:ed,toggleShowUploadImgDialog:td}=Ja;return(rd,sd)=>{const od=upload_default,ld=ElIcon,cd=grid_default;return openBlock(),createBlock(unref(_sfc_main$u),{open:qa.isOpen,"onUpdate:open":qa.updateOpen},{default:withCtx(()=>[createVNode(unref(_sfc_main$t),{class:normalizeClass(["flex items-center p-2 px-4 hover:bg-gray-2 dark:hover:bg-stone-9",{"bg-gray-2":qa.isOpen,"dark:bg-stone-9":qa.isOpen}]),onClick:sd[0]||(sd[0]=ud=>qa.clickTrigger()),onMouseenter:sd[1]||(sd[1]=ud=>qa.openDropdown())},{default:withCtx(()=>[createTextVNode(" 编辑 ")]),_:1},8,["class"]),createVNode(unref(_sfc_main$s),{align:"start"},{default:withCtx(()=>[createVNode(unref(_sfc_main$r),{onClick:sd[2]||(sd[2]=ud=>unref(td)())},{default:withCtx(()=>[createVNode(ld,{class:"mr-2 h-4 w-4"},{default:withCtx(()=>[createVNode(od)]),_:1}),createTextVNode(" 上传图片 ")]),_:1}),createVNode(unref(_sfc_main$r),{onClick:sd[3]||(sd[3]=ud=>unref(ed)())},{default:withCtx(()=>[createVNode(ld,{class:"mr-2 h-4 w-4"},{default:withCtx(()=>[createVNode(cd)]),_:1}),createTextVNode(" 插入表格 ")]),_:1})]),_:1})]),_:1},8,["open","onUpdate:open"])}}},_export_sfc=(Ra,qa)=>{const Ja=Ra.__vccOpts||Ra;for(const[ed,td]of qa)Ja[ed]=td;return Ja},_hoisted_1$5={class:"header-container"},_hoisted_2$3={class:"dropdowns flex flex-auto"},_sfc_main$c={__name:"index",emits:["addFormat","formatContent","startCopy","endCopy"],setup(Ra,{emit:qa}){const Ja=qa,ed=[{label:"加粗",kbd:[ctrlSign,"B"],emitArgs:["addFormat",`${ctrlKey}-B`]},{label:"斜体",kbd:[ctrlSign,"I"],emitArgs:["addFormat",`${ctrlKey}-I`]},{label:"删除线",kbd:[ctrlSign,"D"],emitArgs:["addFormat",`${ctrlKey}-D`]},{label:"超链接",kbd:[ctrlSign,"K"],emitArgs:["addFormat",`${ctrlKey}-K`]},{label:"行内代码",kbd:[ctrlSign,"E"],emitArgs:["addFormat",`${ctrlKey}-E`]},{label:"格式化",kbd:[altSign,shiftSign,"F"],emitArgs:["formatContent"]}],td=useStore(),{isDark:rd,isCiteStatus:sd,output:od,fontColor:ld}=storeToRefs(td),{toggleDark:cd,editorRefresh:ud,citeStatusChanged:_d}=td;function yd(){Ja("startCopy"),setTimeout(()=>{function Nd(Md){const Ld=document.createElement("div");return Ld.innerHTML=Md,Ld.querySelectorAll("li > ul, li > ol").forEach(qd=>{qd.parentElement.insertAdjacentElement("afterend",qd)}),Ld.innerHTML}const Id=rd.value;Id&&cd(),nextTick$1(()=>{solveWeChatImage();const Md=document.getElementById("output");Md.innerHTML=mergeCss(Md.innerHTML),Md.innerHTML=Nd(Md.innerHTML),Md.innerHTML=Md.innerHTML.replace(/class="base"( style="display: inline")*/g,'class="base" style="display: inline"').replace(/top:(.*?)em/g,"transform: translateY($1em)").replaceAll("var(--el-text-color-regular)","#3f3f3f").replaceAll("var(--md-primary-color)",ld.value).replaceAll(/--md-primary-color:.+?;/g,""),Md.focus(),window.getSelection().removeAllRanges();const Ld=document.createRange();Ld.setStartBefore(Md.firstChild),Ld.setEndAfter(Md.lastChild),window.getSelection().addRange(Ld),document.execCommand("copy"),window.getSelection().removeAllRanges(),Md.innerHTML=od.value,Id&&nextTick$1(()=>cd()),ElNotification({showClose:!0,message:"已复制渲染后的文章到剪贴板,可直接到公众号后台粘贴",offset:80,duration:1600,type:"success"}),ud(),Ja("endCopy")})},350)}const gd=ref$1(!1),Ed=reactive(Array.from({length:5}).fill(!1));function Td(){gd.value=!gd.value}function kd(Nd){return()=>{Ed.fill(!1),Ed[Nd]=!0}}function Rd(Nd){Nd||(gd.value=!1)}return(Nd,Id)=>{const Md=ElIcon,Ld=check_default,Pd=ElButton;return openBlock(),createElementBlock("div",_hoisted_1$5,[createBaseVNode("div",_hoisted_2$3,[createVNode(_sfc_main$l,{"is-open":gd.value&&Ed[0],"click-trigger":Td,"open-dropdown":kd(0),"update-open":Rd},null,8,["is-open","open-dropdown"]),createVNode(unref(_sfc_main$u),{open:gd.value&&Ed[1],"onUpdate:open":Rd},{default:withCtx(()=>[createVNode(unref(_sfc_main$t),{class:normalizeClass(["flex items-center p-2 px-4 hover:bg-gray-2 dark:hover:bg-stone-9",{"bg-gray-2":gd.value&&Ed[1],"dark:bg-stone-9":gd.value&&Ed[1]}]),onClick:Id[0]||(Id[0]=qd=>Td()),onMouseenter:Id[1]||(Id[1]=qd=>kd(1)())},{default:withCtx(()=>[createTextVNode(" 格式 ")]),_:1},8,["class"]),createVNode(unref(_sfc_main$s),{class:"w-60",align:"start"},{default:withCtx(()=>[(openBlock(),createElementBlock(Fragment,null,renderList(ed,({label:qd,kbd:Yd,emitArgs:Ud})=>createVNode(unref(_sfc_main$r),{key:Yd,onClick:Hd=>{Nd.$emit(...Ud)}},{default:withCtx(()=>[createVNode(Md,{class:"mr-2 h-4 w-4"}),createTextVNode(" "+toDisplayString(qd)+" ",1),createVNode(unref(_sfc_main$q),null,{default:withCtx(()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(Yd,Hd=>(openBlock(),createElementBlock("kbd",{key:Hd,class:"mx-1 bg-gray-2 dark:bg-stone-9"},toDisplayString(Hd),1))),128))]),_:2},1024)]),_:2},1032,["onClick"])),64)),createVNode(unref(_sfc_main$p)),createVNode(unref(_sfc_main$r),{onClick:Id[2]||(Id[2]=qd=>unref(_d)())},{default:withCtx(()=>[createVNode(Md,{class:normalizeClass(["mr-2 h-4 w-4",{"opacity-0":!unref(sd)}])},{default:withCtx(()=>[createVNode(Ld)]),_:1},8,["class"]),createTextVNode(" 微信外链转底部引用 ")]),_:1})]),_:1})]),_:1},8,["open"]),createVNode(_sfc_main$d,{"is-open":gd.value&&Ed[2],"click-trigger":Td,"open-dropdown":kd(2),"update-open":Rd},null,8,["is-open","open-dropdown"]),createVNode(_sfc_main$e,{"is-open":gd.value&&Ed[3],"click-trigger":Td,"open-dropdown":kd(3),"update-open":Rd},null,8,["is-open","open-dropdown"]),createVNode(_sfc_main$j,{"is-open":gd.value&&Ed[4],"click-trigger":Td,"open-dropdown":kd(4),"update-open":Rd},null,8,["is-open","open-dropdown"])]),createVNode(Pd,{plain:"",type:"primary",onClick:yd},{default:withCtx(()=>[createTextVNode(" 复制 ")]),_:1}),createVNode(_sfc_main$v)])}}},EditorHeader=_export_sfc(_sfc_main$c,[["__scopeId","data-v-ec385579"]]),_hoisted_1$4={style:{"border-collapse":"collapse"},class:"input-table"},_hoisted_2$2={class:"dialog-footer"},_sfc_main$b={__name:"InsertFormDialog",setup(Ra){const qa=useStore(),{toggleShowInsertFormDialog:Ja}=qa,ed=ref$1(3),td=ref$1(3),rd=ref$1({});function sd(){ed.value=3,td.value=3,rd.value={}}function od(){const ld=createTable({rows:ed.value,cols:td.value,data:rd.value});toRaw(qa.editor).replaceSelection(`
|
||
${ld}
|
||
`,"end"),sd(),Ja()}return(ld,cd)=>{const ud=ElInputNumber,_d=ElCol,yd=ElRow,gd=ElInput,Ed=ElButton,Td=ElDialog;return openBlock(),createBlock(Td,{title:"插入表格",class:"insert__dialog","model-value":unref(qa).isShowInsertFormDialog,onClose:cd[3]||(cd[3]=kd=>unref(Ja)(!1))},{footer:withCtx(()=>[createBaseVNode("div",_hoisted_2$2,[createVNode(Ed,{plain:"",onClick:cd[2]||(cd[2]=kd=>unref(Ja)(!1))},{default:withCtx(()=>[createTextVNode(" 取 消 ")]),_:1}),createVNode(Ed,{type:"primary",plain:"",onClick:od},{default:withCtx(()=>[createTextVNode(" 确 定 ")]),_:1})])]),default:withCtx(()=>[createVNode(yd,{class:"tb-options",type:"flex",align:"middle",gutter:10},{default:withCtx(()=>[createVNode(_d,{span:12},{default:withCtx(()=>[createTextVNode(" 行数: "),createVNode(ud,{modelValue:ed.value,"onUpdate:modelValue":cd[0]||(cd[0]=kd=>ed.value=kd),"controls-position":"right",min:1,max:100,size:"small"},null,8,["modelValue"])]),_:1}),createVNode(_d,{span:12},{default:withCtx(()=>[createTextVNode(" 列数: "),createVNode(ud,{modelValue:td.value,"onUpdate:modelValue":cd[1]||(cd[1]=kd=>td.value=kd),"controls-position":"right",min:1,max:100,size:"small"},null,8,["modelValue"])]),_:1})]),_:1}),createBaseVNode("table",_hoisted_1$4,[(openBlock(!0),createElementBlock(Fragment,null,renderList(ed.value+1,kd=>(openBlock(),createElementBlock("tr",{key:kd,class:normalizeClass({"head-style":kd===1})},[(openBlock(!0),createElementBlock(Fragment,null,renderList(td.value,Rd=>(openBlock(),createElementBlock("td",{key:Rd},[createVNode(gd,{modelValue:rd.value[`k_${kd-1}_${Rd-1}`],"onUpdate:modelValue":Nd=>rd.value[`k_${kd-1}_${Rd-1}`]=Nd,align:"center",placeholder:kd===1?"表头":""},null,8,["modelValue","onUpdate:modelValue","placeholder"])]))),128))],2))),128))])]),_:1},8,["model-value"])}}},InsertFormDialog=_export_sfc(_sfc_main$b,[["__scopeId","data-v-56362dff"]]),_withScopeId$3=Ra=>(pushScopeId("data-v-02ce52c7"),Ra=Ra(),popScopeId(),Ra),_hoisted_1$3=_withScopeId$3(()=>createBaseVNode("div",{class:"el-upload__text"},[createTextVNode(" 将图片拖到此处,或 "),createBaseVNode("em",null,"点击上传")],-1)),_sfc_main$a={__name:"UploadImgDialog",emits:["uploadImage"],setup(Ra,{emit:qa}){const Ja=qa,ed=useStore(),td=ref$1({repo:"",branch:"",accessToken:""}),rd=ref$1({accessKeyId:"",accessKeySecret:"",bucket:"",region:"",path:"",cdnHost:"",useSSL:!0}),sd=ref$1({secretId:"",secretKey:"",bucket:"",region:"",path:"",cdnHost:""}),od=ref$1({accessKey:"",secretKey:"",bucket:"",domain:"",region:""}),ld=ref$1({endpoint:"",port:"",useSSL:!0,bucket:"",accessKey:"",secretKey:""}),cd=ref$1({code:localStorage.getItem("formCustomConfig")||removeLeft(`
|
||
const {file, util, okCb, errCb} = CUSTOM_ARG
|
||
const param = new FormData()
|
||
param.append('file', file)
|
||
util.axios.post('${window.location.origin}/upload', param, {
|
||
headers: { 'Content-Type': 'multipart/form-data' }
|
||
}).then(res => {
|
||
okCb(res.url)
|
||
}).catch(err => {
|
||
errCb(err)
|
||
})
|
||
`).trim(),editor:void 0}),ud=[{value:"default",label:"默认"},{value:"github",label:"GitHub"},{value:"aliOSS",label:"阿里云"},{value:"txCOS",label:"腾讯云"},{value:"qiniu",label:"七牛云"},{value:"minio",label:"MinIO"},{value:"formCustom",label:"自定义代码"}],_d=ref$1("default"),yd=ref$1(null),gd=ref$1("upload");watch(gd,async qd=>{qd==="formCustom"&&nextTick$1(()=>{const Yd=yd.value.$el.querySelector("textarea");cd.value.editor=cd.value.editor||CodeMirror.fromTextArea(Yd,{mode:"javascript"})})},{immediate:!0}),onBeforeMount(()=>{localStorage.getItem("githubConfig")&&(td.value=JSON.parse(localStorage.getItem("githubConfig"))),localStorage.getItem("aliOSSConfig")&&(rd.value=JSON.parse(localStorage.getItem("aliOSSConfig"))),localStorage.getItem("txCOSConfig")&&(sd.value=JSON.parse(localStorage.getItem("txCOSConfig"))),localStorage.getItem("qiniuConfig")&&(od.value=JSON.parse(localStorage.getItem("qiniuConfig"))),localStorage.getItem("minioConfig")&&(ld.value=JSON.parse(localStorage.getItem("minioConfig"))),localStorage.getItem("imgHost")&&(_d.value=localStorage.getItem("imgHost"))});function Ed(){localStorage.setItem("imgHost",_d.value),ElMessage.success("已成功切换图床")}function Td(){if(!(td.value.repo&&td.value.accessToken)){const qd=td.value.repo?"token":"GitHub 仓库";ElMessage.error(`参数「${qd}」不能为空`);return}localStorage.setItem("githubConfig",JSON.stringify(td.value)),ElMessage.success("保存成功")}function kd(){if(!(rd.value.accessKeyId&&rd.value.accessKeySecret&&rd.value.bucket&&rd.value.region)){ElMessage.error("阿里云 OSS 参数配置不全");return}localStorage.setItem("aliOSSConfig",JSON.stringify(rd.value)),ElMessage.success("保存成功")}function Rd(){if(!(ld.value.endpoint&&ld.value.bucket&&ld.value.accessKey&&ld.value.secretKey)){ElMessage.error("MinIO 参数配置不全");return}localStorage.setItem("minioConfig",JSON.stringify(ld.value)),ElMessage.success("保存成功")}function Nd(){if(!(sd.value.secretId&&sd.value.secretKey&&sd.value.bucket&&sd.value.region)){ElMessage.error("腾讯云 COS 参数配置不全");return}localStorage.setItem("txCOSConfig",JSON.stringify(sd.value)),ElMessage.success("保存成功")}function Id(){if(!(od.value.accessKey&&od.value.secretKey&&od.value.bucket&&od.value.domain)){ElMessage.error("七牛云 Kodo 参数配置不全");return}localStorage.setItem("qiniuConfig",JSON.stringify(od.value)),ElMessage.success("保存成功")}function Md(){const qd=cd.value.editor.getValue();localStorage.setItem("formCustomConfig",qd),ElMessage.success("保存成功")}function Ld(qd){const Yd=checkImage(qd);if(!Yd.ok)return ElMessage.error(Yd.msg),!1;let Ud=localStorage.getItem("imgHost");Ud=Ud||"default",localStorage.setItem("imgHost",Ud);const Hd=localStorage.getItem(`${Ud}Config`);return Ud==="default"||Hd?!0:(ElMessage.error(`请先配置 ${Ud} 图床参数`),!1)}function Pd(qd){Ja("uploadImage",qd.file)}return(qd,Yd)=>{const Ud=ElOption,Hd=ElSelect,Vd=ElIcon,Jd=ElUpload,Zd=ElTabPane,pf=ElInput,Xd=ElFormItem,hf=ElLink,_f=ElButton,xf=ElForm,Lf=ElSwitch,Wf=ElTabs,Yf=ElDialog;return openBlock(),createBlock(Yf,{title:"本地上传",class:"upload__dialog","model-value":unref(ed).isShowUploadImgDialog,onClose:Yd[31]||(Yd[31]=If=>unref(ed).toggleShowUploadImgDialog(!1))},{default:withCtx(()=>[createVNode(Wf,{modelValue:gd.value,"onUpdate:modelValue":Yd[30]||(Yd[30]=If=>gd.value=If)},{default:withCtx(()=>[createVNode(Zd,{class:"upload-panel",label:"选择上传",name:"upload"},{default:withCtx(()=>[createVNode(Hd,{modelValue:_d.value,"onUpdate:modelValue":Yd[0]||(Yd[0]=If=>_d.value=If),placeholder:"请选择",size:"small",onChange:Ed},{default:withCtx(()=>[(openBlock(),createElementBlock(Fragment,null,renderList(ud,If=>createVNode(Ud,{key:If.value,label:If.label,value:If.value},null,8,["label","value"])),64))]),_:1},8,["modelValue"]),createVNode(Jd,{drag:"",multiple:"",action:"",headers:{"Content-Type":"multipart/form-data"},"show-file-list":!1,accept:".jpg, .jpeg, .png, .gif",name:"file","before-upload":Ld,"http-request":Pd},{default:withCtx(()=>[createVNode(Vd,{class:"el-icon--upload"},{default:withCtx(()=>[createVNode(unref(upload_filled_default))]),_:1}),_hoisted_1$3]),_:1})]),_:1}),createVNode(Zd,{class:"github-panel",label:"GitHub 图床",name:"github"},{default:withCtx(()=>[createVNode(xf,{class:"setting-form",model:td.value,"label-position":"right","label-width":"150px"},{default:withCtx(()=>[createVNode(Xd,{label:"GitHub 仓库",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:td.value.repo,"onUpdate:modelValue":Yd[1]||(Yd[1]=If=>td.value.repo=If),modelModifiers:{trim:!0},placeholder:"如:github.com/yanglbme/resource"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"分支"},{default:withCtx(()=>[createVNode(pf,{modelValue:td.value.branch,"onUpdate:modelValue":Yd[2]||(Yd[2]=If=>td.value.branch=If),modelModifiers:{trim:!0},placeholder:"如:release,可不填,默认 master"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"Token",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:td.value.accessToken,"onUpdate:modelValue":Yd[3]||(Yd[3]=If=>td.value.accessToken=If),modelModifiers:{trim:!0},"show-password":"",placeholder:"如:cc1d0c1426d0fd0902bd2d7184b14da61b8abc46"},null,8,["modelValue"]),createVNode(hf,{type:"primary",href:"https://docs.github.com/en/github/authenticating-to-github/creating-a-personal-access-token",target:"_blank"},{default:withCtx(()=>[createTextVNode(" 如何获取 GitHub Token? ")]),_:1})]),_:1}),createVNode(Xd,null,{default:withCtx(()=>[createVNode(_f,{type:"primary",onClick:Td},{default:withCtx(()=>[createTextVNode(" 保存配置 ")]),_:1})]),_:1})]),_:1},8,["model"])]),_:1}),createVNode(Zd,{class:"github-panel",label:"阿里云 OSS",name:"aliOSS"},{default:withCtx(()=>[createVNode(xf,{class:"setting-form",model:rd.value,"label-position":"right","label-width":"150px"},{default:withCtx(()=>[createVNode(Xd,{label:"AccessKey ID",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:rd.value.accessKeyId,"onUpdate:modelValue":Yd[4]||(Yd[4]=If=>rd.value.accessKeyId=If),modelModifiers:{trim:!0},placeholder:"如:LTAI4GdoocsmdoxUf13ylbaNHk"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"AccessKey Secret",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:rd.value.accessKeySecret,"onUpdate:modelValue":Yd[5]||(Yd[5]=If=>rd.value.accessKeySecret=If),modelModifiers:{trim:!0},"show-password":"",placeholder:"如:cc1d0c142doocs0902bd2d7md4b14da6ylbabc46"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"Bucket",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:rd.value.bucket,"onUpdate:modelValue":Yd[6]||(Yd[6]=If=>rd.value.bucket=If),modelModifiers:{trim:!0},placeholder:"如:doocs"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"Bucket 所在区域",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:rd.value.region,"onUpdate:modelValue":Yd[7]||(Yd[7]=If=>rd.value.region=If),modelModifiers:{trim:!0},placeholder:"如:oss-cn-shenzhen"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"UseSSL",required:!0},{default:withCtx(()=>[createVNode(Lf,{modelValue:rd.value.useSSL,"onUpdate:modelValue":Yd[8]||(Yd[8]=If=>rd.value.useSSL=If),"active-text":"是","inactive-text":"否"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"自定义 CDN 域名",required:!1},{default:withCtx(()=>[createVNode(pf,{modelValue:rd.value.cdnHost,"onUpdate:modelValue":Yd[9]||(Yd[9]=If=>rd.value.cdnHost=If),modelModifiers:{trim:!0},placeholder:"如:https://imagecdn.alidaodao.com,可不填"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"存储路径"},{default:withCtx(()=>[createVNode(pf,{modelValue:rd.value.path,"onUpdate:modelValue":Yd[10]||(Yd[10]=If=>rd.value.path=If),modelModifiers:{trim:!0},placeholder:"如:img,可不填,默认为根目录"},null,8,["modelValue"]),createVNode(hf,{type:"primary",href:"https://help.aliyun.com/document_detail/31883.html",target:"_blank"},{default:withCtx(()=>[createTextVNode(" 如何使用阿里云 OSS? ")]),_:1})]),_:1}),createVNode(Xd,null,{default:withCtx(()=>[createVNode(_f,{type:"primary",onClick:kd},{default:withCtx(()=>[createTextVNode(" 保存配置 ")]),_:1})]),_:1})]),_:1},8,["model"])]),_:1}),createVNode(Zd,{class:"github-panel",label:"腾讯云 COS",name:"txCOS"},{default:withCtx(()=>[createVNode(xf,{class:"setting-form",model:sd.value,"label-position":"right","label-width":"150px"},{default:withCtx(()=>[createVNode(Xd,{label:"SecretId",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:sd.value.secretId,"onUpdate:modelValue":Yd[11]||(Yd[11]=If=>sd.value.secretId=If),modelModifiers:{trim:!0},placeholder:"如:AKIDnQp1w3DOOCSs8F5MDp9tdoocsmdUPonW3"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"SecretKey",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:sd.value.secretKey,"onUpdate:modelValue":Yd[12]||(Yd[12]=If=>sd.value.secretKey=If),modelModifiers:{trim:!0},"show-password":"",placeholder:"如:ukLmdtEJ9271f3DOocsMDsCXdS3YlbW0"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"Bucket",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:sd.value.bucket,"onUpdate:modelValue":Yd[13]||(Yd[13]=If=>sd.value.bucket=If),modelModifiers:{trim:!0},placeholder:"如:doocs-3212520134"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"Bucket 所在区域",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:sd.value.region,"onUpdate:modelValue":Yd[14]||(Yd[14]=If=>sd.value.region=If),modelModifiers:{trim:!0},placeholder:"如:ap-guangzhou"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"自定义 CDN 域名",required:!1},{default:withCtx(()=>[createVNode(pf,{modelValue:sd.value.cdnHost,"onUpdate:modelValue":Yd[15]||(Yd[15]=If=>sd.value.cdnHost=If),modelModifiers:{trim:!0},placeholder:"如:https://imagecdn.alidaodao.com,可不填"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"存储路径"},{default:withCtx(()=>[createVNode(pf,{modelValue:sd.value.path,"onUpdate:modelValue":Yd[16]||(Yd[16]=If=>sd.value.path=If),modelModifiers:{trim:!0},placeholder:"如:img,可不填,默认根目录"},null,8,["modelValue"]),createVNode(hf,{type:"primary",href:"https://cloud.tencent.com/document/product/436/38484",target:"_blank"},{default:withCtx(()=>[createTextVNode(" 如何使用腾讯云 COS? ")]),_:1})]),_:1}),createVNode(Xd,null,{default:withCtx(()=>[createVNode(_f,{type:"primary",onClick:Nd},{default:withCtx(()=>[createTextVNode(" 保存配置 ")]),_:1})]),_:1})]),_:1},8,["model"])]),_:1}),createVNode(Zd,{class:"github-panel",label:"七牛云 Kodo",name:"qiniu"},{default:withCtx(()=>[createVNode(xf,{class:"setting-form",model:od.value,"label-position":"right","label-width":"150px"},{default:withCtx(()=>[createVNode(Xd,{label:"AccessKey",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:od.value.accessKey,"onUpdate:modelValue":Yd[17]||(Yd[17]=If=>od.value.accessKey=If),modelModifiers:{trim:!0},placeholder:"如:6DD3VaLJ_SQgOdoocsyTV_YWaDmdnL2n8EGx7kG"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"SecretKey",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:od.value.secretKey,"onUpdate:modelValue":Yd[18]||(Yd[18]=If=>od.value.secretKey=If),modelModifiers:{trim:!0},"show-password":"",placeholder:"如:qgZa5qrvDOOcsmdKStD1oCjZ9nB7MDvJUs_34SIm"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"Bucket",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:od.value.bucket,"onUpdate:modelValue":Yd[19]||(Yd[19]=If=>od.value.bucket=If),modelModifiers:{trim:!0},placeholder:"如:md"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"Bucket 对应域名",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:od.value.domain,"onUpdate:modelValue":Yd[20]||(Yd[20]=If=>od.value.domain=If),modelModifiers:{trim:!0},placeholder:"如:https://images.123ylb.cn"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"存储区域",required:!1},{default:withCtx(()=>[createVNode(pf,{modelValue:od.value.region,"onUpdate:modelValue":Yd[21]||(Yd[21]=If=>od.value.region=If),modelModifiers:{trim:!0},placeholder:"如:z2,可不填"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"存储路径",required:!1},{default:withCtx(()=>[createVNode(pf,{modelValue:od.value.path,"onUpdate:modelValue":Yd[22]||(Yd[22]=If=>od.value.path=If),modelModifiers:{trim:!0},placeholder:"如:img,可不填,默认为根目录"},null,8,["modelValue"]),createVNode(hf,{type:"primary",href:"https://developer.qiniu.com/kodo",target:"_blank"},{default:withCtx(()=>[createTextVNode(" 如何使用七牛云 Kodo? ")]),_:1})]),_:1}),createVNode(Xd,null,{default:withCtx(()=>[createVNode(_f,{type:"primary",onClick:Id},{default:withCtx(()=>[createTextVNode(" 保存配置 ")]),_:1})]),_:1})]),_:1},8,["model"])]),_:1}),createVNode(Zd,{class:"github-panel",label:"MinIO",name:"minio"},{default:withCtx(()=>[createVNode(xf,{class:"setting-form",model:ld.value,"label-position":"right","label-width":"150px"},{default:withCtx(()=>[createVNode(Xd,{label:"Endpoint",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:ld.value.endpoint,"onUpdate:modelValue":Yd[23]||(Yd[23]=If=>ld.value.endpoint=If),modelModifiers:{trim:!0},placeholder:"如:play.min.io"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"Port",required:!1},{default:withCtx(()=>[createVNode(pf,{modelValue:ld.value.port,"onUpdate:modelValue":Yd[24]||(Yd[24]=If=>ld.value.port=If),modelModifiers:{trim:!0},type:"number",placeholder:"如:9000,可不填,http 默认为 80,https 默认为 443"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"UseSSL",required:!0},{default:withCtx(()=>[createVNode(Lf,{modelValue:ld.value.useSSL,"onUpdate:modelValue":Yd[25]||(Yd[25]=If=>ld.value.useSSL=If),"active-text":"是","inactive-text":"否"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"Bucket",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:ld.value.bucket,"onUpdate:modelValue":Yd[26]||(Yd[26]=If=>ld.value.bucket=If),modelModifiers:{trim:!0},placeholder:"如:doocs"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"AccessKey",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:ld.value.accessKey,"onUpdate:modelValue":Yd[27]||(Yd[27]=If=>ld.value.accessKey=If),modelModifiers:{trim:!0},placeholder:"如:zhangsan"},null,8,["modelValue"])]),_:1}),createVNode(Xd,{label:"SecretKey",required:!0},{default:withCtx(()=>[createVNode(pf,{modelValue:ld.value.secretKey,"onUpdate:modelValue":Yd[28]||(Yd[28]=If=>ld.value.secretKey=If),modelModifiers:{trim:!0},placeholder:"如:asdasdasd"},null,8,["modelValue"]),createVNode(hf,{type:"primary",href:"http://docs.minio.org.cn/docs/master/minio-client-complete-guide",target:"_blank"},{default:withCtx(()=>[createTextVNode(" 如何使用 MinIO? ")]),_:1})]),_:1}),createVNode(Xd,null,{default:withCtx(()=>[createVNode(_f,{type:"primary",onClick:Rd},{default:withCtx(()=>[createTextVNode(" 保存配置 ")]),_:1})]),_:1})]),_:1},8,["model"])]),_:1}),createVNode(Zd,{class:"github-panel formCustom",label:"自定义代码",name:"formCustom"},{default:withCtx(()=>[createVNode(xf,{class:"setting-form",model:cd.value,"label-position":"right"},{default:withCtx(()=>[createVNode(Xd,{label:"",required:!0},{default:withCtx(()=>[createVNode(pf,{ref_key:"formCustomElInput",ref:yd,modelValue:cd.value.code,"onUpdate:modelValue":Yd[29]||(Yd[29]=If=>cd.value.code=If),class:"formCustomElInput",type:"textarea",resize:"none",placeholder:"Your custom code here."},null,8,["modelValue"]),createVNode(hf,{type:"primary",href:"https://github.com/doocs/md#自定义上传逻辑",target:"_blank"},{default:withCtx(()=>[createTextVNode(" 参数详情? ")]),_:1})]),_:1}),createVNode(Xd,null,{default:withCtx(()=>[createVNode(_f,{type:"primary",onClick:Md},{default:withCtx(()=>[createTextVNode(" 保存配置 ")]),_:1})]),_:1})]),_:1},8,["model"])]),_:1})]),_:1},8,["modelValue"])]),_:1},8,["model-value"])}}},UploadImgDialog=_export_sfc(_sfc_main$a,[["__scopeId","data-v-02ce52c7"]]),_withScopeId$2=Ra=>(pushScopeId("data-v-5e1c6993"),Ra=Ra(),popScopeId(),Ra),_hoisted_1$2=_withScopeId$2(()=>createBaseVNode("textarea",{id:"cssEditor",type:"textarea",placeholder:"Your custom css here."},null,-1)),_sfc_main$9={__name:"CssEditor",setup(Ra){const qa=useStore();function Ja(){ElMessageBox.prompt("请输入新的方案名称","编辑方案名称",{confirmButtonText:"确认",cancelButtonText:"取消",inputValue:qa.cssContentConfig.active,inputErrorMessage:"不能与现有方案重名",inputValidator:qa.validatorTabName}).then(({value:td})=>{if(!`${td}`.trim()){ElMessage.error("修改失败,方案名不可为空");return}qa.renameTab(td),ElMessage.success("修改成功~")})}function ed(td,rd){if(rd==="add")ElMessageBox.prompt("请输入方案名称","新建自定义 CSS",{confirmButtonText:"确认",cancelButtonText:"取消",inputValue:`方案${qa.cssContentConfig.tabs.length+1}`,inputErrorMessage:"不能与现有方案重名",inputValidator:qa.validatorTabName}).then(({value:sd})=>{if(!`${sd}`.trim()){ElMessage.error("新建失败,方案名不可为空");return}qa.addCssContentTab(sd),ElMessage.success("新建成功~")});else if(rd==="remove"){const sd=qa.cssContentConfig.tabs;if(sd.length===1){ElMessage.warning("至少保留一个方案");return}let od=qa.cssContentConfig.active;od===td&&sd.forEach((ld,cd)=>{if(ld.name===td){const ud=sd[cd+1]||sd[cd-1];ud&&(od=ud.name)}}),qa.tabChanged(od),qa.cssContentConfig.tabs=sd.filter(ld=>ld.name!==td)}}return(td,rd)=>{const sd=edit_pen_default,od=ElIcon,ld=ElTabPane,cd=ElTabs,ud=ElCol;return openBlock(),createBlock(Transition$1,{"enter-active-class":"bounceInRight"},{default:withCtx(()=>[withDirectives(createVNode(ud,{span:8,class:"cssEditor-wrapper order-1 h-full flex flex-col"},{default:withCtx(()=>[createVNode(cd,{modelValue:unref(qa).cssContentConfig.active,"onUpdate:modelValue":rd[0]||(rd[0]=_d=>unref(qa).cssContentConfig.active=_d),type:"border-card",stretch:"",editable:"",onEdit:ed,onTabChange:unref(qa).tabChanged},{default:withCtx(()=>[(openBlock(!0),createElementBlock(Fragment,null,renderList(unref(qa).cssContentConfig.tabs,_d=>(openBlock(),createBlock(ld,{key:_d.name,name:_d.name},{label:withCtx(()=>[createTextVNode(toDisplayString(_d.title)+" ",1),unref(qa).cssContentConfig.active===_d.name?(openBlock(),createBlock(od,{key:0,class:"ml-1",onClick:yd=>Ja(_d.name)},{default:withCtx(()=>[createVNode(sd)]),_:2},1032,["onClick"])):createCommentVNode("",!0)]),_:2},1032,["name"]))),128))]),_:1},8,["modelValue","onTabChange"]),_hoisted_1$2]),_:1},512),[[vShow,unref(qa).isShowCssEditor]])]),_:1})}}},CssEditor=_export_sfc(_sfc_main$9,[["__scopeId","data-v-5e1c6993"]]),_withScopeId$1=Ra=>(pushScopeId("data-v-78790c6f"),Ra=Ra(),popScopeId(),Ra),_hoisted_1$1={key:0,class:"loading"},_hoisted_2$1=_withScopeId$1(()=>createBaseVNode("strong",null,"致力于让 Markdown 编辑更简单",-1)),_hoisted_3$1=[_hoisted_2$1],_sfc_main$8={__name:"RunLoading",setup(Ra){const qa=ref$1(!0);return onMounted(()=>{setTimeout(()=>{qa.value=!1},100)}),(Ja,ed)=>(openBlock(),createBlock(Transition$1,{name:"fade"},{default:withCtx(()=>[qa.value?(openBlock(),createElementBlock("div",_hoisted_1$1,_hoisted_3$1)):createCommentVNode("",!0)]),_:1}))}},RunLoading=_export_sfc(_sfc_main$8,[["__scopeId","data-v-78790c6f"]]),_sfc_main$7=defineComponent({__name:"ContextMenu",props:{dir:{},modal:{type:Boolean}},emits:["update:open"],setup(Ra,{emit:qa}){const td=xe(Ra,qa);return(rd,sd)=>(openBlock(),createBlock(unref(Jv),normalizeProps(guardReactiveProps(unref(td))),{default:withCtx(()=>[renderSlot(rd.$slots,"default")]),_:3},16))}}),_sfc_main$6=defineComponent({__name:"ContextMenuTrigger",props:{disabled:{type:Boolean},asChild:{type:Boolean},as:{}},setup(Ra){const Ja=It(Ra);return(ed,td)=>(openBlock(),createBlock(unref(Qv),normalizeProps(guardReactiveProps(unref(Ja))),{default:withCtx(()=>[renderSlot(ed.$slots,"default")]),_:3},16))}}),_sfc_main$5=defineComponent({__name:"ContextMenuContent",props:{forceMount:{type:Boolean},loop:{type:Boolean},alignOffset:{},avoidCollisions:{type:Boolean},collisionBoundary:{},collisionPadding:{},sticky:{},hideWhenDetached:{type:Boolean},prioritizePosition:{type:Boolean},asChild:{type:Boolean},as:{},class:{}},emits:["escapeKeyDown","pointerDownOutside","focusOutside","interactOutside","closeAutoFocus"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,td=computed(()=>{const{class:sd,...od}=Ja;return od}),rd=xe(td,ed);return(sd,od)=>(openBlock(),createBlock(unref(em),null,{default:withCtx(()=>[createVNode(unref(tm),mergeProps(unref(rd),{class:unref(cn)("z-50 min-w-32 overflow-hidden rounded-md border bg-popover p-1 text-popover-foreground shadow-md animate-in fade-in-80 data-[state=open]:animate-in data-[state=closed]:animate-out data-[state=closed]:fade-out-0 data-[state=open]:fade-in-0 data-[state=closed]:zoom-out-95 data-[state=open]:zoom-in-95 data-[side=bottom]:slide-in-from-top-2 data-[side=left]:slide-in-from-right-2 data-[side=right]:slide-in-from-left-2 data-[side=top]:slide-in-from-bottom-2",Ja.class)}),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16,["class"])]),_:3}))}}),_sfc_main$4=defineComponent({__name:"ContextMenuItem",props:{disabled:{type:Boolean},textValue:{},asChild:{type:Boolean},as:{},class:{},inset:{type:Boolean}},emits:["select"],setup(Ra,{emit:qa}){const Ja=Ra,ed=qa,td=computed(()=>{const{class:sd,...od}=Ja;return od}),rd=xe(td,ed);return(sd,od)=>(openBlock(),createBlock(unref(nm),mergeProps(unref(rd),{class:unref(cn)("relative flex cursor-default select-none items-center rounded-sm px-2 py-1.5 text-sm outline-none focus:bg-accent focus:text-accent-foreground data-[disabled]:pointer-events-none data-[disabled]:opacity-50",sd.inset&&"pl-8",Ja.class)}),{default:withCtx(()=>[renderSlot(sd.$slots,"default")]),_:3},16,["class"]))}}),_sfc_main$3=defineComponent({__name:"ContextMenuShortcut",props:{class:{}},setup(Ra){const qa=Ra;return(Ja,ed)=>(openBlock(),createElementBlock("span",{class:normalizeClass(unref(cn)("ml-auto text-xs tracking-widest text-muted-foreground",qa.class))},[renderSlot(Ja.$slots,"default")],2))}}),_sfc_main$2=defineComponent({__name:"ContextMenuSeparator",props:{asChild:{type:Boolean},as:{},class:{}},setup(Ra){const qa=Ra,Ja=computed(()=>{const{class:ed,...td}=qa;return td});return(ed,td)=>(openBlock(),createBlock(unref(lm),mergeProps(Ja.value,{class:unref(cn)("-mx-1 my-1 h-px bg-border",qa.class)}),null,16,["class"]))}});(function(Ra,qa){(function(Ja){Ja(requireCodemirror())})(function(Ja){Ja.defineMode("javascript",function(ed,td){var rd=ed.indentUnit,sd=td.statementIndent,od=td.jsonld,ld=td.json||od,cd=td.trackScope!==!1,ud=td.typescript,_d=td.wordCharacters||/[\w$\xa1-\uffff]/,yd=function(){function oh(r0){return{type:r0,style:"keyword"}}var Oh=oh("keyword a"),mm=oh("keyword b"),Lm=oh("keyword c"),am=oh("keyword d"),Vm=oh("operator"),E1={type:"atom",style:"atom"};return{if:oh("if"),while:Oh,with:Oh,else:mm,do:mm,try:mm,finally:mm,return:am,break:am,continue:am,new:oh("new"),delete:Lm,void:Lm,throw:Lm,debugger:oh("debugger"),var:oh("var"),const:oh("var"),let:oh("var"),function:oh("function"),catch:oh("catch"),for:oh("for"),switch:oh("switch"),case:oh("case"),default:oh("default"),in:Vm,typeof:Vm,instanceof:Vm,true:E1,false:E1,null:E1,undefined:E1,NaN:E1,Infinity:E1,this:oh("this"),class:oh("class"),super:oh("atom"),yield:Lm,export:oh("export"),import:oh("import"),extends:Lm,await:Lm}}(),gd=/[+\-*&%=<>!?|~^@]/,Ed=/^@(context|id|value|language|type|container|list|set|reverse|index|base|vocab|graph)"/;function Td(oh){for(var Oh=!1,mm,Lm=!1;(mm=oh.next())!=null;){if(!Oh){if(mm=="/"&&!Lm)return;mm=="["?Lm=!0:Lm&&mm=="]"&&(Lm=!1)}Oh=!Oh&&mm=="\\"}}var kd,Rd;function Nd(oh,Oh,mm){return kd=oh,Rd=mm,Oh}function Id(oh,Oh){var mm=oh.next();if(mm=='"'||mm=="'")return Oh.tokenize=Md(mm),Oh.tokenize(oh,Oh);if(mm=="."&&oh.match(/^\d[\d_]*(?:[eE][+\-]?[\d_]+)?/))return Nd("number","number");if(mm=="."&&oh.match(".."))return Nd("spread","meta");if(/[\[\]{}\(\),;\:\.]/.test(mm))return Nd(mm);if(mm=="="&&oh.eat(">"))return Nd("=>","operator");if(mm=="0"&&oh.match(/^(?:x[\dA-Fa-f_]+|o[0-7_]+|b[01_]+)n?/))return Nd("number","number");if(/\d/.test(mm))return oh.match(/^[\d_]*(?:n|(?:\.[\d_]*)?(?:[eE][+\-]?[\d_]+)?)?/),Nd("number","number");if(mm=="/")return oh.eat("*")?(Oh.tokenize=Ld,Ld(oh,Oh)):oh.eat("/")?(oh.skipToEnd(),Nd("comment","comment")):o1(oh,Oh,1)?(Td(oh),oh.match(/^\b(([gimyus])(?![gimyus]*\2))+\b/),Nd("regexp","string-2")):(oh.eat("="),Nd("operator","operator",oh.current()));if(mm=="`")return Oh.tokenize=Pd,Pd(oh,Oh);if(mm=="#"&&oh.peek()=="!")return oh.skipToEnd(),Nd("meta","meta");if(mm=="#"&&oh.eatWhile(_d))return Nd("variable","property");if(mm=="<"&&oh.match("!--")||mm=="-"&&oh.match("->")&&!/\S/.test(oh.string.slice(0,oh.start)))return oh.skipToEnd(),Nd("comment","comment");if(gd.test(mm))return(mm!=">"||!Oh.lexical||Oh.lexical.type!=">")&&(oh.eat("=")?(mm=="!"||mm=="=")&&oh.eat("="):/[<>*+\-|&?]/.test(mm)&&(oh.eat(mm),mm==">"&&oh.eat(mm))),mm=="?"&&oh.eat(".")?Nd("."):Nd("operator","operator",oh.current());if(_d.test(mm)){oh.eatWhile(_d);var Lm=oh.current();if(Oh.lastType!="."){if(yd.propertyIsEnumerable(Lm)){var am=yd[Lm];return Nd(am.type,am.style,Lm)}if(Lm=="async"&&oh.match(/^(\s|\/\*([^*]|\*(?!\/))*?\*\/)*[\[\(\w]/,!1))return Nd("async","keyword",Lm)}return Nd("variable","variable",Lm)}}function Md(oh){return function(Oh,mm){var Lm=!1,am;if(od&&Oh.peek()=="@"&&Oh.match(Ed))return mm.tokenize=Id,Nd("jsonld-keyword","meta");for(;(am=Oh.next())!=null&&!(am==oh&&!Lm);)Lm=!Lm&&am=="\\";return Lm||(mm.tokenize=Id),Nd("string","string")}}function Ld(oh,Oh){for(var mm=!1,Lm;Lm=oh.next();){if(Lm=="/"&&mm){Oh.tokenize=Id;break}mm=Lm=="*"}return Nd("comment","comment")}function Pd(oh,Oh){for(var mm=!1,Lm;(Lm=oh.next())!=null;){if(!mm&&(Lm=="`"||Lm=="$"&&oh.eat("{"))){Oh.tokenize=Id;break}mm=!mm&&Lm=="\\"}return Nd("quasi","string-2",oh.current())}var qd="([{}])";function Yd(oh,Oh){Oh.fatArrowAt&&(Oh.fatArrowAt=null);var mm=oh.string.indexOf("=>",oh.start);if(!(mm<0)){if(ud){var Lm=/:\s*(?:\w+(?:<[^>]*>|\[\])?|\{[^}]*\})\s*$/.exec(oh.string.slice(oh.start,mm));Lm&&(mm=Lm.index)}for(var am=0,Vm=!1,E1=mm-1;E1>=0;--E1){var r0=oh.string.charAt(E1),q1=qd.indexOf(r0);if(q1>=0&&q1<3){if(!am){++E1;break}if(--am==0){r0=="("&&(Vm=!0);break}}else if(q1>=3&&q1<6)++am;else if(_d.test(r0))Vm=!0;else if(/["'\/`]/.test(r0))for(;;--E1){if(E1==0)return;var N0=oh.string.charAt(E1-1);if(N0==r0&&oh.string.charAt(E1-2)!="\\"){E1--;break}}else if(Vm&&!am){++E1;break}}Vm&&!am&&(Oh.fatArrowAt=E1)}}var Ud={atom:!0,number:!0,variable:!0,string:!0,regexp:!0,this:!0,import:!0,"jsonld-keyword":!0};function Hd(oh,Oh,mm,Lm,am,Vm){this.indented=oh,this.column=Oh,this.type=mm,this.prev=am,this.info=Vm,Lm!=null&&(this.align=Lm)}function Vd(oh,Oh){if(!cd)return!1;for(var mm=oh.localVars;mm;mm=mm.next)if(mm.name==Oh)return!0;for(var Lm=oh.context;Lm;Lm=Lm.prev)for(var mm=Lm.vars;mm;mm=mm.next)if(mm.name==Oh)return!0}function Jd(oh,Oh,mm,Lm,am){var Vm=oh.cc;for(Zd.state=oh,Zd.stream=am,Zd.marked=null,Zd.cc=Vm,Zd.style=Oh,oh.lexical.hasOwnProperty("align")||(oh.lexical.align=!0);;){var E1=Vm.length?Vm.pop():ld?hh:$f;if(E1(mm,Lm)){for(;Vm.length&&Vm[Vm.length-1].lex;)Vm.pop()();return Zd.marked?Zd.marked:mm=="variable"&&Vd(oh,Lm)?"variable-2":Oh}}}var Zd={state:null,column:null,marked:null,cc:null};function pf(){for(var oh=arguments.length-1;oh>=0;oh--)Zd.cc.push(arguments[oh])}function Xd(){return pf.apply(null,arguments),!0}function hf(oh,Oh){for(var mm=Oh;mm;mm=mm.next)if(mm.name==oh)return!0;return!1}function _f(oh){var Oh=Zd.state;if(Zd.marked="def",!!cd){if(Oh.context){if(Oh.lexical.info=="var"&&Oh.context&&Oh.context.block){var mm=xf(oh,Oh.context);if(mm!=null){Oh.context=mm;return}}else if(!hf(oh,Oh.localVars)){Oh.localVars=new Yf(oh,Oh.localVars);return}}td.globalVars&&!hf(oh,Oh.globalVars)&&(Oh.globalVars=new Yf(oh,Oh.globalVars))}}function xf(oh,Oh){if(Oh)if(Oh.block){var mm=xf(oh,Oh.prev);return mm?mm==Oh.prev?Oh:new Wf(mm,Oh.vars,!0):null}else return hf(oh,Oh.vars)?Oh:new Wf(Oh.prev,new Yf(oh,Oh.vars),!1);else return null}function Lf(oh){return oh=="public"||oh=="private"||oh=="protected"||oh=="abstract"||oh=="readonly"}function Wf(oh,Oh,mm){this.prev=oh,this.vars=Oh,this.block=mm}function Yf(oh,Oh){this.name=oh,this.next=Oh}var If=new Yf("this",new Yf("arguments",null));function Sf(){Zd.state.context=new Wf(Zd.state.context,Zd.state.localVars,!1),Zd.state.localVars=If}function wf(){Zd.state.context=new Wf(Zd.state.context,Zd.state.localVars,!0),Zd.state.localVars=null}Sf.lex=wf.lex=!0;function Kf(){Zd.state.localVars=Zd.state.context.vars,Zd.state.context=Zd.state.context.prev}Kf.lex=!0;function Gf(oh,Oh){var mm=function(){var Lm=Zd.state,am=Lm.indented;if(Lm.lexical.type=="stat")am=Lm.lexical.indented;else for(var Vm=Lm.lexical;Vm&&Vm.type==")"&&Vm.align;Vm=Vm.prev)am=Vm.indented;Lm.lexical=new Hd(am,Zd.stream.column(),oh,null,Lm.lexical,Oh)};return mm.lex=!0,mm}function gf(){var oh=Zd.state;oh.lexical.prev&&(oh.lexical.type==")"&&(oh.indented=oh.lexical.indented),oh.lexical=oh.lexical.prev)}gf.lex=!0;function mf(oh){function Oh(mm){return mm==oh?Xd():oh==";"||mm=="}"||mm==")"||mm=="]"?pf():Xd(Oh)}return Oh}function $f(oh,Oh){return oh=="var"?Xd(Gf("vardef",Oh),F1,mf(";"),gf):oh=="keyword a"?Xd(Gf("form"),kf,$f,gf):oh=="keyword b"?Xd(Gf("form"),$f,gf):oh=="keyword d"?Zd.stream.match(/^\s*$/,!1)?Xd():Xd(Gf("stat"),Ch,mf(";"),gf):oh=="debugger"?Xd(mf(";")):oh=="{"?Xd(Gf("}"),wf,Df,gf,Kf):oh==";"?Xd():oh=="if"?(Zd.state.lexical.info=="else"&&Zd.state.cc[Zd.state.cc.length-1]==gf&&Zd.state.cc.pop()(),Xd(Gf("form"),kf,$f,gf,jm)):oh=="function"?Xd(yf):oh=="for"?Xd(Gf("form"),wf,g1,$f,Kf,gf):oh=="class"||ud&&Oh=="interface"?(Zd.marked="keyword",Xd(Gf("form",oh=="class"?oh:Oh),Mh,gf)):oh=="variable"?ud&&Oh=="declare"?(Zd.marked="keyword",Xd($f)):ud&&(Oh=="module"||Oh=="enum"||Oh=="type")&&Zd.stream.match(/^\s*\w/,!1)?(Zd.marked="keyword",Oh=="enum"?Xd(Dm):Oh=="type"?Xd(sh,mf("operator"),Xh,mf(";")):Xd(Gf("form"),y1,mf("{"),Gf("}"),Df,gf,gf)):ud&&Oh=="namespace"?(Zd.marked="keyword",Xd(Gf("form"),hh,$f,gf)):ud&&Oh=="abstract"?(Zd.marked="keyword",Xd($f)):Xd(Gf("stat"),jh):oh=="switch"?Xd(Gf("form"),kf,mf("{"),Gf("}","switch"),wf,Df,gf,gf,Kf):oh=="case"?Xd(hh,mf(":")):oh=="default"?Xd(mf(":")):oh=="catch"?Xd(Gf("form"),Sf,zf,$f,gf,Kf):oh=="export"?Xd(Gf("stat"),cm,gf):oh=="import"?Xd(Gf("stat"),_m,gf):oh=="async"?Xd($f):Oh=="@"?Xd(hh,$f):pf(Gf("stat"),hh,mf(";"),gf)}function zf(oh){if(oh=="(")return Xd(yh,mf(")"))}function hh(oh,Oh){return Jf(oh,Oh,!1)}function Vf(oh,Oh){return Jf(oh,Oh,!0)}function kf(oh){return oh!="("?pf():Xd(Gf(")"),Ch,mf(")"),gf)}function Jf(oh,Oh,mm){if(Zd.state.fatArrowAt==Zd.stream.start){var Lm=mm?Nh:gh;if(oh=="(")return Xd(Sf,Gf(")"),ih(yh,")"),gf,mf("=>"),Lm,Kf);if(oh=="variable")return pf(Sf,y1,mf("=>"),Lm,Kf)}var am=mm?Tf:qf;return Ud.hasOwnProperty(oh)?Xd(am):oh=="function"?Xd(yf,am):oh=="class"||ud&&Oh=="interface"?(Zd.marked="keyword",Xd(Gf("form"),Bh,gf)):oh=="keyword c"||oh=="async"?Xd(mm?Vf:hh):oh=="("?Xd(Gf(")"),Ch,mf(")"),gf,am):oh=="operator"||oh=="spread"?Xd(mm?Vf:hh):oh=="["?Xd(Gf("]"),a1,gf,am):oh=="{"?Rf(Wh,"}",null,am):oh=="quasi"?pf(Af,am):oh=="new"?Xd(dh(mm)):Xd()}function Ch(oh){return oh.match(/[;\}\)\],]/)?pf():pf(hh)}function qf(oh,Oh){return oh==","?Xd(Ch):Tf(oh,Oh,!1)}function Tf(oh,Oh,mm){var Lm=mm==!1?qf:Tf,am=mm==!1?hh:Vf;if(oh=="=>")return Xd(Sf,mm?Nh:gh,Kf);if(oh=="operator")return/\+\+|--/.test(Oh)||ud&&Oh=="!"?Xd(Lm):ud&&Oh=="<"&&Zd.stream.match(/^([^<>]|<[^<>]*>)*>\s*\(/,!1)?Xd(Gf(">"),ih(Xh,">"),gf,Lm):Oh=="?"?Xd(hh,mf(":"),am):Xd(am);if(oh=="quasi")return pf(Af,Lm);if(oh!=";"){if(oh=="(")return Rf(Vf,")","call",Lm);if(oh==".")return Xd(Zh,Lm);if(oh=="[")return Xd(Gf("]"),Ch,mf("]"),gf,Lm);if(ud&&Oh=="as")return Zd.marked="keyword",Xd(Xh,Lm);if(oh=="regexp")return Zd.state.lastType=Zd.marked="operator",Zd.stream.backUp(Zd.stream.pos-Zd.stream.start-1),Xd(am)}}function Af(oh,Oh){return oh!="quasi"?pf():Oh.slice(Oh.length-2)!="${"?Xd(Af):Xd(Ch,Pf)}function Pf(oh){if(oh=="}")return Zd.marked="string-2",Zd.state.tokenize=Pd,Xd(Af)}function gh(oh){return Yd(Zd.stream,Zd.state),pf(oh=="{"?$f:hh)}function Nh(oh){return Yd(Zd.stream,Zd.state),pf(oh=="{"?$f:Vf)}function dh(oh){return function(Oh){return Oh=="."?Xd(oh?Rh:$h):Oh=="variable"&&ud?Xd(R1,oh?Tf:qf):pf(oh?Vf:hh)}}function $h(oh,Oh){if(Oh=="target")return Zd.marked="keyword",Xd(qf)}function Rh(oh,Oh){if(Oh=="target")return Zd.marked="keyword",Xd(Tf)}function jh(oh){return oh==":"?Xd(gf,$f):pf(qf,mf(";"),gf)}function Zh(oh){if(oh=="variable")return Zd.marked="property",Xd()}function Wh(oh,Oh){if(oh=="async")return Zd.marked="property",Xd(Wh);if(oh=="variable"||Zd.style=="keyword"){if(Zd.marked="property",Oh=="get"||Oh=="set")return Xd(sm);var mm;return ud&&Zd.state.fatArrowAt==Zd.stream.start&&(mm=Zd.stream.match(/^\s*:\s*/,!1))&&(Zd.state.fatArrowAt=Zd.stream.pos+mm[0].length),Xd(fm)}else{if(oh=="number"||oh=="string")return Zd.marked=od?"property":Zd.style+" property",Xd(fm);if(oh=="jsonld-keyword")return Xd(fm);if(ud&&Lf(Oh))return Zd.marked="keyword",Xd(Wh);if(oh=="[")return Xd(hh,Zf,mf("]"),fm);if(oh=="spread")return Xd(Vf,fm);if(Oh=="*")return Zd.marked="keyword",Xd(Wh);if(oh==":")return pf(fm)}}function sm(oh){return oh!="variable"?pf(fm):(Zd.marked="property",Xd(yf))}function fm(oh){if(oh==":")return Xd(Vf);if(oh=="(")return pf(yf)}function ih(oh,Oh,mm){function Lm(am,Vm){if(mm?mm.indexOf(am)>-1:am==","){var E1=Zd.state.lexical;return E1.info=="call"&&(E1.pos=(E1.pos||0)+1),Xd(function(r0,q1){return r0==Oh||q1==Oh?pf():pf(oh)},Lm)}return am==Oh||Vm==Oh?Xd():mm&&mm.indexOf(";")>-1?pf(oh):Xd(mf(Oh))}return function(am,Vm){return am==Oh||Vm==Oh?Xd():pf(oh,Lm)}}function Rf(oh,Oh,mm){for(var Lm=3;Lm<arguments.length;Lm++)Zd.cc.push(arguments[Lm]);return Xd(Gf(Oh,mm),ih(oh,Oh),gf)}function Df(oh){return oh=="}"?Xd():pf($f,Df)}function Zf(oh,Oh){if(ud){if(oh==":")return Xd(Xh);if(Oh=="?")return Xd(Zf)}}function bh(oh,Oh){if(ud&&(oh==":"||Oh=="in"))return Xd(Xh)}function Lh(oh){if(ud&&oh==":")return Zd.stream.match(/^\s*\w+\s+is\b/,!1)?Xd(hh,Hh,Xh):Xd(Xh)}function Hh(oh,Oh){if(Oh=="is")return Zd.marked="keyword",Xd()}function Xh(oh,Oh){if(Oh=="keyof"||Oh=="typeof"||Oh=="infer"||Oh=="readonly")return Zd.marked="keyword",Xd(Oh=="typeof"?Vf:Xh);if(oh=="variable"||Oh=="void")return Zd.marked="type",Xd(N1);if(Oh=="|"||Oh=="&")return Xd(Xh);if(oh=="string"||oh=="number"||oh=="atom")return Xd(N1);if(oh=="[")return Xd(Gf("]"),ih(Xh,"]",","),gf,N1);if(oh=="{")return Xd(Gf("}"),om,gf,N1);if(oh=="(")return Xd(ih(Jm,")"),gm,N1);if(oh=="<")return Xd(ih(Xh,">"),Xh);if(oh=="quasi")return pf(Fm,N1)}function gm(oh){if(oh=="=>")return Xd(Xh)}function om(oh){return oh.match(/[\}\)\]]/)?Xd():oh==","||oh==";"?Xd(om):pf(Gm,om)}function Gm(oh,Oh){if(oh=="variable"||Zd.style=="keyword")return Zd.marked="property",Xd(Gm);if(Oh=="?"||oh=="number"||oh=="string")return Xd(Gm);if(oh==":")return Xd(Xh);if(oh=="[")return Xd(mf("variable"),bh,mf("]"),Gm);if(oh=="(")return pf(ph,Gm);if(!oh.match(/[;\}\)\],]/))return Xd()}function Fm(oh,Oh){return oh!="quasi"?pf():Oh.slice(Oh.length-2)!="${"?Xd(Fm):Xd(Xh,Om)}function Om(oh){if(oh=="}")return Zd.marked="string-2",Zd.state.tokenize=Pd,Xd(Fm)}function Jm(oh,Oh){return oh=="variable"&&Zd.stream.match(/^\s*[?:]/,!1)||Oh=="?"?Xd(Jm):oh==":"?Xd(Xh):oh=="spread"?Xd(Jm):pf(Xh)}function N1(oh,Oh){if(Oh=="<")return Xd(Gf(">"),ih(Xh,">"),gf,N1);if(Oh=="|"||oh=="."||Oh=="&")return Xd(Xh);if(oh=="[")return Xd(Xh,mf("]"),N1);if(Oh=="extends"||Oh=="implements")return Zd.marked="keyword",Xd(Xh);if(Oh=="?")return Xd(Xh,mf(":"),Xh)}function R1(oh,Oh){if(Oh=="<")return Xd(Gf(">"),ih(Xh,">"),gf,N1)}function x1(){return pf(Xh,Xm)}function Xm(oh,Oh){if(Oh=="=")return Xd(Xh)}function F1(oh,Oh){return Oh=="enum"?(Zd.marked="keyword",Xd(Dm)):pf(y1,Zf,I1,O1)}function y1(oh,Oh){if(ud&&Lf(Oh))return Zd.marked="keyword",Xd(y1);if(oh=="variable")return _f(Oh),Xd();if(oh=="spread")return Xd(y1);if(oh=="[")return Rf(Z1,"]");if(oh=="{")return Rf(V1,"}")}function V1(oh,Oh){return oh=="variable"&&!Zd.stream.match(/^\s*:/,!1)?(_f(Oh),Xd(I1)):(oh=="variable"&&(Zd.marked="property"),oh=="spread"?Xd(y1):oh=="}"?pf():oh=="["?Xd(hh,mf("]"),mf(":"),V1):Xd(mf(":"),y1,I1))}function Z1(){return pf(y1,I1)}function I1(oh,Oh){if(Oh=="=")return Xd(Vf)}function O1(oh){if(oh==",")return Xd(F1)}function jm(oh,Oh){if(oh=="keyword b"&&Oh=="else")return Xd(Gf("form","else"),$f,gf)}function g1(oh,Oh){if(Oh=="await")return Xd(g1);if(oh=="(")return Xd(Gf(")"),l0,gf)}function l0(oh){return oh=="var"?Xd(F1,B1):oh=="variable"?Xd(B1):pf(B1)}function B1(oh,Oh){return oh==")"?Xd():oh==";"?Xd(B1):Oh=="in"||Oh=="of"?(Zd.marked="keyword",Xd(hh,B1)):pf(hh,B1)}function yf(oh,Oh){if(Oh=="*")return Zd.marked="keyword",Xd(yf);if(oh=="variable")return _f(Oh),Xd(yf);if(oh=="(")return Xd(Sf,Gf(")"),ih(yh,")"),gf,Lh,$f,Kf);if(ud&&Oh=="<")return Xd(Gf(">"),ih(x1,">"),gf,yf)}function ph(oh,Oh){if(Oh=="*")return Zd.marked="keyword",Xd(ph);if(oh=="variable")return _f(Oh),Xd(ph);if(oh=="(")return Xd(Sf,Gf(")"),ih(yh,")"),gf,Lh,Kf);if(ud&&Oh=="<")return Xd(Gf(">"),ih(x1,">"),gf,ph)}function sh(oh,Oh){if(oh=="keyword"||oh=="variable")return Zd.marked="type",Xd(sh);if(Oh=="<")return Xd(Gf(">"),ih(x1,">"),gf)}function yh(oh,Oh){return Oh=="@"&&Xd(hh,yh),oh=="spread"?Xd(yh):ud&&Lf(Oh)?(Zd.marked="keyword",Xd(yh)):ud&&oh=="this"?Xd(Zf,I1):pf(y1,Zf,I1)}function Bh(oh,Oh){return oh=="variable"?Mh(oh,Oh):Gh(oh,Oh)}function Mh(oh,Oh){if(oh=="variable")return _f(Oh),Xd(Gh)}function Gh(oh,Oh){if(Oh=="<")return Xd(Gf(">"),ih(x1,">"),gf,Gh);if(Oh=="extends"||Oh=="implements"||ud&&oh==",")return Oh=="implements"&&(Zd.marked="keyword"),Xd(ud?Xh:hh,Gh);if(oh=="{")return Xd(Gf("}"),Fh,gf)}function Fh(oh,Oh){if(oh=="async"||oh=="variable"&&(Oh=="static"||Oh=="get"||Oh=="set"||ud&&Lf(Oh))&&Zd.stream.match(/^\s+#?[\w$\xa1-\uffff]/,!1))return Zd.marked="keyword",Xd(Fh);if(oh=="variable"||Zd.style=="keyword")return Zd.marked="property",Xd(Ah,Fh);if(oh=="number"||oh=="string")return Xd(Ah,Fh);if(oh=="[")return Xd(hh,Zf,mf("]"),Ah,Fh);if(Oh=="*")return Zd.marked="keyword",Xd(Fh);if(ud&&oh=="(")return pf(ph,Fh);if(oh==";"||oh==",")return Xd(Fh);if(oh=="}")return Xd();if(Oh=="@")return Xd(hh,Fh)}function Ah(oh,Oh){if(Oh=="!"||Oh=="?")return Xd(Ah);if(oh==":")return Xd(Xh,I1);if(Oh=="=")return Xd(Vf);var mm=Zd.state.lexical.prev,Lm=mm&&mm.info=="interface";return pf(Lm?ph:yf)}function cm(oh,Oh){return Oh=="*"?(Zd.marked="keyword",Xd(f1,mf(";"))):Oh=="default"?(Zd.marked="keyword",Xd(hh,mf(";"))):oh=="{"?Xd(ih(um,"}"),f1,mf(";")):pf($f)}function um(oh,Oh){if(Oh=="as")return Zd.marked="keyword",Xd(mf("variable"));if(oh=="variable")return pf(Vf,um)}function _m(oh){return oh=="string"?Xd():oh=="("?pf(hh):oh=="."?pf(qf):pf(Ff,$m,f1)}function Ff(oh,Oh){return oh=="{"?Rf(Ff,"}"):(oh=="variable"&&_f(Oh),Oh=="*"&&(Zd.marked="keyword"),Xd(m1))}function $m(oh){if(oh==",")return Xd(Ff,$m)}function m1(oh,Oh){if(Oh=="as")return Zd.marked="keyword",Xd(Ff)}function f1(oh,Oh){if(Oh=="from")return Zd.marked="keyword",Xd(hh)}function a1(oh){return oh=="]"?Xd():pf(ih(Vf,"]"))}function Dm(){return pf(Gf("form"),y1,mf("{"),Gf("}"),ih(v1,"}"),gf,gf)}function v1(){return pf(y1,I1)}function u1(oh,Oh){return oh.lastType=="operator"||oh.lastType==","||gd.test(Oh.charAt(0))||/[,.]/.test(Oh.charAt(0))}function o1(oh,Oh,mm){return Oh.tokenize==Id&&/^(?:operator|sof|keyword [bcd]|case|new|export|default|spread|[\[{}\(,;:]|=>)$/.test(Oh.lastType)||Oh.lastType=="quasi"&&/\{\s*$/.test(oh.string.slice(0,oh.pos-(mm||0)))}return{startState:function(oh){var Oh={tokenize:Id,lastType:"sof",cc:[],lexical:new Hd((oh||0)-rd,0,"block",!1),localVars:td.localVars,context:td.localVars&&new Wf(null,null,!1),indented:oh||0};return td.globalVars&&typeof td.globalVars=="object"&&(Oh.globalVars=td.globalVars),Oh},token:function(oh,Oh){if(oh.sol()&&(Oh.lexical.hasOwnProperty("align")||(Oh.lexical.align=!1),Oh.indented=oh.indentation(),Yd(oh,Oh)),Oh.tokenize!=Ld&&oh.eatSpace())return null;var mm=Oh.tokenize(oh,Oh);return kd=="comment"?mm:(Oh.lastType=kd=="operator"&&(Rd=="++"||Rd=="--")?"incdec":kd,Jd(Oh,mm,kd,Rd,oh))},indent:function(oh,Oh){if(oh.tokenize==Ld||oh.tokenize==Pd)return Ja.Pass;if(oh.tokenize!=Id)return 0;var mm=Oh&&Oh.charAt(0),Lm=oh.lexical,am;if(!/^\s*else\b/.test(Oh))for(var Vm=oh.cc.length-1;Vm>=0;--Vm){var E1=oh.cc[Vm];if(E1==gf)Lm=Lm.prev;else if(E1!=jm&&E1!=Kf)break}for(;(Lm.type=="stat"||Lm.type=="form")&&(mm=="}"||(am=oh.cc[oh.cc.length-1])&&(am==qf||am==Tf)&&!/^[,\.=+\-*:?[\(]/.test(Oh));)Lm=Lm.prev;sd&&Lm.type==")"&&Lm.prev.type=="stat"&&(Lm=Lm.prev);var r0=Lm.type,q1=mm==r0;return r0=="vardef"?Lm.indented+(oh.lastType=="operator"||oh.lastType==","?Lm.info.length+1:0):r0=="form"&&mm=="{"?Lm.indented:r0=="form"?Lm.indented+rd:r0=="stat"?Lm.indented+(u1(oh,Oh)?sd||rd:0):Lm.info=="switch"&&!q1&&td.doubleIndentSwitch!=!1?Lm.indented+(/^(?:case|default)\b/.test(Oh)?rd:2*rd):Lm.align?Lm.column+(q1?0:1):Lm.indented+(q1?0:rd)},electricInput:/^\s*(?:case .*?:|default:|\{|\})$/,blockCommentStart:ld?null:"/*",blockCommentEnd:ld?null:"*/",blockCommentContinue:ld?null:" * ",lineComment:ld?null:"//",fold:"brace",closeBrackets:"()[]{}''\"\"``",helperType:ld?"json":"javascript",jsonldMode:od,jsonMode:ld,expressionAllowed:o1,skipExpression:function(oh){Jd(oh,"atom","atom","true",new Ja.StringStream("",2,null))}}}),Ja.registerHelper("wordChars","javascript",/[\w$]/),Ja.defineMIME("text/javascript","javascript"),Ja.defineMIME("text/ecmascript","javascript"),Ja.defineMIME("application/javascript","javascript"),Ja.defineMIME("application/x-javascript","javascript"),Ja.defineMIME("application/ecmascript","javascript"),Ja.defineMIME("application/json",{name:"javascript",json:!0}),Ja.defineMIME("application/x-json",{name:"javascript",json:!0}),Ja.defineMIME("application/manifest+json",{name:"javascript",json:!0}),Ja.defineMIME("application/ld+json",{name:"javascript",jsonld:!0}),Ja.defineMIME("text/typescript",{name:"javascript",typescript:!0}),Ja.defineMIME("application/typescript",{name:"javascript",typescript:!0})})})();const _withScopeId=Ra=>(pushScopeId("data-v-d24fd539"),Ra=Ra(),popScopeId(),Ra),_hoisted_1=_withScopeId(()=>createBaseVNode("textarea",{id:"editor",type:"textarea",placeholder:"Your markdown text here."},null,-1)),_hoisted_2={class:"preview"},_hoisted_3=["innerHTML"],_hoisted_4={key:0,class:"loading-mask"},_hoisted_5=_withScopeId(()=>createBaseVNode("div",{class:"loading-mask-box"},[createBaseVNode("div",{class:"loading__img"}),createBaseVNode("span",null,"正在生成")],-1)),_hoisted_6=[_hoisted_5],_sfc_main$1={__name:"CodemirrorEditor",setup(Ra){const qa=useStore(),{output:Ja,editor:ed,editorContent:td,isShowCssEditor:rd}=storeToRefs(qa),{editorRefresh:sd,exportEditorContent2HTML:od,exportEditorContent2MD:ld,formatContent:cd,importMarkdownContent:ud,resetStyleConfirm:_d,toggleShowInsertFormDialog:yd,toggleShowUploadImgDialog:gd}=qa,Ed=ref$1(!1),Td=ref$1(0),kd=ref$1(null);function Rd(){const hf=Lf=>{let Wf,Yf;clearTimeout(Td.value),Lf==="preview"?(Wf=kd.value.$el,Yf=document.querySelector(".CodeMirror-scroll"),ed.value.off("scroll",_f),Td.value=setTimeout(()=>{ed.value.on("scroll",_f)},300)):Lf==="editor"&&(Wf=document.querySelector(".CodeMirror-scroll"),Yf=kd.value.$el,Yf.removeEventListener("scroll",xf,!1),Td.value=setTimeout(()=>{Yf.addEventListener("scroll",xf,!1)},300));const Sf=Wf.scrollTop/(Wf.scrollHeight-Wf.offsetHeight)*(Yf.scrollHeight-Yf.offsetHeight);Yf.scrollTo(0,Sf)};function _f(){hf("editor")}function xf(){hf("preview")}kd.value.$el.addEventListener("scroll",xf,!1),ed.value.on("scroll",_f)}onMounted(()=>{setTimeout(()=>{Rd()},300)});function Nd(){sd()}const Id=ref$1(!1),Md=ref$1(!1);function Ld(){Md.value=!0,Id.value=!0}function Pd(){Id.value=!1,setTimeout(()=>{Md.value=!1},800)}function qd(hf){const _f=checkImage(hf);if(!_f.ok)return ElMessage.error(_f.msg),!1;const xf=localStorage.getItem("imgHost")||"default";localStorage.setItem("imgHost",xf);const Lf=localStorage.getItem(`${xf}Config`);return xf==="default"||Lf?!0:(ElMessage.error(`请先配置 ${xf} 图床参数`),!1)}function Yd(hf){if(!hf){ElMessage.error("上传图片未知异常");return}gd(!1);const _f=ed.value.getCursor(),xf=`![](${hf})`;toRaw(qa.editor).replaceSelection(`
|
||
${xf}
|
||
`,_f),ElMessage.success("图片上传成功")}function Ud(hf,_f){Ed.value=!0,toBase64(hf).then(xf=>fileApi.fileUpload(xf,hf)).then(xf=>{_f?_f(xf):Yd(xf)}).catch(xf=>{ElMessage.error(xf.message)}).finally(()=>{Ed.value=!1})}const Hd=ref$1(0);function Vd(){const hf=document.querySelector("#editor");hf.value||(hf.value=td.value),ed.value=CodeMirror.fromTextArea(hf,{mode:"text/x-markdown",theme:"xq-light",lineNumbers:!1,lineWrapping:!0,styleActiveLine:!0,autoCloseBrackets:!0,extraKeys:{[`${shiftKey}-${altKey}-F`]:function(xf){formatDoc(xf.getValue(0)).then(Lf=>{xf.setValue(Lf)})},[`${ctrlKey}-B`]:function(xf){const Lf=xf.getSelection();xf.replaceSelection(`**${Lf}**`)},[`${ctrlKey}-I`]:function(xf){const Lf=xf.getSelection();xf.replaceSelection(`*${Lf}*`)},[`${ctrlKey}-D`]:function(xf){const Lf=xf.getSelection();xf.replaceSelection(`~~${Lf}~~`)},[`${ctrlKey}-K`]:function(xf){const Lf=xf.getSelection();xf.replaceSelection(`[${Lf}]()`)},[`${ctrlKey}-E`]:function(xf){const Lf=xf.getSelection();xf.replaceSelection(`\`${Lf}\``)},[`${ctrlKey}-L`]:function(xf){const Lf=xf.getSelection();xf.replaceSelection(`\`${Lf}\``)}}}),ed.value.on("change",_f=>{clearTimeout(Hd.value),Hd.value=setTimeout(()=>{Nd(),td.value=_f.getValue()},300)}),ed.value.on("paste",(_f,xf)=>{if(!(!(xf.clipboardData&&xf.clipboardData.items)||Ed.value))for(let Lf=0,Wf=xf.clipboardData.items.length;Lf<Wf;++Lf){const Yf=xf.clipboardData.items[Lf];if(Yf.kind==="file"){const If=Yf.getAsFile();if(!qd(If))continue;Ud(If)}}})}const Jd=ref$1(null);function Zd(hf){ed.value.options.extraKeys[hf](ed.value)}const pf=ref$1(null);function Xd(){const hf=pf.value.$el,_f=async({md:Wf,list:Yf})=>{const If=[...Wf.str.matchAll(/!\[(.*?)\]\((.*?)\)/g)||[]].filter(Kf=>Kf),Sf=Wf.path.match(/.+?\//)[0];(await Promise.all(If.map(Kf=>new Promise(Gf=>{let[,,gf]=Kf;gf=gf.replace(/^.\//,"");const{file:mf}=Yf.find($f=>$f.path===`${Sf}${gf}`)||{};Ud(mf,$f=>{Gf({matchStr:gf,url:$f})})})))).forEach(Kf=>{Wf.str=Wf.str.replace(`](./${Kf.matchStr})`,`](${Kf.url})`).replace(`](${Kf.matchStr})`,`](${Kf.url})`)}),ed.value.setValue(Wf.str)};hf.ondragover=Wf=>Wf.preventDefault(),hf.ondrop=async Wf=>{Wf.preventDefault();for(const Yf of Wf.dataTransfer.items)Yf.getAsFileSystemHandle().then(async If=>{if(If.kind==="directory"){const Sf=await Lf(If),wf=await xf({list:Sf});_f({md:wf,list:Sf})}else{const Sf=await If.getFile();console.log("file",Sf)}})};async function xf({list:Wf}){return new Promise(Yf=>{const{path:If,file:Sf}=Wf.find(Kf=>Kf.path.match(/\.md$/)),wf=new FileReader;wf.readAsText(Sf,"UTF-8"),wf.onload=Kf=>{Yf({str:Kf.target.result,file:Sf,path:If})}})}async function Lf(Wf){const Yf=[];let If="";try{const Sf=[Wf];for(const wf of Sf){If+=`${wf.name}/`;for await(const[,Kf]of wf)Kf.kind==="file"?Yf.push({path:If+Kf.name,file:await Kf.getFile()}):(Yf.push({path:`${If+Kf.name}/`}),Sf.push(Kf))}}catch(Sf){console.error(Sf)}return Yf}}return onMounted(()=>{Vd(),Nd(),Xd()}),(hf,_f)=>{const xf=ElHeader,Lf=ElCol,Wf=ElRow,Yf=ElMain,If=ElContainer;return openBlock(),createElementBlock("div",{ref_key:"container",ref:Jd,class:"container"},[createVNode(If,null,{default:withCtx(()=>[createVNode(xf,{class:"editor__header"},{default:withCtx(()=>[createVNode(EditorHeader,{onAddFormat:Zd,onFormatContent:unref(cd),onStartCopy:Ld,onEndCopy:Pd},null,8,["onFormatContent"])]),_:1}),createVNode(Yf,{class:"container-main"},{default:withCtx(()=>[createVNode(Wf,{class:"container-main-section"},{default:withCtx(()=>[createVNode(Lf,{ref_key:"codeMirrorWrapper",ref:pf,span:unref(rd)?8:12,class:normalizeClass(["codeMirror-wrapper",{"order-1":!unref(qa).isEditOnLeft}])},{default:withCtx(()=>[createVNode(unref(_sfc_main$7),null,{default:withCtx(()=>[createVNode(unref(_sfc_main$6),null,{default:withCtx(()=>[_hoisted_1]),_:1}),createVNode(unref(_sfc_main$5),{class:"w-64"},{default:withCtx(()=>[createVNode(unref(_sfc_main$4),{inset:"",onClick:_f[0]||(_f[0]=Sf=>unref(gd)())},{default:withCtx(()=>[createTextVNode(" 上传图片 ")]),_:1}),createVNode(unref(_sfc_main$4),{inset:"",onClick:_f[1]||(_f[1]=Sf=>unref(yd)())},{default:withCtx(()=>[createTextVNode(" 插入表格 ")]),_:1}),createVNode(unref(_sfc_main$4),{inset:"",onClick:_f[2]||(_f[2]=Sf=>unref(_d)())},{default:withCtx(()=>[createTextVNode(" 恢复默认样式 ")]),_:1}),createVNode(unref(_sfc_main$2)),createVNode(unref(_sfc_main$4),{inset:"",onClick:_f[3]||(_f[3]=Sf=>unref(ud)())},{default:withCtx(()=>[createTextVNode(" 导入 .md 文档 ")]),_:1}),createVNode(unref(_sfc_main$4),{inset:"",onClick:_f[4]||(_f[4]=Sf=>unref(ld)())},{default:withCtx(()=>[createTextVNode(" 导出 .md 文档 ")]),_:1}),createVNode(unref(_sfc_main$4),{inset:"",onClick:_f[5]||(_f[5]=Sf=>unref(od)())},{default:withCtx(()=>[createTextVNode(" 导出 .html ")]),_:1}),createVNode(unref(_sfc_main$4),{inset:"",onClick:_f[6]||(_f[6]=Sf=>unref(cd)())},{default:withCtx(()=>[createTextVNode(" 格式化 "),createVNode(unref(_sfc_main$3),null,{default:withCtx(()=>[createTextVNode(toDisplayString(unref(altSign))+" + "+toDisplayString(unref(shiftSign))+" + F",1)]),_:1})]),_:1})]),_:1})]),_:1})]),_:1},8,["span","class"]),createVNode(Lf,{id:"preview",ref_key:"preview",ref:kd,span:unref(rd)?8:12,class:"preview-wrapper"},{default:withCtx(()=>[createBaseVNode("div",{id:"output-wrapper",class:normalizeClass({output_night:!Id.value})},[createBaseVNode("div",_hoisted_2,[createBaseVNode("section",{id:"output",innerHTML:unref(Ja)},null,8,_hoisted_3),Md.value?(openBlock(),createElementBlock("div",_hoisted_4,_hoisted_6)):createCommentVNode("",!0)])],2)]),_:1},8,["span"]),createVNode(CssEditor)]),_:1})]),_:1})]),_:1}),createVNode(UploadImgDialog,{onBeforeUpload:qd,onUploadImage:Ud,onUploaded:Yd}),createVNode(InsertFormDialog),createVNode(RunLoading)],512)}}},CodemirrorEditor=_export_sfc(_sfc_main$1,[["__scopeId","data-v-d24fd539"]]),_sfc_main={__name:"App",setup(Ra){return(qa,Ja)=>(openBlock(),createBlock(CodemirrorEditor))}};var css={exports:{}};(function(Ra,qa){(function(Ja){Ja(requireCodemirror())})(function(Ja){Ja.defineMode("css",function(Hd,Vd){var Jd=Vd.inline;Vd.propertyKeywords||(Vd=Ja.resolveMode("text/css"));var Zd=Hd.indentUnit,pf=Vd.tokenHooks,Xd=Vd.documentTypes||{},hf=Vd.mediaTypes||{},_f=Vd.mediaFeatures||{},xf=Vd.mediaValueKeywords||{},Lf=Vd.propertyKeywords||{},Wf=Vd.nonStandardPropertyKeywords||{},Yf=Vd.fontProperties||{},If=Vd.counterDescriptors||{},Sf=Vd.colorKeywords||{},wf=Vd.valueKeywords||{},Kf=Vd.allowNested,Gf=Vd.lineComment,gf=Vd.supportsAtComponent===!0,mf=Hd.highlightNonStandardPropertyKeywords!==!1,$f,zf;function hh(dh,$h){return $f=$h,dh}function Vf(dh,$h){var Rh=dh.next();if(pf[Rh]){var jh=pf[Rh](dh,$h);if(jh!==!1)return jh}if(Rh=="@")return dh.eatWhile(/[\w\\\-]/),hh("def",dh.current());if(Rh=="="||(Rh=="~"||Rh=="|")&&dh.eat("="))return hh(null,"compare");if(Rh=='"'||Rh=="'")return $h.tokenize=kf(Rh),$h.tokenize(dh,$h);if(Rh=="#")return dh.eatWhile(/[\w\\\-]/),hh("atom","hash");if(Rh=="!")return dh.match(/^\s*\w*/),hh("keyword","important");if(/\d/.test(Rh)||Rh=="."&&dh.eat(/\d/))return dh.eatWhile(/[\w.%]/),hh("number","unit");if(Rh==="-"){if(/[\d.]/.test(dh.peek()))return dh.eatWhile(/[\w.%]/),hh("number","unit");if(dh.match(/^-[\w\\\-]*/))return dh.eatWhile(/[\w\\\-]/),dh.match(/^\s*:/,!1)?hh("variable-2","variable-definition"):hh("variable-2","variable");if(dh.match(/^\w+-/))return hh("meta","meta")}else return/[,+>*\/]/.test(Rh)?hh(null,"select-op"):Rh=="."&&dh.match(/^-?[_a-z][_a-z0-9-]*/i)?hh("qualifier","qualifier"):/[:;{}\[\]\(\)]/.test(Rh)?hh(null,Rh):dh.match(/^[\w-.]+(?=\()/)?(/^(url(-prefix)?|domain|regexp)$/i.test(dh.current())&&($h.tokenize=Jf),hh("variable callee","variable")):/[\w\\\-]/.test(Rh)?(dh.eatWhile(/[\w\\\-]/),hh("property","word")):hh(null,null)}function kf(dh){return function($h,Rh){for(var jh=!1,Zh;(Zh=$h.next())!=null;){if(Zh==dh&&!jh){dh==")"&&$h.backUp(1);break}jh=!jh&&Zh=="\\"}return(Zh==dh||!jh&&dh!=")")&&(Rh.tokenize=null),hh("string","string")}}function Jf(dh,$h){return dh.next(),dh.match(/^\s*[\"\')]/,!1)?$h.tokenize=null:$h.tokenize=kf(")"),hh(null,"(")}function Ch(dh,$h,Rh){this.type=dh,this.indent=$h,this.prev=Rh}function qf(dh,$h,Rh,jh){return dh.context=new Ch(Rh,$h.indentation()+(jh===!1?0:Zd),dh.context),Rh}function Tf(dh){return dh.context.prev&&(dh.context=dh.context.prev),dh.context.type}function Af(dh,$h,Rh){return Nh[Rh.context.type](dh,$h,Rh)}function Pf(dh,$h,Rh,jh){for(var Zh=jh||1;Zh>0;Zh--)Rh.context=Rh.context.prev;return Af(dh,$h,Rh)}function gh(dh){var $h=dh.current().toLowerCase();wf.hasOwnProperty($h)?zf="atom":Sf.hasOwnProperty($h)?zf="keyword":zf="variable"}var Nh={};return Nh.top=function(dh,$h,Rh){if(dh=="{")return qf(Rh,$h,"block");if(dh=="}"&&Rh.context.prev)return Tf(Rh);if(gf&&/@component/i.test(dh))return qf(Rh,$h,"atComponentBlock");if(/^@(-moz-)?document$/i.test(dh))return qf(Rh,$h,"documentTypes");if(/^@(media|supports|(-moz-)?document|import)$/i.test(dh))return qf(Rh,$h,"atBlock");if(/^@(font-face|counter-style)/i.test(dh))return Rh.stateArg=dh,"restricted_atBlock_before";if(/^@(-(moz|ms|o|webkit)-)?keyframes$/i.test(dh))return"keyframes";if(dh&&dh.charAt(0)=="@")return qf(Rh,$h,"at");if(dh=="hash")zf="builtin";else if(dh=="word")zf="tag";else{if(dh=="variable-definition")return"maybeprop";if(dh=="interpolation")return qf(Rh,$h,"interpolation");if(dh==":")return"pseudo";if(Kf&&dh=="(")return qf(Rh,$h,"parens")}return Rh.context.type},Nh.block=function(dh,$h,Rh){if(dh=="word"){var jh=$h.current().toLowerCase();return Lf.hasOwnProperty(jh)?(zf="property","maybeprop"):Wf.hasOwnProperty(jh)?(zf=mf?"string-2":"property","maybeprop"):Kf?(zf=$h.match(/^\s*:(?:\s|$)/,!1)?"property":"tag","block"):(zf+=" error","maybeprop")}else return dh=="meta"?"block":!Kf&&(dh=="hash"||dh=="qualifier")?(zf="error","block"):Nh.top(dh,$h,Rh)},Nh.maybeprop=function(dh,$h,Rh){return dh==":"?qf(Rh,$h,"prop"):Af(dh,$h,Rh)},Nh.prop=function(dh,$h,Rh){if(dh==";")return Tf(Rh);if(dh=="{"&&Kf)return qf(Rh,$h,"propBlock");if(dh=="}"||dh=="{")return Pf(dh,$h,Rh);if(dh=="(")return qf(Rh,$h,"parens");if(dh=="hash"&&!/^#([0-9a-fA-F]{3,4}|[0-9a-fA-F]{6}|[0-9a-fA-F]{8})$/.test($h.current()))zf+=" error";else if(dh=="word")gh($h);else if(dh=="interpolation")return qf(Rh,$h,"interpolation");return"prop"},Nh.propBlock=function(dh,$h,Rh){return dh=="}"?Tf(Rh):dh=="word"?(zf="property","maybeprop"):Rh.context.type},Nh.parens=function(dh,$h,Rh){return dh=="{"||dh=="}"?Pf(dh,$h,Rh):dh==")"?Tf(Rh):dh=="("?qf(Rh,$h,"parens"):dh=="interpolation"?qf(Rh,$h,"interpolation"):(dh=="word"&&gh($h),"parens")},Nh.pseudo=function(dh,$h,Rh){return dh=="meta"?"pseudo":dh=="word"?(zf="variable-3",Rh.context.type):Af(dh,$h,Rh)},Nh.documentTypes=function(dh,$h,Rh){return dh=="word"&&Xd.hasOwnProperty($h.current())?(zf="tag",Rh.context.type):Nh.atBlock(dh,$h,Rh)},Nh.atBlock=function(dh,$h,Rh){if(dh=="(")return qf(Rh,$h,"atBlock_parens");if(dh=="}"||dh==";")return Pf(dh,$h,Rh);if(dh=="{")return Tf(Rh)&&qf(Rh,$h,Kf?"block":"top");if(dh=="interpolation")return qf(Rh,$h,"interpolation");if(dh=="word"){var jh=$h.current().toLowerCase();jh=="only"||jh=="not"||jh=="and"||jh=="or"?zf="keyword":hf.hasOwnProperty(jh)?zf="attribute":_f.hasOwnProperty(jh)?zf="property":xf.hasOwnProperty(jh)?zf="keyword":Lf.hasOwnProperty(jh)?zf="property":Wf.hasOwnProperty(jh)?zf=mf?"string-2":"property":wf.hasOwnProperty(jh)?zf="atom":Sf.hasOwnProperty(jh)?zf="keyword":zf="error"}return Rh.context.type},Nh.atComponentBlock=function(dh,$h,Rh){return dh=="}"?Pf(dh,$h,Rh):dh=="{"?Tf(Rh)&&qf(Rh,$h,Kf?"block":"top",!1):(dh=="word"&&(zf="error"),Rh.context.type)},Nh.atBlock_parens=function(dh,$h,Rh){return dh==")"?Tf(Rh):dh=="{"||dh=="}"?Pf(dh,$h,Rh,2):Nh.atBlock(dh,$h,Rh)},Nh.restricted_atBlock_before=function(dh,$h,Rh){return dh=="{"?qf(Rh,$h,"restricted_atBlock"):dh=="word"&&Rh.stateArg=="@counter-style"?(zf="variable","restricted_atBlock_before"):Af(dh,$h,Rh)},Nh.restricted_atBlock=function(dh,$h,Rh){return dh=="}"?(Rh.stateArg=null,Tf(Rh)):dh=="word"?(Rh.stateArg=="@font-face"&&!Yf.hasOwnProperty($h.current().toLowerCase())||Rh.stateArg=="@counter-style"&&!If.hasOwnProperty($h.current().toLowerCase())?zf="error":zf="property","maybeprop"):"restricted_atBlock"},Nh.keyframes=function(dh,$h,Rh){return dh=="word"?(zf="variable","keyframes"):dh=="{"?qf(Rh,$h,"top"):Af(dh,$h,Rh)},Nh.at=function(dh,$h,Rh){return dh==";"?Tf(Rh):dh=="{"||dh=="}"?Pf(dh,$h,Rh):(dh=="word"?zf="tag":dh=="hash"&&(zf="builtin"),"at")},Nh.interpolation=function(dh,$h,Rh){return dh=="}"?Tf(Rh):dh=="{"||dh==";"?Pf(dh,$h,Rh):(dh=="word"?zf="variable":dh!="variable"&&dh!="("&&dh!=")"&&(zf="error"),"interpolation")},{startState:function(dh){return{tokenize:null,state:Jd?"block":"top",stateArg:null,context:new Ch(Jd?"block":"top",dh||0,null)}},token:function(dh,$h){if(!$h.tokenize&&dh.eatSpace())return null;var Rh=($h.tokenize||Vf)(dh,$h);return Rh&&typeof Rh=="object"&&($f=Rh[1],Rh=Rh[0]),zf=Rh,$f!="comment"&&($h.state=Nh[$h.state]($f,dh,$h)),zf},indent:function(dh,$h){var Rh=dh.context,jh=$h&&$h.charAt(0),Zh=Rh.indent;return Rh.type=="prop"&&(jh=="}"||jh==")")&&(Rh=Rh.prev),Rh.prev&&(jh=="}"&&(Rh.type=="block"||Rh.type=="top"||Rh.type=="interpolation"||Rh.type=="restricted_atBlock")?(Rh=Rh.prev,Zh=Rh.indent):(jh==")"&&(Rh.type=="parens"||Rh.type=="atBlock_parens")||jh=="{"&&(Rh.type=="at"||Rh.type=="atBlock"))&&(Zh=Math.max(0,Rh.indent-Zd))),Zh},electricChars:"}",blockCommentStart:"/*",blockCommentEnd:"*/",blockCommentContinue:" * ",lineComment:Gf,fold:"brace"}});function ed(Hd){for(var Vd={},Jd=0;Jd<Hd.length;++Jd)Vd[Hd[Jd].toLowerCase()]=!0;return Vd}var td=["domain","regexp","url","url-prefix"],rd=ed(td),sd=["all","aural","braille","handheld","print","projection","screen","tty","tv","embossed"],od=ed(sd),ld=["width","min-width","max-width","height","min-height","max-height","device-width","min-device-width","max-device-width","device-height","min-device-height","max-device-height","aspect-ratio","min-aspect-ratio","max-aspect-ratio","device-aspect-ratio","min-device-aspect-ratio","max-device-aspect-ratio","color","min-color","max-color","color-index","min-color-index","max-color-index","monochrome","min-monochrome","max-monochrome","resolution","min-resolution","max-resolution","scan","grid","orientation","device-pixel-ratio","min-device-pixel-ratio","max-device-pixel-ratio","pointer","any-pointer","hover","any-hover","prefers-color-scheme","dynamic-range","video-dynamic-range"],cd=ed(ld),ud=["landscape","portrait","none","coarse","fine","on-demand","hover","interlace","progressive","dark","light","standard","high"],_d=ed(ud),yd=["align-content","align-items","align-self","alignment-adjust","alignment-baseline","all","anchor-point","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","appearance","azimuth","backdrop-filter","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-position-x","background-position-y","background-repeat","background-size","baseline-shift","binding","bleed","block-size","bookmark-label","bookmark-level","bookmark-state","bookmark-target","border","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","caret-color","clear","clip","color","color-profile","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","counter-increment","counter-reset","crop","cue","cue-after","cue-before","cursor","direction","display","dominant-baseline","drop-initial-after-adjust","drop-initial-after-align","drop-initial-before-adjust","drop-initial-before-align","drop-initial-size","drop-initial-value","elevation","empty-cells","fit","fit-content","fit-position","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","float-offset","flow-from","flow-into","font","font-family","font-feature-settings","font-kerning","font-language-override","font-optical-sizing","font-size","font-size-adjust","font-stretch","font-style","font-synthesis","font-variant","font-variant-alternates","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-gap","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-gap","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","inline-box-align","inset","inset-block","inset-block-end","inset-block-start","inset-inline","inset-inline-end","inset-inline-start","isolation","justify-content","justify-items","justify-self","left","letter-spacing","line-break","line-height","line-height-step","line-stacking","line-stacking-ruby","line-stacking-shift","line-stacking-strategy","list-style","list-style-image","list-style-position","list-style-type","margin","margin-bottom","margin-left","margin-right","margin-top","marks","marquee-direction","marquee-loop","marquee-play-count","marquee-speed","marquee-style","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","move-to","nav-down","nav-index","nav-left","nav-right","nav-up","object-fit","object-position","offset","offset-anchor","offset-distance","offset-path","offset-position","offset-rotate","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-style","overflow-wrap","overflow-x","overflow-y","padding","padding-bottom","padding-left","padding-right","padding-top","page","page-break-after","page-break-before","page-break-inside","page-policy","pause","pause-after","pause-before","perspective","perspective-origin","pitch","pitch-range","place-content","place-items","place-self","play-during","position","presentation-level","punctuation-trim","quotes","region-break-after","region-break-before","region-break-inside","region-fragment","rendering-intent","resize","rest","rest-after","rest-before","richness","right","rotate","rotation","rotation-point","row-gap","ruby-align","ruby-overhang","ruby-position","ruby-span","scale","scroll-behavior","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-type","shape-image-threshold","shape-inside","shape-margin","shape-outside","size","speak","speak-as","speak-header","speak-numeral","speak-punctuation","speech-rate","stress","string-set","tab-size","table-layout","target","target-name","target-new","target-position","text-align","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-skip","text-decoration-skip-ink","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-height","text-indent","text-justify","text-orientation","text-outline","text-overflow","text-rendering","text-shadow","text-size-adjust","text-space-collapse","text-transform","text-underline-position","text-wrap","top","touch-action","transform","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","translate","unicode-bidi","user-select","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","z-index","clip-path","clip-rule","mask","enable-background","filter","flood-color","flood-opacity","lighting-color","stop-color","stop-opacity","pointer-events","color-interpolation","color-interpolation-filters","color-rendering","fill","fill-opacity","fill-rule","image-rendering","marker","marker-end","marker-mid","marker-start","paint-order","shape-rendering","stroke","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke-width","text-rendering","baseline-shift","dominant-baseline","glyph-orientation-horizontal","glyph-orientation-vertical","text-anchor","writing-mode"],gd=ed(yd),Ed=["accent-color","aspect-ratio","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","content-visibility","margin-block","margin-block-end","margin-block-start","margin-inline","margin-inline-end","margin-inline-start","overflow-anchor","overscroll-behavior","padding-block","padding-block-end","padding-block-start","padding-inline","padding-inline-end","padding-inline-start","scroll-snap-stop","scrollbar-3d-light-color","scrollbar-arrow-color","scrollbar-base-color","scrollbar-dark-shadow-color","scrollbar-face-color","scrollbar-highlight-color","scrollbar-shadow-color","scrollbar-track-color","searchfield-cancel-button","searchfield-decoration","searchfield-results-button","searchfield-results-decoration","shape-inside","zoom"],Td=ed(Ed),kd=["font-display","font-family","src","unicode-range","font-variant","font-feature-settings","font-stretch","font-weight","font-style"],Rd=ed(kd),Nd=["additive-symbols","fallback","negative","pad","prefix","range","speak-as","suffix","symbols","system"],Id=ed(Nd),Md=["aliceblue","antiquewhite","aqua","aquamarine","azure","beige","bisque","black","blanchedalmond","blue","blueviolet","brown","burlywood","cadetblue","chartreuse","chocolate","coral","cornflowerblue","cornsilk","crimson","cyan","darkblue","darkcyan","darkgoldenrod","darkgray","darkgreen","darkgrey","darkkhaki","darkmagenta","darkolivegreen","darkorange","darkorchid","darkred","darksalmon","darkseagreen","darkslateblue","darkslategray","darkslategrey","darkturquoise","darkviolet","deeppink","deepskyblue","dimgray","dimgrey","dodgerblue","firebrick","floralwhite","forestgreen","fuchsia","gainsboro","ghostwhite","gold","goldenrod","gray","grey","green","greenyellow","honeydew","hotpink","indianred","indigo","ivory","khaki","lavender","lavenderblush","lawngreen","lemonchiffon","lightblue","lightcoral","lightcyan","lightgoldenrodyellow","lightgray","lightgreen","lightgrey","lightpink","lightsalmon","lightseagreen","lightskyblue","lightslategray","lightslategrey","lightsteelblue","lightyellow","lime","limegreen","linen","magenta","maroon","mediumaquamarine","mediumblue","mediumorchid","mediumpurple","mediumseagreen","mediumslateblue","mediumspringgreen","mediumturquoise","mediumvioletred","midnightblue","mintcream","mistyrose","moccasin","navajowhite","navy","oldlace","olive","olivedrab","orange","orangered","orchid","palegoldenrod","palegreen","paleturquoise","palevioletred","papayawhip","peachpuff","peru","pink","plum","powderblue","purple","rebeccapurple","red","rosybrown","royalblue","saddlebrown","salmon","sandybrown","seagreen","seashell","sienna","silver","skyblue","slateblue","slategray","slategrey","snow","springgreen","steelblue","tan","teal","thistle","tomato","turquoise","violet","wheat","white","whitesmoke","yellow","yellowgreen"],Ld=ed(Md),Pd=["above","absolute","activeborder","additive","activecaption","afar","after-white-space","ahead","alias","all","all-scroll","alphabetic","alternate","always","amharic","amharic-abegede","antialiased","appworkspace","arabic-indic","armenian","asterisks","attr","auto","auto-flow","avoid","avoid-column","avoid-page","avoid-region","axis-pan","background","backwards","baseline","below","bidi-override","binary","bengali","blink","block","block-axis","blur","bold","bolder","border","border-box","both","bottom","break","break-all","break-word","brightness","bullets","button","buttonface","buttonhighlight","buttonshadow","buttontext","calc","cambodian","capitalize","caps-lock-indicator","caption","captiontext","caret","cell","center","checkbox","circle","cjk-decimal","cjk-earthly-branch","cjk-heavenly-stem","cjk-ideographic","clear","clip","close-quote","col-resize","collapse","color","color-burn","color-dodge","column","column-reverse","compact","condensed","conic-gradient","contain","content","contents","content-box","context-menu","continuous","contrast","copy","counter","counters","cover","crop","cross","crosshair","cubic-bezier","currentcolor","cursive","cyclic","darken","dashed","decimal","decimal-leading-zero","default","default-button","dense","destination-atop","destination-in","destination-out","destination-over","devanagari","difference","disc","discard","disclosure-closed","disclosure-open","document","dot-dash","dot-dot-dash","dotted","double","down","drop-shadow","e-resize","ease","ease-in","ease-in-out","ease-out","element","ellipse","ellipsis","embed","end","ethiopic","ethiopic-abegede","ethiopic-abegede-am-et","ethiopic-abegede-gez","ethiopic-abegede-ti-er","ethiopic-abegede-ti-et","ethiopic-halehame-aa-er","ethiopic-halehame-aa-et","ethiopic-halehame-am-et","ethiopic-halehame-gez","ethiopic-halehame-om-et","ethiopic-halehame-sid-et","ethiopic-halehame-so-et","ethiopic-halehame-ti-er","ethiopic-halehame-ti-et","ethiopic-halehame-tig","ethiopic-numeric","ew-resize","exclusion","expanded","extends","extra-condensed","extra-expanded","fantasy","fast","fill","fill-box","fixed","flat","flex","flex-end","flex-start","footnotes","forwards","from","geometricPrecision","georgian","grayscale","graytext","grid","groove","gujarati","gurmukhi","hand","hangul","hangul-consonant","hard-light","hebrew","help","hidden","hide","higher","highlight","highlighttext","hiragana","hiragana-iroha","horizontal","hsl","hsla","hue","hue-rotate","icon","ignore","inactiveborder","inactivecaption","inactivecaptiontext","infinite","infobackground","infotext","inherit","initial","inline","inline-axis","inline-block","inline-flex","inline-grid","inline-table","inset","inside","intrinsic","invert","italic","japanese-formal","japanese-informal","justify","kannada","katakana","katakana-iroha","keep-all","khmer","korean-hangul-formal","korean-hanja-formal","korean-hanja-informal","landscape","lao","large","larger","left","level","lighter","lighten","line-through","linear","linear-gradient","lines","list-item","listbox","listitem","local","logical","loud","lower","lower-alpha","lower-armenian","lower-greek","lower-hexadecimal","lower-latin","lower-norwegian","lower-roman","lowercase","ltr","luminosity","malayalam","manipulation","match","matrix","matrix3d","media-play-button","media-slider","media-sliderthumb","media-volume-slider","media-volume-sliderthumb","medium","menu","menulist","menulist-button","menutext","message-box","middle","min-intrinsic","mix","mongolian","monospace","move","multiple","multiple_mask_images","multiply","myanmar","n-resize","narrower","ne-resize","nesw-resize","no-close-quote","no-drop","no-open-quote","no-repeat","none","normal","not-allowed","nowrap","ns-resize","numbers","numeric","nw-resize","nwse-resize","oblique","octal","opacity","open-quote","optimizeLegibility","optimizeSpeed","oriya","oromo","outset","outside","outside-shape","overlay","overline","padding","padding-box","painted","page","paused","persian","perspective","pinch-zoom","plus-darker","plus-lighter","pointer","polygon","portrait","pre","pre-line","pre-wrap","preserve-3d","progress","push-button","radial-gradient","radio","read-only","read-write","read-write-plaintext-only","rectangle","region","relative","repeat","repeating-linear-gradient","repeating-radial-gradient","repeating-conic-gradient","repeat-x","repeat-y","reset","reverse","rgb","rgba","ridge","right","rotate","rotate3d","rotateX","rotateY","rotateZ","round","row","row-resize","row-reverse","rtl","run-in","running","s-resize","sans-serif","saturate","saturation","scale","scale3d","scaleX","scaleY","scaleZ","screen","scroll","scrollbar","scroll-position","se-resize","searchfield","searchfield-cancel-button","searchfield-decoration","searchfield-results-button","searchfield-results-decoration","self-start","self-end","semi-condensed","semi-expanded","separate","sepia","serif","show","sidama","simp-chinese-formal","simp-chinese-informal","single","skew","skewX","skewY","skip-white-space","slide","slider-horizontal","slider-vertical","sliderthumb-horizontal","sliderthumb-vertical","slow","small","small-caps","small-caption","smaller","soft-light","solid","somali","source-atop","source-in","source-out","source-over","space","space-around","space-between","space-evenly","spell-out","square","square-button","start","static","status-bar","stretch","stroke","stroke-box","sub","subpixel-antialiased","svg_masks","super","sw-resize","symbolic","symbols","system-ui","table","table-caption","table-cell","table-column","table-column-group","table-footer-group","table-header-group","table-row","table-row-group","tamil","telugu","text","text-bottom","text-top","textarea","textfield","thai","thick","thin","threeddarkshadow","threedface","threedhighlight","threedlightshadow","threedshadow","tibetan","tigre","tigrinya-er","tigrinya-er-abegede","tigrinya-et","tigrinya-et-abegede","to","top","trad-chinese-formal","trad-chinese-informal","transform","translate","translate3d","translateX","translateY","translateZ","transparent","ultra-condensed","ultra-expanded","underline","unidirectional-pan","unset","up","upper-alpha","upper-armenian","upper-greek","upper-hexadecimal","upper-latin","upper-norwegian","upper-roman","uppercase","urdu","url","var","vertical","vertical-text","view-box","visible","visibleFill","visiblePainted","visibleStroke","visual","w-resize","wait","wave","wider","window","windowframe","windowtext","words","wrap","wrap-reverse","x-large","x-small","xor","xx-large","xx-small"],qd=ed(Pd),Yd=td.concat(sd).concat(ld).concat(ud).concat(yd).concat(Ed).concat(Md).concat(Pd);Ja.registerHelper("hintWords","css",Yd);function Ud(Hd,Vd){for(var Jd=!1,Zd;(Zd=Hd.next())!=null;){if(Jd&&Zd=="/"){Vd.tokenize=null;break}Jd=Zd=="*"}return["comment","comment"]}Ja.defineMIME("text/css",{documentTypes:rd,mediaTypes:od,mediaFeatures:cd,mediaValueKeywords:_d,propertyKeywords:gd,nonStandardPropertyKeywords:Td,fontProperties:Rd,counterDescriptors:Id,colorKeywords:Ld,valueKeywords:qd,tokenHooks:{"/":function(Hd,Vd){return Hd.eat("*")?(Vd.tokenize=Ud,Ud(Hd,Vd)):!1}},name:"css"}),Ja.defineMIME("text/x-scss",{mediaTypes:od,mediaFeatures:cd,mediaValueKeywords:_d,propertyKeywords:gd,nonStandardPropertyKeywords:Td,colorKeywords:Ld,valueKeywords:qd,fontProperties:Rd,allowNested:!0,lineComment:"//",tokenHooks:{"/":function(Hd,Vd){return Hd.eat("/")?(Hd.skipToEnd(),["comment","comment"]):Hd.eat("*")?(Vd.tokenize=Ud,Ud(Hd,Vd)):["operator","operator"]},":":function(Hd){return Hd.match(/^\s*\{/,!1)?[null,null]:!1},$:function(Hd){return Hd.match(/^[\w-]+/),Hd.match(/^\s*:/,!1)?["variable-2","variable-definition"]:["variable-2","variable"]},"#":function(Hd){return Hd.eat("{")?[null,"interpolation"]:!1}},name:"css",helperType:"scss"}),Ja.defineMIME("text/x-less",{mediaTypes:od,mediaFeatures:cd,mediaValueKeywords:_d,propertyKeywords:gd,nonStandardPropertyKeywords:Td,colorKeywords:Ld,valueKeywords:qd,fontProperties:Rd,allowNested:!0,lineComment:"//",tokenHooks:{"/":function(Hd,Vd){return Hd.eat("/")?(Hd.skipToEnd(),["comment","comment"]):Hd.eat("*")?(Vd.tokenize=Ud,Ud(Hd,Vd)):["operator","operator"]},"@":function(Hd){return Hd.eat("{")?[null,"interpolation"]:Hd.match(/^(charset|document|font-face|import|(-(moz|ms|o|webkit)-)?keyframes|media|namespace|page|supports)\b/i,!1)?!1:(Hd.eatWhile(/[\w\\\-]/),Hd.match(/^\s*:/,!1)?["variable-2","variable-definition"]:["variable-2","variable"])},"&":function(){return["atom","atom"]}},name:"css",helperType:"less"}),Ja.defineMIME("text/x-gss",{documentTypes:rd,mediaTypes:od,mediaFeatures:cd,propertyKeywords:gd,nonStandardPropertyKeywords:Td,fontProperties:Rd,counterDescriptors:Id,colorKeywords:Ld,valueKeywords:qd,supportsAtComponent:!0,tokenHooks:{"/":function(Hd,Vd){return Hd.eat("*")?(Vd.tokenize=Ud,Ud(Hd,Vd)):!1}},name:"css",helperType:"gss"})})})();var cssExports=css.exports,xml={exports:{}},hasRequiredXml;function requireXml(){return hasRequiredXml||(hasRequiredXml=1,function(Ra,qa){(function(Ja){Ja(requireCodemirror())})(function(Ja){var ed={autoSelfClosers:{area:!0,base:!0,br:!0,col:!0,command:!0,embed:!0,frame:!0,hr:!0,img:!0,input:!0,keygen:!0,link:!0,meta:!0,param:!0,source:!0,track:!0,wbr:!0,menuitem:!0},implicitlyClosed:{dd:!0,li:!0,optgroup:!0,option:!0,p:!0,rp:!0,rt:!0,tbody:!0,td:!0,tfoot:!0,th:!0,tr:!0},contextGrabbers:{dd:{dd:!0,dt:!0},dt:{dd:!0,dt:!0},li:{li:!0},option:{option:!0,optgroup:!0},optgroup:{optgroup:!0},p:{address:!0,article:!0,aside:!0,blockquote:!0,dir:!0,div:!0,dl:!0,fieldset:!0,footer:!0,form:!0,h1:!0,h2:!0,h3:!0,h4:!0,h5:!0,h6:!0,header:!0,hgroup:!0,hr:!0,menu:!0,nav:!0,ol:!0,p:!0,pre:!0,section:!0,table:!0,ul:!0},rp:{rp:!0,rt:!0},rt:{rp:!0,rt:!0},tbody:{tbody:!0,tfoot:!0},td:{td:!0,th:!0},tfoot:{tbody:!0},th:{td:!0,th:!0},thead:{tbody:!0,tfoot:!0},tr:{tr:!0}},doNotIndent:{pre:!0},allowUnquoted:!0,allowMissing:!0,caseFold:!0},td={autoSelfClosers:{},implicitlyClosed:{},contextGrabbers:{},doNotIndent:{},allowUnquoted:!1,allowMissing:!1,allowMissingTagName:!1,caseFold:!1};Ja.defineMode("xml",function(rd,sd){var od=rd.indentUnit,ld={},cd=sd.htmlMode?ed:td;for(var ud in cd)ld[ud]=cd[ud];for(var ud in sd)ld[ud]=sd[ud];var _d,yd;function gd(Xd,hf){function _f(Wf){return hf.tokenize=Wf,Wf(Xd,hf)}var xf=Xd.next();if(xf=="<")return Xd.eat("!")?Xd.eat("[")?Xd.match("CDATA[")?_f(kd("atom","]]>")):null:Xd.match("--")?_f(kd("comment","-->")):Xd.match("DOCTYPE",!0,!0)?(Xd.eatWhile(/[\w\._\-]/),_f(Rd(1))):null:Xd.eat("?")?(Xd.eatWhile(/[\w\._\-]/),hf.tokenize=kd("meta","?>"),"meta"):(_d=Xd.eat("/")?"closeTag":"openTag",hf.tokenize=Ed,"tag bracket");if(xf=="&"){var Lf;return Xd.eat("#")?Xd.eat("x")?Lf=Xd.eatWhile(/[a-fA-F\d]/)&&Xd.eat(";"):Lf=Xd.eatWhile(/[\d]/)&&Xd.eat(";"):Lf=Xd.eatWhile(/[\w\.\-:]/)&&Xd.eat(";"),Lf?"atom":"error"}else return Xd.eatWhile(/[^&<]/),null}gd.isInText=!0;function Ed(Xd,hf){var _f=Xd.next();if(_f==">"||_f=="/"&&Xd.eat(">"))return hf.tokenize=gd,_d=_f==">"?"endTag":"selfcloseTag","tag bracket";if(_f=="=")return _d="equals",null;if(_f=="<"){hf.tokenize=gd,hf.state=Pd,hf.tagName=hf.tagStart=null;var xf=hf.tokenize(Xd,hf);return xf?xf+" tag error":"tag error"}else return/[\'\"]/.test(_f)?(hf.tokenize=Td(_f),hf.stringStartCol=Xd.column(),hf.tokenize(Xd,hf)):(Xd.match(/^[^\s\u00a0=<>\"\']*[^\s\u00a0=<>\"\'\/]/),"word")}function Td(Xd){var hf=function(_f,xf){for(;!_f.eol();)if(_f.next()==Xd){xf.tokenize=Ed;break}return"string"};return hf.isInAttribute=!0,hf}function kd(Xd,hf){return function(_f,xf){for(;!_f.eol();){if(_f.match(hf)){xf.tokenize=gd;break}_f.next()}return Xd}}function Rd(Xd){return function(hf,_f){for(var xf;(xf=hf.next())!=null;){if(xf=="<")return _f.tokenize=Rd(Xd+1),_f.tokenize(hf,_f);if(xf==">")if(Xd==1){_f.tokenize=gd;break}else return _f.tokenize=Rd(Xd-1),_f.tokenize(hf,_f)}return"meta"}}function Nd(Xd){return Xd&&Xd.toLowerCase()}function Id(Xd,hf,_f){this.prev=Xd.context,this.tagName=hf||"",this.indent=Xd.indented,this.startOfLine=_f,(ld.doNotIndent.hasOwnProperty(hf)||Xd.context&&Xd.context.noIndent)&&(this.noIndent=!0)}function Md(Xd){Xd.context&&(Xd.context=Xd.context.prev)}function Ld(Xd,hf){for(var _f;;){if(!Xd.context||(_f=Xd.context.tagName,!ld.contextGrabbers.hasOwnProperty(Nd(_f))||!ld.contextGrabbers[Nd(_f)].hasOwnProperty(Nd(hf))))return;Md(Xd)}}function Pd(Xd,hf,_f){return Xd=="openTag"?(_f.tagStart=hf.column(),qd):Xd=="closeTag"?Yd:Pd}function qd(Xd,hf,_f){return Xd=="word"?(_f.tagName=hf.current(),yd="tag",Vd):ld.allowMissingTagName&&Xd=="endTag"?(yd="tag bracket",Vd(Xd,hf,_f)):(yd="error",qd)}function Yd(Xd,hf,_f){if(Xd=="word"){var xf=hf.current();return _f.context&&_f.context.tagName!=xf&&ld.implicitlyClosed.hasOwnProperty(Nd(_f.context.tagName))&&Md(_f),_f.context&&_f.context.tagName==xf||ld.matchClosing===!1?(yd="tag",Ud):(yd="tag error",Hd)}else return ld.allowMissingTagName&&Xd=="endTag"?(yd="tag bracket",Ud(Xd,hf,_f)):(yd="error",Hd)}function Ud(Xd,hf,_f){return Xd!="endTag"?(yd="error",Ud):(Md(_f),Pd)}function Hd(Xd,hf,_f){return yd="error",Ud(Xd,hf,_f)}function Vd(Xd,hf,_f){if(Xd=="word")return yd="attribute",Jd;if(Xd=="endTag"||Xd=="selfcloseTag"){var xf=_f.tagName,Lf=_f.tagStart;return _f.tagName=_f.tagStart=null,Xd=="selfcloseTag"||ld.autoSelfClosers.hasOwnProperty(Nd(xf))?Ld(_f,xf):(Ld(_f,xf),_f.context=new Id(_f,xf,Lf==_f.indented)),Pd}return yd="error",Vd}function Jd(Xd,hf,_f){return Xd=="equals"?Zd:(ld.allowMissing||(yd="error"),Vd(Xd,hf,_f))}function Zd(Xd,hf,_f){return Xd=="string"?pf:Xd=="word"&&ld.allowUnquoted?(yd="string",Vd):(yd="error",Vd(Xd,hf,_f))}function pf(Xd,hf,_f){return Xd=="string"?pf:Vd(Xd,hf,_f)}return{startState:function(Xd){var hf={tokenize:gd,state:Pd,indented:Xd||0,tagName:null,tagStart:null,context:null};return Xd!=null&&(hf.baseIndent=Xd),hf},token:function(Xd,hf){if(!hf.tagName&&Xd.sol()&&(hf.indented=Xd.indentation()),Xd.eatSpace())return null;_d=null;var _f=hf.tokenize(Xd,hf);return(_f||_d)&&_f!="comment"&&(yd=null,hf.state=hf.state(_d||_f,Xd,hf),yd&&(_f=yd=="error"?_f+" error":yd)),_f},indent:function(Xd,hf,_f){var xf=Xd.context;if(Xd.tokenize.isInAttribute)return Xd.tagStart==Xd.indented?Xd.stringStartCol+1:Xd.indented+od;if(xf&&xf.noIndent)return Ja.Pass;if(Xd.tokenize!=Ed&&Xd.tokenize!=gd)return _f?_f.match(/^(\s*)/)[0].length:0;if(Xd.tagName)return ld.multilineTagIndentPastTag!==!1?Xd.tagStart+Xd.tagName.length+2:Xd.tagStart+od*(ld.multilineTagIndentFactor||1);if(ld.alignCDATA&&/<!\[CDATA\[/.test(hf))return 0;var Lf=hf&&/^<(\/)?([\w_:\.-]*)/.exec(hf);if(Lf&&Lf[1])for(;xf;)if(xf.tagName==Lf[2]){xf=xf.prev;break}else if(ld.implicitlyClosed.hasOwnProperty(Nd(xf.tagName)))xf=xf.prev;else break;else if(Lf)for(;xf;){var Wf=ld.contextGrabbers[Nd(xf.tagName)];if(Wf&&Wf.hasOwnProperty(Nd(Lf[2])))xf=xf.prev;else break}for(;xf&&xf.prev&&!xf.startOfLine;)xf=xf.prev;return xf?xf.indent+od:Xd.baseIndent||0},electricInput:/<\/[\s\w:]+>$/,blockCommentStart:"<!--",blockCommentEnd:"-->",configuration:ld.htmlMode?"html":"xml",helperType:ld.htmlMode?"html":"xml",skipAttribute:function(Xd){Xd.state==Zd&&(Xd.state=Vd)},xmlCurrentTag:function(Xd){return Xd.tagName?{name:Xd.tagName,close:Xd.type=="closeTag"}:null},xmlCurrentContext:function(Xd){for(var hf=[],_f=Xd.context;_f;_f=_f.prev)hf.push(_f.tagName);return hf.reverse()}}}),Ja.defineMIME("text/xml","xml"),Ja.defineMIME("application/xml","xml"),Ja.mimeModes.hasOwnProperty("text/html")||Ja.defineMIME("text/html",{name:"xml",htmlMode:!0})})}()),xml.exports}var meta={exports:{}},hasRequiredMeta;function requireMeta(){return hasRequiredMeta||(hasRequiredMeta=1,function(Ra,qa){(function(Ja){Ja(requireCodemirror())})(function(Ja){Ja.modeInfo=[{name:"APL",mime:"text/apl",mode:"apl",ext:["dyalog","apl"]},{name:"PGP",mimes:["application/pgp","application/pgp-encrypted","application/pgp-keys","application/pgp-signature"],mode:"asciiarmor",ext:["asc","pgp","sig"]},{name:"ASN.1",mime:"text/x-ttcn-asn",mode:"asn.1",ext:["asn","asn1"]},{name:"Asterisk",mime:"text/x-asterisk",mode:"asterisk",file:/^extensions\.conf$/i},{name:"Brainfuck",mime:"text/x-brainfuck",mode:"brainfuck",ext:["b","bf"]},{name:"C",mime:"text/x-csrc",mode:"clike",ext:["c","h","ino"]},{name:"C++",mime:"text/x-c++src",mode:"clike",ext:["cpp","c++","cc","cxx","hpp","h++","hh","hxx"],alias:["cpp"]},{name:"Cobol",mime:"text/x-cobol",mode:"cobol",ext:["cob","cpy","cbl"]},{name:"C#",mime:"text/x-csharp",mode:"clike",ext:["cs"],alias:["csharp","cs"]},{name:"Clojure",mime:"text/x-clojure",mode:"clojure",ext:["clj","cljc","cljx"]},{name:"ClojureScript",mime:"text/x-clojurescript",mode:"clojure",ext:["cljs"]},{name:"Closure Stylesheets (GSS)",mime:"text/x-gss",mode:"css",ext:["gss"]},{name:"CMake",mime:"text/x-cmake",mode:"cmake",ext:["cmake","cmake.in"],file:/^CMakeLists\.txt$/},{name:"CoffeeScript",mimes:["application/vnd.coffeescript","text/coffeescript","text/x-coffeescript"],mode:"coffeescript",ext:["coffee"],alias:["coffee","coffee-script"]},{name:"Common Lisp",mime:"text/x-common-lisp",mode:"commonlisp",ext:["cl","lisp","el"],alias:["lisp"]},{name:"Cypher",mime:"application/x-cypher-query",mode:"cypher",ext:["cyp","cypher"]},{name:"Cython",mime:"text/x-cython",mode:"python",ext:["pyx","pxd","pxi"]},{name:"Crystal",mime:"text/x-crystal",mode:"crystal",ext:["cr"]},{name:"CSS",mime:"text/css",mode:"css",ext:["css"]},{name:"CQL",mime:"text/x-cassandra",mode:"sql",ext:["cql"]},{name:"D",mime:"text/x-d",mode:"d",ext:["d"]},{name:"Dart",mimes:["application/dart","text/x-dart"],mode:"dart",ext:["dart"]},{name:"diff",mime:"text/x-diff",mode:"diff",ext:["diff","patch"]},{name:"Django",mime:"text/x-django",mode:"django"},{name:"Dockerfile",mime:"text/x-dockerfile",mode:"dockerfile",file:/^Dockerfile$/},{name:"DTD",mime:"application/xml-dtd",mode:"dtd",ext:["dtd"]},{name:"Dylan",mime:"text/x-dylan",mode:"dylan",ext:["dylan","dyl","intr"]},{name:"EBNF",mime:"text/x-ebnf",mode:"ebnf"},{name:"ECL",mime:"text/x-ecl",mode:"ecl",ext:["ecl"]},{name:"edn",mime:"application/edn",mode:"clojure",ext:["edn"]},{name:"Eiffel",mime:"text/x-eiffel",mode:"eiffel",ext:["e"]},{name:"Elm",mime:"text/x-elm",mode:"elm",ext:["elm"]},{name:"Embedded JavaScript",mime:"application/x-ejs",mode:"htmlembedded",ext:["ejs"]},{name:"Embedded Ruby",mime:"application/x-erb",mode:"htmlembedded",ext:["erb"]},{name:"Erlang",mime:"text/x-erlang",mode:"erlang",ext:["erl"]},{name:"Esper",mime:"text/x-esper",mode:"sql"},{name:"Factor",mime:"text/x-factor",mode:"factor",ext:["factor"]},{name:"FCL",mime:"text/x-fcl",mode:"fcl"},{name:"Forth",mime:"text/x-forth",mode:"forth",ext:["forth","fth","4th"]},{name:"Fortran",mime:"text/x-fortran",mode:"fortran",ext:["f","for","f77","f90","f95"]},{name:"F#",mime:"text/x-fsharp",mode:"mllike",ext:["fs"],alias:["fsharp"]},{name:"Gas",mime:"text/x-gas",mode:"gas",ext:["s"]},{name:"Gherkin",mime:"text/x-feature",mode:"gherkin",ext:["feature"]},{name:"GitHub Flavored Markdown",mime:"text/x-gfm",mode:"gfm",file:/^(readme|contributing|history)\.md$/i},{name:"Go",mime:"text/x-go",mode:"go",ext:["go"]},{name:"Groovy",mime:"text/x-groovy",mode:"groovy",ext:["groovy","gradle"],file:/^Jenkinsfile$/},{name:"HAML",mime:"text/x-haml",mode:"haml",ext:["haml"]},{name:"Haskell",mime:"text/x-haskell",mode:"haskell",ext:["hs"]},{name:"Haskell (Literate)",mime:"text/x-literate-haskell",mode:"haskell-literate",ext:["lhs"]},{name:"Haxe",mime:"text/x-haxe",mode:"haxe",ext:["hx"]},{name:"HXML",mime:"text/x-hxml",mode:"haxe",ext:["hxml"]},{name:"ASP.NET",mime:"application/x-aspx",mode:"htmlembedded",ext:["aspx"],alias:["asp","aspx"]},{name:"HTML",mime:"text/html",mode:"htmlmixed",ext:["html","htm","handlebars","hbs"],alias:["xhtml"]},{name:"HTTP",mime:"message/http",mode:"http"},{name:"IDL",mime:"text/x-idl",mode:"idl",ext:["pro"]},{name:"Pug",mime:"text/x-pug",mode:"pug",ext:["jade","pug"],alias:["jade"]},{name:"Java",mime:"text/x-java",mode:"clike",ext:["java"]},{name:"Java Server Pages",mime:"application/x-jsp",mode:"htmlembedded",ext:["jsp"],alias:["jsp"]},{name:"JavaScript",mimes:["text/javascript","text/ecmascript","application/javascript","application/x-javascript","application/ecmascript"],mode:"javascript",ext:["js"],alias:["ecmascript","js","node"]},{name:"JSON",mimes:["application/json","application/x-json"],mode:"javascript",ext:["json","map"],alias:["json5"]},{name:"JSON-LD",mime:"application/ld+json",mode:"javascript",ext:["jsonld"],alias:["jsonld"]},{name:"JSX",mime:"text/jsx",mode:"jsx",ext:["jsx"]},{name:"Jinja2",mime:"text/jinja2",mode:"jinja2",ext:["j2","jinja","jinja2"]},{name:"Julia",mime:"text/x-julia",mode:"julia",ext:["jl"],alias:["jl"]},{name:"Kotlin",mime:"text/x-kotlin",mode:"clike",ext:["kt"]},{name:"LESS",mime:"text/x-less",mode:"css",ext:["less"]},{name:"LiveScript",mime:"text/x-livescript",mode:"livescript",ext:["ls"],alias:["ls"]},{name:"Lua",mime:"text/x-lua",mode:"lua",ext:["lua"]},{name:"Markdown",mime:"text/x-markdown",mode:"markdown",ext:["markdown","md","mkd"]},{name:"mIRC",mime:"text/mirc",mode:"mirc"},{name:"MariaDB SQL",mime:"text/x-mariadb",mode:"sql"},{name:"Mathematica",mime:"text/x-mathematica",mode:"mathematica",ext:["m","nb","wl","wls"]},{name:"Modelica",mime:"text/x-modelica",mode:"modelica",ext:["mo"]},{name:"MUMPS",mime:"text/x-mumps",mode:"mumps",ext:["mps"]},{name:"MS SQL",mime:"text/x-mssql",mode:"sql"},{name:"mbox",mime:"application/mbox",mode:"mbox",ext:["mbox"]},{name:"MySQL",mime:"text/x-mysql",mode:"sql"},{name:"Nginx",mime:"text/x-nginx-conf",mode:"nginx",file:/nginx.*\.conf$/i},{name:"NSIS",mime:"text/x-nsis",mode:"nsis",ext:["nsh","nsi"]},{name:"NTriples",mimes:["application/n-triples","application/n-quads","text/n-triples"],mode:"ntriples",ext:["nt","nq"]},{name:"Objective-C",mime:"text/x-objectivec",mode:"clike",ext:["m"],alias:["objective-c","objc"]},{name:"Objective-C++",mime:"text/x-objectivec++",mode:"clike",ext:["mm"],alias:["objective-c++","objc++"]},{name:"OCaml",mime:"text/x-ocaml",mode:"mllike",ext:["ml","mli","mll","mly"]},{name:"Octave",mime:"text/x-octave",mode:"octave",ext:["m"]},{name:"Oz",mime:"text/x-oz",mode:"oz",ext:["oz"]},{name:"Pascal",mime:"text/x-pascal",mode:"pascal",ext:["p","pas"]},{name:"PEG.js",mime:"null",mode:"pegjs",ext:["jsonld"]},{name:"Perl",mime:"text/x-perl",mode:"perl",ext:["pl","pm"]},{name:"PHP",mimes:["text/x-php","application/x-httpd-php","application/x-httpd-php-open"],mode:"php",ext:["php","php3","php4","php5","php7","phtml"]},{name:"Pig",mime:"text/x-pig",mode:"pig",ext:["pig"]},{name:"Plain Text",mime:"text/plain",mode:"null",ext:["txt","text","conf","def","list","log"]},{name:"PLSQL",mime:"text/x-plsql",mode:"sql",ext:["pls"]},{name:"PostgreSQL",mime:"text/x-pgsql",mode:"sql"},{name:"PowerShell",mime:"application/x-powershell",mode:"powershell",ext:["ps1","psd1","psm1"]},{name:"Properties files",mime:"text/x-properties",mode:"properties",ext:["properties","ini","in"],alias:["ini","properties"]},{name:"ProtoBuf",mime:"text/x-protobuf",mode:"protobuf",ext:["proto"]},{name:"Python",mime:"text/x-python",mode:"python",ext:["BUILD","bzl","py","pyw"],file:/^(BUCK|BUILD)$/},{name:"Puppet",mime:"text/x-puppet",mode:"puppet",ext:["pp"]},{name:"Q",mime:"text/x-q",mode:"q",ext:["q"]},{name:"R",mime:"text/x-rsrc",mode:"r",ext:["r","R"],alias:["rscript"]},{name:"reStructuredText",mime:"text/x-rst",mode:"rst",ext:["rst"],alias:["rst"]},{name:"RPM Changes",mime:"text/x-rpm-changes",mode:"rpm"},{name:"RPM Spec",mime:"text/x-rpm-spec",mode:"rpm",ext:["spec"]},{name:"Ruby",mime:"text/x-ruby",mode:"ruby",ext:["rb"],alias:["jruby","macruby","rake","rb","rbx"]},{name:"Rust",mime:"text/x-rustsrc",mode:"rust",ext:["rs"]},{name:"SAS",mime:"text/x-sas",mode:"sas",ext:["sas"]},{name:"Sass",mime:"text/x-sass",mode:"sass",ext:["sass"]},{name:"Scala",mime:"text/x-scala",mode:"clike",ext:["scala"]},{name:"Scheme",mime:"text/x-scheme",mode:"scheme",ext:["scm","ss"]},{name:"SCSS",mime:"text/x-scss",mode:"css",ext:["scss"]},{name:"Shell",mimes:["text/x-sh","application/x-sh"],mode:"shell",ext:["sh","ksh","bash"],alias:["bash","sh","zsh"],file:/^PKGBUILD$/},{name:"Sieve",mime:"application/sieve",mode:"sieve",ext:["siv","sieve"]},{name:"Slim",mimes:["text/x-slim","application/x-slim"],mode:"slim",ext:["slim"]},{name:"Smalltalk",mime:"text/x-stsrc",mode:"smalltalk",ext:["st"]},{name:"Smarty",mime:"text/x-smarty",mode:"smarty",ext:["tpl"]},{name:"Solr",mime:"text/x-solr",mode:"solr"},{name:"SML",mime:"text/x-sml",mode:"mllike",ext:["sml","sig","fun","smackspec"]},{name:"Soy",mime:"text/x-soy",mode:"soy",ext:["soy"],alias:["closure template"]},{name:"SPARQL",mime:"application/sparql-query",mode:"sparql",ext:["rq","sparql"],alias:["sparul"]},{name:"Spreadsheet",mime:"text/x-spreadsheet",mode:"spreadsheet",alias:["excel","formula"]},{name:"SQL",mime:"text/x-sql",mode:"sql",ext:["sql"]},{name:"SQLite",mime:"text/x-sqlite",mode:"sql"},{name:"Squirrel",mime:"text/x-squirrel",mode:"clike",ext:["nut"]},{name:"Stylus",mime:"text/x-styl",mode:"stylus",ext:["styl"]},{name:"Swift",mime:"text/x-swift",mode:"swift",ext:["swift"]},{name:"sTeX",mime:"text/x-stex",mode:"stex"},{name:"LaTeX",mime:"text/x-latex",mode:"stex",ext:["text","ltx","tex"],alias:["tex"]},{name:"SystemVerilog",mime:"text/x-systemverilog",mode:"verilog",ext:["v","sv","svh"]},{name:"Tcl",mime:"text/x-tcl",mode:"tcl",ext:["tcl"]},{name:"Textile",mime:"text/x-textile",mode:"textile",ext:["textile"]},{name:"TiddlyWiki",mime:"text/x-tiddlywiki",mode:"tiddlywiki"},{name:"Tiki wiki",mime:"text/tiki",mode:"tiki"},{name:"TOML",mime:"text/x-toml",mode:"toml",ext:["toml"]},{name:"Tornado",mime:"text/x-tornado",mode:"tornado"},{name:"troff",mime:"text/troff",mode:"troff",ext:["1","2","3","4","5","6","7","8","9"]},{name:"TTCN",mime:"text/x-ttcn",mode:"ttcn",ext:["ttcn","ttcn3","ttcnpp"]},{name:"TTCN_CFG",mime:"text/x-ttcn-cfg",mode:"ttcn-cfg",ext:["cfg"]},{name:"Turtle",mime:"text/turtle",mode:"turtle",ext:["ttl"]},{name:"TypeScript",mime:"application/typescript",mode:"javascript",ext:["ts"],alias:["ts"]},{name:"TypeScript-JSX",mime:"text/typescript-jsx",mode:"jsx",ext:["tsx"],alias:["tsx"]},{name:"Twig",mime:"text/x-twig",mode:"twig"},{name:"Web IDL",mime:"text/x-webidl",mode:"webidl",ext:["webidl"]},{name:"VB.NET",mime:"text/x-vb",mode:"vb",ext:["vb"]},{name:"VBScript",mime:"text/vbscript",mode:"vbscript",ext:["vbs"]},{name:"Velocity",mime:"text/velocity",mode:"velocity",ext:["vtl"]},{name:"Verilog",mime:"text/x-verilog",mode:"verilog",ext:["v"]},{name:"VHDL",mime:"text/x-vhdl",mode:"vhdl",ext:["vhd","vhdl"]},{name:"Vue.js Component",mimes:["script/x-vue","text/x-vue"],mode:"vue",ext:["vue"]},{name:"XML",mimes:["application/xml","text/xml"],mode:"xml",ext:["xml","xsl","xsd","svg"],alias:["rss","wsdl","xsd"]},{name:"XQuery",mime:"application/xquery",mode:"xquery",ext:["xy","xquery"]},{name:"Yacas",mime:"text/x-yacas",mode:"yacas",ext:["ys"]},{name:"YAML",mimes:["text/x-yaml","text/yaml"],mode:"yaml",ext:["yaml","yml"],alias:["yml"]},{name:"Z80",mime:"text/x-z80",mode:"z80",ext:["z80"]},{name:"mscgen",mime:"text/x-mscgen",mode:"mscgen",ext:["mscgen","mscin","msc"]},{name:"xu",mime:"text/x-xu",mode:"mscgen",ext:["xu"]},{name:"msgenny",mime:"text/x-msgenny",mode:"mscgen",ext:["msgenny"]},{name:"WebAssembly",mime:"text/webassembly",mode:"wast",ext:["wat","wast"]}];for(var ed=0;ed<Ja.modeInfo.length;ed++){var td=Ja.modeInfo[ed];td.mimes&&(td.mime=td.mimes[0])}Ja.findModeByMIME=function(rd){rd=rd.toLowerCase();for(var sd=0;sd<Ja.modeInfo.length;sd++){var od=Ja.modeInfo[sd];if(od.mime==rd)return od;if(od.mimes){for(var ld=0;ld<od.mimes.length;ld++)if(od.mimes[ld]==rd)return od}}if(/\+xml$/.test(rd))return Ja.findModeByMIME("application/xml");if(/\+json$/.test(rd))return Ja.findModeByMIME("application/json")},Ja.findModeByExtension=function(rd){rd=rd.toLowerCase();for(var sd=0;sd<Ja.modeInfo.length;sd++){var od=Ja.modeInfo[sd];if(od.ext){for(var ld=0;ld<od.ext.length;ld++)if(od.ext[ld]==rd)return od}}},Ja.findModeByFileName=function(rd){for(var sd=0;sd<Ja.modeInfo.length;sd++){var od=Ja.modeInfo[sd];if(od.file&&od.file.test(rd))return od}var ld=rd.lastIndexOf("."),cd=ld>-1&&rd.substring(ld+1,rd.length);if(cd)return Ja.findModeByExtension(cd)},Ja.findModeByName=function(rd){rd=rd.toLowerCase();for(var sd=0;sd<Ja.modeInfo.length;sd++){var od=Ja.modeInfo[sd];if(od.name.toLowerCase()==rd)return od;if(od.alias){for(var ld=0;ld<od.alias.length;ld++)if(od.alias[ld].toLowerCase()==rd)return od}}}})}()),meta.exports}(function(Ra,qa){(function(Ja){Ja(requireCodemirror(),requireXml(),requireMeta())})(function(Ja){Ja.defineMode("markdown",function(ed,td){var rd=Ja.getMode(ed,"text/html"),sd=rd.name=="null";function od(If){if(Ja.findModeByName){var Sf=Ja.findModeByName(If);Sf&&(If=Sf.mime||Sf.mimes[0])}var wf=Ja.getMode(ed,If);return wf.name=="null"?null:wf}td.highlightFormatting===void 0&&(td.highlightFormatting=!1),td.maxBlockquoteDepth===void 0&&(td.maxBlockquoteDepth=0),td.taskLists===void 0&&(td.taskLists=!1),td.strikethrough===void 0&&(td.strikethrough=!1),td.emoji===void 0&&(td.emoji=!1),td.fencedCodeBlockHighlighting===void 0&&(td.fencedCodeBlockHighlighting=!0),td.fencedCodeBlockDefaultMode===void 0&&(td.fencedCodeBlockDefaultMode="text/plain"),td.xml===void 0&&(td.xml=!0),td.tokenTypeOverrides===void 0&&(td.tokenTypeOverrides={});var ld={header:"header",code:"comment",quote:"quote",list1:"variable-2",list2:"variable-3",list3:"keyword",hr:"hr",image:"image",imageAltText:"image-alt-text",imageMarker:"image-marker",formatting:"formatting",linkInline:"link",linkEmail:"link",linkText:"link",linkHref:"string",em:"em",strong:"strong",strikethrough:"strikethrough",emoji:"builtin"};for(var cd in ld)ld.hasOwnProperty(cd)&&td.tokenTypeOverrides[cd]&&(ld[cd]=td.tokenTypeOverrides[cd]);var ud=/^([*\-_])(?:\s*\1){2,}\s*$/,_d=/^(?:[*\-+]|^[0-9]+([.)]))\s+/,yd=/^\[(x| )\](?=\s)/i,gd=td.allowAtxHeaderWithoutSpace?/^(#+)/:/^(#+)(?: |$)/,Ed=/^ {0,3}(?:\={1,}|-{2,})\s*$/,Td=/^[^#!\[\]*_\\<>` "'(~:]+/,kd=/^(~~~+|```+)[ \t]*([\w\/+#-]*)[^\n`]*$/,Rd=/^\s*\[[^\]]+?\]:.*$/,Nd=/[!"#$%&'()*+,\-.\/:;<=>?@\[\\\]^_`{|}~\xA1\xA7\xAB\xB6\xB7\xBB\xBF\u037E\u0387\u055A-\u055F\u0589\u058A\u05BE\u05C0\u05C3\u05C6\u05F3\u05F4\u0609\u060A\u060C\u060D\u061B\u061E\u061F\u066A-\u066D\u06D4\u0700-\u070D\u07F7-\u07F9\u0830-\u083E\u085E\u0964\u0965\u0970\u0AF0\u0DF4\u0E4F\u0E5A\u0E5B\u0F04-\u0F12\u0F14\u0F3A-\u0F3D\u0F85\u0FD0-\u0FD4\u0FD9\u0FDA\u104A-\u104F\u10FB\u1360-\u1368\u1400\u166D\u166E\u169B\u169C\u16EB-\u16ED\u1735\u1736\u17D4-\u17D6\u17D8-\u17DA\u1800-\u180A\u1944\u1945\u1A1E\u1A1F\u1AA0-\u1AA6\u1AA8-\u1AAD\u1B5A-\u1B60\u1BFC-\u1BFF\u1C3B-\u1C3F\u1C7E\u1C7F\u1CC0-\u1CC7\u1CD3\u2010-\u2027\u2030-\u2043\u2045-\u2051\u2053-\u205E\u207D\u207E\u208D\u208E\u2308-\u230B\u2329\u232A\u2768-\u2775\u27C5\u27C6\u27E6-\u27EF\u2983-\u2998\u29D8-\u29DB\u29FC\u29FD\u2CF9-\u2CFC\u2CFE\u2CFF\u2D70\u2E00-\u2E2E\u2E30-\u2E42\u3001-\u3003\u3008-\u3011\u3014-\u301F\u3030\u303D\u30A0\u30FB\uA4FE\uA4FF\uA60D-\uA60F\uA673\uA67E\uA6F2-\uA6F7\uA874-\uA877\uA8CE\uA8CF\uA8F8-\uA8FA\uA8FC\uA92E\uA92F\uA95F\uA9C1-\uA9CD\uA9DE\uA9DF\uAA5C-\uAA5F\uAADE\uAADF\uAAF0\uAAF1\uABEB\uFD3E\uFD3F\uFE10-\uFE19\uFE30-\uFE52\uFE54-\uFE61\uFE63\uFE68\uFE6A\uFE6B\uFF01-\uFF03\uFF05-\uFF0A\uFF0C-\uFF0F\uFF1A\uFF1B\uFF1F\uFF20\uFF3B-\uFF3D\uFF3F\uFF5B\uFF5D\uFF5F-\uFF65]|\uD800[\uDD00-\uDD02\uDF9F\uDFD0]|\uD801\uDD6F|\uD802[\uDC57\uDD1F\uDD3F\uDE50-\uDE58\uDE7F\uDEF0-\uDEF6\uDF39-\uDF3F\uDF99-\uDF9C]|\uD804[\uDC47-\uDC4D\uDCBB\uDCBC\uDCBE-\uDCC1\uDD40-\uDD43\uDD74\uDD75\uDDC5-\uDDC9\uDDCD\uDDDB\uDDDD-\uDDDF\uDE38-\uDE3D\uDEA9]|\uD805[\uDCC6\uDDC1-\uDDD7\uDE41-\uDE43\uDF3C-\uDF3E]|\uD809[\uDC70-\uDC74]|\uD81A[\uDE6E\uDE6F\uDEF5\uDF37-\uDF3B\uDF44]|\uD82F\uDC9F|\uD836[\uDE87-\uDE8B]/,Id=" ";function Md(If,Sf,wf){return Sf.f=Sf.inline=wf,wf(If,Sf)}function Ld(If,Sf,wf){return Sf.f=Sf.block=wf,wf(If,Sf)}function Pd(If){return!If||!/\S/.test(If.string)}function qd(If){if(If.linkTitle=!1,If.linkHref=!1,If.linkText=!1,If.em=!1,If.strong=!1,If.strikethrough=!1,If.quote=0,If.indentedCode=!1,If.f==Ud){var Sf=sd;if(!Sf){var wf=Ja.innerMode(rd,If.htmlState);Sf=wf.mode.name=="xml"&&wf.state.tagStart===null&&!wf.state.context&&wf.state.tokenize.isInText}Sf&&(If.f=Zd,If.block=Yd,If.htmlState=null)}return If.trailingSpace=0,If.trailingSpaceNewLine=!1,If.prevLine=If.thisLine,If.thisLine={stream:null},null}function Yd(If,Sf){var wf=If.column()===Sf.indentation,Kf=Pd(Sf.prevLine.stream),Gf=Sf.indentedCode,gf=Sf.prevLine.hr,mf=Sf.list!==!1,$f=(Sf.listStack[Sf.listStack.length-1]||0)+3;Sf.indentedCode=!1;var zf=Sf.indentation;if(Sf.indentationDiff===null&&(Sf.indentationDiff=Sf.indentation,mf)){for(Sf.list=null;zf<Sf.listStack[Sf.listStack.length-1];)Sf.listStack.pop(),Sf.listStack.length?Sf.indentation=Sf.listStack[Sf.listStack.length-1]:Sf.list=!1;Sf.list!==!1&&(Sf.indentationDiff=zf-Sf.listStack[Sf.listStack.length-1])}var hh=!Kf&&!gf&&!Sf.prevLine.header&&(!mf||!Gf)&&!Sf.prevLine.fencedCodeEnd,Vf=(Sf.list===!1||gf||Kf)&&Sf.indentation<=$f&&If.match(ud),kf=null;if(Sf.indentationDiff>=4&&(Gf||Sf.prevLine.fencedCodeEnd||Sf.prevLine.header||Kf))return If.skipToEnd(),Sf.indentedCode=!0,ld.code;if(If.eatSpace())return null;if(wf&&Sf.indentation<=$f&&(kf=If.match(gd))&&kf[1].length<=6)return Sf.quote=0,Sf.header=kf[1].length,Sf.thisLine.header=!0,td.highlightFormatting&&(Sf.formatting="header"),Sf.f=Sf.inline,Vd(Sf);if(Sf.indentation<=$f&&If.eat(">"))return Sf.quote=wf?1:Sf.quote+1,td.highlightFormatting&&(Sf.formatting="quote"),If.eatSpace(),Vd(Sf);if(!Vf&&!Sf.setext&&wf&&Sf.indentation<=$f&&(kf=If.match(_d))){var Jf=kf[1]?"ol":"ul";return Sf.indentation=zf+If.current().length,Sf.list=!0,Sf.quote=0,Sf.listStack.push(Sf.indentation),Sf.em=!1,Sf.strong=!1,Sf.code=!1,Sf.strikethrough=!1,td.taskLists&&If.match(yd,!1)&&(Sf.taskList=!0),Sf.f=Sf.inline,td.highlightFormatting&&(Sf.formatting=["list","list-"+Jf]),Vd(Sf)}else{if(wf&&Sf.indentation<=$f&&(kf=If.match(kd,!0)))return Sf.quote=0,Sf.fencedEndRE=new RegExp(kf[1]+"+ *$"),Sf.localMode=td.fencedCodeBlockHighlighting&&od(kf[2]||td.fencedCodeBlockDefaultMode),Sf.localMode&&(Sf.localState=Ja.startState(Sf.localMode)),Sf.f=Sf.block=Hd,td.highlightFormatting&&(Sf.formatting="code-block"),Sf.code=-1,Vd(Sf);if(Sf.setext||(!hh||!mf)&&!Sf.quote&&Sf.list===!1&&!Sf.code&&!Vf&&!Rd.test(If.string)&&(kf=If.lookAhead(1))&&(kf=kf.match(Ed)))return Sf.setext?(Sf.header=Sf.setext,Sf.setext=0,If.skipToEnd(),td.highlightFormatting&&(Sf.formatting="header")):(Sf.header=kf[0].charAt(0)=="="?1:2,Sf.setext=Sf.header),Sf.thisLine.header=!0,Sf.f=Sf.inline,Vd(Sf);if(Vf)return If.skipToEnd(),Sf.hr=!0,Sf.thisLine.hr=!0,ld.hr;if(If.peek()==="[")return Md(If,Sf,xf)}return Md(If,Sf,Sf.inline)}function Ud(If,Sf){var wf=rd.token(If,Sf.htmlState);if(!sd){var Kf=Ja.innerMode(rd,Sf.htmlState);(Kf.mode.name=="xml"&&Kf.state.tagStart===null&&!Kf.state.context&&Kf.state.tokenize.isInText||Sf.md_inside&&If.current().indexOf(">")>-1)&&(Sf.f=Zd,Sf.block=Yd,Sf.htmlState=null)}return wf}function Hd(If,Sf){var wf=Sf.listStack[Sf.listStack.length-1]||0,Kf=Sf.indentation<wf,Gf=wf+3;if(Sf.fencedEndRE&&Sf.indentation<=Gf&&(Kf||If.match(Sf.fencedEndRE))){td.highlightFormatting&&(Sf.formatting="code-block");var gf;return Kf||(gf=Vd(Sf)),Sf.localMode=Sf.localState=null,Sf.block=Yd,Sf.f=Zd,Sf.fencedEndRE=null,Sf.code=0,Sf.thisLine.fencedCodeEnd=!0,Kf?Ld(If,Sf,Sf.block):gf}else return Sf.localMode?Sf.localMode.token(If,Sf.localState):(If.skipToEnd(),ld.code)}function Vd(If){var Sf=[];if(If.formatting){Sf.push(ld.formatting),typeof If.formatting=="string"&&(If.formatting=[If.formatting]);for(var wf=0;wf<If.formatting.length;wf++)Sf.push(ld.formatting+"-"+If.formatting[wf]),If.formatting[wf]==="header"&&Sf.push(ld.formatting+"-"+If.formatting[wf]+"-"+If.header),If.formatting[wf]==="quote"&&(!td.maxBlockquoteDepth||td.maxBlockquoteDepth>=If.quote?Sf.push(ld.formatting+"-"+If.formatting[wf]+"-"+If.quote):Sf.push("error"))}if(If.taskOpen)return Sf.push("meta"),Sf.length?Sf.join(" "):null;if(If.taskClosed)return Sf.push("property"),Sf.length?Sf.join(" "):null;if(If.linkHref?Sf.push(ld.linkHref,"url"):(If.strong&&Sf.push(ld.strong),If.em&&Sf.push(ld.em),If.strikethrough&&Sf.push(ld.strikethrough),If.emoji&&Sf.push(ld.emoji),If.linkText&&Sf.push(ld.linkText),If.code&&Sf.push(ld.code),If.image&&Sf.push(ld.image),If.imageAltText&&Sf.push(ld.imageAltText,"link"),If.imageMarker&&Sf.push(ld.imageMarker)),If.header&&Sf.push(ld.header,ld.header+"-"+If.header),If.quote&&(Sf.push(ld.quote),!td.maxBlockquoteDepth||td.maxBlockquoteDepth>=If.quote?Sf.push(ld.quote+"-"+If.quote):Sf.push(ld.quote+"-"+td.maxBlockquoteDepth)),If.list!==!1){var Kf=(If.listStack.length-1)%3;Kf?Kf===1?Sf.push(ld.list2):Sf.push(ld.list3):Sf.push(ld.list1)}return If.trailingSpaceNewLine?Sf.push("trailing-space-new-line"):If.trailingSpace&&Sf.push("trailing-space-"+(If.trailingSpace%2?"a":"b")),Sf.length?Sf.join(" "):null}function Jd(If,Sf){if(If.match(Td,!0))return Vd(Sf)}function Zd(If,Sf){var wf=Sf.text(If,Sf);if(typeof wf<"u")return wf;if(Sf.list)return Sf.list=null,Vd(Sf);if(Sf.taskList){var Kf=If.match(yd,!0)[1]===" ";return Kf?Sf.taskOpen=!0:Sf.taskClosed=!0,td.highlightFormatting&&(Sf.formatting="task"),Sf.taskList=!1,Vd(Sf)}if(Sf.taskOpen=!1,Sf.taskClosed=!1,Sf.header&&If.match(/^#+$/,!0))return td.highlightFormatting&&(Sf.formatting="header"),Vd(Sf);var Gf=If.next();if(Sf.linkTitle){Sf.linkTitle=!1;var gf=Gf;Gf==="("&&(gf=")"),gf=(gf+"").replace(/([.?*+^\[\]\\(){}|-])/g,"\\$1");var mf="^\\s*(?:[^"+gf+"\\\\]+|\\\\\\\\|\\\\.)"+gf;if(If.match(new RegExp(mf),!0))return ld.linkHref}if(Gf==="`"){var $f=Sf.formatting;td.highlightFormatting&&(Sf.formatting="code"),If.eatWhile("`");var zf=If.current().length;if(Sf.code==0&&(!Sf.quote||zf==1))return Sf.code=zf,Vd(Sf);if(zf==Sf.code){var hh=Vd(Sf);return Sf.code=0,hh}else return Sf.formatting=$f,Vd(Sf)}else if(Sf.code)return Vd(Sf);if(Gf==="\\"&&(If.next(),td.highlightFormatting)){var Vf=Vd(Sf),kf=ld.formatting+"-escape";return Vf?Vf+" "+kf:kf}if(Gf==="!"&&If.match(/\[[^\]]*\] ?(?:\(|\[)/,!1))return Sf.imageMarker=!0,Sf.image=!0,td.highlightFormatting&&(Sf.formatting="image"),Vd(Sf);if(Gf==="["&&Sf.imageMarker&&If.match(/[^\]]*\](\(.*?\)| ?\[.*?\])/,!1))return Sf.imageMarker=!1,Sf.imageAltText=!0,td.highlightFormatting&&(Sf.formatting="image"),Vd(Sf);if(Gf==="]"&&Sf.imageAltText){td.highlightFormatting&&(Sf.formatting="image");var Vf=Vd(Sf);return Sf.imageAltText=!1,Sf.image=!1,Sf.inline=Sf.f=Xd,Vf}if(Gf==="["&&!Sf.image)return Sf.linkText&&If.match(/^.*?\]/)||(Sf.linkText=!0,td.highlightFormatting&&(Sf.formatting="link")),Vd(Sf);if(Gf==="]"&&Sf.linkText){td.highlightFormatting&&(Sf.formatting="link");var Vf=Vd(Sf);return Sf.linkText=!1,Sf.inline=Sf.f=If.match(/\(.*?\)| ?\[.*?\]/,!1)?Xd:Zd,Vf}if(Gf==="<"&&If.match(/^(https?|ftps?):\/\/(?:[^\\>]|\\.)+>/,!1)){Sf.f=Sf.inline=pf,td.highlightFormatting&&(Sf.formatting="link");var Vf=Vd(Sf);return Vf?Vf+=" ":Vf="",Vf+ld.linkInline}if(Gf==="<"&&If.match(/^[^> \\]+@(?:[^\\>]|\\.)+>/,!1)){Sf.f=Sf.inline=pf,td.highlightFormatting&&(Sf.formatting="link");var Vf=Vd(Sf);return Vf?Vf+=" ":Vf="",Vf+ld.linkEmail}if(td.xml&&Gf==="<"&&If.match(/^(!--|\?|!\[CDATA\[|[a-z][a-z0-9-]*(?:\s+[a-z_:.\-]+(?:\s*=\s*[^>]+)?)*\s*(?:>|$))/i,!1)){var Jf=If.string.indexOf(">",If.pos);if(Jf!=-1){var Ch=If.string.substring(If.start,Jf);/markdown\s*=\s*('|"){0,1}1('|"){0,1}/.test(Ch)&&(Sf.md_inside=!0)}return If.backUp(1),Sf.htmlState=Ja.startState(rd),Ld(If,Sf,Ud)}if(td.xml&&Gf==="<"&&If.match(/^\/\w*?>/))return Sf.md_inside=!1,"tag";if(Gf==="*"||Gf==="_"){for(var qf=1,Tf=If.pos==1?" ":If.string.charAt(If.pos-2);qf<3&&If.eat(Gf);)qf++;var Af=If.peek()||" ",Pf=!/\s/.test(Af)&&(!Nd.test(Af)||/\s/.test(Tf)||Nd.test(Tf)),gh=!/\s/.test(Tf)&&(!Nd.test(Tf)||/\s/.test(Af)||Nd.test(Af)),Nh=null,dh=null;if(qf%2&&(!Sf.em&&Pf&&(Gf==="*"||!gh||Nd.test(Tf))?Nh=!0:Sf.em==Gf&&gh&&(Gf==="*"||!Pf||Nd.test(Af))&&(Nh=!1)),qf>1&&(!Sf.strong&&Pf&&(Gf==="*"||!gh||Nd.test(Tf))?dh=!0:Sf.strong==Gf&&gh&&(Gf==="*"||!Pf||Nd.test(Af))&&(dh=!1)),dh!=null||Nh!=null){td.highlightFormatting&&(Sf.formatting=Nh==null?"strong":dh==null?"em":"strong em"),Nh===!0&&(Sf.em=Gf),dh===!0&&(Sf.strong=Gf);var hh=Vd(Sf);return Nh===!1&&(Sf.em=!1),dh===!1&&(Sf.strong=!1),hh}}else if(Gf===" "&&(If.eat("*")||If.eat("_"))){if(If.peek()===" ")return Vd(Sf);If.backUp(1)}if(td.strikethrough){if(Gf==="~"&&If.eatWhile(Gf)){if(Sf.strikethrough){td.highlightFormatting&&(Sf.formatting="strikethrough");var hh=Vd(Sf);return Sf.strikethrough=!1,hh}else if(If.match(/^[^\s]/,!1))return Sf.strikethrough=!0,td.highlightFormatting&&(Sf.formatting="strikethrough"),Vd(Sf)}else if(Gf===" "&&If.match("~~",!0)){if(If.peek()===" ")return Vd(Sf);If.backUp(2)}}if(td.emoji&&Gf===":"&&If.match(/^(?:[a-z_\d+][a-z_\d+-]*|\-[a-z_\d+][a-z_\d+-]*):/)){Sf.emoji=!0,td.highlightFormatting&&(Sf.formatting="emoji");var $h=Vd(Sf);return Sf.emoji=!1,$h}return Gf===" "&&(If.match(/^ +$/,!1)?Sf.trailingSpace++:Sf.trailingSpace&&(Sf.trailingSpaceNewLine=!0)),Vd(Sf)}function pf(If,Sf){var wf=If.next();if(wf===">"){Sf.f=Sf.inline=Zd,td.highlightFormatting&&(Sf.formatting="link");var Kf=Vd(Sf);return Kf?Kf+=" ":Kf="",Kf+ld.linkInline}return If.match(/^[^>]+/,!0),ld.linkInline}function Xd(If,Sf){if(If.eatSpace())return null;var wf=If.next();return wf==="("||wf==="["?(Sf.f=Sf.inline=_f(wf==="("?")":"]"),td.highlightFormatting&&(Sf.formatting="link-string"),Sf.linkHref=!0,Vd(Sf)):"error"}var hf={")":/^(?:[^\\\(\)]|\\.|\((?:[^\\\(\)]|\\.)*\))*?(?=\))/,"]":/^(?:[^\\\[\]]|\\.|\[(?:[^\\\[\]]|\\.)*\])*?(?=\])/};function _f(If){return function(Sf,wf){var Kf=Sf.next();if(Kf===If){wf.f=wf.inline=Zd,td.highlightFormatting&&(wf.formatting="link-string");var Gf=Vd(wf);return wf.linkHref=!1,Gf}return Sf.match(hf[If]),wf.linkHref=!0,Vd(wf)}}function xf(If,Sf){return If.match(/^([^\]\\]|\\.)*\]:/,!1)?(Sf.f=Lf,If.next(),td.highlightFormatting&&(Sf.formatting="link"),Sf.linkText=!0,Vd(Sf)):Md(If,Sf,Zd)}function Lf(If,Sf){if(If.match("]:",!0)){Sf.f=Sf.inline=Wf,td.highlightFormatting&&(Sf.formatting="link");var wf=Vd(Sf);return Sf.linkText=!1,wf}return If.match(/^([^\]\\]|\\.)+/,!0),ld.linkText}function Wf(If,Sf){return If.eatSpace()?null:(If.match(/^[^\s]+/,!0),If.peek()===void 0?Sf.linkTitle=!0:If.match(/^(?:\s+(?:"(?:[^"\\]|\\.)+"|'(?:[^'\\]|\\.)+'|\((?:[^)\\]|\\.)+\)))?/,!0),Sf.f=Sf.inline=Zd,ld.linkHref+" url")}var Yf={startState:function(){return{f:Yd,prevLine:{stream:null},thisLine:{stream:null},block:Yd,htmlState:null,indentation:0,inline:Zd,text:Jd,formatting:!1,linkText:!1,linkHref:!1,linkTitle:!1,code:0,em:!1,strong:!1,header:0,setext:0,hr:!1,taskList:!1,list:!1,listStack:[],quote:0,trailingSpace:0,trailingSpaceNewLine:!1,strikethrough:!1,emoji:!1,fencedEndRE:null}},copyState:function(If){return{f:If.f,prevLine:If.prevLine,thisLine:If.thisLine,block:If.block,htmlState:If.htmlState&&Ja.copyState(rd,If.htmlState),indentation:If.indentation,localMode:If.localMode,localState:If.localMode?Ja.copyState(If.localMode,If.localState):null,inline:If.inline,text:If.text,formatting:!1,linkText:If.linkText,linkTitle:If.linkTitle,linkHref:If.linkHref,code:If.code,em:If.em,strong:If.strong,strikethrough:If.strikethrough,emoji:If.emoji,header:If.header,setext:If.setext,hr:If.hr,taskList:If.taskList,list:If.list,listStack:If.listStack.slice(0),quote:If.quote,indentedCode:If.indentedCode,trailingSpace:If.trailingSpace,trailingSpaceNewLine:If.trailingSpaceNewLine,md_inside:If.md_inside,fencedEndRE:If.fencedEndRE}},token:function(If,Sf){if(Sf.formatting=!1,If!=Sf.thisLine.stream){if(Sf.header=0,Sf.hr=!1,If.match(/^\s*$/,!0))return qd(Sf),null;if(Sf.prevLine=Sf.thisLine,Sf.thisLine={stream:If},Sf.taskList=!1,Sf.trailingSpace=0,Sf.trailingSpaceNewLine=!1,!Sf.localState&&(Sf.f=Sf.block,Sf.f!=Ud)){var wf=If.match(/^\s*/,!0)[0].replace(/\t/g,Id).length;if(Sf.indentation=wf,Sf.indentationDiff=null,wf>0)return null}}return Sf.f(If,Sf)},innerMode:function(If){return If.block==Ud?{state:If.htmlState,mode:rd}:If.localState?{state:If.localState,mode:If.localMode}:{state:If,mode:Yf}},indent:function(If,Sf,wf){return If.block==Ud&&rd.indent?rd.indent(If.htmlState,Sf,wf):If.localState&&If.localMode.indent?If.localMode.indent(If.localState,Sf,wf):Ja.Pass},blankLine:qd,getType:Vd,blockCommentStart:"<!--",blockCommentEnd:"-->",closeBrackets:"()[]{}''\"\"``",fold:"markdown"};return Yf},"xml"),Ja.defineMIME("text/markdown","markdown"),Ja.defineMIME("text/x-markdown","markdown")})})();(function(Ra,qa){(function(Ja){Ja(requireCodemirror())})(function(Ja){var ed={pairs:`()[]{}''""`,closeBefore:`)]}'":;>`,triples:"",explode:"[]{}"},td=Ja.Pos;Ja.defineOption("autoCloseBrackets",!1,function(Rd,Nd,Id){Id&&Id!=Ja.Init&&(Rd.removeKeyMap(sd),Rd.state.closeBrackets=null),Nd&&(od(rd(Nd,"pairs")),Rd.state.closeBrackets=Nd,Rd.addKeyMap(sd))});function rd(Rd,Nd){return Nd=="pairs"&&typeof Rd=="string"?Rd:typeof Rd=="object"&&Rd[Nd]!=null?Rd[Nd]:ed[Nd]}var sd={Backspace:ud,Enter:_d};function od(Rd){for(var Nd=0;Nd<Rd.length;Nd++){var Id=Rd.charAt(Nd),Md="'"+Id+"'";sd[Md]||(sd[Md]=ld(Id))}}od(ed.pairs+"`");function ld(Rd){return function(Nd){return Ed(Nd,Rd)}}function cd(Rd){var Nd=Rd.state.closeBrackets;if(!Nd||Nd.override)return Nd;var Id=Rd.getModeAt(Rd.getCursor());return Id.closeBrackets||Nd}function ud(Rd){var Nd=cd(Rd);if(!Nd||Rd.getOption("disableInput"))return Ja.Pass;for(var Id=rd(Nd,"pairs"),Md=Rd.listSelections(),Ld=0;Ld<Md.length;Ld++){if(!Md[Ld].empty())return Ja.Pass;var Pd=Td(Rd,Md[Ld].head);if(!Pd||Id.indexOf(Pd)%2!=0)return Ja.Pass}for(var Ld=Md.length-1;Ld>=0;Ld--){var qd=Md[Ld].head;Rd.replaceRange("",td(qd.line,qd.ch-1),td(qd.line,qd.ch+1),"+delete")}}function _d(Rd){var Nd=cd(Rd),Id=Nd&&rd(Nd,"explode");if(!Id||Rd.getOption("disableInput"))return Ja.Pass;for(var Md=Rd.listSelections(),Ld=0;Ld<Md.length;Ld++){if(!Md[Ld].empty())return Ja.Pass;var Pd=Td(Rd,Md[Ld].head);if(!Pd||Id.indexOf(Pd)%2!=0)return Ja.Pass}Rd.operation(function(){var qd=Rd.lineSeparator()||`
|
||
`;Rd.replaceSelection(qd+qd,null),yd(Rd,-1),Md=Rd.listSelections();for(var Yd=0;Yd<Md.length;Yd++){var Ud=Md[Yd].head.line;Rd.indentLine(Ud,null,!0),Rd.indentLine(Ud+1,null,!0)}})}function yd(Rd,Nd){for(var Id=[],Md=Rd.listSelections(),Ld=0,Pd=0;Pd<Md.length;Pd++){var qd=Md[Pd];qd.head==Rd.getCursor()&&(Ld=Pd);var Yd=qd.head.ch||Nd>0?{line:qd.head.line,ch:qd.head.ch+Nd}:{line:qd.head.line-1};Id.push({anchor:Yd,head:Yd})}Rd.setSelections(Id,Ld)}function gd(Rd){var Nd=Ja.cmpPos(Rd.anchor,Rd.head)>0;return{anchor:new td(Rd.anchor.line,Rd.anchor.ch+(Nd?-1:1)),head:new td(Rd.head.line,Rd.head.ch+(Nd?1:-1))}}function Ed(Rd,Nd){var Id=cd(Rd);if(!Id||Rd.getOption("disableInput"))return Ja.Pass;var Md=rd(Id,"pairs"),Ld=Md.indexOf(Nd);if(Ld==-1)return Ja.Pass;for(var Pd=rd(Id,"closeBefore"),qd=rd(Id,"triples"),Yd=Md.charAt(Ld+1)==Nd,Ud=Rd.listSelections(),Hd=Ld%2==0,Vd,Jd=0;Jd<Ud.length;Jd++){var Zd=Ud[Jd],pf=Zd.head,Xd,hf=Rd.getRange(pf,td(pf.line,pf.ch+1));if(Hd&&!Zd.empty())Xd="surround";else if((Yd||!Hd)&&hf==Nd)Yd&&kd(Rd,pf)?Xd="both":qd.indexOf(Nd)>=0&&Rd.getRange(pf,td(pf.line,pf.ch+3))==Nd+Nd+Nd?Xd="skipThree":Xd="skip";else if(Yd&&pf.ch>1&&qd.indexOf(Nd)>=0&&Rd.getRange(td(pf.line,pf.ch-2),pf)==Nd+Nd){if(pf.ch>2&&/\bstring/.test(Rd.getTokenTypeAt(td(pf.line,pf.ch-2))))return Ja.Pass;Xd="addFour"}else if(Yd){var _f=pf.ch==0?" ":Rd.getRange(td(pf.line,pf.ch-1),pf);if(!Ja.isWordChar(hf)&&_f!=Nd&&!Ja.isWordChar(_f))Xd="both";else return Ja.Pass}else if(Hd&&(hf.length===0||/\s/.test(hf)||Pd.indexOf(hf)>-1))Xd="both";else return Ja.Pass;if(!Vd)Vd=Xd;else if(Vd!=Xd)return Ja.Pass}var xf=Ld%2?Md.charAt(Ld-1):Nd,Lf=Ld%2?Nd:Md.charAt(Ld+1);Rd.operation(function(){if(Vd=="skip")yd(Rd,1);else if(Vd=="skipThree")yd(Rd,3);else if(Vd=="surround"){for(var Wf=Rd.getSelections(),Yf=0;Yf<Wf.length;Yf++)Wf[Yf]=xf+Wf[Yf]+Lf;Rd.replaceSelections(Wf,"around"),Wf=Rd.listSelections().slice();for(var Yf=0;Yf<Wf.length;Yf++)Wf[Yf]=gd(Wf[Yf]);Rd.setSelections(Wf)}else Vd=="both"?(Rd.replaceSelection(xf+Lf,null),Rd.triggerElectric(xf+Lf),yd(Rd,-1)):Vd=="addFour"&&(Rd.replaceSelection(xf+xf+xf+xf,"before"),yd(Rd,1))})}function Td(Rd,Nd){var Id=Rd.getRange(td(Nd.line,Nd.ch-1),td(Nd.line,Nd.ch+1));return Id.length==2?Id:null}function kd(Rd,Nd){var Id=Rd.getTokenAt(td(Nd.line,Nd.ch+1));return/\bstring/.test(Id.type)&&Id.start==Nd.ch&&(Nd.ch==0||!/\bstring/.test(Rd.getTokenTypeAt(Nd)))}})})();(function(Ra,qa){(function(Ja){Ja(requireCodemirror())})(function(Ja){var ed=/MSIE \d/.test(navigator.userAgent)&&(document.documentMode==null||document.documentMode<8),td=Ja.Pos,rd={"(":")>",")":"(<","[":"]>","]":"[<","{":"}>","}":"{<","<":">>",">":"<<"};function sd(yd){return yd&&yd.bracketRegex||/[(){}[\]]/}function od(yd,gd,Ed){var Td=yd.getLineHandle(gd.line),kd=gd.ch-1,Rd=Ed&&Ed.afterCursor;Rd==null&&(Rd=/(^| )cm-fat-cursor($| )/.test(yd.getWrapperElement().className));var Nd=sd(Ed),Id=!Rd&&kd>=0&&Nd.test(Td.text.charAt(kd))&&rd[Td.text.charAt(kd)]||Nd.test(Td.text.charAt(kd+1))&&rd[Td.text.charAt(++kd)];if(!Id)return null;var Md=Id.charAt(1)==">"?1:-1;if(Ed&&Ed.strict&&Md>0!=(kd==gd.ch))return null;var Ld=yd.getTokenTypeAt(td(gd.line,kd+1)),Pd=ld(yd,td(gd.line,kd+(Md>0?1:0)),Md,Ld,Ed);return Pd==null?null:{from:td(gd.line,kd),to:Pd&&Pd.pos,match:Pd&&Pd.ch==Id.charAt(0),forward:Md>0}}function ld(yd,gd,Ed,Td,kd){for(var Rd=kd&&kd.maxScanLineLength||1e4,Nd=kd&&kd.maxScanLines||1e3,Id=[],Md=sd(kd),Ld=Ed>0?Math.min(gd.line+Nd,yd.lastLine()+1):Math.max(yd.firstLine()-1,gd.line-Nd),Pd=gd.line;Pd!=Ld;Pd+=Ed){var qd=yd.getLine(Pd);if(qd){var Yd=Ed>0?0:qd.length-1,Ud=Ed>0?qd.length:-1;if(!(qd.length>Rd))for(Pd==gd.line&&(Yd=gd.ch-(Ed<0?1:0));Yd!=Ud;Yd+=Ed){var Hd=qd.charAt(Yd);if(Md.test(Hd)&&(Td===void 0||(yd.getTokenTypeAt(td(Pd,Yd+1))||"")==(Td||""))){var Vd=rd[Hd];if(Vd&&Vd.charAt(1)==">"==Ed>0)Id.push(Hd);else if(Id.length)Id.pop();else return{pos:td(Pd,Yd),ch:Hd}}}}}return Pd-Ed==(Ed>0?yd.lastLine():yd.firstLine())?!1:null}function cd(yd,gd,Ed){for(var Td=yd.state.matchBrackets.maxHighlightLineLength||1e3,kd=Ed&&Ed.highlightNonMatching,Rd=[],Nd=yd.listSelections(),Id=0;Id<Nd.length;Id++){var Md=Nd[Id].empty()&&od(yd,Nd[Id].head,Ed);if(Md&&(Md.match||kd!==!1)&&yd.getLine(Md.from.line).length<=Td){var Ld=Md.match?"CodeMirror-matchingbracket":"CodeMirror-nonmatchingbracket";Rd.push(yd.markText(Md.from,td(Md.from.line,Md.from.ch+1),{className:Ld})),Md.to&&yd.getLine(Md.to.line).length<=Td&&Rd.push(yd.markText(Md.to,td(Md.to.line,Md.to.ch+1),{className:Ld}))}}if(Rd.length){ed&&yd.state.focused&&yd.focus();var Pd=function(){yd.operation(function(){for(var qd=0;qd<Rd.length;qd++)Rd[qd].clear()})};if(gd)setTimeout(Pd,800);else return Pd}}function ud(yd){yd.operation(function(){yd.state.matchBrackets.currentlyHighlighted&&(yd.state.matchBrackets.currentlyHighlighted(),yd.state.matchBrackets.currentlyHighlighted=null),yd.state.matchBrackets.currentlyHighlighted=cd(yd,!1,yd.state.matchBrackets)})}function _d(yd){yd.state.matchBrackets&&yd.state.matchBrackets.currentlyHighlighted&&(yd.state.matchBrackets.currentlyHighlighted(),yd.state.matchBrackets.currentlyHighlighted=null)}Ja.defineOption("matchBrackets",!1,function(yd,gd,Ed){Ed&&Ed!=Ja.Init&&(yd.off("cursorActivity",ud),yd.off("focus",ud),yd.off("blur",_d),_d(yd)),gd&&(yd.state.matchBrackets=typeof gd=="object"?gd:{},yd.on("cursorActivity",ud),yd.on("focus",ud),yd.on("blur",_d))}),Ja.defineExtension("matchBrackets",function(){cd(this,!0)}),Ja.defineExtension("findMatchingBracket",function(yd,gd,Ed){return(Ed||typeof gd=="boolean")&&(Ed?(Ed.strict=gd,gd=Ed):gd=gd?{strict:!0}:null),od(this,yd,gd)}),Ja.defineExtension("scanForBracket",function(yd,gd,Ed,Td){return ld(this,yd,gd,Ed,Td)})})})();(function(Ra,qa){(function(Ja){Ja(requireCodemirror())})(function(Ja){var ed="CodeMirror-activeline",td="CodeMirror-activeline-background",rd="CodeMirror-activeline-gutter";Ja.defineOption("styleActiveLine",!1,function(ud,_d,yd){var gd=yd==Ja.Init?!1:yd;_d!=gd&&(gd&&(ud.off("beforeSelectionChange",cd),sd(ud),delete ud.state.activeLines),_d&&(ud.state.activeLines=[],ld(ud,ud.listSelections()),ud.on("beforeSelectionChange",cd)))});function sd(ud){for(var _d=0;_d<ud.state.activeLines.length;_d++)ud.removeLineClass(ud.state.activeLines[_d],"wrap",ed),ud.removeLineClass(ud.state.activeLines[_d],"background",td),ud.removeLineClass(ud.state.activeLines[_d],"gutter",rd)}function od(ud,_d){if(ud.length!=_d.length)return!1;for(var yd=0;yd<ud.length;yd++)if(ud[yd]!=_d[yd])return!1;return!0}function ld(ud,_d){for(var yd=[],gd=0;gd<_d.length;gd++){var Ed=_d[gd],Td=ud.getOption("styleActiveLine");if(!(typeof Td=="object"&&Td.nonEmpty?Ed.anchor.line!=Ed.head.line:!Ed.empty())){var kd=ud.getLineHandleVisualStart(Ed.head.line);yd[yd.length-1]!=kd&&yd.push(kd)}}od(ud.state.activeLines,yd)||ud.operation(function(){sd(ud);for(var Rd=0;Rd<yd.length;Rd++)ud.addLineClass(yd[Rd],"wrap",ed),ud.addLineClass(yd[Rd],"background",td),ud.addLineClass(yd[Rd],"gutter",rd);ud.state.activeLines=yd})}function cd(ud,_d){ld(ud,_d.ranges)}})})();(function(Ra,qa){(function(Ja){Ja(requireCodemirror())})(function(Ja){var ed="CodeMirror-hint",td="CodeMirror-hint-active";Ja.showHint=function(Rd,Nd,Id){if(!Nd)return Rd.showHint(Id);Id&&Id.async&&(Nd.async=!0);var Md={hint:Nd};if(Id)for(var Ld in Id)Md[Ld]=Id[Ld];return Rd.showHint(Md)},Ja.defineExtension("showHint",function(Rd){Rd=ld(this,this.getCursor("start"),Rd);var Nd=this.listSelections();if(!(Nd.length>1)){if(this.somethingSelected()){if(!Rd.hint.supportsSelection)return;for(var Id=0;Id<Nd.length;Id++)if(Nd[Id].head.line!=Nd[Id].anchor.line)return}this.state.completionActive&&this.state.completionActive.close();var Md=this.state.completionActive=new rd(this,Rd);Md.options.hint&&(Ja.signal(this,"startCompletion",this),Md.update(!0))}}),Ja.defineExtension("closeHint",function(){this.state.completionActive&&this.state.completionActive.close()});function rd(Rd,Nd){if(this.cm=Rd,this.options=Nd,this.widget=null,this.debounce=0,this.tick=0,this.startPos=this.cm.getCursor("start"),this.startLen=this.cm.getLine(this.startPos.line).length-this.cm.getSelection().length,this.options.updateOnCursorActivity){var Id=this;Rd.on("cursorActivity",this.activityFunc=function(){Id.cursorActivity()})}}var sd=window.requestAnimationFrame||function(Rd){return setTimeout(Rd,1e3/60)},od=window.cancelAnimationFrame||clearTimeout;rd.prototype={close:function(){this.active()&&(this.cm.state.completionActive=null,this.tick=null,this.options.updateOnCursorActivity&&this.cm.off("cursorActivity",this.activityFunc),this.widget&&this.data&&Ja.signal(this.data,"close"),this.widget&&this.widget.close(),Ja.signal(this.cm,"endCompletion",this.cm))},active:function(){return this.cm.state.completionActive==this},pick:function(Rd,Nd){var Id=Rd.list[Nd],Md=this;this.cm.operation(function(){Id.hint?Id.hint(Md.cm,Rd,Id):Md.cm.replaceRange(cd(Id),Id.from||Rd.from,Id.to||Rd.to,"complete"),Ja.signal(Rd,"pick",Id),Md.cm.scrollIntoView()}),this.options.closeOnPick&&this.close()},cursorActivity:function(){this.debounce&&(od(this.debounce),this.debounce=0);var Rd=this.startPos;this.data&&(Rd=this.data.from);var Nd=this.cm.getCursor(),Id=this.cm.getLine(Nd.line);if(Nd.line!=this.startPos.line||Id.length-Nd.ch!=this.startLen-this.startPos.ch||Nd.ch<Rd.ch||this.cm.somethingSelected()||!Nd.ch||this.options.closeCharacters.test(Id.charAt(Nd.ch-1)))this.close();else{var Md=this;this.debounce=sd(function(){Md.update()}),this.widget&&this.widget.disable()}},update:function(Rd){if(this.tick!=null){var Nd=this,Id=++this.tick;Ed(this.options.hint,this.cm,this.options,function(Md){Nd.tick==Id&&Nd.finishUpdate(Md,Rd)})}},finishUpdate:function(Rd,Nd){this.data&&Ja.signal(this.data,"update");var Id=this.widget&&this.widget.picked||Nd&&this.options.completeSingle;this.widget&&this.widget.close(),this.data=Rd,Rd&&Rd.list.length&&(Id&&Rd.list.length==1?this.pick(Rd,0):(this.widget=new yd(this,Rd),Ja.signal(Rd,"shown")))}};function ld(Rd,Nd,Id){var Md=Rd.options.hintOptions,Ld={};for(var Pd in kd)Ld[Pd]=kd[Pd];if(Md)for(var Pd in Md)Md[Pd]!==void 0&&(Ld[Pd]=Md[Pd]);if(Id)for(var Pd in Id)Id[Pd]!==void 0&&(Ld[Pd]=Id[Pd]);return Ld.hint.resolve&&(Ld.hint=Ld.hint.resolve(Rd,Nd)),Ld}function cd(Rd){return typeof Rd=="string"?Rd:Rd.text}function ud(Rd,Nd){var Id={Up:function(){Nd.moveFocus(-1)},Down:function(){Nd.moveFocus(1)},PageUp:function(){Nd.moveFocus(-Nd.menuSize()+1,!0)},PageDown:function(){Nd.moveFocus(Nd.menuSize()-1,!0)},Home:function(){Nd.setFocus(0)},End:function(){Nd.setFocus(Nd.length-1)},Enter:Nd.pick,Tab:Nd.pick,Esc:Nd.close},Md=/Mac/.test(navigator.platform);Md&&(Id["Ctrl-P"]=function(){Nd.moveFocus(-1)},Id["Ctrl-N"]=function(){Nd.moveFocus(1)});var Ld=Rd.options.customKeys,Pd=Ld?{}:Id;function qd(Hd,Vd){var Jd;typeof Vd!="string"?Jd=function(Zd){return Vd(Zd,Nd)}:Id.hasOwnProperty(Vd)?Jd=Id[Vd]:Jd=Vd,Pd[Hd]=Jd}if(Ld)for(var Yd in Ld)Ld.hasOwnProperty(Yd)&&qd(Yd,Ld[Yd]);var Ud=Rd.options.extraKeys;if(Ud)for(var Yd in Ud)Ud.hasOwnProperty(Yd)&&qd(Yd,Ud[Yd]);return Pd}function _d(Rd,Nd){for(;Nd&&Nd!=Rd;){if(Nd.nodeName.toUpperCase()==="LI"&&Nd.parentNode==Rd)return Nd;Nd=Nd.parentNode}}function yd(Rd,Nd){this.id="cm-complete-"+Math.floor(Math.random(1e6)),this.completion=Rd,this.data=Nd,this.picked=!1;var Id=this,Md=Rd.cm,Ld=Md.getInputField().ownerDocument,Pd=Ld.defaultView||Ld.parentWindow,qd=this.hints=Ld.createElement("ul");qd.setAttribute("role","listbox"),qd.setAttribute("aria-expanded","true"),qd.id=this.id;var Yd=Rd.cm.options.theme;qd.className="CodeMirror-hints "+Yd,this.selectedHint=Nd.selectedHint||0;for(var Ud=Nd.list,Hd=0;Hd<Ud.length;++Hd){var Vd=qd.appendChild(Ld.createElement("li")),Jd=Ud[Hd],Zd=ed+(Hd!=this.selectedHint?"":" "+td);Jd.className!=null&&(Zd=Jd.className+" "+Zd),Vd.className=Zd,Hd==this.selectedHint&&Vd.setAttribute("aria-selected","true"),Vd.id=this.id+"-"+Hd,Vd.setAttribute("role","option"),Jd.render?Jd.render(Vd,Nd,Jd):Vd.appendChild(Ld.createTextNode(Jd.displayText||cd(Jd))),Vd.hintId=Hd}var pf=Rd.options.container||Ld.body,Xd=Md.cursorCoords(Rd.options.alignWithWord?Nd.from:null),hf=Xd.left,_f=Xd.bottom,xf=!0,Lf=0,Wf=0;if(pf!==Ld.body){var Yf=["absolute","relative","fixed"].indexOf(Pd.getComputedStyle(pf).position)!==-1,If=Yf?pf:pf.offsetParent,Sf=If.getBoundingClientRect(),wf=Ld.body.getBoundingClientRect();Lf=Sf.left-wf.left-If.scrollLeft,Wf=Sf.top-wf.top-If.scrollTop}qd.style.left=hf-Lf+"px",qd.style.top=_f-Wf+"px";var Kf=Pd.innerWidth||Math.max(Ld.body.offsetWidth,Ld.documentElement.offsetWidth),Gf=Pd.innerHeight||Math.max(Ld.body.offsetHeight,Ld.documentElement.offsetHeight);pf.appendChild(qd),Md.getInputField().setAttribute("aria-autocomplete","list"),Md.getInputField().setAttribute("aria-owns",this.id),Md.getInputField().setAttribute("aria-activedescendant",this.id+"-"+this.selectedHint);var gf=Rd.options.moveOnOverlap?qd.getBoundingClientRect():new DOMRect,mf=Rd.options.paddingForScrollbar?qd.scrollHeight>qd.clientHeight+1:!1,$f;setTimeout(function(){$f=Md.getScrollInfo()});var zf=gf.bottom-Gf;if(zf>0){var hh=gf.bottom-gf.top,Vf=gf.top-(Xd.bottom-Xd.top)-2;Gf-gf.top<Vf?(hh>Vf&&(qd.style.height=(hh=Vf)+"px"),qd.style.top=(_f=Xd.top-hh)+Wf+"px",xf=!1):qd.style.height=Gf-gf.top-2+"px"}var kf=gf.right-Kf;if(mf&&(kf+=Md.display.nativeBarWidth),kf>0&&(gf.right-gf.left>Kf&&(qd.style.width=Kf-5+"px",kf-=gf.right-gf.left-Kf),qd.style.left=(hf=Math.max(Xd.left-kf-Lf,0))+"px"),mf)for(var Jf=qd.firstChild;Jf;Jf=Jf.nextSibling)Jf.style.paddingRight=Md.display.nativeBarWidth+"px";if(Md.addKeyMap(this.keyMap=ud(Rd,{moveFocus:function(Tf,Af){Id.changeActive(Id.selectedHint+Tf,Af)},setFocus:function(Tf){Id.changeActive(Tf)},menuSize:function(){return Id.screenAmount()},length:Ud.length,close:function(){Rd.close()},pick:function(){Id.pick()},data:Nd})),Rd.options.closeOnUnfocus){var Ch;Md.on("blur",this.onBlur=function(){Ch=setTimeout(function(){Rd.close()},100)}),Md.on("focus",this.onFocus=function(){clearTimeout(Ch)})}Md.on("scroll",this.onScroll=function(){var Tf=Md.getScrollInfo(),Af=Md.getWrapperElement().getBoundingClientRect();$f||($f=Md.getScrollInfo());var Pf=_f+$f.top-Tf.top,gh=Pf-(Pd.pageYOffset||(Ld.documentElement||Ld.body).scrollTop);if(xf||(gh+=qd.offsetHeight),gh<=Af.top||gh>=Af.bottom)return Rd.close();qd.style.top=Pf+"px",qd.style.left=hf+$f.left-Tf.left+"px"}),Ja.on(qd,"dblclick",function(Tf){var Af=_d(qd,Tf.target||Tf.srcElement);Af&&Af.hintId!=null&&(Id.changeActive(Af.hintId),Id.pick())}),Ja.on(qd,"click",function(Tf){var Af=_d(qd,Tf.target||Tf.srcElement);Af&&Af.hintId!=null&&(Id.changeActive(Af.hintId),Rd.options.completeOnSingleClick&&Id.pick())}),Ja.on(qd,"mousedown",function(){setTimeout(function(){Md.focus()},20)});var qf=this.getSelectedHintRange();return(qf.from!==0||qf.to!==0)&&this.scrollToActive(),Ja.signal(Nd,"select",Ud[this.selectedHint],qd.childNodes[this.selectedHint]),!0}yd.prototype={close:function(){if(this.completion.widget==this){this.completion.widget=null,this.hints.parentNode&&this.hints.parentNode.removeChild(this.hints),this.completion.cm.removeKeyMap(this.keyMap);var Rd=this.completion.cm.getInputField();Rd.removeAttribute("aria-activedescendant"),Rd.removeAttribute("aria-owns");var Nd=this.completion.cm;this.completion.options.closeOnUnfocus&&(Nd.off("blur",this.onBlur),Nd.off("focus",this.onFocus)),Nd.off("scroll",this.onScroll)}},disable:function(){this.completion.cm.removeKeyMap(this.keyMap);var Rd=this;this.keyMap={Enter:function(){Rd.picked=!0}},this.completion.cm.addKeyMap(this.keyMap)},pick:function(){this.completion.pick(this.data,this.selectedHint)},changeActive:function(Rd,Nd){if(Rd>=this.data.list.length?Rd=Nd?this.data.list.length-1:0:Rd<0&&(Rd=Nd?0:this.data.list.length-1),this.selectedHint!=Rd){var Id=this.hints.childNodes[this.selectedHint];Id&&(Id.className=Id.className.replace(" "+td,""),Id.removeAttribute("aria-selected")),Id=this.hints.childNodes[this.selectedHint=Rd],Id.className+=" "+td,Id.setAttribute("aria-selected","true"),this.completion.cm.getInputField().setAttribute("aria-activedescendant",Id.id),this.scrollToActive(),Ja.signal(this.data,"select",this.data.list[this.selectedHint],Id)}},scrollToActive:function(){var Rd=this.getSelectedHintRange(),Nd=this.hints.childNodes[Rd.from],Id=this.hints.childNodes[Rd.to],Md=this.hints.firstChild;Nd.offsetTop<this.hints.scrollTop?this.hints.scrollTop=Nd.offsetTop-Md.offsetTop:Id.offsetTop+Id.offsetHeight>this.hints.scrollTop+this.hints.clientHeight&&(this.hints.scrollTop=Id.offsetTop+Id.offsetHeight-this.hints.clientHeight+Md.offsetTop)},screenAmount:function(){return Math.floor(this.hints.clientHeight/this.hints.firstChild.offsetHeight)||1},getSelectedHintRange:function(){var Rd=this.completion.options.scrollMargin||0;return{from:Math.max(0,this.selectedHint-Rd),to:Math.min(this.data.list.length-1,this.selectedHint+Rd)}}};function gd(Rd,Nd){if(!Rd.somethingSelected())return Nd;for(var Id=[],Md=0;Md<Nd.length;Md++)Nd[Md].supportsSelection&&Id.push(Nd[Md]);return Id}function Ed(Rd,Nd,Id,Md){if(Rd.async)Rd(Nd,Md,Id);else{var Ld=Rd(Nd,Id);Ld&&Ld.then?Ld.then(Md):Md(Ld)}}function Td(Rd,Nd){var Id=Rd.getHelpers(Nd,"hint"),Md;if(Id.length){var Ld=function(Pd,qd,Yd){var Ud=gd(Pd,Id);function Hd(Vd){if(Vd==Ud.length)return qd(null);Ed(Ud[Vd],Pd,Yd,function(Jd){Jd&&Jd.list.length>0?qd(Jd):Hd(Vd+1)})}Hd(0)};return Ld.async=!0,Ld.supportsSelection=!0,Ld}else return(Md=Rd.getHelper(Rd.getCursor(),"hintWords"))?function(Pd){return Ja.hint.fromList(Pd,{words:Md})}:Ja.hint.anyword?function(Pd,qd){return Ja.hint.anyword(Pd,qd)}:function(){}}Ja.registerHelper("hint","auto",{resolve:Td}),Ja.registerHelper("hint","fromList",function(Rd,Nd){var Id=Rd.getCursor(),Md=Rd.getTokenAt(Id),Ld,Pd=Ja.Pos(Id.line,Md.start),qd=Id;Md.start<Id.ch&&/\w/.test(Md.string.charAt(Id.ch-Md.start-1))?Ld=Md.string.substr(0,Id.ch-Md.start):(Ld="",Pd=Id);for(var Yd=[],Ud=0;Ud<Nd.words.length;Ud++){var Hd=Nd.words[Ud];Hd.slice(0,Ld.length)==Ld&&Yd.push(Hd)}if(Yd.length)return{list:Yd,from:Pd,to:qd}}),Ja.commands.autocomplete=Ja.showHint;var kd={hint:Ja.hint.auto,completeSingle:!0,alignWithWord:!0,closeCharacters:/[\s()\[\]{};:>,]/,closeOnPick:!0,closeOnUnfocus:!0,updateOnCursorActivity:!0,completeOnSingleClick:!0,container:null,customKeys:null,extraKeys:null,paddingForScrollbar:!0,moveOnOverlap:!0};Ja.defineOption("hintOptions",null)})})();(function(Ra,qa){(function(Ja){Ja(requireCodemirror(),cssExports)})(function(Ja){var ed={active:1,after:1,before:1,checked:1,default:1,disabled:1,empty:1,enabled:1,"first-child":1,"first-letter":1,"first-line":1,"first-of-type":1,focus:1,hover:1,"in-range":1,indeterminate:1,invalid:1,lang:1,"last-child":1,"last-of-type":1,link:1,not:1,"nth-child":1,"nth-last-child":1,"nth-last-of-type":1,"nth-of-type":1,"only-of-type":1,"only-child":1,optional:1,"out-of-range":1,placeholder:1,"read-only":1,"read-write":1,required:1,root:1,selection:1,target:1,valid:1,visited:1};Ja.registerHelper("hint","css",function(td){var rd=td.getCursor(),sd=td.getTokenAt(rd),od=Ja.innerMode(td.getMode(),sd.state);if(od.mode.name!="css")return;if(sd.type=="keyword"&&"!important".indexOf(sd.string)==0)return{list:["!important"],from:Ja.Pos(rd.line,sd.start),to:Ja.Pos(rd.line,sd.end)};var ld=sd.start,cd=rd.ch,ud=sd.string.slice(0,cd-ld);/[^\w$_-]/.test(ud)&&(ud="",ld=cd=rd.ch);var _d=Ja.resolveMode("text/css"),yd=[];function gd(Td){for(var kd in Td)(!ud||kd.lastIndexOf(ud,0)==0)&&yd.push(kd)}var Ed=od.state.state;if(Ed=="pseudo"||sd.type=="variable-3"?gd(ed):Ed=="block"||Ed=="maybeprop"?gd(_d.propertyKeywords):Ed=="prop"||Ed=="parens"||Ed=="at"||Ed=="params"?(gd(_d.valueKeywords),gd(_d.colorKeywords)):(Ed=="media"||Ed=="media_parens")&&(gd(_d.mediaTypes),gd(_d.mediaFeatures)),yd.length)return{list:yd,from:Ja.Pos(rd.line,ld),to:Ja.Pos(rd.line,cd)}})})})();const app=createApp(_sfc_main);app.use(Store);app.use(ElementPlus);app.mount("#app");export{isDark as $,cleanAndMerge as A,getConfig$1 as B,random as C,setupGraphViewbox2 as D,selectSvgElement as E,version as F,getThemeVariables3 as G,line$1 as H,hasKatex as I,calculateMathMLDimensions as J,renderKatex as K,parseFontSize as L,curveBasis as M,parseGenericTypes as N,setupGraphViewbox as O,getStylesFromArray as P,interpolateToCurve as Q,curveLinear as R,getSubGraphTitleMargins as S,evaluate as T,createText as U,generateId as V,lineBreakRegex as W,__export as X,commonDb_exports as Y,ZERO_WIDTH_SPACE as Z,__name as _,assignWithDepth_default as a,arrayEach as a$,lighten as a0,darken as a1,withPath as a2,halfPi as a3,epsilon$2 as a4,tau$2 as a5,cos as a6,sin as a7,min as a8,abs$1 as a9,isUndefined$2 as aA,baseRest as aB,baseFlatten as aC,isArrayLikeObject as aD,constant$5 as aE,isFunction$2 as aF,keys as aG,isEmpty$1 as aH,computeDimensionOfText as aI,array as aJ,commonjsGlobal as aK,getDefaultExportFromCjs as aL,unknownIcon as aM,registerIconPacks as aN,getIconSVG as aO,toNumber$2 as aP,isIterateeCall as aQ,keysIn as aR,eq as aS,isArrayLike$1 as aT,baseIteratee as aU,baseFindIndex as aV,isArray$1 as aW,arrayMap as aX,isSymbol as aY,identity$1 as aZ,baseFor as a_,pi$7 as aa,sqrt as ab,atan2 as ac,constant$2 as ad,asin as ae,acos as af,max$1 as ag,clone$1 as ah,Utils as ai,Color$1 as aj,getLineFunctionsWithOffset as ak,replaceIconSubstring as al,decodeEntities as am,global$1 as an,markers_default as ao,clear3 as ap,clear2 as aq,clear as ar,updateNodeBounds as as,setNodeElem as at,insertNode as au,positionNode as av,insertCluster as aw,insertEdge as ax,positionEdgeLabel as ay,insertEdgeLabel as az,getAccDescription as b,arrayFilter as b0,hasPath as b1,Set$1 as b2,setToArray as b3,SetCache as b4,cacheHas as b5,define$1 as b6,extend$2 as b7,Rgb as b8,Color as b9,arraySome as bA,isObject$3 as bB,__vitePreload as bC,rgbConvert as ba,nogamma as bb,hue as bc,dayjs2 as bd,constant$3 as be,interpolateNumber as bf,color as bg,interpolateRgb as bh,interpolateString as bi,baseAssignValue as bj,baseGet as bk,baseUnary as bl,toString$2 as bm,assignValue as bn,flatten as bo,pick as bp,cloneDeep$1 as bq,merge$3 as br,createAssigner as bs,isPrototype as bt,copyObject as bu,isObjectLike$1 as bv,baseGetTag as bw,nodeUtil as bx,getAllKeysIn as by,basePickBy as bz,setAccDescription as c,getConfig2 as d,common_default as e,calculateTextHeight as f,getAccTitle as g,calculateTextWidth as h,sanitizeText as i,select as j,configureSvgSize as k,log$1 as l,sanitizeUrl_1 as m,setConfig2 as n,defaultConfig2 as o,setDiagramTitle as p,getDiagramTitle as q,clear$1 as r,setAccTitle as s,getEdgeId as t,utils_default as u,getRegisteredLayoutAlgorithm as v,wrapLabel as w,render$1 as x,rgba$1 as y,defaultConfig_default as z};
|